From e2aae64531946a8c602985549e569a2513a3093f Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Sun, 13 Aug 2023 01:14:24 -0400 Subject: [PATCH] Loads LED setting from UFM. On by defualt. --- CPLD/MAXII/RAM2GS.mif | 28 + CPLD/MAXII/RAM2GS.qsf | 3 +- CPLD/MAXII/RAM2GS.qws | Bin 635 -> 1718 bytes CPLD/MAXII/UFM.v | 16 +- CPLD/MAXII/db/RAM2GS.(0).cnf.cdb | Bin 20054 -> 20609 bytes CPLD/MAXII/db/RAM2GS.(0).cnf.hdb | Bin 3613 -> 3729 bytes CPLD/MAXII/db/RAM2GS.(1).cnf.cdb | Bin 1388 -> 1388 bytes CPLD/MAXII/db/RAM2GS.(1).cnf.hdb | Bin 924 -> 910 bytes CPLD/MAXII/db/RAM2GS.(2).cnf.cdb | Bin 1601 -> 1596 bytes CPLD/MAXII/db/RAM2GS.(2).cnf.hdb | Bin 1385 -> 1011 bytes CPLD/MAXII/db/RAM2GS.asm.qmsg | 14 +- CPLD/MAXII/db/RAM2GS.asm.rdb | Bin 808 -> 809 bytes CPLD/MAXII/db/RAM2GS.asm_labs.ddb | Bin 2641 -> 2706 bytes CPLD/MAXII/db/RAM2GS.cmp.cdb | Bin 42776 -> 43113 bytes CPLD/MAXII/db/RAM2GS.cmp.hdb | Bin 18436 -> 18491 bytes CPLD/MAXII/db/RAM2GS.cmp.idb | Bin 2717 -> 2715 bytes CPLD/MAXII/db/RAM2GS.cmp.rdb | Bin 14228 -> 14334 bytes CPLD/MAXII/db/RAM2GS.cmp0.ddb | Bin 75185 -> 75980 bytes CPLD/MAXII/db/RAM2GS.db_info | 2 +- CPLD/MAXII/db/RAM2GS.fit.qmsg | 90 +- CPLD/MAXII/db/RAM2GS.hier_info | 40 +- CPLD/MAXII/db/RAM2GS.hif | Bin 598 -> 596 bytes CPLD/MAXII/db/RAM2GS.lpc.html | 2 +- CPLD/MAXII/db/RAM2GS.lpc.rdb | Bin 495 -> 495 bytes CPLD/MAXII/db/RAM2GS.lpc.txt | 2 +- CPLD/MAXII/db/RAM2GS.map.cdb | Bin 17424 -> 17885 bytes CPLD/MAXII/db/RAM2GS.map.hdb | Bin 17470 -> 17622 bytes CPLD/MAXII/db/RAM2GS.map.qmsg | 55 +- CPLD/MAXII/db/RAM2GS.map.rdb | Bin 1261 -> 1261 bytes CPLD/MAXII/db/RAM2GS.pplq.rdb | Bin 0 -> 297 bytes CPLD/MAXII/db/RAM2GS.pre_map.hdb | Bin 16509 -> 16354 bytes CPLD/MAXII/db/RAM2GS.routing.rdb | Bin 1539 -> 1572 bytes CPLD/MAXII/db/RAM2GS.rtlv.hdb | Bin 16259 -> 16271 bytes CPLD/MAXII/db/RAM2GS.rtlv_sg.cdb | Bin 18369 -> 19001 bytes CPLD/MAXII/db/RAM2GS.rtlv_sg_swap.cdb | Bin 840 -> 840 bytes CPLD/MAXII/db/RAM2GS.sta.qmsg | 50 +- CPLD/MAXII/db/RAM2GS.sta.rdb | Bin 13012 -> 12936 bytes CPLD/MAXII/db/RAM2GS.sta_cmp.5_slow.tdb | Bin 45189 -> 46337 bytes CPLD/MAXII/db/RAM2GS.tmw_info | 9 +- CPLD/MAXII/db/RAM2GS.vpr.ammdb | Bin 630 -> 636 bytes CPLD/MAXII/db/prev_cmp_RAM2GS-MAXII.qmsg | 228 ++-- CPLD/MAXII/greybox_tmp/cbx_args.txt | 2 +- .../RAM2GS.root_partition.map.kpt | Bin 2590 -> 2656 bytes CPLD/MAXII/output_files/RAM2GS.asm.rpt | 16 +- CPLD/MAXII/output_files/RAM2GS.done | 2 +- CPLD/MAXII/output_files/RAM2GS.fit.rpt | 283 +++-- CPLD/MAXII/output_files/RAM2GS.fit.summary | 6 +- CPLD/MAXII/output_files/RAM2GS.flow.rpt | 20 +- CPLD/MAXII/output_files/RAM2GS.map.rpt | 112 +- CPLD/MAXII/output_files/RAM2GS.map.smsg | 4 +- CPLD/MAXII/output_files/RAM2GS.map.summary | 6 +- CPLD/MAXII/output_files/RAM2GS.pin | 2 +- CPLD/MAXII/output_files/RAM2GS.pof | Bin 7861 -> 7861 bytes CPLD/MAXII/output_files/RAM2GS.sta.rpt | 1030 +++++++++-------- CPLD/MAXII/output_files/RAM2GS.sta.summary | 38 +- CPLD/MAXV/RAM2GS.mif | 28 + CPLD/MAXV/RAM2GS.qsf | 11 +- CPLD/MAXV/RAM2GS.qws | Bin 0 -> 2366 bytes CPLD/MAXV/UFM.v | 4 - CPLD/MAXV/db/RAM2GS.(0).cnf.cdb | Bin 20161 -> 20609 bytes CPLD/MAXV/db/RAM2GS.(0).cnf.hdb | Bin 3843 -> 3750 bytes CPLD/MAXV/db/RAM2GS.(1).cnf.cdb | Bin 1388 -> 1389 bytes CPLD/MAXV/db/RAM2GS.(1).cnf.hdb | Bin 902 -> 931 bytes CPLD/MAXV/db/RAM2GS.(2).cnf.cdb | Bin 1589 -> 1595 bytes CPLD/MAXV/db/RAM2GS.(2).cnf.hdb | Bin 1384 -> 1004 bytes CPLD/MAXV/db/RAM2GS.asm.qmsg | 14 +- CPLD/MAXV/db/RAM2GS.asm.rdb | Bin 807 -> 808 bytes CPLD/MAXV/db/RAM2GS.asm_labs.ddb | Bin 2668 -> 2692 bytes CPLD/MAXV/db/RAM2GS.cmp.cdb | Bin 42956 -> 43708 bytes CPLD/MAXV/db/RAM2GS.cmp.hdb | Bin 18475 -> 18515 bytes CPLD/MAXV/db/RAM2GS.cmp.idb | Bin 2736 -> 2711 bytes CPLD/MAXV/db/RAM2GS.cmp.rdb | Bin 14200 -> 14330 bytes CPLD/MAXV/db/RAM2GS.cmp0.ddb | Bin 78809 -> 78215 bytes CPLD/MAXV/db/RAM2GS.db_info | 2 +- CPLD/MAXV/db/RAM2GS.fit.qmsg | 90 +- CPLD/MAXV/db/RAM2GS.hier_info | 5 +- CPLD/MAXV/db/RAM2GS.hif | Bin 572 -> 595 bytes CPLD/MAXV/db/RAM2GS.map.cdb | Bin 17738 -> 17878 bytes CPLD/MAXV/db/RAM2GS.map.hdb | Bin 17593 -> 17657 bytes CPLD/MAXV/db/RAM2GS.map.qmsg | 56 +- CPLD/MAXV/db/RAM2GS.map.rdb | Bin 1263 -> 1261 bytes CPLD/MAXV/db/RAM2GS.pre_map.hdb | Bin 16492 -> 16737 bytes CPLD/MAXV/db/RAM2GS.routing.rdb | Bin 1590 -> 1504 bytes CPLD/MAXV/db/RAM2GS.rtlv.hdb | Bin 16379 -> 16396 bytes CPLD/MAXV/db/RAM2GS.rtlv_sg.cdb | Bin 18672 -> 19108 bytes CPLD/MAXV/db/RAM2GS.rtlv_sg_swap.cdb | Bin 840 -> 840 bytes CPLD/MAXV/db/RAM2GS.sta.qmsg | 50 +- CPLD/MAXV/db/RAM2GS.sta.rdb | Bin 13318 -> 13222 bytes CPLD/MAXV/db/RAM2GS.sta_cmp.4_slow.tdb | Bin 0 -> 46377 bytes CPLD/MAXV/db/RAM2GS.sta_cmp.5_slow.tdb | Bin 46202 -> 0 bytes CPLD/MAXV/db/RAM2GS.tmw_info | 4 +- CPLD/MAXV/db/RAM2GS.vpr.ammdb | Bin 625 -> 636 bytes CPLD/MAXV/db/prev_cmp_RAM2GS-MAXV.qmsg | 127 +- CPLD/MAXV/greybox_tmp/cbx_args.txt | 2 +- .../RAM2GS.root_partition.map.kpt | Bin 2561 -> 2645 bytes CPLD/MAXV/output_files/RAM2GS.asm.rpt | 14 +- CPLD/MAXV/output_files/RAM2GS.done | 2 +- CPLD/MAXV/output_files/RAM2GS.fit.rpt | 256 ++-- CPLD/MAXV/output_files/RAM2GS.fit.summary | 6 +- CPLD/MAXV/output_files/RAM2GS.flow.rpt | 18 +- CPLD/MAXV/output_files/RAM2GS.jdi | 4 +- CPLD/MAXV/output_files/RAM2GS.map.rpt | 84 +- CPLD/MAXV/output_files/RAM2GS.map.smsg | 2 +- CPLD/MAXV/output_files/RAM2GS.map.summary | 4 +- CPLD/MAXV/output_files/RAM2GS.pin | 2 +- CPLD/MAXV/output_files/RAM2GS.pof | Bin 7861 -> 7861 bytes CPLD/MAXV/output_files/RAM2GS.sta.rpt | 1004 ++++++++-------- CPLD/MAXV/output_files/RAM2GS.sta.summary | 32 +- .../output_files/greybox_tmp/cbx_args.txt | 25 + CPLD/RAM2GS-MAX.v | 17 +- CPLD/RAM2GS.mif | 27 - CPLD/RAM2GS.qsf | 216 ---- 112 files changed, 2056 insertions(+), 2110 deletions(-) create mode 100644 CPLD/MAXII/RAM2GS.mif create mode 100644 CPLD/MAXII/db/RAM2GS.pplq.rdb create mode 100644 CPLD/MAXV/RAM2GS.mif create mode 100644 CPLD/MAXV/RAM2GS.qws create mode 100644 CPLD/MAXV/db/RAM2GS.sta_cmp.4_slow.tdb delete mode 100644 CPLD/MAXV/db/RAM2GS.sta_cmp.5_slow.tdb create mode 100644 CPLD/MAXV/output_files/greybox_tmp/cbx_args.txt delete mode 100644 CPLD/RAM2GS.mif delete mode 100644 CPLD/RAM2GS.qsf diff --git a/CPLD/MAXII/RAM2GS.mif b/CPLD/MAXII/RAM2GS.mif new file mode 100644 index 0000000..3382c37 --- /dev/null +++ b/CPLD/MAXII/RAM2GS.mif @@ -0,0 +1,28 @@ +-- Copyright (C) 2019 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + +-- Quartus Prime generated Memory Initialization File (.mif) + +WIDTH=16; +DEPTH=512; + +ADDRESS_RADIX=HEX; +DATA_RADIX=HEX; + +CONTENT BEGIN + [000..0FD] : 0000; + 0FE : 5FFF; + [0FF..1FF] : FFFF; +END; diff --git a/CPLD/MAXII/RAM2GS.qsf b/CPLD/MAXII/RAM2GS.qsf index 842c6cf..0e12cff 100644 --- a/CPLD/MAXII/RAM2GS.qsf +++ b/CPLD/MAXII/RAM2GS.qsf @@ -196,4 +196,5 @@ set_instance_assignment -name PAD_TO_CORE_DELAY 0 -to RD set_global_assignment -name MIF_FILE ../RAM2GS.mif set_global_assignment -name VERILOG_FILE "../RAM2GS-MAX.v" -set_global_assignment -name QIP_FILE UFM.qip \ No newline at end of file +set_global_assignment -name QIP_FILE UFM.qip +set_location_assignment PIN_88 -to LED \ No newline at end of file diff --git a/CPLD/MAXII/RAM2GS.qws b/CPLD/MAXII/RAM2GS.qws index 5f2429ba3bdb4ca7c5b1df7fe8d20bab4b47472a..5479d5556a264106701fd42d25218636e67ddcb2 100644 GIT binary patch literal 1718 zcmeH|K}#D^5Xb+!Z9qKr)Kd>VNC}06Bu$g7P$>mH=|$|>CfThG(Im#L3Pt=3eh2S@ zXYmVo>9r?6h-vL_rr8!_5i0c}zGZgipPe@|Z{GjCyv-{c&$O`3SWVuse=T8EkfuKJH1%37 zz9iban!yqVC%i5ZeK&-VG&hH?kNo=u=CqzuRYyId*tlf4;jeJW#6!%-W0^uvjm7|_ z5P88$5~@jw^-iDBDLv?cR?vNV)1uO$xlY8_6Ot!9vAeX@{hN~)%iO${sdP=BZj;FZ zo6LAogPTjm?^>x(nV4wTbI((7$5%PD;wD#Rn`^(nq#b?StQ{Nwp0OBw`+DYqEQgP9 zpUCGKVnrGDGMrH{oTwtEV|JyWSKtb@XN)S38P$Ma1-NvTQB}OlaBVN6LU2SrCq>31 zu;mMPM%K~5c$A0p1GvA*39#k1(Xs2UpNS4=jT+Klg))~(AFOeZYt~|c?+43lg|}we z-BBm^hA!LH;^smAsx0Y%rS({f@Nb!te2pHZy=)gq4i oAL^_U|2K88{X#j#c-U(H3ox$yzgr@-&K`5g+g2YS6WC7ePh2e(T>t<8 delta 244 zcmb7;Jqp555QM*%7&|L3pkkXp6-{ep6A@IzN{J=`K~RWRLA-+CQ3TK9JuGza2JSNZ zc4p@-`>eeyH~;E!;LM5Rudd12u_vRzXUdQ<9Y)OX*pg}rMu;hi2ubK^p3zlxr3~ci zs|%H>;K~uO5nLgVE0B^a6aC4_B0U!^{PPTB?oFp&wHsiy9us?M+E%PJFK|k*SJLO= LAMrwbp*_c_siaA?Yn|$Ts zX8p>_%fimi!p_RZ`&C8ytE`2S=~oF83#X6RW*V6PTtZR)KLu1T?0?Juv3`pG_1&OP z+A+$KG=TfAay4gtatDmV(@Z5gck~`se-qH%;FrIfhKvS95)kp@rKM~zet~pF5 zzj2`bCdaiX4p%pqVUWq!wH3$J$XEIOmr3pKzj8&0gQ7IiY}UvsHSY5Cz^bWsT$NT_ z{qt-3wNU4E+y3kIbNhL_LGKHC`g7)e`t^&?gyV$A+Wmcpun-&DW&DWGuSYZQz}g>V zd1ONDY?q;LUKU1LMKgzeAdS5TmXOr2FfxW{AIU+y&dZahEu1O`OW3!#7NO3^+eeL# zt0V-G_6-OVmiH^gCP;_sMFS7%yM7;z%yuIpZ*Ar=3aGHoeUVvVyCEpJ-sQp9;RktojS11@Vmuos2JQo{`JY^(JU-6b z4Qu_dhX@yf0Ab&Aix+55g=35jk5nHheXDojS5yS^+V@;b(0YyOwLG8vWP8Ld<&8Bo zS}^mZ?85bOM?G#+EY^kHVE&+^wi&z~64$2X?{BPs{uFYjfng^R+6qT=>lWAgP!9U1 z&UhD)mjpw8L$5k!;Z6#MXni=bxRdqbsQUy$eJ1CbC=vcHU{i^UoAJuB1{o?4fLsYaI{1MZJMglnAI?eN8V{Tr*;@(oJ;8Rbq==DVax zE$xjXtT{4WZ$*x?4F@*BB2VHFJ52thgA~BZ{mteuM@GpIRgpbFO@$FN zo5Vrm3n);WB=|n=#(N-4^at{NbvMg`Y^XUdyy=GvG$5-Lg~dEKRhOTLZZM%_%|t`r z!khCa5eud`hlwi&SL!(WSW`I>7yRO?4_eKlS8m|AgUh{M?&3*XuQ}JVO?+@%IW5gd z11R~S5bZZ0APk^Z9%2Ju zBlxfaw(_|kC9a95Nr&MkvIDSstt!I4BAKmyx!*WoUp@Il%hm&AH22Ixj|b5PpV8^s zygFGATT+$``pX;hzC|&ZkL*^9jyD0y@V>`R+j{rC-U^p8u6uClY=W)5Ev`$!XXY0z z8!c}CRzWdMC(K?qEeO@8kF3bO^RsbL=|!tjzn#9EZF~Y^}4-W=pLZ++0jK_)o4F$PO{Sz;_f zzxT&<-@+=w&9Af&Pq$Ipmd%c(9@Ur3Ta^X&MqmX!!$XRhLiIBz*_4C{3gFR~2-n74 zl0_MVj!rUWhPsfGGR0xF!km1Gp{5lrr(H7+#omEfxj<>vVpAtFWeg?96{gV z&g1uOFbC<3wN%<6BPm&AgRo7gvl9H4LAc;7xht_$otm+Nze;T!h%Ti5^_M334<2hL zP3V+rl0(DJqcMyLserxFHbso|7@05n6^P@Kn-vje&UWWW7?c||8(hx5u)#0c8wDO+ zx>zd>q;`04jqM04aNVZ|(5`xRwSpTHNH$3uS3$T!!K)f2f)mAjN;Qw_UqP!=pzm8o zM67!(&Mt#wRkoy*xfl{Ym(|YlPF4CEO$`2rux4-5iJ6bi8a$cgO(4&`t4H_i1}8yk zZ|j%GM_Y|Q2*S2Twzi$CHm^M^2fGnwC8{;Bf%8IXbd2LBrH8P1Ov@TU-+ofUprNsAKL1 zTqr_9$H&+Q{All%XjQ?EAHJ`ahlH;c+4ad+>8R+f_n1}S84S(##uvJ3xTQXP2Wr4o zi@v@jcVu0En}bEs6XtR)9iJJ6*q8#xjmNfcj0qoF?5*1BqO{k8L9*eHx--9|r)mOY zb>cMIT{@y!M`?o8wWK{b`3%!aE2rSf&HK7%t{YQU_hlD|2oKkQcBTlk7@%D zXq>~8rjNRcJ5Q#mzp)-zAMP+*e}j>}1%?3@0Bl#HF(;-WnREL!kZa`zB@F`gA!08R zU%V~%427Fr$CR5ez_I6j_z_+&Ezn(u7s7c{wO#JV!XytGT8jezI1e@;SnfSJgBV<= zU<`0-F5@%I5UuKC$;ZcNF64pvIiG%XOdZ1Q1YB~5>m9ir%Gjc6*e+M=k?OUxCKcLi zllm-Vw5fDo$?(0|K)SfGTQN7jxabicEKub z)zie;4eG0qFv$^ZM;UAqlWTr~fa5Q~%wCa^-JUMp#l%52MIfbGAhf7;xDWIlYK&j59{l|K3(kN;FRg4))VTetA}jy4cx{Nc z@A8{1=RYpR>qklMFfbPF(d2|*Bks6l8o4n4wG3F8cI)$n1FI!Frr}j(EB}YcW zjHA&Bh)!W>rS9vYZgKeIms3{qojie*lHg1W$fOTtpD^al#WuY}6#4Oz#Lz$QEG)$( z(7R6Uh%l0}#G)cnjZTuM9{fn&XHTw5ZC4X#o>$Yk+{YDUZdFieAIM|28Fw1|8^-AQ z+O@AZkyq?4^t+GxEvd7f4P~M?WQR?`lL$ZQYtS3_-K21Qq&HOa#$^6hC1l7+%^7qT zi=?s0E|?bEI;|5R0#O4UkAfhF%oC|-FeI4U&HNehf17p>{t^f`%~*x3r8BG?I?wH& z1#Ste-Ffbs#w@KM3ElSo1NQqUMDc(!hFJnrR~>d#55vHig!Xk2mT7k zmmM*HFu}OIQW$`AKAmXbK|j|^blGTrI#KDWh>LQN>Jj==xZ-!xHt{#vy7#;L0Y8ez z`Yck$$l>di#l`0BRfJV|PH@YarWE>@#~}7-?W?!|?M zLG<&=nr7}ajJn@>P@~>*i+H~d8aB31B@Mp2ksj1JZvWizJCoikuGDft1fXa3^6XgP zm$obR`&Qzw=Nh6fRxGlr7-%uAlElAM>^Zs+eT^^byqa!GTD5ZBXId;WiQ=eoNj02+ z&7tsgUYGKRkIY|)F8#UZ-Emhw$#R@{{mG7oTxcN9}jPFs895<*F1fUQjXM% zHB?rt@1qNs+qWBoc<#|@76qTm!wGvdy1irxFU{(~b)yk**pqq998NnTfU2wmOiew$CA!O+O8N~a31OKioY6RbT zXgur4@!$wfN%lN7n|Yt@HXtFR1R)(UoMIm2Jw1LBZcUuJ|8>ccPnnIe#&b69E-x%x zxlJpVtPe0dAPW^C#aet=;tH&ywKO&|cwuc!IAOHL##TVKB|W#r@xP0>Ct3=621$I! ziza!*NN{9&x>nyL^tbb*P5q2FEby$0bYQ=~OY>XD>icTeAG1N)+zNWP#FmWpCVbCIiz-PL`#;lsmUOM@c$t zK-2`9>uPm0X)mXnRxb>9DkJ9iMM*ZIOO&51+Me~cwMnnK(A1Vwrm?0tz~)6?Bv%6G zGCsrzEs!j`k$A>kS;eo2ez?C$+eS0=@pFjQpRaQ-+FEl)CgNnk{ROdC2g`4R-*t}OY-<_bj*m9t z!{%sxQDx^@-yO_`X>;r8?tj|G-xSd%vwQX%S>VPnBbx3Zl<>x>F$Mo?9%#q7m)$x{ ziTvF?Up!yfkH}>gZO5ipBlop?FGfK|taOv&O1ZaeaS+qqvOk)Dgq>RvP3*x$>=x-} zMI*NT<>f?aklkiG9`l59vCGIXB-^rDXN5X@uBzpg?BAr(5GP0Lb!#P=n|8hq@~i3` zgW_)gP|%utc(%~AOi|qLR>dVnI|~Nl7dv%~WSqI(-hPSCBS~DFHQa*ARAOql4xGEZ z2Nas}7`VY^V1XXd$8#3LbZ+Ch!8KZTHn{>J4pU4ITyD2e*&dT7wRmB2vz@2Nogy)h zuqP2$iEInG^$;w*rkT#Pb?oO1>Ko+QZ1aT^e3|AiB%iI6v(xQ{UotN0+&^cwy%{}X zBu#GTHWOyWZ85N-mU)+z*M-d56mWXY0&_N zsp=tT6P_);3d^vl!cq%;-JDTIoXhHLc{cNM4M;xQueYz+oh0JF@63*$Ho^S#d$V8J z{Tmg^Stgu)w$0MFGmdraeCb={vst>)gWu@sQrC2z@)J67G?jdnIHTNFpO`PX7D!V^2PD_C&GL>u6oPOhPfUE zGI!1nB&LdIKxfLtv%(n@q1f08RySm2Vm|s5!JQN-&n?}IA=zCgv%rYYAOUJQs6L~Y z$t;4C%rLkl!V6Q!lNNA=&C_B8=8)Q2f5(@;D@Lh@Z>}3Cia%yyG72O}(qk4gyhaOt zUqi{i!Wx>Z8f)qZgdi?NWL)kgp6L(u41a*E@6Uy#N_$v}mQ4?ArD8#KpArba5IS_n zSusQB2Rk#fpGx4L+#*hG`??TR*{Z0k;5Ry|BPu4Id==*Qko2_|zjHLN~rB_1r`jzTJma}6Whdk zg*bRBC$gg+7OLAMaPk1M)N#S+s$LT=FM(bWek^EtzQkMxjP$nE<(X zA!a0p5dTYR0^_LKZ$QRElXBG>(qfv#GiU9%Zn}O-;@RhXpG1bMQwLbeBeqR}(!0}C z7T`A$ain#i~f1F@ilET*@b9t$k%s~So?W&!kvkr ztb~1Oa@F2IZ|ow$TgkhkJ-Ti^X* z=}mG0(+;K>NtK)qJPi^ly~Di3ofI2*EAf`2n;Xz8I%z+6GU(=JA^<~DH2-{S#T1+y z0~JDk1BYBRPBD6)t_|1sFF`!RUKE`j8A)<6Lk$lGZ4*kO-$Jf035DqkRpX`SDd+O0 z+ignqv7M(-+St_>8p9w_m}V78I-st_4mk~;8o!RREHdQPG1{UcY?Cg9OcbLB;YW!>#%?%1@c zV%ccbZh5cchBZ>SlEc$gj%~2t9X%|!!lIU!8KSy&SEawc2Z0s{H#jtG@;-Ms^^o%i zK`K9ACS7vs-S`X0d;UG!9lXpOsCxuVxHAXDU}H#Y-#5Ei?1%IpmU%~e;O}`?CY^DO z2+j%cxSP`VH-s2wPVA>^jnB|L_OkBpTs@jmk-|S-H625g?`_%>21b4bH3&Y*V^*$T zx9u2HH!uN+#{jM67rOJP7y$ss$2I_&9X8zvVfWp~1L4AH4_@du9jy|z$ustc}8RZ|TKD=(~&l!`TK!}-5=xaQ7p%>7=Mp?dEQ^X?LEU%i|)a* zcg5jly8kZkiZ2p=X`eJVUheAkfibA(>UN$f#?Fbzt}gfQ69%_qE_XB%E(JhjM&++^ zxtxif%k3mS7|(Ch?V&7xGTdapJld> zT|JaUZT`At4l3N~Ux0_JxRWGx=_Q)*!^qk$%~l5glMe(=4=&9Y_S=T?*(C}<1ywgNQM2co) z$JL@Dt&2L<5GEbCwA#l+`fdEMtTWd){aXK$5os7{4Mbos2iS~cRAl0 z)$9P05ieKjvD^v?-+_TX1Yn=-2W8>tqt|=@y15lmOefGQdhp|+jv54&%ka_w^5W_X zQ&qzO+Yju~EX@i#_qO8^TWq)TAE}dT7fKotj=~zu2YL?+*RrOAJs3dyro}tgd_<$% z4f?N5QF?KWf+yDjK4uTt%FySMlo`|9kQa|J^X*r&x=SL)_YTe_AtfTP`jvI84+0IK z4I(J$I=mlllr!GhDmdt_bs9X=DfpRZEd$&-x?TGdi67u85_w-Sduenoh(OWtEC)xu zaqU6LwcZ zylM)Qw1baL53KDE_Y6IwS1@E?Vu=y^HUyyv!UKtPd$g#JH3Klca0%YZqw5T;t9)D8 zRN;|o*@c6W^M*J#Ci7Nb%tLt+I`$=k@sU}<@d`q>6(EzeZNrT36aVhb7}$4w^Ma#S>k@Bj z`yO^^{}mfpm?+o52U2wG(k`^hO?qBrKIB>WvT=bHp@?X_D>xX@*t!^+xlvw=c}vC8 zI*V)leWPCjb*Ht=+K$E4I7rjLPD!ZhxV?2`O_G-d@+rjgpxj@@S&{{Ua?UMxGqO#> zuc=+YOKQZc=SECBUN7qq z5J+-Imxh|T#ysb-NZC%uFXVSZBVM7v(z@>$zai^_E5mjyLhdoE!P!8n=5xL3S@t#H za?3PpZFJ)_TD5T+D#(<;!p3+tl=a1BsQuk@$3%S-09F5R1-?BUI#Zk)vouX-coTMsGl`T>)o4K?{(NI{N8d-2 zf8uvAV!e+Y_DRZ}4U;%19kKJzjxK-~gaG-rL z^zCt9I`w-yc$*7#jfA5ys00gj20Ntevo^qyicPa0l$t+Ml~RQWhbRexIit5_o8qP} zIo;1dSkHR$E^m+Zhwe3fkK=EKUoXjz43&3!>-q-J$cIfY=vfVBjEpu@{NaEY#+$uj ziYioV#FBH_=Zq(&27n?Z>QHix!jZmUi>+Kj-3FwsHm&bHRh#BWDs_HI35X%@4GtNE zRr}PYPh$-6vSaf`DqMhlIfBp*g2luiY8WifF=tcdJ zni1oaeDN|Y!p@RUk|Z%+%K2F{=YWyVWtN;0m5ZIYk0p|(V>U>({EI^2wfIZ58$Fs|I8I<7%Cl#vbYZLtyBr@PQtld#=PICbfq=!Vl+h*5&D0# zY2za?G?YEK+;5u!gs9C}M+mo#OBcMuox{Cb+!!{@xS`kPkNM3ZNx;G+F z)+$3^)-S5)A%37@YBJdCjxSe#{1ziUhXdc0P+)_7Gd{NvydRiecLgFy8u;PMv7;us zzX?a426XCS3=GUgqK#EGCugnU`ynmR5MXyLbEd3k_2gCrWnO~Kn8>KSsbu}8V3VmT zd4(LBP<)PbsoVUhKZ|snN@O+XI`k2wuH@oh3Jkih!l+6$r7^W7XHxXDg8+bdI2OEo zSQgl;Y$Eny+dQSgz}`^Z(i85Z(Yg^JbfJPXPx-2CAdKs>T-Kvhp$*@&JHduy;tqeI zi`#A5%0@cZrmB400NiwmBHsJXjgCtHnGpw%I!XKX(?MMK9uEMfP;lfknu?JUKq)vB zo?r~UYnRIEA4UCT8Nx(^$9eiz3s`JWE=1? zy0)g?xnJ>=Mz$U$?;ST7lzZ0IB%_HZ zw#PO-m+hJkux;qN{)n=cguJhay}) zcD**xR6}`Dpogbd4TId;34tZQnIu%7CE!nYh$=3xcc(~(cMWJkK?-zgU}}C z>yGCV&xn1+u-%?#DtO=S!lF%tBZNo-vT?({5CyzCfdOI zxS&Zk5P0wp+2VQR*Vdn3-cVU$~J@jcJ!PU=GS*xuh5+y=zMh!Ym$$`BI90sgfdSsrt%!BcD?7!QI z7-C#3=8ShSJU4XGl3t}bsK6MZ26Qz{pxl>sc6;z)e*#_Wq`$_Si-^OxD);=I;|teN z!<)z0Pe}O#Mz)G4?8tLb+3qCFng;O+E>LG5LUSHv;xppFnn39zc(;C@>UcMjHECkwQ+Y4EiNE^G2cxFvN-M#gw=Qizvs?=nY>w04dwI-Acm+4}L{Wn_?#pxWnDrf7H4YdL8bB|zRt@eiSYS!Je^&FN z&l#XiG)HdT7s9`}3eVW&%Tt+gC5ZP(8Rkqs)(o{qs=<1jLlM`lP%_4JFcmyq%A_ok zjgI2YDojM`MbIC0=PN$^(&ZPkC25cH&CfXdd*l-F@Podx6n*@;aUXlIz6F~|JjWJ1 zS9P?JUsMX5zzZGC*M_(;|1~rzHsA7HRMJc{W8x!@O82YxB1x}>45gnH*Xz3_kX>JG z>l|~CHDi5{BUmC0a~$P(phi%dCmgd9H!c+`7jru|v{dk#-s5dNtRP$n{ycb-oO2fs zz2HY>S5K5BGqE0?76v9gVLda@ zWxdpBpYAPnrdB4MVk=oQKChP=_Tb~M#``U_UMvwoFBibz&_E{uk4G4ID zS(C<&ek;8^ifgFNM4A8Oc;0qv^*t8;U!?2_|BZZX7|jbUYxjsuUJ+$V98Fg3#a$)P zX&~o!77ljes57W%;x&_upO*t574m^2-4XQ5J164B}aSZ7ZmWEkKlQq_nFfYY+U&EvWM5q6adY4Lo8uJvs z@LF^2xoFP8xc;(p^yjB6T0u{X`-!UukIu5N;yPuKP<|u>Dq_mNa(hs0OHVqwEL>@@ zN=I$>^v2BSy)0v3h$)3_|FPVYtANS8cqh(D(TlsAo_SxJzD6?zZ3FSmluyWlz~k}Z z`Zxfs!k=9GffZJET!%nq0sJ-Mvnr#|Sz@>G!Dn1fd>v-8H&ej3r-AA5S;ZDSKOzFq zgORxqq#RYnFGWN!9^=RG~~duL&4+cIjTE8+2FYAyauSCQMh;jp0&1dDB&WWCew` zOP@e497-jEIm25qWz<+8x{P2`Zv5zGAz@tk0Y?a%u5M>!0f%si>m`SRhj@D_U$(7g?&SV%1oq^pM-BW?>q=lIC!yBQfT!dUjZKzGwyu)7?f;qA=4UD zjKpqn0(2;|V3*n|4m%q{%M)H}CAt7fXujFw%P|ddJ-@Uk_5pMHOm^(vug;Wqb!jJh zkjW}Jjg7WdKYr~#hov^XuKnA%nO3#F7Bx0{LD@p4GMw5HY<|z1MqEt5o*N zlNs`ee27Y#`#J)a0;mce!zrJcxqjzTNe|pUkeTdF`Z@J(5i#sJ?AbH;*=|$DV(j~K zTnzR?=rP(g(mBgUpMDm)-g!WmZrn+M%KHh9vySbNVq`uQtQ!vpL0iRcL-*hpSiD`^ zg8kDF)MaFXtV2@~2-#0~CtsVk3cqK~F$&t@XHL6=_)jdng7eAFe8#BR99GvrQNp9v zhVD^X`9fZnWomvQ*{d2#jmh&1VGP}DbXq_Pxx`kq4}g4EJr=|%I=d%7ZbcP=_D-Y(<6 zdY$7A_RC$xTw(K9Je`6!|En0Z6Ws>*`TL>q%9_U7HU<*k5iAo#{Q)bKaJ)4jQyccN z25JM3Ka3rZ!2ooB0{d{2$dA_iQaGO=IG4_@ZaOHT&HzOc5zmc79!k%O)g?wOMsv`4=Cg^=P;T}&)H~VrgkOqG zQsPDg$0L(v!e#EVB0f5mKrwiNwo8NGhLFSKDmK3?y;}Vssg1%3Lupcs5>+ zM`%L!xAc-A;T6B!D=pA^W5y?$hwD=Pw_058fyyGy9&8R#MN&2a+4zxPru2{#X2>GU z|0MC@2<4oDtt~IAe92%sKO`>$Fx5qFd>g{qYt$GMrpU2@-&^o`%xA92&x___4l7G> zf5Jx{ZhDhweSRX?^`06(bjwxCu=HwG5u_w{C^SMc{2}1L@oP`jhqHEAA4eMYT_9#} zb^tbF4`WBbO?4er-aiaI09q%o-+8uw_RAL}spg(2@v7X5n8#cb?R7l^l%=<3jV+Z^e{Ye_24a-Q zgzmTL;x9e}*Cg6jQXA6rhAuhvJ`^{vcS;>w-777a!d1=`WPyE&B!Fd!K60!Cv z0&-)3Lb72UJ5pAr?)6cb8GiS(eIRF(bWY{`A|524^`}|{H!iOQ`BL2C*EX^x4nm4Y z5sSZ2>f9#sUqh9(dd=^eYJPdBEf-nA+3f2^2MazDEr6{itzB_i3~s#8JlsRYHg&3{ zv$$0()>jP8!MOYpdkM6_k};HpeCv^)C3@v0EN7D)6qVQf9kgumCm#fFXFzno(~j%p z)IA!W%ksv{s@Nac);QQkpHc}#detxAIvE;tf_a%dW=_uepfu#euK&ya4FK4PB?KdH zjo!N0EGQGp1V1RE55aHk0jx2os``JifVnUG)jUz^`?()Pl2V6fG=DC2!l}RmExPaW zD(m|6z$@mArjSARv8ge(01?`}!jq%}cn+ViAJyPb9 zjt-{5v(2OBE6%^7b~jev9qEu_{ zK3cooP(6`@5$V-40Q3K0n|!69h~>RmPr+_Sm0eEFbna_jP9e_ZgH`6c-E~~g4i|o| zEkZ{9R+1^g$meiPp^DX`{R>ZBgG{WL;Q-}fU|y;!VI$gotxm0RMwBzxU6?&;HP8Ph ztldV&F;OX8il+#q5j?6G!vV5AEx^0Edi-alnPz)^?D|w#hc86=@44`ptv!Fv`KtD| zU+}9Z&Aq}I%U{wRE^V{<;R^}N+V(bv-{*TStU}vt?l9DM^HrFDkIDD`-A|5FfJdTz zyLKlt*d1C!+EhY$P`_%_jx29~8>NAM_`j zoS6xyCdD zkBWeM>!|k^8L?X8UT5Z(>(rY4(FlGSlW}lsze!j?9IN=l>1`}o^;^ay|EnLUe#F_+ zlg>4(BMQ%v*&{LUvKNdDD|`&He7BH)lQw{I{Nn)M#;t*b{+O}5o}k+6jnem>TnN5% z(m}Y%r4u$+>fvw1CBk|pHxXr%#Gzc#p7n#a#l{^R#T4kyvK@>X30=X))Ru2Y(C}0j}T~v zE%m^8{ZM24P`l%}T+ABdR>_W~v&DDO2+6PTS12ixIk`cNjPJOd`^d$Pn9Btv^gD+x zZ&!`kxt|~W5q~O0f9r`pMP8hgj}2|n;QjfAxPu;n3U4#w1aCQk41J`M!6~X}n}N{z zl11^kojORpc|qN-%P1e6Wm1i~g7bz86<%%EUsG$(f2xIiCZHRvwTTJb73QcLpid02 zq*vLrF3B>oL*g$FUj1FF>7Fe?z@Sr35_ZpjWU*w?I74{L_z~j8aNdY=!uM%`{v2ZI zuFrvW?uv3U;KPx43-`Kncws}x-o(owAXaKIKwsKMR=&Ghmg1OLWt`#J_FaXyfTmNz zPEXa9?h914(ynKO?^6OI@uyIHF|q6i>_&_&>yWlG7$g=dpJEJnkOQy*n5XcDi0oDw zAtk1UF7=)uxqt2dFLxsVlCA)jk;f2<)SIAfsA(XcIO2Vy6Qqw{7L48~LOb~_{~@<1 zlPdX@53Viu7Fa=f+-i!dNId4a=QwX|_Nn<)g|nH1+ANgRBkU-YtEZgKDzt@(e&lX3 zW2WDZ+Ud-l4$e=k%*>2|@V2j-6BPF{UIf;?R{sufp5n?>kbQ%P}d&b2+B(V`C)n1;k zBMO`I^8%vJ(H+ytZi0*bWBk!!qrbP*ckJLN6xFl<)R5)AMY@HTSJkSRV#9OUOVxnt z@Po@&RRuDw@~ghSb+mBA{)`6F%HGHDcMQ!NA6Azy9(+PG4?kq+8VRh$P`bK znjE(k+~xi2XOe&N5|$AGY~GUpviFUxgx*le20dSx@K|2*LK{3~W5PLNc4?^tqVBo$ zu9o>9IPKzDr%Ld;)=K5Nqk!gB>aCy*#TwoG>_ZGGRuMXE#IWr9lLg$wH4NTj-}q zSdzJlZfx$(nhAuaZ_RJNgQ)ac81Kzd?ck4J58KBgoau?@9^mz43ME273wmT?;3vmE zGeKEvt3r-o0$p1hE4~t!t&#-(@PXb)kdQ8mx#wT&_1I|jk|p|S)OBVi^P2Y|Zzkb` zf|B7he5gCZW0-``Lezxtgr%iFD9zg#lzeOW@Nu17_WtKbtKUTF*ttUK4Q!|fjz~_* zUV`RCy)z#BorPhA8{7g(y)b8cokpC*r8P!@-TeX1L3{4i;~nJRD&|VNsyW}_Fh9BC zCSFcR@Q)=ttxZvJTkA0?2E$tMqb|U~yWjmx(lu27SyaJ#9-*$0+$-p&Jrgi-OX^g0 zsKv~NP`p}j0Br1N6qH4XJh2_0XuF#88--a6S%TIz;TY`t%5$``Qsh-G25?`Yr<;H8 zHBCGJx~zh0Sr}|S{U8gwScgT8vNb0?G!P;-?|+;1ej`k5gTU<2C<_Kw)%(}W!Q+>x z(Cyr?En_un5g3N(p`iU}ODC+5N0q0Mz$|5*T)HbSr~aK(H@%>S-~MeD=e*jB%_|az zUS$M@q9y~InjCXbt;Ftni#t&b6lV`%)0CM3-s_4=Eb@srk=5?-rdzT@!wxCd0nl8abW-s=hVw~Lf@KIXbe&0F3{1Dmm@70xD}lW8(#7S@S3hS%4IWB{eLS|4=t(U10sMiU0kamIoSv{%!z z35c#~+pSb@27J19Cg@S!VnQ(c^Pgz!Ib}8ZO8nabW}PDLTrP zLm$?aUi;bciEgCsHKnw4G$Knv(CYhZcxA=!?8G$GY|>37NWl=HXCjM5;HTa)tnu?L zZkZBwzbX$bJ{T#f1*X06BDskGrGSzVHm`VfW69>>5RuoF`IK`0NSc3r^oV6{HH^VCK_kgvm-J|!Pgo1PLe8ZQd6Q;!g7Ew{4dZq-u^new7kD* z^u0m$r!qX%+9tq$a371OqEuHg2Xl{ow^F$HKJ``zbe4O(|3M*1bX=V1UA4nYf0);3 z!N|3U%I*|Uk?a$PeHto1lvhh$F=vit7Crr(O2%N-FoVHE+1|vU(<}5OD^sFm7ZNn# zI1Omy_pje2leB8u3=!|S;IbAmgp7t2z?_yB0ZiF~p7Pymp| z9uJy!W2Zj$W4m@JN56UZL?~dX3O0qx;gVz+Y~YM>p`}Ax51b-+AN}cf=kr77Maii3YPa~qS6>Cm^9hHK(XyeD!DnOtWFa_C0Br&3W!V~H zWt-uzg%J~k74=g^U$tC1R49GQwb+s zY;B9Sdgkl8ifijLeV63VU}<-!wK;R{)G3sb4qsJ&*;2kfzN|?OjT-=k7F2-*x-S`z zNCrKpvTW`W6yOekGHt{eB?rYFngb2*KaMklc#N1lFkIw&W8AKdBzB!Dpb&1V2|!7X zt3A1l86F429dqmd`$F@LzuO+#hQ5bXsj;7G_pgHz<|tH%dBe$3k`z=V;`TbN)5GLx z7?*o`NXqmu+%CRadH#|0e2;xd&Ae=eK}YtR4uexRENTIM?}`V>N^Gsu+%0&NYdJBd zW=M_VWIiffQTL^}cpDS$eR!+QVtmW+!s=!AMWnaG<;ESK^q04SyBflpZ*wt&PwtFU ze$vcgN#m-ZQxrNn9g*$dkURTu0gzK*bKaZou3zI}v=h2Cf+LAxQ*<&UZ@_gGjxVm0 zt-RU+$NXpRf>XZRF+E1dyc%gic7>DBf#`nVfh@9()}-&N#XSkLQ|m}C7^-z7K*4qQ z)Ep!ELm0z96)^EE=;T$8fNESmnaV55NvD$+EowfAn92Z#~q@Rc)G*z@Y2Z`DidQ3JmC7p~cN0YM7 zv~(Ouha9IcvmW?b1%KGbWT=)N;*WYgG2GR?f4QR9bJ93a>ZXxna0|%~Li71U5ebT%A;jTSELR z$d1{(Th7qL_RA`cA2UM2`v)9b1-f)xwpF(xsW9GLiWji`|0zZ69<8$uI@f(`%fNj0 z;2Uw&ag2TJrn}nUCv&|~GPrX}KuE5zM@UwYC(WR*Uv`og{F1=^Nt;VJ28Kqa?C+P^ z^&6Tv@mGU1gMx(r6UGlY@C_#7YUho;&IJJ=6a7co>*ShglVHvk@k5P3E{c`&L5|V%c1$qDL*s1}KY-3I(OIJ-X%rtN zzP<10j4}sfo31)IFFIXe7<2DQ9%H$P4cb9tHYPQe7NS zF|TO%pxf%tE{9o3#D{w7$33*iDC(-cN%7WoL|p7a2fn<eU!eE=O zFjsrf;nj4jw1P1|Km)^cz$%Fyzb-J?lNHPb9$gxws;;>sKKIUBgU5D&^GWR1`YDfq z9D3_D>sn^bYOCa!2o~P{RZnqLP(#WQPdeuw|Br;KT(BTI&MS zXJi0#r6X^^?CQbW$sRECJ$Sp*5e8jz&#H^A8BIi$E--!U18=P7y3(2JsDnPd0dt}U zo#Q>^;hMUO4zLfp>jHy3%$8kYHuj)%vil!O<30rVuXNM_V`rOk7rn85Uau9#2L%x0$)pO!nm=3*_B zX18(|-nOfM*Lc8n2lwr`o`n7=_Tid{d&>s^P?C9-B4jAm{JAYU+uX`b=B-^VdC>Jb znNsee1Ci)_&3auhk9VYVOE6u>Hnzb}yU0V#>B<{^fbP1`Iod-9r$qxl?Luc#{TVY| zVDO!;x<=1k<28POjpmd|ql|@l!JJS>lSijR{Tdovaga%)j2~bw=@NwT8i2x|_A!T^ zk15y~Z=>wv0RvwrC=60NohkL>4I4%$g0;gS&jzQrQDDGN?Ce5^zU+NhayUgprQI~*uzvCV-W8qWz4mu}Au7>l5AAArXM*BTAbbx`! zl-lWRQ^&5pgO1sg>ta*pEPhA?J<=#+jdMl@xSZb&%V%mSMbmW9v(>XM_=)tgx)1Kcw=R*E#R@8(FYGHgCD%LvJM`Y zA@GA&q4tCyybrYw{+iQY1OJ1UqSnEW!2jUQsCDoghRZ*AJ!&01F&?|o*1-SZ9jV+q zieplpmA!utLcxZ&W}mMZLhK7=a2dwb$F+i zh9oA-UklGRzz53Sq-J{1m0t`0s(Pw&kQkI06ej90Alz$*-kcM0Qv9t=D26|PPbueP z(iq6Ve_dVUQY1i!@`(c6P~~gc*;F8S@W5~ znmYn@buAL3B&W{gNy@4JE!E}J%^IUoXPEkgH)7MZI(nT_CRO?WW%d4|c%#?b=UGNu z<}np-9N{Z{LDj{duj}}E%JM~^jXtjz`l#r0$Ole;7~sr*fU+_CpY(SN@I4P|$aepc$=eX|2x$*m;Xz_jNsp^ZT zCC2CFhghjzi+5FhKWx}U!?>#YB4fX*#ZOgxOwt_wocK0=%f9%<)gm)O{EAa>fN)vH zSDcU|CUhLr1|5j(DWx{-H{y;4eTn^fuHysl1Va``JhJOtC$+p|i6INTt-qc(_xvtq;|y4V!buEm*qz@T0`lMb(*4(B{AL2Fw~Ni}bSd z-AUCYEM=S*gwyl_XpYmj*-MJ(H?8b(dU}j=-{!w!m&Y6rHW!rYq^f403>*a%Y74qjo zY=wGEl(5m`+6cH6<{WCG!=_%buh-eQ&2+*sX(N@aJ=LVrxNWSn=mTS)u(7}~X(N@a zJ=LVrxa~(nXK|U@#qNF%XD;5R`E8qye0|V=wVAom=J&V;NK`l{Ta8~!#lC7RlK=88 z{h9Y~DYI7d^b9B0W0WJ#IN#ReOtPo7gyD>EeoYtIF^&`Ov7W!h}^BL+>Z@%knAjj4fTN|8c49q=NP(NVEN=gm+@eN120VOlzv)bV4rfn!Q#o=dr| zyi4U_Px->t_Tu+il_zl5w?AM1*92?QQG$15z;57;x=KCJN%^-ce@(spGv@k@Z;9ho zItL2O9LXf(l>VFd?c?E|B2n`THJN4+8|aR6#C~6Yu$Sq{^KDcHKL`41yFTD@uW}In z5#E1wQ|iDX>y^1HfmNWkMww@XbqKP}Ss_5(5l`r@DO>02^M`03sa|`1o=zbl5j>E} z9CEf%&o}^Ot%(y_uLzmxobv%ikOpi>1w49x?a(`K(*Os91XxlEXZ+&MT!ZsD`cim= zKO2>O>wu){qh?WH1PFydK9=k+cw$PWYR%HN1&stTj8fttdRIvxrBZD7=P#-%QVwn3)?ZNlg(BNI-azJ@%#)7)slnM%ZV-~%A{LHdx}jGw zfORSJl*2de%5^ln!jXdK1?&1*9r4b}_u>dYb$C@B(kA`omFDcfPHH}AiW}4)QD(fh z^`V`JbwioG;vJe?Zwv1kmEYCiKBJg{POhtbMCCp7Q~8eG6Z)YPMjg-J9}quRb=UIh6mg?Tqk=Ol9Nsd$E4PI9Xew$$C)c2su=LC0K=R8k`%pWULUM5 QubZg4ZX) z^{&oODYG`<`7-1}XFRJ1pXT|$tF{#ath3*PRCiV~VTY!y=AfgW({ZKrds}_cAM35_ z%9oUnDD&K1-ga5__!gh?S|(fYd??cZx;&=rX<~!J%IN=&j^JV@y`W4#+M&zmU$E09 z^}8uwxT!L2^oJ+o>#EA9d7_@T;Il$VPR z{)25V>IjeE`dCMN6`8gvfseR(MddR(!t+V}A&#Ppt14ql z+IK6nCNqBOj@uOb>gtEpVFUV*R*1$$ox_XeH7ZXlZoRMLZuL2>yrA+a9f?f~;t~FM z^#jkWo>Vodc2~Hz87@7s^ePaqbkoTqoZk^ zUstv8&!qB3Wo!Tr@LyCusQkX_;RV|woArVBbIP;I z=T#3M_$0o2SLMq(KCX;CKh+U<=ukc)eXO8P$BmpTOY}iT-?x?F8Gg~jq%t&D2nU(5 z#1Xsv{{R30|NnRaY*I^W6HydCZBpBsz8f`E(JriyQYC_77oj?jJIR#EOgb}>hJv>g zYg!6H(G~>@x)DW%F5S5HK{qO{O5JETF8u>OK{qb!s^Z4)43kbr5;%O5bH4kXbI<)U z)I`%nba>|ELKCnV(TDKzwzP$BnVv6&?Yos`YD4o<6KuZC&ydoWSTxfoRCh>px z#M*n7;Yf2`SEZL%d?5>_a1~c8RFZT9g}=)5a{zq+vpYt#9bL503Uc?ASL5e^EY=z0 zn9eRbkIbsIcI#m~(IZ4poZ$<%*h+IquCHu;{{oG#h&ah%QX3^f+IW(?0|-!-LL_PXdE(u=2;tvanYMpMg%A>3{%Agf$> z`SCKaiWrEocraOV+|U3$^b_T&KU*p8%MpKI%vW-3c%_$)q4;L@_!WLMURhk1i92-r zf_r~T-~8QpSku9kjD8x0(ChubRslix`WCm1B~`@}X_~`mO9#K*`1M-FzYuDzhwb@I9^R??4%5Wxe<^UjI90EpT@a`1fR4pN0k;jO__5J|>0RR8$ C?LUM7 literal 20054 zcmZ^KWl$W!^L7Z9K;S}fhv4pR!3n|L-QC^YEx289_uwvv!v%MjBRCv(=$qf)zP+`z z-Mw8iUAt4YGu=;jW4(L#t_BtEAAR@E_TR<%k87B_xLP|pkg@SGvoW)hiMdCTQjUi@h6!|8M>us-f`TvKQQG zcVLpfw8s`t5T(!5XcU6B+ozEap^c@BNuO9O+R1P=`caKobxbnIgvc5g*uTb;2V>K@ z)C(XK=un2cu+ga@Mki-FE15qB%d?@Jeq;YK$jl3h*$!*@j58i#zbvZ$fV}^Ukw1b; zw!nTonDXnE;=NEE`0Qz~+jr|7!bn}2k3+Ape*jQ;<9?*`;;F2(G(gEc=a}@l^|$Pq zDC|d7X{pBF3s==DaiFlmi*F^-+shvgu3LZ56|$S(aaAH1i&T^$gL@xreT2DYXyA8_ zD#G#YIK0okm}mrdar@j3tM{t4^0 z>1A;1&&d8J$3wg?c$w!WzrgWGh+^xHFH%pz((ucDq#ifp#T1FpUOkA|wDYh#Xlo9- zzwwXW!awKtkZ#|*y}s)$yGMQy+7RihyM4RZB!9R1{1&twE8yv{HPJ^G;dvD>l+RLM z@CPvm(bxH$u(J=9iW~sY+YJa9x$AMZJrWwk0YQ)_F@(pa%XXx2@4UKv6*9%H^XE$X z&iS-aPV-g&BPgSsu3ED*wi?TcZb?_y2^tKqk5JYZG?CBI*4)&_R&fDpiS8gY8nvjK%$~-$ideRw;cm_6ax0sSug1b<=JMkWjBa6vT}SjW~sV!1*MaJ z@VQFDr_1SR+@$0`7I4^%BjqWp(jUcTYjhFa-bcW=m^}GxI@0&VzRQb!75K$xeey@F-2!`m#hRFxfc&Y(l*f$lplPK0Icy-) z`NoRZJV((*_)lo<*dzI##sf zDq0Ijocog4sQM^g32L9)^OY@A5cxu`?KtluUvF(U^5(oG*=aNJ(at0TkLvENu6N|+ zoK!>oRET7H(Xv&f`z3tjo>M|UQ$r$sgC#NbwAMSW1N`+gniX|WDAqiOZ>Hnw{j}_F zZ6BT8IcR5%jJ~<`pzinjmNthNaCxA+!WLTR&~yJAy-4WGgg|cWOJ`*$V>9$b@%&jw zJORZjQ0~nhG0sJt;v6NY0Vi8ncSQcxv=AqlflR{I`UfK;_ zFha1WmYD%s^(bhdn#j$*9&crP0UBGNdw{$-#}xeh<6>bVWp64vVaZXgYu#8f0;Teh zqhr(#E?u!r`Re*3ZV5VNSXZwE1@1qYW zAVPY|s~3;*dN`7&+UMez3V5jNxE6;78^IbGZ$dRQkn3V5HD+ojEZx1zBqH&hB)!)7 zw}ZvI8M}yuE;q3^^#ENz2G%yFTUJRpdrsy>;2Zent#6KWRruU z*$MT_<;4A`Q6I4YUTP5uKGOhl7N+vAwnMguxJ%d=%H$r3RSvtMvXk!7hu^RINuw=> zLP;C{0NwtrL7s4xL1a!XXo3!|ntSI8H7S@u=6CqRo9L+xoOmp0 zevpy8M;Kfr0YmpXTKliP8epE14{MyYV~c#74)G(n8S0*9{9f99(8eI~=FH3IP3z>j zhi-;-zIZ6~B1KrSij=tE1R^W^cI~gR!aN1-pSytqo;&y~8h=k&13Ibr=Vtf%#Uejc z0j1e`hrHc%Tpdx{DlczZ@|P?RsjxF!xrBd#D8cqh-sb0w}l?IYr)U5^TN z?Y61ilE3YDjI{Z^a{X5`UG5!~`s8m>V>!K0_d_(Db*wF%w-LTAsuB-Sf~PXDSZ3bscx>T4L@7o~KotCp);$h$OzySC}*^dd8g=nzL=3c#$YI6Bv6EtuOrK zVJxBt(OslEj(xo6i+|uDXOWYC323e>zbMR4r2=!RHwJConx8BGYBGJ>1+TOUiIqLw z7qPj2^4T_TuZ{-1xOa_W2pIZWFYUgDYXY!L-JA|Ii$P~eYJ0eVEqUkRs7U(_>gnuZx z<|!F*L{2Mm$VAW=R$KQbwo(-fS?Td^68r|ser)KnXP87?ng`|#1>P0AvJ}@@BMjVK zR8lr`OKat&9)JNKAGtNoee3gY_O{#JGo1D|M80Ut+=TZ~Ni%c3K?w33DldML@MF*m zcuvyn);70_lAl|7x9*?uuYuAUL<`nw0mvtX9CtyZw@#Hw9n)w8bW^&$CQ7q4Ky9R@@!5_rKB*>hsRqZes zop=(11vrq-aYy?6%|5NO#0Phpe_EIBe_?~s0w|wN1Frk`*4%@K3W+r8#JB#fX|^zH zx!$V@%rwe4<(A1@Sy9+=sX<(#$N9&0`Yz+;Loa7?MBdnPdnQx99x?x0jBiA zZW_fH=OyiHLpIKZD+cw%!!Ii{>v9^?9mh~)vjGG=pj;;E-p`M7CVuS35pjyta_LuN zVcs0VS*+o*W(FxdObxrs9nj#8`nimidwMSi^Q&2m4fcxg`J%#JpnS zo)H$m!nKTcC=VpKRH|* z3U*s>c~F=vHRUAf7V*!PezAy>dB1-oS=BI%pwCT@!ciUiH=t(t+QPl5>kPj8V5CiF z=lNAr?pV$%$HhHBy2DYJ4-9%w6{Y%^O7lU=PF?b~^q5XJxp)9AsOTNPcv8i4 zJ7We`8w+w(E23^0h3i@7F|TWaAU0&3;_T;n3esZUiGfn!&&|U*LB8zszv>^prl1^N zhd8YOW#1uTZ)WO<q@|sm`Dm>92e`r4D(A*u?6C&kH zr~WxXV(+=a5-WPnzNmGM28nyN1kVq+|I!=0jo$jKU&j=&fBHZ{EOgeTKQb{u(JeZl zT6?>~-vGpyu8D}nzdFE(7P2WAKvrSW1O-af%!PlS3==6AHZUxExt$Gmyb6+Q&c)t% z_QUNkBX*=;#gaRio(SZDzONSe<;p>V_M-k({C@Soo+Zl~mALa$dFjVbS6V%m@w--~ z&2G6I4Pf_4R&ie39OWXc$l!<0MjPPI1`w>CWrH!@dzA)YnAR*`*I&WD!7=cKUGBi=5~y`w0>t{*Jonrs7qieRkJ0Z5P7>TZ9);^#sh9+@ z7PDA>L#iD=@`1H^-6Ja@$*n?)sQtk;;@5Q%lKNsjblu;FG}az69q7m%tu@abzenrw z)n@hzCAgqz|JxVBM$uysXO(XuvM{iG#J+?2oV>~1w;sGY<*T-=mWWRt*y6=fx$L5YpJu7XuZfR>G<{67XoNtaA9_sn z3_xd6$<+}f7ZV+ExLF0$9*aw6gS&p9SP`qYXi*04;REbv?DWQz_V-t9X4DbGwyD*B zj_Ph)IwZ7eS~F%0L8$?LR|kVlkvM=TpF^_Su5|bKBEq{rgth6%_skP~MX_s(_Wchu zRB$zY60fYb+4_qf zSki2x)lu;-hif#$S&eW=idUPtow~mMEa*xX#{f;Y#L!xc^J#eJ^m!BL58F15&Ig6~ zX!ZKDbw5)2eo6CP9{5T8`y;VooT1@{*Z^SZU8V4oNcv2|C@u+frojeO=aV*1BF(_- zyw@xIB3qJDsLyLpSNvNm!E>JnGDn-x!HHDl*UWg`<${%X9Tl(;0!s^F_Bl8$tUD$C z6FwU{C}KD~Y1>n&7`5Je1#&xX@(3o(=Zv*6Pen}C=!U1@AscggBD40wHhD_4)Gx(5 ztlu!&z^HIFK-e*O^YBo7B4sL4fk!>o76P08k@{jdJcIJX?*3u`8dj#kQlv8zfCixS zuN(4s?&1tIdi$|8Wi^~Q(zNLuH_uVK3Rp<8YWLP#d)W`J5Hztc9I_?8FiE z8%0Ckr@5zt(>CA6==Nmdh)&~4@@&F`#K-+2So5|33;t1%>y~0C@R270O}^d&^&W#`7h6YsAqwP?HS z&rWk!^qEo9`R=(?PnwcTJ$OJ)r(UX$pM}Q=SZWgr=(pTv7q(NXk#*Kl{O(d~t)jQ2 zbjSvL@ninMMjIew2DXP?4#Cdqa54rC5K;~dB zZ6d~xn>W|zIlftOomz|dH^)%4juE8$D4$?Dy*t8%^3kJewFpa{PI%mnR6j-@o38%A zT8PQW$F(@Mo&8v+v@^c)SjX{7(wRIayK;s>_qjR(@(2U}vs7rXr0PRy(^hNAUOT1t z%N>Gl>vDIzt-l80v)?iAJGa@!;9S`&WQC*>)Q)cx<|#Iqwo@klvnV4};ofTe9eEEc zQRcIK+QjabOLPFLgNcLyb+#jFk9_PrSA083%J;@M71T}LfxT!R1$@`8JtnV_u))2W ze-WPUrGa(7z*5M)9qMKlPFEp+B{0n- zMs%5cKi%+KP;BZi1LhmIvcFrKF#)5fXq&yt>Q(*d8GK`=FY;%V&8A za1SIUiK``eezQBMwo<&V-LicUeA5nxwK7BatHWIXq$QzVLy!6?RVdCOrhxXe2f<3W z@eTqoyoo~|vk`w-tRx1@K{Rqq_geO+#LP>o5#1_(vM!f^ZU5?VRXjqs$*bSQpDfFA zFC_T5WJ>Z4!wN{#^xn(Yk$S0h^68HNHr&F`re&7{Yj13&VSLegJhU)AXrbS@`v()J zhjtxGaiX*z$KROw^o$YgK22n=I>Yo=T*& z$Yom6NnzQE{o%dQka+u_pU+C+pnRXMPPiW@H5pXr%EzXcO^u2MAD^nzeuV-7-mf?H z=WHU;8|xPLbKNazpF3gs9^H$)6F(Vt^f(Piq`K4VXTdYBy%%g{Cv9JzHxHg)vfTm< z6lZHwyLHcXNudn=t*~5=f+`CgHkApf;{5rJHzNjP$K#j!F5kkVy7fQ?XQn%VX-$}S z4utB>4kY`B1^h$!Vzc{2A{#K`#_#)G@r5NU(k6@i76MS7J`j=y``b25WOsb=%Q=Dg zcYe7Q=pC4bcX_)?YE4T!>P;g71}HY@y$)LN+`bEli~T()!xW3>bMEkZvsG-s{37@G zB-(4rAlS>e_55SgN0q$wSE7I$#nJ_raQs6TBmSwusB9eg0BX1z>i_kvCcVjPn(L7T z#@%xIwgG184E>b6C3~}_!%>pJpxk>93$~*DdY(@2>O<}}^V5XK4efQ~szpl1&H_-I& zi!w~1W%=_l^}fl5aS$;hYhbp^{>BswgHWKtO|0{aws-o2!KBEa9H_{bb|&)B^lKE!wyp4i3^M-72EeemVbB2ZMWz<>zzCh(y3!e<3Z&+|hg^#?Abi>la}A?Ji{O zxhgROeEjIZ5xnQJv30Zb90@M+@kJkrO@X-jd;#9xJy#ExC6*k-D3U@4_o_GZ2d}8F z#ynj$vfp+E`gYqVAthVGUo#hv5m#UJmHNCQv5Yo<9&BkN$_)+*Jb2ae^{^sE)2m*-YRhg&abQ@V&koA^8K z(ny=uZBkrExB(R3^5~zL2``w6rhZP}*g+_ex z&q=2$hpp;+0NH8NS<>Qug z5H+~TFqIYcu^>@~rSy_}75K=|aTBPGP}sjmscq z$_n&eWVhs_hc@eeP+10|pvl0c%hokXKNkUfZ6eiWP!{?X4WMW$D39K0_g-+k2ecTG z@dW3ql2kUGU)r!FT7Y|M&Cj`l*`a88^%v4TN5VLjevMq%(3Nw?3yk6>l|4dM^dv5Z=!dhKTN$(u1NdRpFp( zJdQYzEQsG8EssV6<(n0d0{GaU**gN3bSWKLW$H_k$TUL@eZE9@J2H0NUGEGc8+^fM z+>8Al@>NEa<~thxA3rwsB;G0=X^US;0mu3&GKT{0lsNNX0sa%2ZCtvW$#qOcCjXOW zoUb&JX0^c|;4q{A2d^0m#GU)iSCl+g7)Gl!Z@j%Bmim84(J1OS{ooIW^{f?hk!^}t zujN|L21Z}TmM4wW@0Wkmk@r1Rj7P#}Vx7I1=#af*VY#JbloFv?-WBZae7v03T(~pG zLhJ+d($YQmDp3UJYo!e(LgD4#Xcnli8YrwzpJ=HAKp!cOcqw1+xmmx$82cTrmJ&;b z-2F%W|CAz-tiK(DFW$~i3~{&*$QP)E@Zw3H^W)K}gv69c9~Wl>c70lkn113V;+S-0 zXurp7rP~epIEHsT!FrQ|p?oExQNsamz*{q(si{VHI4v3xMW;xBzyK0WCURk_c#|h4 z?{`4)3YYLr;bZGK>ms{Gngfjmie8`nW(yO<`0 z3D)tt&3NjwiLSolyTETjIy51`okV;S=W0x{R*Qci`s@d@&AO{9izxHc1&T})#r|Qr zevZt2zPNSAn6>rC$ihEZYxW+wd5!?P^^FA5qU^Cu+aS`4&B)($bOK4mM=$a#byY|Q zM$fgh^JA_)*Sh3IMj`4aKQ)J2B%hm7}RFVOy|@?T9EMqvdR>JP#1 z$3MiB8I|V7{(?h9E!<*!Jll4T_Z7}jZc9A?^_qzP<~fvlr%wA>Ar`H>mm0;LJ4{TK zGA;hnFwrQ?zfg*eV^|+v#OC#KK9Eo}^vylOt7+T#L55YJ_ukS_*h>rR)gT_BSaF|J zKJsEgiPf%&N>?^!?6Z560Pu}E1nKR>tzMNh(Gd!BK z>A$;R`6Q7T&yP5b!}y69esX#w?D`DnjyG0mB9zPyuf_2-Wcf`q`DDA-(s9;sa#`Ht zi3~|=7Wv3#UOAHiDf4|v$r;%96zM&z78TWwh0)0i0Zzsq3o{6&$Nys<0LYNd!+{M% zd~OL%C|h%G*-HJ!{YLInb^u|}49AN`GPCCeh$*{t5r!^lvypv|16ssLxN4lq{A7Fm zs{Wii*QK!ycNTL0D3X- zQ9&Dhj)fi0G4DaEHrkb|QZ2n93n-Jy93Fh1j5_>WCKZM1L~GDX7CYo4>14GpjPe20 zO9Xb41_WAT@Z;3|I&8!vsh8nc2^VHawrE84x{Lt{eyM3)=orM%Dvo9ZV7DOcJNCZo zOdUN^<)hY;SD_p1lQ(x;J`Yptn2*FOCFOtA3c;-tW!rGg@%BAz7^)Ji*>CV|`89T8 z;1VD}WN_~3P_S&u93RELB)36VfIz`ePKKOOrya?X?lTATY3DkrC)DWL(f36c8Mj2Vfeoy+0b zP!0nkSJH;sOd+UVANWcOf5BohlBx3au7Aqhx(R=;=JyWB8%uiNBD=|5I5FD~H9w;y z-te5F7CrH+<E3=%7988Tit6y_Tlz-5w^kS3s;?B6p4+EfUTi z|0)#vDCbZZ$W-qnz<%Xhwmp*Rb;7zMirM8}>E5a(#~=9giEn94+Q=yK*<&B+R`e$q z--zzKo@jzroKIJ=jrS!voa=_Ye-IFOafD9#Ai4zhH0lHmnBlAU)_u6pYls})Y-f~_ z53kKYd`%ZQF*ANMacLP#8T^wtdq{C~*jgTqh;lc1)~Hksn90wz1cgj>1$Z;oB)@HQ zmFZY!$N+RI5ao9#eX>n(+aR7lx)?ozxj+uZx{JnDyO?}XJhKb$uF<8LS}Q_SrY84Y zTw~$%AXx6FI4?FmAS&f>9yEjk)vKM9k^0yzhy+^?oS3OcKV22Y(TlrTtat<`ba^dp zIT_do_3o@2MZBDZ*e?JGicNaBUapt9MCSkA_o!fbT|ygvoNs9kS*{6I7JX!z+nC!Q zBFPa2wwWWnq2#+ofW9Yy8Fs->Tc&zNDZ-@syY1|v1Ue}3&(?@#);UKqxG6V>2#wsuaMH0HO%(R7}jK(@x*NX8ldhIH?}kpCE3Ic^gwmZP++5*9{d zvh=);_Ti5|o12W0{U6*|xCn!Gvxh1!3$pO0F7MY;iSTzphRQXnLq7)Gk;B-&XF@yh zuO4;3l5^~HE=C-9c@-fZnxVKXhw-0i_TcuT)=KgIV}#g?1vTMkt4_n`T9)GvID z0Z5;K{rF9h9%*%}5?RCTZrP zG*dk3EC$C7OF=<1r?-2La^zvy-n#0BUfx%?gEPc8(|6@!_*n0EAONNpA`+N5`@%iq z?B52KVlxYu>LxSt71bYict|_H8bWtBL}lhN@HdEy`M+qOVnY(PY?48<@mZ^4uAJHm z-_hk7IU5;!4JalYl>1)fhs_Ho4w|y9IsLCJh!wFj4K+5{8tG99vLJCCc>Ui~Q#tI2 z2ac?aK))-BOcLm7B;PuQvuS6gfL`@Go@gh`m;#eX@1)Gi+(^xGnx_Yb5WMF64}wPT7oCgfTuB>Znt^l~-xAA^MG zED-F<%T(L_pA>B#d{-<{mI&XDu^t4za?1s|B6fUymv-~HkCx4B>l+)2xalZEvcRuN zgRL_wH*$*P?mV$Mj^aTxnftz8?L8zfT|B>E+@SSuco_~N@DD|mgM$6sK_(uQSU8Zu zPz3p`cleX&IOh@P_aa4YS3c?Ahh2&+H%FpL-kQ_NjL=QC;=^yduhS#f;-nScr~MYY zdbqw|PZ+TX_|M<4=~*$}%B?9uCw znx1Az0-c40V>x5sd2IL0Pe>o%Jh-&2Nx-!0^Ge|0ws`PcoD4BX($;KrEmXpgTY zOM!RW#vMpx;yz=eS7-QApGLcXt0grpqkbOk<|MmUO6EqAL4LSKG?R_{#((n|i;Q!x z`ArM5b~XQLdCdT2F)5tK;Enm+xQd`e9_3~MPl&=z4@D6od5c^M7^8C`L zTlDjmFFDvSVCklX;+!c)cAsN7#Suw#n5;_uKtfwLv;=(Yn7?mC5Ma;gr{u z)fDe%^fi9jwfdo?Ai;RR~@Px?#iHQ z<*KLFtz$6x*ul3+O_c+QZO=OKY>4`J>EgRf`bgIv;I|`~b}hI{t)28Ud+z4(fU}Ob z$Vbr6ZEEcAgDa7>5mAQMKkXlre|Gb?cy|PeNAP< zz&GWN^oMO6A6dXP97DPHh}~^#bq=6Oyu`XC(sSr`G;k4@1JJ)*J#E~anS_j!-~#m@vhw((x|Ty% z4bpRgurBmO8VRq85p+0c<|QXIb6KUqJ>|e=N95X=Ikqh8#7U3OggK?+P=?!Ea_p)a zXgUoZbP;6vkuajy_~lA!0<+~OvL~;>{lk734D zaFLCHZ?o%pq@C^LTY@Dok?Viv-z!iV9G~HP;?iCC1i*C6pOZKYW9zUwX!uTGlK<}J zzYud+SEik6Hzlbv>afVh&>T9=ey?e`(4Jt-bDysyHf*W(YqNQ;&6NfsS;q-WEP*IPJ<;zC6z1}mmosNLedM`0@LNCiPXN6JTaW6+7a%fF zj2Emr_}6_u&>f+-oL#d1C!B5~)A!kR-{hzc5r0R69EG{p#^KgS|VA5Fa}SqCW2aOj$$3dj!3@_K|svOTE;iNW~i>) zD5@|+SPh+XbJVNM*8D_VGQ~G+y&Z{-jza-?dJ3PWZ|e)v1EJ(c+LLggo;i6e)vghNGLx ziYQb0$g4!=It;972!>&@M>#J2pnnb*$ke{PbYR_Y8>M=M-|2;qU?2-M3kc)rG>O2o z9GE}%*jFXQd(h~{S%g??hy@U&AG2VDqs|s_ zP!Yio9p4>phmW4s^v`9-uK#( zwJTEngRQDGx2;`>l>|d2A5%M7?w#WL*Y?ba^gplZ5y*!ik(^IS^^ENLVfo2qu~>k@ zBl%468ToF4o6=UYYFuQ>a@9UX(3{*TR2@gpWGT+6F2PRc*~X>r;n1&ZsuF{1jzrlG z|2oQqik&*Y4aN~$-peN@v){f_^P`vJy$^|UTJsfYOjKel=}(=~fimy?{V6B}+W93l zZlm>!s~JZ!o>|gY7ROSS^p>vY-)Qs+hBL_}q~mI&?+hN(*6)H1@+8G^P7Tv$uC>jE z8;gD5(doHkWo%7aS6n zasME&Q>66Jcf+8YT(hjMUIc1p^Pl`}GCwuh!d*FjklXcsIQDAh3ldVsN>49+Kaw+| z%^1ojDXLDfi$Y4WNjVL!37!!bif3oM zmH9&Q@hch~kxKKf`vC!2F%^9x{JQ;w_oE3ctI1>|l`r%wy*aAs3T9>LmHC-N3(AJD zCm+ErqHT4^qojQHMuIqQOh7dTF=aHX+1LjBca@GI9PuD4pBjqd zVV%R4QbDS*^divALVNt&{Zkbs20xs@N9p=Ww}I#Nerc?s^{giN@#LhBxh?hdA{OIj zj{UM?S2(yA`Mp6qD}VZY<(-_71?K~NME z+K4okivB^dGZ!#|5slI5J*Xu$lM3%4cxbZCy~&=bc00Z;VkA!`^&Ro*;@^0kvK8H{ zg)Y6w3FA53zx$jkC^}aWPdH~R@pRTJ@d?v1FMN+o^1*b8WDUoQPR_vsV04KTs!Pa%qwR8mHbOZ-VF4~QSru@2v zAb5u-Opto{6Q)E-(MbSob6anXq zwILP+KVlX?&+c{nz2;xlZWA8-eV|-%^Hw2uhF`ne!A34S!Mz!-~Yul%^F@Jx56=aVc)IAYr^Xk8kjL_sS zj_%vpc0MdL!X5D1IdjUPaIz!i+rpJ`ppzt;*cNUI6KE`jZ+v`;7sTt4zbC|Xo4hyj zBSwH-1)_&;z95JX^YhJsjch8(ycrk4Z0QJ4ul92imPpb5ZFg43re|MJ9W72@blnr< zlVkjcoUM~*WN8oxoNDduBuh<%ac!ZRQGN)UH`S;7D}pmO>bfC6XzU^jF@R zPwO>)%NT6Nl6%7Vs9t@c=gXnJ{k7}l)$oESWvKtZ5ZsV)?7|?D$bx@<$D7ziuuhzK z(hliVaRe^(?UjM_39Bxbc*Pa*E-ju^ZEJeTV70j|a7R5rF~rdY_8zT?>8_?o)XpBb z@iaVO%R(ybw@sxClXk!k&dxxvQPP$#i}}9Wqw?Sr_UV-)Wk3F%ALhrKXWM(cuiann z9OKCPSXD27H?kCEn?NiaW3Wh)(|Hitm+(0~WeL$ZENkT#=wLilu-a}wcLz6)W0eee zPlEqbk9P#c;61E&{#9}im8?BPFh}iVzl||)c8eOd{9stwr_xkLRm81Ln{X?>ca>q1bk)~K3PWih2HiO_E%?;6ihOIFyHF- zH8@-UDyNQc_x@a!w1j>;iN$_N8)2jmA<&gm%EPX8l;Vt;cf$nZhZrtLELkz*fZ`2f zMHXQ(v&3m(zyeuf%S5C($W-YP$)!K6$`5bklktd0sGYT?Ow4i}!Qm+VGBGyckX7X; zB-?%hINRo8s{z)MJ_5foq|?3|^580!OC7??7Hc(P{rW1Et}WJ8T09N)n1!zz=^=kb zey;Ri;#N1rC<81y2iTDv2{rhmn-vFrO{tB(Wy#S##4+1=u%Aa6Xmqq zVUv_u#+=9T zP=)H)iw4Ltr8oUypQTXm^U~fpqLH0?=UJhY91&&IGD`feVV4s|6WU{=4}gHAfIzTrC8bWl|y_E(G$F0_04eQ_EnO;&dh6oY%niIr@jM9!$bEl8A;`dxOlH z-h2JW_l?}LiZ7b!)cg0xuSEUZk%7XDiP1+>v`a6PmY&+jruPm4BN!bA1F?>ITNwBT3KZbtjNQ`?Mk!<@H>iT19?1t_##5R&nfH}%i0 zWM2;)w9kXa29#$sO-W;DfWc1}(3B_48g-AUuf2cddP;}f%lrziy$S>@M_vaoSu(;8 zeLkyS#{vOZw%>Ab&9k{_f?gVrAdp49>S0tz!kjMBI96g12vi zXh2h9G~LiRq%um7ZrN*UHms9a8BUX8^I+F^l$ySB1h-Jg;50r7j9tg9a?%7TQrSz{MFC7pD_o>)8rm;OCHh8DW&sV#z;7vg>n zQxM?HUM9HLJ_TeDp8@`>Y<<}72Xw24ZBqXTn2T;ha)b}DV4=Tb+d5h>Q^vI3xQJ@ICF_z&1BSutFR7n2H|kzhjvo zB!_HnP%H4DxceOwNb&ap2-ZLv%^_w-QJJcBc0#?Lj;N{DQq`?oVp?eIST-O7K0I-(6Q zlV0gbLM?ceCLd*f#3#%o_|ZI)ztT{g(jm~HNl|0H{^0QS356kC+O&~fk5tjoQn@;@ zP)z)k5}MiU?N3d&lnk$RE@b$#c7ZL@)h0cgnS z#I308x~FC`1?Owz=TB$ca$Vvx2^=+7C$EyL?Q3e<1WEfthfmK3I%-<_& z{XC*HHFHl~G&G6q{KHiHMXg&2xxIeet94$(IpU!=-4H^Xz(VXFMCy~ADvH8>@WYy+ zSzbB@3*&A66$58P7kTP4(~k=J_0m?)iD3}w`bcNr-vehWty9GR}qy5*!H!j=sr~3R?T(SiJq!b3vBzZ z<4U$Ge}S`!RK`)35F`)}loc^R$*^9iF)^Y4F{3j=F{Ntg?^il>XAgOyZeeYBpNwW3 z2}U9WW5Bg5aM~ex6Fuans;s9KiV!r|j1yV5X5s;<#rJthZ$@KmrTUCNqA|=Kj{o)! z7ux-$w(!1sE9%3Ac&l;dzV=3Jul^@ebJ6#Z?YA5`k;>TUZCGR+$4F%y2@24^^$YL= zVFI)iDZ5h&WF=wIU=K>&PHqSX?qCb#d z5QO2j)?{=mx`f61AU<*OufkMq_k4wP(RasOO~P8m~$DO=@~JlvX<2Y zOcg2%_d)sV80{|w`5&Rq}S>R~1)>(2Cl~7qY2iBILBcJ*Kaz!-h;?j^b^ZENlB0ehv zp`k6RS6vN6G9>BUD01QvAih`FrD6AZ$+*Sj&KhUx_%n(M^HH*O=Of#sOvy@<#(RRn z(j>CvQJGN76^b=05{Ey%+FI1J)@-WYXNE7j(YHz*Y0w-olkzO5>HjS=Ww{Lbf>vgq z>Q#;+$0=+4)ZE6Z@y2>uv(@Gbkx16gnMOb|R^IgU+aD0SFSgA9X#H1P!;$y-PuKP^ z3B#Z9qWizQIpH@kX05#!qOyknLe(C!rRQ%eN*uc_K67{4V&BoAs;@0iPhUJgE`7q_ zyR*7!7TcsA$?hsK>S8v_CkfM1O)(zJ=)x{tGutqp-Ob$1A+P^MHj?AA54xliM$xgP zrry|ujKj8AyyZVykU1RA;pql*!re;YkdCG)e)ggtk59FC^~+`A#nc^{Wpjcqw{47|skXu=z$jq2 zajM!x`|d!%WUNpQf4EVwMnw6hOEt6DUMJSv`@4Qo79TDjj)>!|-LlK!X7bYcCb z473BJx=GVrvjaegJ2W#9oM>=T@E-7za<~n5}*<*;IV>A^x(bamP-V>y#p>X2?K$B)gBEkqlCPB2v8C?wZ+W_?3| zoUgqDdNkiEez0sk4z){Bmnj(BjW6R7UL;OLGd{KAagS2oZ{qz^T$vYwIOeoHwhSfS zL(8q@Hq!nZ6Do|XE>Fs(z6t>}>MNgxxQgvPjkXj~067}_#iVnYRLl}_a&kZv?W25? zNICmncOe#`r9bDtRX((eH@Yua=IPC-lTNF5TpRmo3gZr0hBk?+H3@m-Fa4@*JUcG{ zH|@@;b5A>T?shGRw-gCI?IqWgZI?&#dDNB0D^&x8W5-4EulkvkhmPsSlF18oe`;{Q%ZtF5gxaB@P+ zFjB6m+ktB#GO!&?M}u{*Da8jE2I@ujVj(MXZPYF%iNZmmn9V(AB6FMix(JmDX7a1H zUFAF(I(Do7@+!8w-v=Zvx37o;-FFw%fmEPr>>7PBCPwachm%}8l%j$qI!1H_tR8TWmSQ-A0VcGXF+9-ZVoY(xv z^9LaC4MfLtgam_KgdQA3_|-(}q5kcEIZ#Mzd#@_zZLcxhq{aj^xe{zyT8G}{miu?2 z@v>+a*)(zq!NUnLKo*WVwst!3_|_gIbj})gfb|xLy+ijMSsECih<*VY?0J(nocz6x(0w@!CSqg-&>PP*xP*%yASuK54Y!itp#e$Ozr6&? z8*-7e?TVHkW6UTF@fFOL9N;&UiN2E_M&(y}UB~zTB%=xU*62p%AE3Loh75Z-3KgKo zM^q8asWUVdLPGthjqNnw28$R-YpLBqS-8O3M(2ZChUsV$zs39Q!G(QA&W#QUV(t_E z!I{9sQc+ytOQY6@=cF<{E;FUR_F2=6`tM%)W`jr<9rz@ORzQ*MxG%`-9Qs~+x3l83 z$pOzS84J?_B>jB<@-f`Ms}pWDBq>*ziClDYG|IRaqrQeFb8L((<-AH zL|#c3V)&+i^PeLE{e>R%PL1JD|NJ}lNqDP&=)t?443}!P>3aZRW;?B|&$9nKw%BkYtX&^+fq&*(OOZ-D4tt6ZGz9yF;o)C9 z4t(}$LYr(#k3-iilkFmnL22j1+&QbKB8^W(YscB3K^I-V9t8*b%s?f&1i24FLa5x?KS{skGt<8mP7qR9Ng|9+tnU8t0f@(C{dH)`ViM=0>)_b2l_K^ zUlmc?`G5nT>m6crpT=*{g}5$0Me|EHZ#^>L_A;q#$*%mpr^y;p~; zSJ>d$LZMOT_U?{xz}zM-yVwo498~R!gFerfTwnSiXt}@#9FD29M{_L?$pHXno z#oJ&M9AXBY+W7FATwh2xS5&bdv5F>_isy3e)ur5?)OYO$KMD2s^*OFQYN){PnDF5b zK5|pym*L`l4859Z@G`<Hv#PGX56(8UEmfes%cp9D+Z1OJp5BJcr;9UKLq~f8E)y zLH@z}BJ1!+;6He2WF0>H<00}7-W*wn&t8w%0oEY@;PsLJyMl#y(a=!7qW|#zx4B|B zi+j$EDco-gzcck%`)|*@qH*~fxR0pM&qS4xu2>9@Sw&xEDgm8pmsd;MxG^0;q95&`zrnhU80!LIwQw0&E8i$#f!}13#-u zp-sW9@h#+!7OvoA(x=z2XoRV7uaECb79_mad~<$Si+G__CfAT38~b0SLq54YeQ2}z zP%JiCczE;XU)3>>$Qkv44<4SSRK5A-!kbSA?r{|V3Cf(iX_j;UYZ{w#CloiTe>Cp# zYlj+M6rvIC$PESK+${f}6~Fr>8ok{<%{JD$u1cvyV>Rz#Ds# zA1M`k4*A)(Ukq3lzj5IrYi&|Ly>A70+YJ3*GLJAvSao=a7z(!V8jqB*?RO*m$_G0r zEU1#n!6IW&>M76*#(o>J>S47`sjAU;&yW@q&pL%ls=@*`S=IN)N zdHRK2d$#ZR`mP@-AbaQv;HQ83sa;^kxwtkqr)I)MnZy;H(DI=I{G7U$G`W!H_40W1dze2P%weA9!3tiIlUCApYRdD&Z=Rp0`D_bKaE#_L z(TEmQrwZ!?JZ-Lc7wwoM&gPLG%C=V8cVG-K>0yFjl=PUZ+%n!?V` z)5N#n9SQwq*cN@Xd646A@gKaTN0J3OFDu)nl3L_Yrvi+bH(Ntu$-pJ0nA?VLM!*X=Lfwc zb@?Yv^W5R_rZYTmPw07?9)?Xk%>PW>Y3XM_(Zx->UmZkUw8?cjcuxK2M8jJNde~H; z0wY=QZo}rq)8{%kZ&VC^B!T#{lh+*?(SN;C_lF^W(h~!gR=K2})Upw&C$%Q9+}381 zcubZ`EgO+~QfmU6*fWouNlZ*)Vx`AKJf-Q92mKn(db?g1tmky(1zY|nVu9KEPTR*4 zpQ*;z?|b4{#>e7+W|Q%(``pxK@09Zcmn&Z!Bh9$p*3(S7r@e&HjCg)eCz2{Av2R6) z?@6O3u!&t_3`}Ce<^soLjnp!D>Pf8$Y+|z*1CyArxxg`5Bef#j{{%eipRL6}+kUV) zUvCX>L(TfWptbf*o%bFW^S(d7o%1^{`B>kP)35KGYws)8_P4TgZS*_JT^Q{}c+fc7 zC9dJQUD|9mfnjxTh4|U`OzdekDO+mg+9mCi*$;2k^{lItIW`D_mFxGY;zYlJtJO%> zNG*e>p41u@mgbmY#3Pj2*0G4k`p3wvTK{+{W&G5Jm#D{<{ZEpo)&zF<{66u+{U2v( zZhox&=W$J|)ss19Nx@}A>q;I?tqE+BL;B{rH2*A$a!NdVK&soS0K?@qga9Gy^ zUV#%iwDW;3IvF1|D|}uFee}ojLpto1?Rfryr@W!DLG3F#-ca4A_OPdQkt*80=1JO_ z_AjBF6t1@gvZDjfBtSRXDviJ<@tX_w9PEFgk^Wh6o<8>y^Hc;_Q07Rb*fYP3-~Q4| zJZMxDdVaE|0v5A@?f6W{@yj{*%eZ>EjmzLmIghm411a~bhLBpt+o3*`Ii_`-QAKvV z1UI}yBpe3X5iSNBxXz+P~u{2ikZ>y?@4p^!`S{4IFgwP1= zW6SiY6C2PC>sYU7tUCmdSfMOmT^Jv2#|S`qF)Kc%k7 zIl#WD|B(6*i)x>V04krUwEv>v*->E-ieTw^BPeaq7w)0DoORmKvuCZE=zD`B`B914 zG?spz>1uVHM; zw?qIspJqK*$c1cmRp1~WU-W)n_WelXxR#Iox2c{~KdTj$epWH+Syf&ryg|0SAe)f7 zvBhas&j%YGR6U`7&c&dAL-m|0~Y1}j6T1k$~P94bOh&;j_^g7%R27Rk@lP_ z{NM!-WLPI!S9IK@x?8rmAh|_4=!9PL>f=Q+9Ki!d#SSOcI9u2aeg@+Ry$y<6Vu{$I ztQH;9t?q~&=tl>5_pSJNqpH!GDcbLgE^YXHq{ocivSSpD;(TA-1Ot?q${Es&5L8T)?P|W6TZJOR7gz;eDxL%sIgq zRZj~(r{fhJ*Xy{TBQoIE^+o%6={l{xkM()Cj>l9jCb1nA8E&ZJ=b7TTM&pSkj?|CT z&sb!o&C%NLt9?>+o$8v^<+=a=0{{U3|9AmxQcY_UQ53yxQrnt-H)^P&F0|I75<#(x z5S))U$&|@V`sSrH6r7+fZ7BprTNEtlMie()x^e9X-Ke-R)Rp}K_75m1=%x!@Ror;) zkTe}h;BqGSo_Ftg_nj2AQH6+3UB9s025d(RA^e;hxI#I$uL9vJS#GB;q+h(gl>vmb z1klK7fu~OjL&azY|HseQK5-k4B-c$n@N=3HLEaWplSaNAr@JWp)he$5^a0HN2+{RS z(Lw9TJ<;AyW`W$SH^Q;K19TOc4X1YB?S?HuiBsbT0zkf!LZA6shF{z8< zAZGHE$OG=?Mlc1L`y zFhbKymL+6A<&o8<-)!Fi&LKu3EEX0NJy|!v0R2RH_RnsD=W;|J82XBy3$F~)7>e)b z&)(!mxK5MUoaFZT0xK zN35{n=H`a#o<2lrlt(syUu(1*#mAI#Fv~Ua!VK8?nSJNr%JFj-)9LJCnurm7?a7?! z#1Yo~|50{u%cDm^tMNqsu|VF?O7-^ALUn%bZKF|;E5X5f2NuBzdlCuU;nqJ zvi~=SQEwoO13V0PQCQ6URnd634(OgSYl4K^$t-GW)1hSMeZCLQxoI=mfHm{m;#SSw znt&@aoBaGDcZ9pe&Z!dEn&TxvPrGSEsJT62Ig<=Mp2xE}w2KNmbsYmkW#orSiG!MY zOV{eRLm>}`%F3-@msgzymf1vbP_&oMoSQPMBXg9 zZC_q>`02jTKN4!dj!yKAfZveXMwf=8!VkGAk4}!gS+##B4bLoJZZUOLR8MmCMGzvg z1p*vV61EYGx(5<+fc-k9g&`W!C10wO5T;9gO z7qS6LTDD;bpHf2at&aZ8Uj<^IcU0HDA-ub_25RFH;s!ec#_u$}jLJrN;jTgXigUb_ z^*n>U6kaQ`e_v~y_gt&3$f}op)*Ku?#lHp7eA^^+vE^YLF!OjT=x6^l>F;1oZ(Oj7 z_e((-=@NtKL0rD&up%oELqf@Jhi$NQKXz4}5PZb0rG4v94{7y|V$=6(T~~{qF#iFb zoZn&98bWP>opi%qYZUQMF;LhopgDw$*YCvXOWnTaHyU+5qq0LSVZCy`W;_$e!ROvk zQ%Z7o3Zan%6sd*z-GuqY8Ei+AAH-R7=1$R8V-&I_!fNTBNYq(jvnP72;!5ibbNvdL z+Et%p*IA|n1_fbW7T*dJbrW=JbeA`eIv^6v{II~;PBoT_3wF{b(#hAs&Bpm>;mE9_ z38dsB{=|E6ZvPX<2G%=~l8zbQ*OP>3fi6PqsLIN1R#pjyIBr_~gr`N_?G`Qa(gE%W zOH+B5@cZ@0CLwG)lMxKjSMq%!D~!mhCTQL@QZdAOq`QtyjQ9Egy8$C!d^jC!zGeFJjzL3q7P#4<_{JL5Uq6g1M6iUod&k+Y5a8JilF%A z%yAK6bvig!CtR>3&sS9)JDQ|YE;vi{3M(Gf!40_y*Bt!uwqr~W&Pf30MhOPyoHtBL zCH%o84_I2qp?zAz#?&MML2!i)DaW0zC8{Qv{%c1hw@KLv%X!^({zIwGiqK|%hYL9i z-M75hg&s`j`im7{FPp)-5OPG%5z&`(?F;W>Yhn|E^X_DBwA)~=SNP87#qM8_xpSvB z&=QyBgn-)OZo3uV_P0sELCc71^M|feKjOIsEfu!27%NbV#=cv?iT<`veaVQ)j1}CE zhqM8wRQi8{>8wRfNODLIl8yA; zW`9bJ(&zE3cbfr!ty(3NuB~2kmV-yAdh_XzOQfx|M`W;{s)t*_SOcoC_J@W671?D@ z=whBeCZsNqU1&%i-h*Kl;H8oF72dVgTvqeCL58p9@#KFk4k^t*ArDo1G>Zd+ZcByS&;?gIh4~(+wOkN5FaD4p}K~n49Lnt=PY7ya*+y zaHP&+qYXBzSFka!4&$Qm!ppEOBly>?yZDQQ7=bsJ4H5TE zDL3!U>H=L5^%7*2>{?>x4w?BTvDKG!7q7e4l-am4$INTe?-+yD9HpUb(!exH4mXn= zBPSNUj}(9Mzknj1NmXM4ESO(4vsB)4sFg1#nC=sQOgw)wuhwfi!Yq({Q>UU2nH$0+{Z`nMC%V((u%1mCK8EE?I%Y15 zL29^P)i2?~PjsQ37QB6`Hj@HR!Yyjj8!@EL@t5@Nl@f!Cd^88x(D(EZ8~vfvr95*x zAnM&hdV+aR+!78=y5gtp)8&E`WJp(Lh2G~gnUu?}bCq)dbwQc&{Cv#G@>`7D(WT-v zrbbi*?sEe75rKP~z)j{0o35=>$s<>)Sd0rwuCrwvGJJ`kMSgs`r)6lKdPO{)WRFSy zC@Ri*(@-ceMGh=tDXAB2f$1&;G3f$ak1E$Ttj9lQnVT0D-ZJp(?(k549xS@(ypUK znLm2a`De0{Dg1-s&gn+pdn!!k7i3SwBQsjk9ppN?H-;PMYEov)_51-CPk{nokzbv& zQg^9*=a4I1eOwYJJb3N*qFZ{8{zm9XCNxLcx;_whv>`4Xed$ny+KRV~>+?j&9Mr17 zQUCasJARrjD;sYJ3v@-i;~5J)S)!swJSxR_;E^+FVLe+Fou>lPjjFzo*8NVRKJ&{EAte;YW{*_V$UWHFHyGHln} z&BL!1c>Vwr7-KlpBg*^oS1H3qt?o?W90Y*0$SF@+Inq~7ded~gtw)G?DJ4YDsMdFe z>?@7T^V+XIex0)7-*?)kN6_6i#}F8mVg_ztgecH%*5AZc^qvs?*Hb6hJtJs{a zBgF=~!R*w1#({sTLthR6yN&Aw{e`^>zXm4D>Jfk&Eb`85N`T_4vh&@ZK2Ee4nEVWv zNHc;~es!GB8B(PB#aml&6s^?FxvOkDaQzYF%}tA(Nk^{B;c`n zw80B`$(96mwFrssv+%yiE8XbpU@Li1C(ut8HD>miUOl`F*Vo!42qndTL7uH0-G$Vl z0ZPByDBhr*(;hk4&;>zTO(KTjg8-&Qe<~8H44l6H@?9UVy%{b-gMWN!^h|)MMOTeW z%p@Owe3~Mby$hK*yY90fA8o)iIP_S%;w^Nz?giqFbMD87hLsf`UC&*rFWxh=e>vnm z_OqWO@>uo54F3uRE&D53?ER{TRX~8_+1?C0<^Amk6(NCRNvNaVQxfsK#L33! z<*u;$Msg^xd!V8~Xk6sSpaTzu+Vch>r%ID&rRTZnNxKh1Bi_A8VoLDRHfxn6EP0%9 z8Ql)hvXmMeiCp(>K;QS0_|Y^ufY2E96LhGZLA`mq>F+uGM(J1X-~+FaD6gja;{?Fo z?&n!1!Mw$875lWH^RTWUlpSwCu{`)ejLLg<6p49lk<=<(Odf!nORn2_2 z1o9#biXq<rLi zeUU{gnr~J_YhTM87jjB$euB(NX7V$W964*c_JoS%nLJEocXS?hx1%qJ&?55#bv z5RNt_E>k6fSL0`$UaMHAX=LSah1iRU*3E!N;iTtVW6$rZ{G}?H0nr-=AK+M*)A&WbrAYPY|plAymL_lV9Un??@XBZb-+AW zSeH8lKy-y-p&}@;(O*Fe{sa$?%WQ>v>n?s|diDsWnZ7=_cZ}UUIr*Cc9x+aV(Yu6F z0ulrlnO=*anpeJ9t?B^SU<=&=b3O%c|_e%w#NPMK~5J- zE8S#VmEPHGe!ZNlgH23O0O4==*J+ye((j5Ri3G|EnUmiBZ@J;nACc0<%VI6Ljy;hk oe~=&Ab`EH7&(?hOO;Bi9Iy$60OA2``-~?}-=*LlL|9#kh0os@C`Tzg` delta 3576 zcmZ`*XHXLgmn9$&kQxE$O{yXw@Gvx!5Gg|FNFa1jOr%5TB{U;QmFh!UfB=FhO`0H5 zM0)QMIsqvG6+xP;?|VBtvpchQ&fIh6{7|MEXw zfaPBu2-raldWs?0h2X+5!$47SUEohaXaYR*`m;3J)Fx!|04#Egf7!7jV^ zcKj6-hiW3CqS9$@8_t7$$Zb%YrXm}#h%m?=0CIR`KY6+nRO{XH(f}vgMD)HWw`(mA z-kYpIz6}t1Wqv)MxR{l@D8~Q^|Mvbxw2>uK=##Uc6@JK;sWka|M(JkQKjV1r#@NVs z?q3I89~-1RbqoJ*vS0k?&n#~22IFI1W;M#&zjkZT zFtO~*GBc7^+5lLT5J%#4%+_u?|9u{r*zzuTuvTQiwY%!MjL&wWfFT7;@ydnqdgO(9 z?v~fDdm~F5TStG7-pKAZOU7&^o^*DshUYb{c3NLaW?l|A*1|!`3L%W;+zEeNm2w{% zcArKUZd412A668lMVsu+tU4=r<|XZ+C6akndzhcGBq#cbP71OH>!cw|&G&;X1dA-x zH#L0^-o6jmQxzKk7Z=u5NlczHsg)fV`E?7DV zaVy_UufBy0b&$Fv&mqM9jFssVJ>DtN5$AWcKUOS`;YPOW?$s~b5o&xYK)VNeo|gG7 zew8Gx^K?lVK1@~=xDIJA~E_Kc*i$aJ2R1|4|?x>DQGMk5sAqF?HhPIn6fexM`t@W654My$m$^G587BM;)5GtK9)?;jIl zyJF}01^As)$K2FDzvuMac#%BCd&_}aa3k98f~Ap3c#1fg8jun2k@1$;IG}fq=KlcK zJ9j0uQC!$tA6gh0ahC=VM@J1}z9hyA)Ky|jK?@6KtD*1_J#1_|)RVTwo_$}q`NwW9 z^q>Q;JzY-R>3BHe!wCA4c;hVx;+egKLe9GFN6z10zLtMn*1UR-K2*G&z?E?CJn;>h|q_=GlhNF!_b4$2%CQIb$iO+zAu+0$*H&+UI8988z_;Gj8yDl-XS{@yP%Ki5U~^JWU-}>9bCr z@HNcXm@^TIyfFs#;UwDeagf)_9BCk_vW9m`_0B>8z& zha-p7&%7h)pEh&W#7ehR&k^DF0u-OJehkY!H_qQvQ103?p-QdslNu%zX3Ah63faVi z;NukQExJLN&lD?4)z;wrKB)x;7osD6v9VU*Aa&&Pa1gr7UoQA@^!50~SFn_%G-F>@ zq-+b43ffd6@Zz{~I5w@qDEF=nZ!47gx5?$C)TK1uIwUcIphs^DiF`O@rWy!aohhc7 z40XRW++!>jc{pr)6W01G{nBo3dUhmLsIwBdED9iSC|aiF#hrNa zSzIQgjX8jF&Zvus!UCm7IsrAXxCltnKu0> zBTic7X0`;qQRNDP;%)YO@kUc&HRQB7qi*~fwQH~B%R3m7gX1<`+7z=yB_9HH`$e6H zyp>Otzq{*JB>Mf0#S zvStcu1?2K-wTf~ne3ke@~1WQQ(m(afsIT@iQ<48D>#}ek$FX>b5Z>Li` z(1}M6EXH8z#7X^3FS9XH;DNUMS!1sqn9Im8SV`p3-fwJK$cS;uu|N7n`yi*y5iX`V zOJfDdpb#xfn?=LZ9a5Fub8Uf!JV7I2nsPLdex`-Ki(|Q^5hh%q*y#1>NU=;2`~%i2 z{9}h?FME`)qK|vnKC6FbqPr6NEzhVdKQCF><>a{5IO4o`9_MmYv*ZRpk`BuMvVW)2 z9vk37)gF;7@@G9Oy!s$hQoD;LmN@?Bcs!E<56!g~SwllMa`BoQZE)FEA*Z}nbuS3* z_oWhT8VX_?mL+((>41KUauzwX6#2c)IDn(sa3iD<$DzJ>!Lt?apWE)3+K0)C%D9-d zJ@M0({D2Q;Eukc)ti8g+O(6sU-SOV$CEz&dwF# ztv~s*;j^N5(VdG>C7xWaQ;m}g!O`v3qH!2D1gw(K-6_OWhyocH7OpNlu)k&Kp_R6< z=^!7McaMBaT*z(TXLsz^SZjh!lH5D~#f#R>mw;f`AtiN4}t-$5(y1VaGV(Y&*EFP~K-S2C6%?P&~ZIawH zLLDSg5}vMZek}_reZkKH946=;KOe}e_4tKMV$F*lE0)U*8Cxa8bAOhiu}a(Cwd%Y2 zKiL2J_JdGj8_;nIH+nyQ%wL_SbahB$_vcg9?dwz9zl%FBbdB0SD}1%TwoT0W5#@tJ zQ(D|-e3?&PRZ4ArWXddoWcr^TUHr-Y7Z-tm2dM`7)-VpdByZcQ4uW`H)>MzMIhF`4 zoZb#pOr38UKwv13i-B7bptL7%u%)(s+ucM{LPb;o2Xfb5>YO$_VyT3^~B>T#(gC+YFR0yC!UFo`qb5Q!w>bFk2t~+R8 zp)$4j;@zn(6V3WsL-X*^3oNP~#y4WAscnO)V}w*Bf*ePxC=Q)eL(> z{q@3)-_z}5-NiDhMQze^-=wu7t&3vD)Rmw#0c^H9SJC zX1eD7o=&26P$gui){va{;z5_a-3J1$5e=k^P$EtfA(0V);*{=WC?=5hp;%mH3&@1tAE^7~n zdE*v!>&H$KK6l3OUUNq%+tNL3Wv}U8V8Tbd_%DI=jx8;wHtcyN8R%7( z{E)t?y85a|g!S}(WzWHvef-9!@EjPiD#}UlP-Grd6{Y_n zE6Y_>+OMNXM?Z=DVVB*6?-x}VgxZ~n@3)+|sQ4r@)_+XQvSeITp7FoiTllJd&9xD9GGX$@`Wvm1CC`avs^o!Xv{a(x z0iFR^r%6EnlGh@)h)R%{r*o0Bm>bAt;<%Zz_z&iDae#>1{DAI*YYXKd-01aJT0uK% zH-mor?URWDGesf-WUI~Q`g2fEb|mBGNm9)(P(`*TB}WuqHwZ41eRJ_S@5cUM<>UT~V;a7znF%gR%pSBM6?wTcmi znT2ISgT5pZ)_;yL`x^r{`rH}Ad(9o8Y)kjBmA$5Wfe9b+;=cseJGQi#+OX%9WT01B z@>BY%>guZ=5!TcDl|2Vv_VGKP!gFB6swB_!e6d;#N{eHnB$t$t;8V)GLXmk;Rh0gR ztSnbiX}^vl9sMNohh26PzF$;f5NdZOzTa}4Z{e%u*p3X(iVs0RpiQ{I<;y;+r#Q`F2^8>mMt}T>X$9@5 z-3;D_@so)HGesc+WUI~Q`g2fEb|mBGNm9+PP(`*TBP$0K@VRi{HgnH?%$;*@ z(srcQAs(G?6rDCY#%AvqFRlVuJSt{$*z=0P z`;gO52#;-jDR>K~CSo*wILC&I_lOjlPI3?3h9wc@{kZaAI;X)DsR*S=!znV6l$n>9 z=N08B%M%aY0X!GQok(UL-UdFb%O?YFK#!OMWfZloS;Cuuk=k=*UQx{(DH;?pxJi*j zn-p1Rk0J+kD1Wjke2T2IN0D{*DUxu2B8jh3WOMiwNtizlMG^~8B=Lxe|8yzhF~@2H zQdz5-Ry70KCTEg1#W8J?Rzix&4t9FJcCjt%Vf;7|S=Uv1uF`jv!3kp4zVJU&DyNjA ztFFd@XHRe=UPq6$TW7Be+yw@!Kz9}B6X-(%*IWOMV`iXJ2UT4ix66{LnTwbh-3sHV zdPL2(&X`n3jY&3osi6JFPfdj}CHzP&747$77a4I0d4{}U;h`4GlHV8u@JZm+^)g`4 o)BK;%NiKT5@DwZ!shl1_3qAh{cxIW<8#0g4yjZ*gvp@n|0kX~8-~a#s delta 523 zcmV+m0`&ck2b>3xPk%%L00000000F70000000000005i=00000004La#8yjA!!Qs{ zp+Ln)LV_I;jNsT?B9^`qvA;wjMUk+= zm@hBJNhTP)L-1VYcT%Jb?-D+$iYEhZkR6}~EhDe&niafBFqLMZs4L5Pt3-<;B)2J2 zXqzG%%qVhDhkqhlf>UIJ8AUdjQ>0)IMG9|HWNUDW6pRmtB87PSH}1 zQn6Out-1$lpXy2YG{^8MS^;S$do-&1YnO&aHHsdmG6`Ly?-~Qw7@ktj$_xKHOGTHW zb@kTJ@Hi9N5w=se^}xTh)$dbCNtPuTOY)4RX*PiZw|^dnGqdq2_Ll~oi80h81Y>y+ zu$;qyX?AG>b>G6OcZ;EW9AOg}G=Y8-7y|h86cKbu`b^3MT)n(&u?{>sfGmIkz&9W0 zjof3ob^7a<)4dacYbIEd`UP;&HGuk+aEhWPlnDUu-o26d2f+l))6KhBd;kCd|NnRa NT#>&@1G7B>Q2|K2;>-X5 diff --git a/CPLD/MAXII/db/RAM2GS.(2).cnf.cdb b/CPLD/MAXII/db/RAM2GS.(2).cnf.cdb index fdc79d118096f375cf498d13ea3691081380bb54..91f21a8c4d487cdb543394dce11c8ce8791223b4 100644 GIT binary patch delta 1047 zcmV+y1nB$047?1GM}PVg00000002(}00000001Ea0000000000002A&00000004La z>{v@r6HyetEucJnKO#{vB(02* zg+IZc;L0dr{JuML?>v}xrcGQJIOO!+Idi}Bx{ouLVIjmB@PAqGl>rzKO%qumGWZ)6 z=zucdNw2+00cgl=#3{7Z_S)8__e@-vlF_xswubbBJ`Gg0-FdaX8v`GfvR=kVFk*GD z^G*-+Z67!k_5w5RnvR>peKfIY(D896JI=Uk?OJQkjhlvjzt}eIl{<@`!{b;g;SGMBbefYw{brB37=H$l98x_NzTkK+8&J!)D z!bhzOGJg`m184y2?xFL)>Rit7q`Uyu88l3LcK&&n1TshB4mdbXj>F>X9e3_DKuPbJ zpdP6ts3)F#vFL$_hf?5t7*2GXu`NWv0gy)kY$6XHkHyuk z*4pNbe8C?E5u|-Q>^uH36kbwlBwa(K4~o|PW@BB{zfOtQlX8NmKLIhC8E%y1*Hv!GT1j=SnF1=_YT&AiF|-~&LA$wP*-o8r05t`3 zNg<{cMBSmBswI^+r&>QcQ4@SOB=`Yv8|lO-uUGeMF<^+7@{-yq~OnW(rHVo9{}1FW_OTMI?R R@_M6m_0v+vU$ajH@&UJ-`6>Va delta 1052 zcmV+%1mpX>48aVLM}Pej00000002}300000001Ea0000000000002P-00000004La z>{m-~(?Ag3^hI0VFHr$Sm4FL}qH;k3E>2@YMcYJnTG0zu+N344X)31`aH9W-JKPW# zIB?(x@Dn&8sA}e0d)8~$iJeFs5S?hU_IST}?e6UEkPzY&@qcOJOMNgw6n)DHk)z+J z7?3i06%)j0uS--i&8qe*4S2%UeG59Rdw4h)^`)&LsHhw_%QWY z-D|&59Yfm(9)#V%h`XlZM)wd6Y!-BK-06-Z?wVU`?fG%TaA-W;bsw(M){pOXx7w~F zNJ*jbbl=gV)qh@7xDcDoal+QOs}kO;=SVm6fuIg-f5}Vv=eUn$zYF zn?oB?7#+(_(u41-8h?^xMY9&PQA`Kd+9N<2Sl?nahJ9PGPrG*)&EZLjWXt0F*W$u9N_OorH^_*)KF?_)c;LL5y0 zxy8urzvzF7Nl8fbRn9K|+5h*qCOpTJC4y}$>D#+c*tpIUlMi!p;T4RE;mj>|ur9|5 z7I@=>)_(;Vi9iAB!@6H|{#BjJIaJDX#43Y^<;>1M?T|p^NZcaUhspD>`3B|A9|ls= zeI%eqD$x}UNJ(ToNs;~JaiyC(m^-?b0}p#*B&I@YOi+UQ#N>I{AR;l5k_<7$y%`W= zq$m;-DasO)?#{4u?ks6i<78TfZT6CvoIpK{cz;r|XB~6VNYkQwa_FJZM6{HxQqeLN z(zNJfbcS?{iDB_Gs06U^gPsqG&xH_p?u)gIZ@i}O&@%`=Hmk224F_d$ zb*r_uIU_&d4?*a(PcQpkScalgihJTbK0SSxg1uL?r<;v+QU5$CUQWm{p87!WDeijQ z{(p}d@ZQq7Y5#wW{5WRL0Ct`v^17cABam@*^;h-Y&ZlfY@&Kb(FxGgD9$q!vx)ZO4 zj=KF&4*fPGvHWT_f2%Us$O_lI;O`bU>w7wts1x%w+RMN1Lk~;Z3uH-4VHAUC{03i)ANs zz6q#E&n1L3tVGZq$th2%tT|Qs=^<_c-v$NF05^%l)a7l{S~l|YrehQemTB9LWfrp- zd=8BPJ)%}yJq3Ejj*pWSnBRX>kYIktNdhd1@yG;73i(U|Eni58cQ8;%Er}(;$`E&A WULI(ffW-}mb@kFh#~-sw1o8pK)bzyw diff --git a/CPLD/MAXII/db/RAM2GS.(2).cnf.hdb b/CPLD/MAXII/db/RAM2GS.(2).cnf.hdb index d26758f6d56c50c502f6b62480ae1c04ad3d98a1..e3dc5527c8b4fd52f63379d510fa3ce4a645a347 100644 GIT binary patch delta 954 zcmV;r14aDl3iAh$M}HIw00000005i<00000000F70000000000008p?00000004La z#8t^o!$1s8p+LnVfy4oUKpZ%5LLhPC12`aYR!QW zhxX|&HvHHAba{=UMtQ5(JtqMR2?v74>A*qPNfYIx$M)EP>jXC^qgMr2)I;p`8|Oa1 zgk}@tmt7!STn&fZk~|tE8jmwxB{Ung6f+J8O9qj`Y`Q_F!#wNcQNM!cGn zrHIigMGPZkvw!2bW_+4DG28+-thsLVL-imJX0%;i4peSCYClyNs}FNlavRl)t1qq7OLXnmIupD z+Fey#Ds>^%z$Xl$ivHcB?A7;u1xXb|5O_`P6;f}HIm{fh0h2f$`h0VfQfYIEJhQa)S zfyFiLJAc|=wCG0`YW86Rfblv0If$Nc7Op!%pauBp3$!##Z=eI@W-sK4?JNND<+Nac z{2$7~W>fd>d%KrpFH7D)XLe-BNa@WN$m`SlqKYLtcO(4k?>9n)-MXUr1Yo9@U0{?(u zV`U?Lv&kjm!LWBTzx(E!oifX?h%xp!7~EuVW)bTM30*%nT^VX4f|guhb(F97$2D@ALy)ZrZXxozXd&e7L%JozC^>61M#-?(KVM=; zNc6AXV=zxb$-9g-*_=6#lJ-*)x$4r!}UnblnxU_wU(dc_X6 zR~mk$DqAzEEj{6yIa{=f^_OoN%wF&&&NGhk=6g`C&pS?u9iR}uT_$9ltZh$0%_IGv cF587<21%Ys(q5g?;sm=8E!^=h00030|D@NvTL1t6 delta 1331 zcmV-31A7*wJWP$Q*i} zR(KTec9I-CMet5P9vJY9@z5^x+m4F-5*qe1zsd!|wa3FDH!tF`XX2@h&k`EOErVqa z2=gR2urj?srhmOC>_$8o!=t2Ec$6GPh&4`;LdPjmFhcHhbFLLWN?qnTN1cUQ)G1VR zWK(CscBL{OIqDROUlw(lgFJN#E}%}~4i55P$9;-^AR^Bly0y4jiEj;wDg3S8ul}nLmoObjYif`hUXy2byepk?_MVdr_^is)&0&AF$>i zPP~9^@OY0M@JI^TWjtYO&)nj}NAqRI>Ru2=116+M1oy>To`_Jgj_^I!sMMEMn+t*H zFcC8uCae?oWqW~b?2;Z(fXIvcenOk+rxnUrP&}EiSOk4vJJJ^M!jnHsab{^co-VVQ zMSuDvwDq*ow76ju)_FhgIJ5NK+H9cu6-RF^79KqaH81!geCe$(J?ZE4-YJZHk1*3!{kW8+Heggmi|NnRaTvE?V0zniW ze^i>9ZUvbY2oVJS10e*h+qgTSyRtKoo+ctFFM_&>R*Wd0!s+G z6oHrMz0uWZ;bUgr`}Ujfy*C;OkSZbMvDv%~z#0V10=Q|*l4IzCIj~_|1j!YI_kX(` zWGh+})-pF`LFY6?dT_eE|9V0Z#N;r$8zw2(%3S+(3D#wRuV1E|>n4@d7H(~VL?HF@ zeBJSBNJSeJ>T)muEXjq-Y;*9#BuN9|uKqLU`_8}_%~YkusH?k%Rg)FR6_VLSXNqJY zeYSR-!4g9Nv^AhB5*8UzB#5gIb${c4ws(-vx4l-qaTp~V5NMqCYREvR3BW+bDeO=~ zOp`5$SV!*~+ChmJV0=$Z-CIh3+(1|yW6uop{(Haqf}^p%bGP55jK_z@djDumWW(Za(C7C*Ml!Ut?e`_gs|ju{JZnd zzO$9>PN(yL^bV;J$LZW96H1BVti+}TZ&)1XHlcf@&sOvWeShB~C6*^-u2>+|LS)S) zVK+)k=9oyZ`Y%qK*Yf`&xvJ$Ufh)D3QbjeB+k}1!)5J!s8M*+mZP5ZNN}p?Dulka} zC4Gz)Hkrb67!@T-!||siHlCBTDPfJD9ee5`Nk^_ha?s0`tQ!LPp z>Rbz~lyu`ly?@hZ?rE3=aq13FK16O3ei@J5TC2HMU#F%*yWO4F(@n*iy}#@V*S%cr z4c6O`pp@ARH@D$2k#P0hOp5MLA!lapSgwtj%9NJ8^O{Vm^PEylbqV`4e-(s!27V7b zf8Ym$ejfra=<)GKWKQWpCA}ql%mgyLW8n7b*nduDQh(3)OI%AY2oUj7{W!nH%Oa7ur~=>E`=M7=xDBL7`sPi_#N{f TQVU?n{067^ST8s{lTZTKxx4xy delta 528 zcmV+r0`L8)2B-#*Pk&be000000027y0000000000001Zh00000004LatW@7`(?ASv zU@(5e5JJF{pLko7W}8;^Ei`K=B5W)b;tg(@TOx8v$=y}#uLoazTH9$<2w}(WMn#Fra{MWYi{~V5N?7A($DR60-ZD#!iy}ujp6jBn)Bxgve_UbQ6btm@ zIyVw4t^B0WZ-331e;TD>lKI1v_pzTwpT}drHhOOKm#MALZFlGObW^c*?=QQ;buU+U zgY`C~sFaxD<~BSg60W{0lQws8A&>^~V0V#9G8J`%Dh z-Pl{7ULVF|rn5e`k^P5cj!x2WKbZ7_V0f@R#a(9q4w;N6m;qaSj8kDs4WC45T=B06 zwgcD}2v>w76C3$o=7#y~g*KLIyZLSsC14abd3}z+f%nM-KZBrm7{2NE8M{Yj_zm;# S%1B_y{spJpu~9#hQ3BYOG5Zhz diff --git a/CPLD/MAXII/db/RAM2GS.asm_labs.ddb b/CPLD/MAXII/db/RAM2GS.asm_labs.ddb index 6963abacdae179508b62bf1aa43bba0ded011a33..8be3571479a772b9eb32dd918c8c145b003b8009 100644 GIT binary patch delta 2570 zcmV+l3ib8T6p|H?Pk$;3000000055w0000000000005E-00000004La)K|f8oW&LY z&3N|P*#)wn7n4m;8qFHp>vBM8P6(;hc$0t~sme!MlvHY~!3~auR1|WEmX%qJUDr58 zBAZZ=iUazxii*RZQ1#$)sH&cP=&^?dg*2e5LKTM;5!2s%-+#squt>f3CA0J1yf^cH z@6DT;&kDdkwm_Oq{Gax6fc*gbCkA{EJ#rx=>)0z`^@KF%B>I%DDtZ;=JEIr_ zVp?5qRO9Vfb!%GO(YF69hnECgNd;rji>$H-jsVBmg~6PTvWrj{NR}?6ESX`TNd&Z% zNIWGAB)juCkbh@AV|Mrqc^GnRM9#h8HpK%e_$8a3km$&S7J(caEeGV-E2z-XeIS`P zBDX+y7XhWP-heEZjnLhdzN*s^%s`iQp1 z(nn@a-c@p|F4E3Q`FaJi6_@*?-Zc-4)!J`Mr%TwnCKnINy}k&vP|5%gL%v1*QVIPA zu&{>b)(!4>-Jew5Ul4gQ;oRiAFk&q}TJov%@QZtz^)K{{?Pr4WzV2p;?uJ+I^)WpGWcZ) zt~#6alvo~7{z-ue&RMhYk3|7XMuYA?z8Cm#Y8+iESk}48C1OK2+b^UQsXGBwMvlLE zom+APDX>j865WP&1h82x2U|8nNwIpVA{Uy7;K>EDY%E85pJwy}iDL{nr=iG4fyGIr zb$?dNajd_N_>SV;qRLB>)go-0`%$xSw(iHIo{si!jH&&+oO}=6Lo?m9I*TW&nQNIl z2^|}eCwr0Hn#M{Iwgr4JUT8ip%J@;NH}qJ)nCQ=KyjrxSS0Ejecao76v`)nSty79O z0(?zoi3!UNLmtk7H*mUu??{P~h8st}B!95fls9V<{@GZNJMRNao7kr1*%V8_nM!QVS-t+Qjkmq{xPPYg z%ZM4bC$byQAY|ln5uTY$E6R2ViweRG6goEM{C06R{+yGFz$>itqD6aL+>>fZ+A7$G ziuli2;BycE51)Kr$jz&e+e{|w#{~=0(41E$@l&C0H6)huH-tIs(^;nqe}b1o6)!fV zUK)*g)-CVB7q!CVC}OTlsaG#}Mt^*c!pLp_Wf_qFWojnwj9J#O$IeO$9szdF(7tzgLR;WXIRe~>=FM8XCaKx~xg+D9M7PvWrM}PjQvT&Mo#f^~` zfrxxOnqTe+D-DA(*t#skJEwSCoPHLcR)lQVv053&VgXwhv>*@x0*9YRf>#rGB zeFzm#=Z7h%*ofTi0e=q0kSSK^*)~*iq1-jnBUPf`5I<=%8}HIO)8WEsxJ_ls*Q5d6 zj?HSW%M88^IhBrWu&rO0l3m>loeU(d<##Q^U>;4@<;X1u8SQ{9drG^t^S|lREZ;-x z+-NM;(R&ttVI@2_-tqhEl)mv1p}htBg~hE(io2`u6`n~YKYxBm>C=dPLs?#kNJTk7ABV*iHKDW71yoNls+-#H5N7AFFmGi0l+BZLW+)#vN|5Ck@Scu5!FN^AfZipoCmPYZU1-%z1`O&X;ZfBBSCqXYUPybl_WZ z?hNtpk*LvF$9zNajup%@ry866#WuKhVR<*K%_7aSI7jb!&dKDcht@e0afdnQB<9Yu zYn5=jGJjHiRazF2m3!4kmf4pQTG-U%MrjPUv}Pvl`3N^FHvZyJ7HSq-eo)CW=j%m1 z_70z5o{tvM7WI{mENnL7q;*ks1-hLk&yHj*^YxFr9i_|*qx>RQj=Oyl1r(BiE+{s-^ zDWMehisO{h9bJ%uB{6w&1Bvz&avFx*aK^rRz$*^IUK8+ro$Ww}Yfs?Vte1xKSm%#F z40%ZTbR^Vy&|%iny~Rr~jt*q*By?;?fX!1{vdYp+>bXUU{_~--yZq#xo=5nmlPqvd z$$!u}df*kn+hzH$1TX^e6!DKJ9Ht`Bpu3OnWjudgU}NrJ81@9eU4eg1Q_RTmmpcA8 zrR}m}?KmY&$ delta 2484 zcmV;l2}|~p710!sPk-qN000000055w0000000000002=600000004La)K^_-T*npu zW;FNi-E|^eZ5?TATr=8ATEzqt`c(1|MzWJiBBX26CVr_I3wf=YU`(Mw74l}Z$c`Ke z*_brWLkTyE6PrAgKK7|7v=1QtP_up#uUipFJp;cfN{k)Ax1oE7>EBl;0KO-bP(FxscEM`ER>+~Gl#82WcE zSh<38*Hn0@Uw>gU21+j>h4|wD(0rn_RugdrLA@VQI5Pz8a~)(sz0{>#UiYfG5#i>W za^h3OaKILjyojVp4?hp!>ZK_58nN8~8biRRhv3E)o&(YWjpbQIFHDh1egb47zg8oj zm*8rgF>tdg^nppN-EigiA?Gb@!X4BFUO8A&(Q#)OYX z>V@1z3TH-SGRPb#xCa%EmXZ7vcthg?Q}M|t(TV9FPsU+8LPgXs?-KE*Lv)_=l+e+k znXm}M=$%1)L-xq~pnh#)$JGA{_-Oqi_6w}ePKjS)a(7`B&x<+jeJ8_z42#L7mGhS$ zM09ub>wkY4YvEXNJ^48WFk+8i&$dBeC6hAlQ=ddT*+XiF0KzN z{rBhuMkCZq_%mzb*h=wg5S6bZy#38Kniqj$sefIUr7Yif@7=qXMdweR|8*~^dHIkX zm-sZ!sw$0;9M)#jMSjf1L2N$zD$Yu-x)4i2EA5N%Lnv2(s8n4#FpY0yHRU0D@=cEX zy#cu9tWAd_T#s<-h>G^hp=fThnn1pS*WGzwJ~qIt{D1}ZeCbqrQe#Uaz3AS2*G#(V zDt~k_kD8R z6ANLSmV`I}MpH*b2XZgu`6Qf08;VuSgMS40-aHQyMGJGjrS&^S*2u&0>Kx+z(6&F8 zAGO!o1+E9$NzI_C{V-XwI}OpZS~h9|jS-CY3qPBw*QD75s=y32`&wCif@wG4P3@T> zEt~sUIzm{u4S&*%`>A3fNNDfoITN?5rT&z_lElY@71;=;aYC9ONi;lu7dcL~f`21P zF~U9s*NAn#RR3@o?uCazo7q!>jYqM!s0@Qn<$*mEGLg>(a7U;GQ1w9jV4J2?t-;(G zg}Ee;9up6_Dsk@)&2Cm^3Fb2FRRyCV`!8}i(cXF>N8P*5vjHuCnR8Yznk9U6Md`gl z19}}e)Lj9(kWP@f9`0q}j)P^+mwz?vi1G{MiKumsT!*O7vLdd~+i(RY+Rd=N!s7q- zI6f?o8Gq8$Mj$3(xRi9}Zq$5+g?1eUAF zDRY{Yo)&by*@Dl;Pk{GpeR`9#>VNkr!W-X( zS>lXpqRq$~wMaEX{oWYN;yThRteq8X{0aC$2XZgut}3w{;uga%5Bgg}JQBLJ07H$$ zXG47ZMJ|NU@l#-OaP=)2KQ19daC7KW{k)qT&d{|lGy9yQn%$u`G)bc+!L4b*Gk{Ti zZrovD<>mn5W*kuU{*w_*sDBcsk9!BlL%r5mi4_;ova_dN$TI`Iffg&QoWM=Aqz}<# zpRDiq?RdG}@N@8^5Uv%xvK%M31f7n|V6XglIyX|bbasC(79dkbPAFdx?{I6J7$)gmkdH-B0<_lS@xY{!eN z`s3KM>fpwB($wW#G<~58as3^{TMjobjm9s?wjX+m%#AHI+iZv0r>Bq3iCC1RI}JBg&Ya}u_>5+pp*&c=*L>XmcuH2=;zK6u3aEQ0Hg zcn->>b{Q}DrKAl=2Y+GqJq++hVO995zpJDhQ2v%m$0J|FmTp9D2#vR%r-QuxpS6B} zxM5MBXyMMY4og$Q4UDuG0>YQrC*Ve;f6s2Ljj97uM=4_G6JC9N6M7@7onjWP7xFls z07ld;7DHo9$8lt~BAlRqgDDOXSSD#mp@A{3rDm9S3zMvRfq#?I@9dN}(b>aUwGgX! zkcLfG=QnD`EM+N)T$eger!NWNSDFoV{7);M9C&jSZrUIT4Nm7d z&q{$XJe0gzD1Xh7-+|mi7okxG+#%otj!?)xDn2@BONoqqR*Ra&h?FBd#(sSY-=e{z z?p5df?8%Y|utSz@uC*yqJXtbr`4);hnr}F?C*P0{BI`jnj_ml&kaNvT9j!BD zN8`Hd9UG->^60yi%j;A%S)~QR8t_J6*_LA|?!sM&mw(2%vJskrY!@6?Po%>nInTA2n&SJ#)S-1?@J#N){CV;X`Cyhi-hYGIep%!K9A0Su;d);f2sKn9&)GIM!thJF|_5#Ux9ytbu|j;X!%Xh)x4ogT$63e<@K&^ zDJazWYsi*NXtc5F0jdRh8Y^dBX;(@;FPjfZAWhP;#Wj`p8y^xvkh yL@D0&v=s87hIjUk#?ReZQ8GK4rTAsYY4YclA>W6bcSmQCFWNrmiGKl;CJJo!`_>@< diff --git a/CPLD/MAXII/db/RAM2GS.cmp.cdb b/CPLD/MAXII/db/RAM2GS.cmp.cdb index f7969fd21f406f9c9afa9c13713e443527ff85c6..fd4e838680b28f1a77064b5647cff515afd16142 100644 GIT binary patch literal 43113 zcmeFY=Tj5T7cZ<>5D^d+ks1LN1p(<&^QB7{kY1v6q(pj8R79i;NH39I1VZl+>7CF! zgdTc;03iuUuFt)H!}IPpJ9Ey??9O>{c2E86KDct_N*V3dfAq=~=YK=&A2+h|@N@#Z zJr3 z{{LlHNH_i;|Np2{(f^zKUEObGxHL33MMDMoEY6%Ld#5_~R-L7@gC^k~UF5TZN8KGc%GZ?aZZjpGmZZFb;R3SI z`?WYJVg`1zW0S1o+}cJ&RcD=Df{pWXL;IsF<1 zrQEClXa(N#h54JATb1FkiEwO&8derDa_s4sAsO2)GvCeFGE2o9e zCdz5pyf`jGE^g=~=&-ef_ntjotZQP=g5h$3hO-y6hF5;ihj;Sw;bXm*lg?O4A%7W)iRUGAT`&QLOVT;L)9 zV{2-9^DKqabrpKg=DvPemlmL@F=TEYV8Y<+oS=r zhyifW$@3Qe+Jn=zfN~v=Oeu=KDxxe{5ZQePKxmYm<+Yt~`V;(>^HP54ck%*50K@m6 z$re8Uae;MoojsQB5yG@aiHbeoM{-9(wilzFFooFj+*x2U9EJ$tygV!x7k`J@T&lFG)Tlv)lhbCoh<8-vxUxw zGz|c|2rebZ>4XK-;aDnisume?QGc^4W)dpWS*Vk|6hs+r%E}ek=x(EAOi3Qlw#v3S zQa-B^kqnMNji4nA>%^e`FW2*;otL5ADJf$dCjClV57~ju)P7mqobQL@O zDxWM8w4Y8aWC4sKiGicO9vqjcXtg8Y^lw1bXn{I#Ba%HP2!AnOqnkh}>tJr?Z@+d4 z7cXaF$mJx!1~;6?!IAZj3>2c|Hr;>_7q})2~lWv zJn}jnA!O~tqu~AHiYW5C&D`K+VERF4)(60m+75_Ie}Z!qNs`n89$}BckK|9HJrGOJ zKtQNFl$l_F40>^!AWVtIFPAlK$sRQpbctUyMyu6AxpS7v=DW&eC@X0Kg-E}LGn1Qm zDu}TtU>IvBFTc*PYklV%qzw|kS5gwU1@aYZD_|Akk~tCIjJ}8!e|~z6SK~g^B4&jgqL(L^;&%XnOL64u2nBM!JOcI| zl!Yut;u#W{gSMKpg-+9s({R+g=`;8X>ek=+6K+8sIy=lD{KfTP4~+*K$b&H#2H+M$ zdxG<{!y0Qqy<_CP)10imtxJAb>^J!@D5U&G>~Fw@yW9|Yl+6pue&9*Q`^gwWMtQ7q z#17`bvI7_fC*TkOPf+Va^)TRxXK)M9MX&gUK{$Ay%{A^r$vJCn@X=?o()$g&6!It% zyZ4It6*z$XwSqboI>nN;gWDj3-lg!t{iS=S1p&4P()_y9c+H1wD|%PMXwXCE1lQR* zfNa>9{962F7ry?YK&d|H-nA0h8T#7UPj695eY2(4N3iK&&_x~?I&Q;Byx^6U;O2VO z2x+TBP4`F%P!+P<-A%L(vktpQy*|OfJ;MZxiPhDN5tM2=MK6;rJS^eb8s*O$b2cK5 z_n4o~M8kA7%LN;oHg=aGmj7G)pA!Ce6aHro|MLm|KRLz=uclBRv|A=@l!eXC4Bb6n zt!*%D@n0tRMC{0f1BVj&GVV4U%5Pk-+XUNha) zC+*zX678*1tc?bGWg_OS6F`)vIopDwE$PtVtS`dv2sF|!m9~Wc zVxL4KrO*8&|6#g|Pr9t{5A7uS9X7b(N5z!7*B2FglSULqIEIBB>O|4F92dO}}!XPb?!a8lBGGJPx)&?TFVihG-~oGH`A&K=`xY_aeNdp$OlM|uS3 zNx$*1rz6lm22mf-sZ#$mzR$&}-2Ebw)T?bf&re$3pl^yTFZ)*G)^LSEcA3X%T)EL> zlQWa|=?b-&t*3_`(J)>%hf1BL9kk7IH`>it;I-OARg5u6?L~JEHho`g&D=>N{E5l> zFlVF%+VgInZI*8dzuoMsn3}DiUmmgFl%I$V3OyaX{2GFpV09SwA(byQw(z>otyx_> z^LNRhe(LuZHldEpK<`M5#$6xZc{MU2D9eehwGqIx@pCXommS`T z9IRM+w|@&qc2|#%qde3xJmh_GyiKJ(AbvTb9)bEKz;CYR3RG9r_;fPvw%7ZtMz?_! zMf*ELAChI@npN+K2ZlqU`fAeA(Y$#=r*qteGx@)7YHsLnpmmA@Uq#{~mp5t9{FauD zPxyX6WUJ8AXa)gN($WQ9-U}a@JDlm0WH>GLSDp250&`pw!0p*?+v^biI-o>%tR8%!H5KT=+f~4w48?d3uH=G58U;UVi*T zl(*N5x|c43y3aof#eJ@HDd%2M=VYHoW~9!WvWUwQaOch5hg0}te+;B2WqM}g7zKd+Q;ki4ZWEUeYF^a-3vd#Mzo|eXS$AKoM;l| z!qGU75`CoUe~R=YVTt6ryu|Jnlc$z&X68sdALTo|Lt{pjd0p|HT6n`>SbHZpFb^NU zw^mO{cfmhrUJWok%?^ibo4H?QPy6m|`D`~}BFQ+^xF5g7yh1ObJN_Gs2f0>|hpZ5b- zEJ*1&ymSd|p75`E-7Wihesg4p+WSwZH&Caydq;rBGa|K~jV6b0YVkY&%fiHl8jKgG z(8$HJfqTh2&;~HEEC;*4>VU8p@tk2IXy1ZZCE0F3BT(M%S@4I-^!Ve$Mde#dTK#wh z0NrbV5dmqQn(m^hZQKaj!y1*GlzMtw@bOv4Uxo=h?1w0@sod&CHkPGfTYk)eKKUBz zkMq5}0n^ifnvb5e72~NbGz7P7^*hj2C#wc6z?28?L$BXnh|_F-fYiN&_PlTh$nqy_ z`?QY{II@5xabkHtc&@(&yqm91F^Ry+WQwx$iYivyG6!_B*WYlaAF~O!y|DUePT953 zEJ`W!0MjK5&Cj(h84cd+P*09;NQ?7-X+MoQmRAkV${u~6RaZS1VKTg?^62};3af#V}3iwTy9SH zrmoR9gTu;-x!8bKbzxk3ft&X8`rhcW*x-tur+&IB$aagDFT0-Xd~CXYwsJG{pLq&O zplh?(A(2A?2MzD!Ugyc>ztBn0EfrxoPjfz$jAWZ;dEOIRJt|sC>tfH(YZPC)7}e5%InB{&l@Br^@lf)Q<}8Uwy_>8t2|H@$1Q=6-S;^ zGu0PWPaKSbw^T{}E?Y^1cLb^^xk2v~9PB-%^KdVZ_z!^d*46&wcGj?2q~c-n6(MLg_L8gRk;A*@l;vBJeKvY>)R9Ws|aa z*4&ld`L;Kuxh8HdSsuFE6aG*4s zJB@N2GCbv&NqKxtPDW@|c3L<+OAehCe0CRk$oA9VEqcK`$6r4SC=l2-Mtw7=>M^!o z2iM;}#EXAaH57cZpcHgct>hvPenX;xW6%jKC;f6^rxHU%T>p2z(&X((UuWcrhOLuMP2*3XYvBZ{0t9le6!IKVMdW z$yRC03+L>@Tial|Bm9vl*{`}}H(S^zRNk@dL7?dSjqe{w8yrf6{`+Qxe*dKoK$0Bl z=UD<%s%}z)uKX|j6F}lx_*8n>h3)#?bfE1UQsK>ujoBaa_)jPOm5hXbn|R52LjSlb z(1|T^S*DAZ);vgr3-(G-ZX|eI#u>Ffee|2f&k;~7QQ(7GKmRRRKA|lCgToW43r>w4 zI`f_bv8~|?8IJcmzfU5MT*ly%RXMmkuGYBJBL-d$&_;=r0;0sB?*q`blO%RSU#1&9Kv4hq@z%bcQ`O)c(oR zGqz1(m4dm)u$Pb8c>!7yL6}?em4W?rkea|Q$OCz&^ALkwYFb-3&Ng@2=|w2zMPv)0 zrPXe-X+;9sdpDgS-JOzD4~|R?qpQGc%w6j7%q}hmML=Hpoz2&PQRekg;)Q?LmvP}c z*5!doyvDMT;Z-xFGb*Tuw!(MZ2sv2nY@7pbiy) z3;csGv~%B1ms6T!U!1XLTzHMxaW{~(eK&BQO`n4N7e6`y+qJ6aO|G_qt)>Fcr>gxO zH_S^otJv(}{V&@*0=tLE`EEj4F{hDm<3$&$(}`quA57et+(DWJC>SuVhT_=OG?UfZ zV-;f0!6aQJxW%!emEirSw$?`OJnvFrO1}P4euMPgW zkefC}^<7h5Q@!Y#52EwA=* zFj45yPg-1`WG7_j?WW?B-xeqnL@co`mDZRrTX{m?MYy^nCQTxopZzj#Gn_zO{+*Q!go zYUtC)-!=WN-bnp~$;F>5L1dbd(uMI@2zYb4xy3Q_l+M=?&xQFD(i<;RqQc2IQC-~b zQhyd0=6H<#Q8GTc_SM;aO=oOPDCpaJmG|5eRC`C4*!$!=jq+@YhmHHHFAu7-C7<24 zenRe4rToFo(%uh#y598qBIwJ25^fUwQU8zdi#?}Qth=gQ)~|IOEVF^ zuv>RqX0vVp8KTL);Chy0%P(M8s0c9G3|?u-B57~WO{=T7&JzDlOl}rxdSoeCJq)V5 zmD>&C3)ClT@yHLymK;cP;35dt9F!;NM0R!dJQqe-CAlN7cC z($`kRHB`b{cS$cXC>lVx0EM|tE0CphprIo+=TsvuWvu!X3pljeENiCZT^@}H^Vo?k zfY@N{`2TR#h3PZ{-~wnhcRb-4V~pY#B=gv#vf6EZsT10 zPC7Y5U9DupfAVRQ(>HIF2>Quc&8}Hp0l-&(Q!v%SVQ{ju{|SoNIM za_)*$@eh)gB$#G2@ecK!_}hyuHER1f-Wrg*E%*7iCtZ;bWSbNh++$73am{M|%pZzz zrq;iDPwq)?R4AYi^v(XZ3`iMs(vscTmF0HlJujT^2j@3zWJl0W-=b$%dvRc)#f-@b ze_+bVY2uae{cejhf?anildcJsOTU+3SWJm9e2Upf^(mGn%1+765oaMg9im*SZYNhx z;O{Kt7Ko1dPsNuj)ndwg1YB=EsC#1Il9+UVO&GLb>hwjG;WS7)7|S8`^5kjqyIylF$OqV{xBxQo6y_BS?0$-9$814tp2MHeic_d zzTsa)xta{0lP_)QY<*iVQd_wrmRJ#Ff$sI;H(#Tus;Lf~Rf&*$2Sg3&VAb*;%`sY4 z;g$weyyF3mn9MEbKo1)fgNfjaB$@n*sqCSd#{@+Q{tItmz+< zn>Lf<<=ut)r7pgTeqMz&CPIW?iT9ioduN(+A#due@_uS1&I^pGPQi(3QU0lQzBq<`N^lQ-6IBc#)oy7jF&2l{)i;Mql5ewfA}O+@|d3)!FCy zmd1h9vXJ(0HC;_14{k5s+7C07LoCWu8YxP*Y#mt8TmkDnALIk?*n4vo-dk>xs3S0h zZ{=o4cvjh^_V$Gy5nEk!lAOQX346N9+x$oVE>(bQzSs$Ell%RqUf~9hA=j)1gH`p)pL4nBY}m=>$N^H#lk+vsjOFa7QIkeA z&3hA1YnR<$407jwd~SVhx^3EEiDT+z!WEkIru$du1P)R+-j8cF=K;RCQM?itLYQr? zdmGBra-jQBxk)}R_78?1c?UFFuvxmcb`bts^hQ^O)k#HRKZlL{ozI=v3ak8TGA!%C zC-tNi7Nzkld^5O?W1wfpIWK&{H+6II!lVNQE<^XP+uBWJm>ebdH?Jl@c0QNY3%K0-u93?finL{$wZWjT7Hz$de!C@0mzTl1(K4R zpx@@F0Oq5{zbP+fgx;^{6*LCnZiQZNemS=mFIj}9Id9aWG^Uc{A|Z^{e1<*w4k~3+ zbf|jt*^OfNTYByaBhI~>f%lVB7%bq86GDY1ad8IM-}%ec>mpi~8H|v7_|~+($hM~7 zwT?TkiJ}cfix2$qSFfE&*9A9GD^{ZbXAR+CCZ#laHusRysBQ1qFDhbIGO?~-Y|#o% z;9TZbN{s`kioO-CQ2x|6Z!B=zKiM*}foF4MRm5~@A#Ud9(OwOm5!!K=g&5y3~fN$1OF5qT4+Ci||4 z;oN=sh~o$gV$VyXG@D1Pq_P_}tRY8K9-%6uCxvD`kC&h1$wMN;m?dnbZn93o!Hv*` zodd8ZS@GcLre+s6&Zh?YK!)j53DEPRoVP~r>{1H>v4X_hcL~_Z*%mSmq4sWlU=_|| zapGD2;;*&lm4gyajz5BWSkav))|qizZ@q-3O5?7Z?B>pCg*p#FOs~l=8mOpNuxki3 zN#%hB zX7F%~2e>fKBdKx2);|H)H#Gaz2vM}g(Zj&Y3EUmRl&wq5(A~AjKDGDZd;5_Pf<9=! zT5~By2?`$oKj?%_IkHJa=CT%ac$-%ifzLG|0C)K0Mz$M#ul>f&U3R`~ezf@+mz1Kb z;=;?b=(70V@=zMm36DTn;`!_-KT||lnADe#N!K5jGWW7?(R~c_5iH zzMvtK4Ap5;W@xdpC>4z~+|_>5sD*pHp=AG@_g4hYk<1Cng=9v_7W2dloMfcTV2p_V zCAo5_2N{3<#u5g28iP+f#djaB_*>fjvClxo&tI=uqk8?kOE{Z;*3csD4Jz}Bh+385 z63>@FZ;PL@d6Kby`u#cluRpo0Q^~^r?2Qk08~Ae%XV^fbCxT3`Ude1ic?>+3fM2^Q z3wKWLm}W;rf9Fd?olN5!S6R-+8dC(;@!p&Dzk7~jJcZ4_t57K2PpySsdZ>BPu)4e8 z+VJ^q!e*OoeaH}Npot7KTf*)#AXM|yV63;GfAllGf!n)^S#0MTjRhIQdo96`<&k`H z@L5oe>xkvPj{Iv8qv2ahdqHJx@hLCl7Um$z`sSb-8AL<#Wf9U&!Fj~>Xju`=P}Txz z<^;WkE~3*GEz6m85n8^?y+L z{b3DvEl~%@=Q-?6C(vL{)JdgPE?sOPDks?;KCc-n>$;^uGMmva4Bo>WTxO%-!FynV z?kfaJH=c*$f8(Ry7sfP#dNRUk^C!mNZL~Vhx3jfJM|C!V zQK>lZz4Vt7jzP1@pU54iqe{~b`$xYc+w($Vbh4L4uXB)IQx-L8e!BPP=02*L#u;{ay-MXwVIdy5D?tX+7@@~ z{umlxDf#kgUYrJ$e{8aC#B-qR+rt*8{(5%wAEWFHqZK1!=^cU5sH9cj($o2e0!EFA z1&!G7v(^*EE-JB#Q|fkL z$DoDhv@k=T&;`prlUYax{B=MHvFU`Vc4ovFqjPC<_;ssLZq2WWm2}d-3{Gcko((=$ zjWwxh$UEm!eh^!HP^JTu2wR+)#KbXW zH_ny4d3hA*yZfAdj=YF2@PBy)wQ;~J`|$eik>GQy97to5W;SMbPs`ubAZYjbpQnH` z^YKJE{A+X*m9(H~F{LE1(h2d1>*G0g+253ZDmmD07ixDNf_plp0Q20Y1Sc7%vs|^z zVu-&jAD(*4m4UCch;RcPPD&y~6#fmDKY*pp34xK49~@x|;Mj%O^R5@dNBkB=@AS0( zI5T&nGK&V^^z+$fNI`Uc-i;r{(9O3^wSLk;Gurw0_(z(5dEQ^v(4D)|E2q(LZLakB zUy%on6|L$8t7+pH`J*bs{9qkmOT*A6Ln>8p@lcQDh;DPxUtb5S`8ahTp$%V8 z+51$I`d>AMS!Gi zO4Jvzz{-(Xzk!mg`ip?Iw8hf(o4xC=)L40DuN}JnjlET0{6d((FsEUMVBCqtfSi|G#UFiYtvR75V|@R4=_prY2%n0KF}i3;s~S z_fXj}W3_lDYnA8E(P|%auV9Q{N{V@3ooM?|a#z<*%(7sloA9mK&|i?7ZzeaoByw7= z#(bzLn%cMnrVANk(epG~y&Y>J+@$MJ%X)prB7SnxR}a?t8l2p*{D;JWV`~T$6Je`~ z82fm`M_c00Z8!HkZ^($Z)qK7mXbrg2H{&ZOEV6vG%~GmqJ7A$SB49Z$?Q;6%E#@{kg1d9vfsVT9YrZ zn3F6DkWMGGH3p*dS~8}lj``&>V-i?$=(ps6sKKP`~BL5lfE!o}=k{3@NC-(Rc>L~_!eLRqw?NLe@NHZ854 zhml!t1vLJ)7~ag_I>ptF!q6v_Pg|(Jq1_)?wyAW1vTdui(&h@FQeU>pv0zc+7_TE+ zUC!{opz&(=#URtsBw}RqS`XCX$0M^{-}Ga#n2VIc#7{@hsg;w@fhpZ;i>5leb-uY1 zpv~i}htiC&w;TtZh|Wu>ZZcvHm`gI9L6`z`W!8Q6t!k+XUE6 z^?WCU=^?^bMtd7Rs@EfL7NUl9C*;V> zKR0=U?uDWnA5%bnm&X}C2GhW2zj%v*FLK8UV5DLwolIiEn%eIN)x)y0;z*RhHbs4tO+`XsECn+#mafc$Q zmwgi8dI`Ds29FfL5^#;=S+I>NG_+S^mVwf>e`C%!Fo=}2kTF#>Wg7HFi5~Kkb?2u> zDSuUuPWji`Kd2e<(_!MrFwD{tKQ6z&>y+BHOaywP*OKeIu_%} z339yzCC|ZOK?~-)$x;-o74mZZZ2>K1^T%LsGkLpWdM}ypnWR6l-XL3XO8+3qujPC2 z8q}|@pE99SJuDx4dKnoMxoDjJU>zGwJ{1xK*rvdbg4H%?$j5sXkjr-eaBLx+@Sw15 zHs4_km8x7Q+JAz{yqbIUcAbipxZrv*QL>Q|2W`Zixki+oaUuXC8)4K4yDyMF3LmDL zv_VagtUfj<&~?S)XTX7Sq+C$YWjf-^*d!Qn8K`l3;_#kLl$2C*_1r&eQ0?;jVIR~2 z@>_m-6S>m@pn#85hso-c*ZgyU-4h!`2HCEkF1SaSBmQ5LrG@>ca6?e$;0!yIn+)wH zvY!^(k-+*Sx2*YIsB+l;*c*FkP)@-9ed%^*It@S56131?NM$I69zfBN(Y}EBP!zbA zQ#NryI#ua_#vah9FE;N|cO>%UzVrvWRpfvAdJV-_@;{wMc*(iUK$v+YHRV}&)cY-! zgSx5GB5T^w#dDU|jdEi;ZoLUE?YkPO_Zd4Xf(t6&6kZ**+PFVlanrT9-&p1wcgB6A zsns2Z;FlU33rK$WTSA%7-<{=U5aEpX$wJofmzvqQya8ga9Njl13b|skq)DnzNQRcl z{0Ov#ze`pVm+TNZdP-l6+DvjgFVuzrS#aix2QK4}LR@~~$?4&xk~B$o#vh6wLhX#^4>Nw3$vM+f$F2kto@ z8R4EdCJa1eT)zYb>{8g6taSFuC3lSjiLf(i?hn4x5XDb^ZQ|+pZy1jK`W>=%JO3iKfD|B+U8T!KFCWJydUYj1&}nh!b7!-=qoN#WW==prN#B0p zehKbq?>vf^$oGnM>-bZL!~Ec4yK7oWDr#8eyKT3R!FPyO+Bl3dAQxFMEG4Di+8A5F z$l}>|UuUA{4nbe}M(;l;*mg1g&C5aV^&e_Cnd+O-ppB>>m>qqdHCS6@P3-wafqX33 zB|T?uFa10#7otWQ4Ea5R?B}-l+B{2Y1)=rm?c@C0NlfGs_Q$7$6dQlu*r81p!V4$5 z-V6A*3x2Wo*b5f*PLN}o8hu-yd>PNq?4(%RU;=dJIi;LV){!!rBpia-Jc-O{ZX6mq zUSSLc{~aR>1#3BuRQlmI{^dO|!(k%ewkA=LNp{*OLC zizHL-_{woA6{?LjiuX>9=TPsrDEXT0d~wfX*MgwBV9RkHi-kZu4kO#`TU+S^_UgQOM9 zzR_<4r_=&-i-{ZWitfz3>x$PM^K$y$wkY0;x}qKD2rek55+9?IM~M&jcCW|(8qtu$ zrsUFTU!cS$^(2~}#a0iBtuJm=T}itun0%~y#FB~I%S7x-uO_xYGR+BNS#sMPj6#YB zF-{EszIH5ebvq{Gu9)57JIGy1(65Gh4W4P9rZ1#13PCJi{X6R$;c;jGK&HzUtjl<;FV-q!EY@T`58`F^$x(iC2VsT2 z{BE7Q>ore9TB8ju?Qt`%&{s=c{}T=Onw4rlF)2X)N6B@AZN0gB2D+)fDdFlLNM@Ec zteo$sNH=FJiMrmU_}3iQ-&=u>^Z2xl=e@+3{|4;?Y&>~YA8k3?R{Su4=8KU z@AefErEi3m|2G%P3eHRvq%f6)J=@HgQNt#5H2pm8{XV%gjB#7I#Ch?K8UZMsH}IYm z&QE0I5&6sx@7vQFx?z{!JHtTuXo{r>xSgJZj#E|;&rh1uo)5Olfyb^n*P(U~5Wi|c zX|-q^Uy;PZ0&L7*5a^Rfz9o|=L4v)?BllWR7L<7kkqZQ|=t8?L%FatNt}%%6s~fs3 zzd`hRNFnV47CV4z&K-NJz_>YwS}esZGB}q45vazjYLTxklWnpRb`Ycu;^kfZj@qvR zQp&0|BFy0g+~8wO?X0A~G_T#png7v4q1 z#Pgl$1=RhXj4_*6bD}fgYnF?A6_Iro)kWcIx4CsBh`a}z@;~gppgeUBdXUrl>;p&E z=FU%hNehaP#BE6oC7beorphVw$4PQyV*1(a=czxibHc#YyLspHCOZ%H#^Ju>%US!I zdp1!@M}ttI6muFD9rb|*=d!$S@81mIpXVWf1OZ*`AC%bHI^1=^5`gDT zUbxkoE3PxD6aUUkttOwG<4}`$ZSC46bs*0MPl02kRgf+n0daV4W9X4p<#lw6=!V1b zD>}>@SSRA`mapKQ?%TlzdxfB1juAATlvg(9xr~SLu^ug`iYF5=)KNy#0r*(HGfK*X z=`^L1i=yYlHxHfK_TPZv1 zi4xJDd`ex{Z0q?R;9)N5$>Njo;*yfNL=wo!X0Cbt0@e0JnPjI7f%Mzm2LR&8W^m5g}=*WM;Jw=za# zooQJbKM@7#yE!iy{a_TjcbB*YNp_0-9P*8O*B zJol=3O03ouvDe8yJ1s+MM>}pPAeJ$f(Kl;>H6REXeJ;xJ{eXCkD4YP>a}jPFtaGwZ zIQs7NE!>>!rF2X<1<NjmSbnQplcege3reglte6l%!w!u$FYMZcaU zP;M-@IRRZ%rXquqK0~K%O)q~A;@-gYYUj|pmfLlO{+(@Cm5EljAwd?YK7;uqmkZcCrToaO|fM}sE+jKZV_yl_v?k&DYA(EMt>i{`+U0AJlBlG#LA#Ia@Zv5gww zHHAMNB>S%WXy&%nSeCsK27 zJ=sWN#J7N@Jq^|9h3{jB%D8qZtY&5J(K zp}R{=q!ld0?;FPtshtVwB?*5j+E-t%tAz1b+Mllash^te{E5KZeXlaTu|BlT!vY@g z0NLx_XK=2uv2QS0+>aJ5{2jWGV##X@z4qPIr}UcZqyfh7nUwaua*uvG)b^{7V0X5y zBLQyPnM#UBld58d<30T_(yqj$vcZi~yPcOlTbW87usd(1=jl3~+Ht${E!S|ha=*%0 zej$mLG0`;kt@v^G5RYy>|E~_5$3~-Eaq?+$2Q-|KB&SF{JCHw@>eZ|$YI5H8#Gba| ze!X^O6W#U1POmGZI;qUPMtoyhZJa5V1=gGwS!46i{y}@uJr2m7KPRdmT8>4{E47zY zVB#lQsb(vhU2*=M_{l$QNh`!~6VF?Ye9vewpEycyyuF3U=9|Zy6NNf^G$xN1W;h6Ojiluh(eN$#{<@rJ>D?Pw^;X;h%EI%eZzA%bmK?sR z_B&JY5~W>4*$>b*&isYX{5P+;g`!=8gd#y0)8i;E^{v4PQQ4BOJeX?&?leMnai6q~ zXyZq@uWEQcp%F3ezWn+vi0D-9RbOjAVVA{y9XRr9(q3jp@7IDzj~%{l?G1hEFD-MG z53WsUVM~)ZvnMfy?;JpRwGywa;tlw-UXti8D>QI_Eu}R5R4j(~I%svcK0gc0tgLrbWz+3$7rlPfJ%fLs zT6yZVS-{tI`wu7IW0`VgeXnc^hz~3%ORaq`)X1iVta7krb!~=%3*8t$OVX7!0J<3| z`bJNdwBubnBpvtpIqGR_O(tC*N?!I=!|*h7rA;ai*2+J*jZeHjp>!W(*1Do^syZXD z#2i0U;zpV7L6kB6EWLC&6D<;h$AkWs+km=v^ZrXT^smk`={EjE|EK(K ziP=s`#7>)pxq4Moga`^#_oKi*+*amY!rb$h&9_s-D>UraMr_4ttlRXDZ;o92_j^jX zOoikA>~>0xov8opjMOVL;d?hu9ZxEwHBN;}gY))$xk|2}YzlWcFe%yscZ0qlAK{*5 z4_=|<7A4G3|;^pvp5hpx= z&KvyL9u2%j4DFZW6lLOy{N{D-<%(e=x>w5mU*1FU}4Y((Bz zO07FyNp@IJj*}7uGpQopPtIB;bO}mCT+nA4v48n3ju1=HQr6xw$O#&Kk)d&nez4Jg z!PMIS{>U!Jvq6>RR+b`zZEs1<-;*wXL#?#d4%5xQnmdQ6=YYGt;$MwLLi%?#4TlV< zyW`;Y6=v;2+@-?W?8cL}GEcNfPyH|4ptdDE9m>V)P;%9qw`Rz!Owm#?17N^29WxSW72!oIM3-#ZCN#TQP#VUEsOW_+(H z@CLrEV%H|(^2>&$=Jls-lW*&@yT_+g9_Seq+TWWjzS@kH69H>f4Ile=bDkk|&>#bZ zNrB&%^M?m*ot$w!5lNdI?;lj3=V>v58j!0*5{W&e622w+vwpdgWN^X3&aa+f+xppr zGk=NmK*za9B(+3qOB^=(Mj?M-f5WG_p)|vDe${ACM6+%#*#0&1{{g{3KEDRc!B$yQ zBh#@t*gokF`9Zqeo~&9EBHc#-IV<%qD)Zm2K_~1_o|#{h&9Wa~?czO=s@Mhp4QXi& z-{7pSukHiiJ3z;}E_k6H=Y#xmT)He0!iglH`GwrLr6z;vvPCkq%`n?!j<4NZNcRV( zBP#=yJvgh!n5A}N3_V8Eg@h8Ayvc2Ex!ZGd<_4RMsNm*x?$BpQtvy}1%@SAXDaJxm z7a}T#e_TX(26U`@pJTf07M=C7m0_`Gz8&AFOay$rag8WkkgE)nnmLMR{$u=R+5bR7 zwR@9!qR9-CvRw0qcxu4d7x8biD?{4$Da}S|G8=rRI3inB48C)uSOOR0gjJ2opjg@| zUC2o*k}MWWn`O@v-i_v`#wO@=&8|bob!ql!vds`2E6p}WVruYdf**4_CW*lpjhwF_ zIJz!dq{}%qIg!>+Oc%buv{r1HPg*fucF8Y``q=-N?7y#%%&R2x@;-jyeVL$a$9li8 z$e~~4(QcS#t{StHuO?Jo*V^#wn80FYX!X^;7ZV8I1KFv2nse$~kpo#NI_M3pl_|MQ zI1#s2ZN3|nwdyuP}_e>*JUn|?f0?8Lk@XZlxzlsR!C<&gw*6a{2;Mv0dD@sSomo zBO3850iuHU_NsOh0@-Z05o z)9aOdwj`(6ij_&u+69=^ij7HrN$?Gld|y{1lkmLYAt85fkwsY_+bMj5RdBux!Btf% z$&Wa2U5Xf!oRy8bBvgXo)kZJ2g-N)pkiw_ zFf|=di&ymJEtxJ*rU$KU=uUiYb8lLm*@XCnYE>#7$y!UgJ;HQkZK~1{8o7?Olt|G%gzW`F+2QOIUT+p7aLWT-Mv{&jo(@+;wO&|Orw@FJ}yYal3{-~Q3UI(31wi<_7fUU<5{Ftn0h>6eVZi3jxGc#HVVQwkau*mjpgm>(gn=z-8eU0h!Efup5euBhsYsYbXBdK zn9TGGu&Od#<|WeIWTx#A=09BsG6QMzIIB{WRcYpJ{yL{wg4hEs~_}cYm&`x<$sM!`H#WhKvO~t)|#YpGnBmoJ&4#^1u2*5@MUHs#I?` zL8p@Be2*m7S1-$YjNAal7EFOxVuhRA+|1 zAb8td%xd8q7BmhzN2r?UMj0uNOWn0yeXQ@Ckgei5h)H6GSgr=F%e$jhwO9~-(|KMh z@ZYRrb$YQP&05CZu4%bT1KnAj@Y~p+HBb0h$SC6hL_)qr-OOMnI4;@vP0LnA*`#pz z1r>YlAofd=S>2~>hQCylw|Dge(|GXi(3Tn;u8QyheuRGI$JL5Jp0ZK+eP2WlZw=K5 zdmC~FSXHTFdvjV%Q%~0j*y;RR!sB_?(ylhNdQob6SP$fu)!-(?A;FPuB4M#RO_Zr0 zn8v^_h-Uh}VZxyu(qiWXNV`4h97+p?Kzl2mSH)$G;1{|%*tj1Q{GwypTEB7SsZcd` zd`z_Y-#@`|Z=zz9*9sFG?deiBa=_2)biZsoFM&+jrGg^~Wo5FzapbFB#|-RyLs}%- z7Znth2WN@hYE>>vtwFXmrPVzYj4~9?39EXRVU*XnBwaF{JyI}qG$Bk!c+Yp44Q>}F zg}lcAzIV#v$h)|e%#l4R69q%t&nwQx~vxEqcym3TrBu;aWpIt7RgFv zgYC*!2NIIaKW8a&;$GVbnUpIPxQ8s_4x zxAGO=eKkU+#VU<-`)hC$Vx{14vZ{X+FLj_Ro4PWi#WvC0+=b9?ryz1@CfQrkIh63x zPUQuj$VXO0s`aX?ie<%}qOnJLxGHA5HJVD<94Kl`+Drb6u7K9=5i~fM&Cp_oxQB!@ zBzx3Nlh+hTSEeTza2r#AujNwZV3rNeOLCqCSH&cmCmM??pw0|_OmOBrrUw?7DP7j6 z-f|30tf!Fi&YBqjsu+a{iFd9R`ICZ#E)z*^!$dZ8ncLOH=m1|}r=8157t1HSLAO%y z)7@w`Iwu6@tpKwg4fl%bd>wgOVa0rXPM9kkaw63k1>Oeae-2d?R!gw$s+>@a^Ub1& zg#C&)C|AX1ZYRaDf^k;OTc#<_56SaJ$9n4?(K*(Y4t$IAMe^hE8r&pYC^&pgLSS&@ z{SC72x)cP~6Pfc*TBFYXM9G}AKsws27j6_o(!hR&FU(cN;49_#MSbAM1g~&8t29Nr ziEiaOorT}eCP{3D#=Elkz4D_q0nTb{Rg4oo`heyPRk7$?p_#*p8r&pbAoyv)4GS9c z1UXs5w1}E7_~M$5*5;^mtaCKVa9kq%nO#v>sW_{v#nO*)K4xwQBs8&F74zJF=~BTs zt7b3jly#2CVAd}^PUN+jwRm_@YJR(HHLC_U30W~)ER5>@W}i65{~$B(>=w;+>T{+m z?SP!tk@?q*M95-^|M69i8H*)iCW+DA`MQCw*GdcKUq;8YAUmOdBTI{$$uOZho=owy zs*;N53lTrY$fopA+N5n>SAWxejkqkRdDGx0*ssL(dI@P zuM^xT>=Ar{u#5uuwBD@a2HDXpWTW{d`91zq4|vgIH`RWN0lf12bXjb-2m*~+E4m!$ z>IdBXzCv)z@4zS~A+t63T9g6XD304id0)cfx$bG@oX|P}JJqPSQoZ9~_m0VE@|!7o zO|@=p@RNd{>h>=T&NoTwlua9?S)y(B4C5DC@7LYIChw0%-E)-ILgU*u*1|Y)zr5KJ ztrId&Z0)gXm5ZQLS%cpPab@k0t}ZWQ8V(0KLwC{}Q-mA$ZF>H-!cIGz(%qIxyGZa& z4$r0KzYp?!vnuAul^O$hzq%@R&$~wW6^ye=i#oho4cjj|6R8r77K*|tK}^To=potnF9DbUA+&x~!3{ zD!;Hc&etg`UG@qhvR7CUvA$-yT-N0Bl4RhQR`wj&bUCjPFn+Ipdp6%-^^9MOoKX5+ zm_ox8I4d~6MXHLy<3Em7@X9X}qSFoP&S~X4tUW_>d+vs!S6 zA~>sX^AmYPTGJz;q1$F+1NZ^03EfmbwkEt>I%{?3| zYg8P;KPHYm9W@yy1X-rGhr1By0U;`O3wkl@5j^ceDhl7piKyVKm0VS&no;Z#jjEV0 z)`5I(ur; znigvW-&=#bkDRZ`j20`U#T;Q)TAUZo+%AN6K!|hlXs*R6!4FD{YW2x{F}+8N%ooyP zvxpiU)8e@3To&!Bm=@FJ>uG(o;LRL*J8c2zz?|=`$Y%4RmHS8C-_7Sjx@^H&bQKHxwKf-l^HGe31@W|LOUR=>?{;? zDP%mNc00AjDVl(r7PAKUg14YpQCb7Kuh|mk*sUt2#ZkeR)ZnJY5$9Xif~QTZQcfiU z?-Y*yl5UF=Ve1831w87#!1$TWi)Dw3KWA0^V2w4RW%U+6OBD~$vA#J&Rf|O7NH@=5 z$tB=)A;=DdyQxj0cp{BQ#+^QUu_l$#oz){%)i+t?vk?cmOe*3EI#tG)DGJq^9#Typ zLrp3mdKoqSN^5#Ds!2t5on=Obg^JO=n8tU5*uIi#gScB0dOGjt*j->?8wH{%Aa<;* zy{enfsoAf5P8*|1D>AgTVb4r{A{}$64LP)1@Ana3{L;}ymgQMksqp(9BBtnkY;$G1uxXtWkRe3)CL+W;Y8=;bd zvuf5lugekmX>nv+AoeB!_)K8EC@+)|csJQ*S7yEa11K-9Q9dThX-*=__#!AXB5bT# z&nU;&2NP=ARWct?=$T)rSSf+iyrMywk@`>{%FBBEQc=ECqs&{QMB)AhURoW zHQ6f6pzNZg12&khMn|nv=*o0iq&e-v9MSvHaoJ<9;A^|1p>ezsdHfPirXzQzGF624h!m*6WLK0CpUBfWqbOf|{Ws@7F*%@AiCH)6u_+635~Wy@QkiOjq-89Cj__mRx12s zg72^O@eOVzW~Wxni`*#?E~mP1<2YCFd4gYPthrs#bNNexcSEc*U-DvuDq6xD0;(YC zf^;;FjO1~T%$+GY?MPa+0&a!>yL_%9D4>mEb9=_|;iDKUDn^Q_7T-oBDvnDE>k~#{ zh9ucl<7kO*o$zh|Og8FmQAO9WX=P7cEX{b9 zq$W;5QI!8ztC+hU)~t+oQ)hNb7(O#+-Z!HhN_7T5E%=@^>$JLvu{Axb4b^zTOn`DK zx!s7;k9c#&uF#HmW)5^AaAQT0(U87#B+U%X3M&LWR+GU@wn+H19DY8%H)As6opoZg zQ+n{fRYT_4IzLjQWB5x3=)$k74tB%Wq`xFxsudFGvqHj}jMYOG$gY~lJwoL1wqILc5{0kZ8B{VE%*7iIdAeYpzzn|6HVFY@{Eq=`www+FYngsf18^mAnS&87%I6{EvA zV%M>+JU&|_Pj_>&HJ&B<(`CJi6ytmJcGeH#ImKbw^Q0u77lVT{V1<8m%_i2bSwRgM z>^Qt%Gw-h?nI5u4VRyXUd6XnZx>cq_p z(U39bT=$R+7{9a?QW6pc~U4w@4Rz zif49SC0*F9nDZVjAi-Ah?St{m!8 zHX|+;aC^6qO-QfagR8j z5=ZV6m+2VU>9}N@=#vxd=|ED&cNItGoakpUY#h&t?t_9~L!6)uk3@!m=4J?}}U$L;IZ{{D};$ zo(Vor_}jYp245jKT>1Y17ZPcMAJF{*TAS?bPbzP$(8*%WvJNCUjpI>KAWN?l<+BOh zD4Z00rrXV=bjpJ75#B!G+nSicHw(U7BV8hqWL~GSl6R*sbY(V<$An4tp6EuMQ9u%6 zU_$t2E`wu}-J-)cQcWU*V?)N)iX+c_m+A6omx6ITD@=0iLXka@bT5w|e4jGgItTwJ=-%~WNy&>KH>r&sAWBURjjTIWODG7eNYQ0m2*-xq4_ z)~jxdbhKiU&sIz#IT4t{d7e1eA$TsVJt6!Jx-vc3@0Ko=RABZ>1*Hn7zvS2{TH4!X zW<%cf+5%Y>>wzZu97%FWu!WM&`i{YQKX!qMm)eBnCzK}_3T{>GxyPxb0y+3wLu|fM zrxgyZdT~b4ZWJ7{bWq>h^^@-Tr4iX+PXsf`t86kJ+w4%IG zWb2e|q=9m!F*J+$l>vgT{@=A7QvOcGizY8x-J5!AQPBXu_z^nPgX#k z8OPItL(8r}xS;Ll?xNc-NUm4-hKzB}anN;McRkK?Id0@Ry5-wcWeMBFajNaFH-5;K z>lm<{cV3^v$NjvM6?*hdT|u)l{q@2kU0J4y?8CB!k=#*2?2IinaOU zhG?ztp5G{~?XLZXX#JoR%6B^JwPLFq-D_^}yNfQ;3Fhb3}SisP7gC!+Y;stVXfC$u8XgSj9h4KQFueN){Wwg(ppbgZxn00 z&$%H6rw?9_Y&Jre-9W8xV1(Np_MPr)epAZ;cRhSPWaPrn*Q;L-VU5Q2g@bEv@FA?3 zmtC*P@DSDv9iZF*Uu$vI*RZa=!H1+2alUfrPKHw!VfXi9ZL3{_wZ2ujfBKZ_PBZQ{ znc;Ak*>d_rVv~?_=7CeUwUrU)OIS_?qoNwsZa7yi;(hHtyEAjN{VH<7ew9)6A zN9u9(t?w7N7k=j{f+~zVGR%o(I{vV&rK7+VR0Tu@Z5j_>Q4End+u`$jH>V0r=3a{ z(N19becB$Fc=t|PzNnkCXmVdY3Hkg};^RR9H4$Qvs-WhmP$`G|IaLUFza*8h&K+?t z|4I?)`r*MX5tdws6Cy-`jZ$y~q7EpR)k}D(0ThhL18E9jcS)iGYZhSCQv#23;5PNQ zkR)s=)MW)r%n|q_sjAl!8LFK&LK0wDA}rI>rU4isB>zlJzSSLwCOlZ@c0DK!vP6yt z3BnotU;8y{O-TOSOSMxmNOuo9QLWGas_HId4McKdBu(y!HHeWZSVJAJ^UaC(o!&w9 zUq`OIy2TM~9W+8PKgPK<8CXULOO|4DM-W=k`JmkvmV{)E2Ms#+AV&lrp>g`3w7t8< z8mg+7MkmDr`37=WC609h@ne#KDGQN8N;DxXNmG!DFylNg;8B<3K_l>gEgMCDE*nL& zo(lR-ZQrl0Glfo?(6wt?BH)9LBP8z&a`NA6gA7{oCS0y1ux9CJ4RC;eAhX2dGD~DM zu*4%}@E0c74e6k3TSD>%b98L(QBrOK)Mi?{xSk0Ru znU4i+PQdUv-c^QVz9g7WaeI{VF$4Hitq`Y=^P0QAp;`-0lJ)`K%iklDr8R;{|U4GSUBK zZP)qMmzniXTt!IcSut{iq#asD$dbG-e*;6(B(&TxYDl7XSRuE}FmsHMC6_irlBvj@ zG?(0##J?d~@+DUhl40zrI%0&7e6MKdAsMSNupBg0#f&NN@_{@3&tO%s260pSq@KBC z{yxU2AvskmAR=XikbGvJkPJ;ZGCnj5uxl`vd~Kq8Lqc--Rfc5bgK$XGGX1Vc2+8-U zT^^G8gdUP@P9R*vS>WX%IpVSyA!+2NmL;#o5{NiLNIs(xlDRdmb4b1&5&OKzekTmv z-*?vv$v=@DZeU0 zcWS4S?lG7xB$Z=vI#q$LpRD`W=|)dg5eIo(ZGbz+q5Mz97VAsPiu=4gv)YiLJZ zUcdt<$Ad=ns%LN;r-C)!$Ba>h>&646r2_G*^B6%#nM*XS5Z90&Rz|P}BIVZLfl_E1 z4-cDca%+GKUT%%&>QhA&tnm(g4uoQm?jCf0XRi+{-j%F@NVzp)vL9Nad9w_&#%K0f z3#@Vf_Pg169E;hary1qsTicY2b~%B zmr~W#@<#NI{MaQt;ADiJ(tJN69=)RJpe%~j!Xg}VYdkD{ZqTgp3BKW=(vT8#z<06O zKqN$?5C@amB5!bPiw&%i1z9_O?laRm?KUCq5IY$(^k5|GW~f@=yn18v*l0vrlf2&*JZ z_(#gf7dPoGIf`L+_pp1Vz>8DW)bd3T2}^LyOY=JFM#vZZ1DQv=DbOS=5G2|xky`*< zNYHD*Z(BxlHo{2gkTB4-u|}J9;71w38kI<<2_tJ>+N@#WU16eD#L>QNWL?=lveM*g ztdVOS=Liz#9Q&0KtWk+%)?h3lJ}A6H*=7wi&+&3=#Qzwir=1;QGH4w=hWY(Ck=4i@jN!+wqBYITe<<{7DFOvaltmKV4l}sv5 zL65C7g;%^n`{ogvVTCvX%gYGXs6;Yr%v1Y2YK<%3Z9=3h`mR=MQ2iIlPn@<{^Nm;Ro4-?w4M;_A;27t?2bB?e3L+sI1lx%^IP7SR$@NoaS}FN3aGW<<^K1c35m+0ZQQIv2n=<8{%#{_hTyH1|L7= z$EYs=KE*6bi`){SeGUVsSw^r1B88O12G($86171+CCAIHap8gqf;DFGs}2<)-C#1q zyhWhbq^i+l!wA={5wKxLtTE*N+j1~@q$qJaC}>{kxYZ2y(RPpkP< z?@uBIU=^haYhDN52ywxT{4pLFZCVGpIQGMXL|87eiDhT$tP*Yg$a@yIXfslS<3e}( zAOFfz^z}C3=RF}mcd`SsX~acKY~WW!YKsfTi+-8|BUjKK97=8tU&TtJYlmM=s{~F$ zHuN75_&3t^U~7oNeL7F=d_Jr}lidILdDQi@R5LcPN?1nU(IZR|X@OKh8?=md^3W0( z^9mihznba?qkW7&Z+jcqR$ll5HTL!a(-Cxi+`9805^S(F63TV9M&e;2A=~T61^jD-o)$~}%cW5)(GwTBzw>j*ho$1^EPGaYuu51a zeTme5h0O?ycxV!l9!FrKX3*$Wt5&Izmpo?#Oh?$!(bz_ai%#B4`e~n$?ph;`U1T2m@ z9@f{CwaY=4$Pf{Yf`-Henz5l&uC+j^01KSJ zqaxwHf-}pWomV77^s~f^R72AdVuE~eUFR4{j85nAGDH5J#)RNl0E^)7HcL>S<6%wa zC*XhJ_q0ZlLs-8^D4$iFgx2}Ydf0D^6A$gC68SM+ z$E+!6WXESoRfZeO;Nx3YaDj}NGd%Fx&lT6cU1E5wni zYh(>bArhKU(1f0XR^j`$*Z?QT%dPSDx2sZxG2(}Ki&MoQ-96|J*UqY@K3aw~MzsWt zqo;I*=sn@>_>W{gg(u4SoS@Ab#7&L|WpMxPot&8^?w-BhY0$LC5|0<#H>Ru6EsKDe*A{zqeTrj)acH+->5{Y zXHYCM$Qoo=v=6+RHFhNhQf#bzVKPRWH3*-(ur;`Y3crW1O}A=KykLzSuV#&PhKs5z zU)ySpXQ<7oDOv-ZRRJ$!8s$L+&R7E4dOL{Q&qwedGxngqF*ne$AG_Yv|jRVp`9%`bt)6bEevbx`VJ7z@n_Qyekk z_cVDm`*T7MYg_|IB>RUriFEG{obS@cVqvhp3pnCQ5-Gw5BgV`dZP?m+MDB#pJRC9N zI@SLa`8_SxP*uHnehA=HLy~qQWXiu*FX2%P=%G3Ne3&)Bj~oFmh4yz#XEvW;MTp{{ zIADCXss4I~_E>=u*08JL`w=VwkvuN20!79dIP<1H$KxDJq((Y2X5928?Nq4CN?5|u z$LM25M&iz}M6kmmXd7`7aE>{QcnGTizYhp1SYpWP{$mp2UDguOGm@0r+tgGD*`xmH-cH=#XeI2>^fJJRp7-_IE;X9D}AkEO%=&@`J6B z-;>ff;vtT}Mkzz$0tfxELOr*}q+n=>rh{@tSR(Kc2h}d`av0* zn3_H@!A6e@&~qz{8WXe|!4jawj5#ckuR*j~g3OxZK?mHg|2}7CiMz3=u40hx9!s#D zNL5p_1VnOca6L*H(GrM7L6caq;dpfRdmxm6b`j3YOl(N4u6-96}U)%Ke#IJ;xD!Y7W≀D1pa$ZY3;%RVma34?o>6wj;iQcFo__Y(5?GcQtVg zFVi9^nve}CaRe4|G6+Wdh>N$q4GLBUypQ)>wYh)78XOlwslAUE@eoJnH1seiF8qDG zACuocll2m^XFgJ5jb;h|=Xim$d83^X7eDuM?Np-9j~MPr0`E#yLoHEzuAYQIhKc$fkmZSRyZNmgtu~i5u`o%$|QK)Hh7g8i*Dah$FC3%AmOL_8(SET&uXi z5+gnb!TxVgB8(mvpoazGm`IGU8^ID#@v!)R?45tCRoQjl@u;N@wXscP6cGoQXPZLYk5HqL_Xv!5sBw%v&_{uEkUC%EdvR@MK0%sQXL-qIN@^% zJk&pY$X=dJp9^o`=GycL@ShgQ*O%BkJP*n;h_3GT7%OUVKYw&L6ZO4KJA$J=T=el@!t7 zobyxvOU9h$5TOBCl@}nv_Iv5nGlK-?VHV^_Fb04KR71kY3FmX(p3h~WGG7cX4T+c! z?DwS}If1B%1ldlESy>Is3C=}69VXOV7CGU>xj?XN)w$*Tl0y*F^pdhJ9{YZevEnCW z*GJ8K;{Oz{$^eSgTCs#Vq|5`)5%-v~FL=BCS&s~fBBXWbscGyOt@f61m z%=x1*ZgBNJ?zpkyXvQj`VFO2j9^etj-%Is5H~xk8Nx5It7N25dZ0Y%KnK*NY^>j}a z?GH|!)3&7&h}sKYNb%I8^nL0_5ouZ8ZVhelnmc}OH7Vt{QD9?@8q{xcL#~@2&S%_NbTcCc!+`O zh(=@^wm)Jd^rkT<90@#yl6QHx`J~Q~a6V2_fAlDOwv7GC(3~J;mWqUmOBv*JoDjb zR9-|rRDfL1WhyHFyMSCS5)lzpkD?w(AR;2+gER4_m(=nC6k;zy4!k+gIVX?-aV`+* zeoWOrnd+XI9>QC25eX)2M}hlFH6;Afg%UnwfMVi5svXgg9s*#0s4c7Rj|O5rAH1Zl z5B10k-ua1W@GUUC1Vq?sXmBp_VGg{1ny(fT57ZKj0dfuV^d9_KYvjj9;9pzGxfWJpx98hrXDM+y6 zIUf0l=yjBl;3F?0ALpt6X!wtq@9`p{h;xAe?yTA);u*?|-;r4#4tW6*k)B{)pfzjm zKVCfHUNSH#$CWW4(o)bMPvGy_OHiFt>wPqGUiAER+0J{_1Z^qfMvoEn2b>$az!NPm zH@w$)nM4iwc=pkF#%9+aaBlpMG3FG{2`@>DxL>BpGIFC7Q5!`|J|7Yze_lwGoaq>L zo?70Lj{5f$*zq`SNkos29&3%yDLC=z)J+l_uQ{T3u8}$>gUH7@>U~895-cVl&IJOA zkEwbIzgFJ-b|zvX62o#KsO<9u$p+aKpIT;i%~M`3Ye;}XMK9k@f1beT$^~jy^b;xd>|G1I8S};AJ{l=gf_PL?N7YZ=X^~W zZnrxEUN$@gm#!`_$^i#?SA17kQqdpQId+%{R&L<>}vNtj(envo@NR0hQOz#a|(md`bag`5x z2Q=hf=c|fNTu*>RB)lt$I-f2596{c!jdQiTIPe&;`*T8rsj5d_wB8{tz3)1v&bOpO zA0--~;>g%Lq?m+hY1mHA{y+oA?j8G);Nu)Uh5Fc2jsTsA@#4#AFY|zw5xf6H zDto?7+sX?>Lfle?IRK^rK(Vhlm=` zHe&afRPw@24iQal&)q8u+QFMd<)|GaF&JUkp{yr3;IYI&hkPN-ws zkSa!w7hJ10Gb>G^mvP_Em37odG&qjyPmV=y*5g3KSMs%)wdf_sq%(s*5{;+TOa3Nl z9C``;-mkzwi&&RLo%bZlNQV#Sqq3I-9)SOnRQCDJqtwjqb;fx6zL!CK=Wdx79ZdWs z#dFvu!b2Z5Q-#&}zL)TIU8Q+1sr_1>%(Yb!uMKbRJ&sIT+$jrM|tmPdFhIWp@BW3 zXcnG~GlF_vUHh+ey*!7+|EMg+jIT;JK=(jn(U{?$mdGTZDj%Rx&Lhrh*Q~QL=Q*?7 z(ZEDJqol4R>Npqqoagzk>x3wc8F@E=khnYmKbnsE_Y&A63XcnkWJdn56lr=1a{^v6 ziq?>zM?^j(!F!Y)Q4b_?);_aH&_m)}QbPiiA|KwvYd>$3kRaC}&IJN^z9aj4>D1DY za50`6emtri);mI5dn_|~;%fv>`$!mA({A!OcnBXv{kgQ4bAmN3WK~{(#P^kRJ%253 z(Hw#tN22T@<+1B5M@_E}CI3lx-;VLbk-)oQNchUz`EZX{9+C0DgwR7){z(F(3k@D2 zq!X?fJ%==CNP40g5`Mm`?2#AzbL)pJc6dS$$tGrGs4Iy&cp@J>)c?Eiq`^z|Ck+7a z8?TXVWhm4N?!ZU1nIXt)SRr7se+>(N90t%CoNWK#BoW_U$@44X~i z^RdFm4v_Glhau=6;6ns&Adv8FDS3g2h{9t=0`Zsy;R$zg=ow`HuzOmZk9;~z?f=)` zVK2`iALrK+MY*Q=bQH4MrOl>K5sCP_d3XytB~b?oGB@e){d4*d7402~ zPo?^$AwiRfMj^U-*rRvQHs*wThNqkxhJ@z@d=R`xkFq!N`&5RS<*vFB?`W{!hk7F6 zBOspOo%erK)R6FefY)gWNC18wUY3>V2eL-h9{rVfPy~GvcuWD;kmt;7Eb1`eZ&pAL2{Gt812%j~S z@=u`##znoxWiB2sYBE0+#ijikr^_BRc%O5Cg`?x;;LNj$68|yYrkb6;avN~hI2VuE zHO{{{5N3Nd&OhxvhJ3d-XZN_Y`Hy+VITIy!KAN%dg;zhHM#IXu2>)+uYe(Ou=|m#V zg62FHXPt;?CE1 zGPzgMc}mD~{hi-F(V4XarAwVb2>CiG+%cAL~ycFhZb< z%4I2#KGpJl&*UiIv7r>RxQ2yLYk-*i%xiCs@W0*VF}T!2#6F>I;Q!>Fm!|jboP6b_ zH+R1H;`B><_Pja$(r4;{LtrU1k1WT&|LD8p#}z4Zp21i?5yA*^q`S zh4a*3(kW5?%_DyIu*@4c_o{jaJ0c7Oi`P`PFMvwZy@S*0|EL9JCPdxSPf2(SN;dAB z&}u+%vNVfV;e!jlPkt0ZKNvA}^xA5qz!1k1M3qx>7ISz^|{PP3i2 zKs1PR1>5b>jHz-v`4{OAy0}zg=bUZ^xJv0J#TnF+SJ?5JJ@L>fXk-8t2&UM@hZ+rMH=J0%;)Iu zY~EtHovVA>`A{maW)+QW>}=1QuGJXRT;%rU@BRD?Hu7Vq-eS0&4>hPcC#4!z#?R7s zkow!=cD=dmLWwi~yEo^qmO!+)%$w|p@CdptnQpr*R}BoCi(G>9$F(_Hc}#bi-ri;U zF|9JyIHi1q?~dm|7nMr46S=OF}MR6hn#91*t;*TmRjZFnI?6ZEp5F;Ai>TGC5imMoIVmlakq9i^EyQ3b#*HecxaB z{oIkT;G@uBO+A7y<1UwY5hZkl&&VVKju$jD5eX5~Qfh0s#bhnoNYYeJgi~m zM8QcQ#2k=^b6%VWdU4*+m^`Z%kbCr^gf5QJDQVde)+K2EU9m0p=!`sCRm}JQM%?7> zfZFYH_PW+Pi#*yUnO6dyApS4K)kYrQw+Z=s#Tde`Yqm3an05uZz>{%)Wxx}ypVQWs zg7!I$A?M5}&Pvpyf2FcbaPAW4t-uKfn6?SdwY@nvi*udX>9!%$_p@wdo>7K)t(R~v z&blqCJvCA$GByk1`$9^)X&s6@uB;&HGNFBw)VNkkI8Ixcx%f=JtGpfJj?7KsrW_EQ z>sVo1l{HwgL&wN&bCP8{40-3IOhTP7}+HZTrnRTivW&SN7645#n+F9T44{?X%H&x%33Flso zA!mw_f&WEs`;S(#W1c1=#`Yi9Hdu@MI=r$}R(v15-qx<>NShBALa1@D6urVJLSMT7 z*IHa^o@7p_bWTb!J^D|aY!TW^@L|BrwyAL5kc?AdXmZXrP;g!oPzMcs_vX`>AfiQGks8nkcH22zmQ$zPPVx#8roqsU2%wq|PJ zT#z@e9huPAU=`&fKH(%IN=`p+bHeRsTxMGy{BZ3LoV0*zTicz$q1{C8`zc@-d3~12 z^iyi*PxsnlYaX?^kPIhVDYKiij3)MNUBv3LkPo>>|E1HT!|GboMb`0PSUZzTnJD$V zTs+aRz+ljUXvua?o2k(rgx^=R7+7z@T?hYz<@<@TK_?$&v|YQ_n?;Paip?(vk;6t; zMc;th8b--vA#}(DxJ)#UBl9h}aLcTBnSeHqOm6ILHz!>t|25V277eGR*=xNds;VyV zT&>!ZA)1JXvz;Nxe5;sbopW)9Je2QSO|5HxS9SfDc8lYFOKR2zne1ZcIC$=oO;-^j zLY{9n^r!j~-F7Z1aSA-+?GKm7WtgcknOHro&2Atx5rnJFiHz$3dGGHV38hG?)RvaPSuli)F$cdj}l+JwZE~a9!0I_u28}=ld5&lQlyD`5^}0QENK&**QMPU8!zBoEOWIo`QgZ%N9JYOxjLYPR%Es4fSI^|q78 z%-V!@)`~N^`W>`?TgN+5TB z{BJ@j+0%Sr=T;%_xBaa}WtO?6I}*;}IO@1PQ^8*ur>P_k2p>5}KVY#vIM1pFM@~+4 zYv(JEew^5O4?!Ytfy~9dm|Om*w8Ds-ZZCD2u=AQWm*pigK`(RhnLG;XWas?ufW`LU zWOEKLyM2#6uFg3nPcEu=L}ff4ZO`t$oL59T-R# zi-f>}{{LdzT6o_8BFHQmJh+jwVH<>JDBGYdY(CX^Z6nPqayI#F?6vQ+ITBWm2MCdf zRFO6=+h7cc8FI^R+B8agCQ`RFccYQ!6*-Z{b)lziZKZyP(rY_xWAJm^uG?~!8)tl@ zt?xzh5NB_pzBI*)5Zwcv52B_j;%rsv#gg{uo(5~G7>>QGp}j*|*Ra_k4M{zup(GN> zbVy@S-$R<4?h-4~xP{e2TG!CjVH*p)9@6Y;#!ah5flH4b()=qv7gzC=uUe4iY?YXD zcg0yKKdjBZdb7FJ-ju3MudfaIBJE=`a}4oH2wRiPdi&~yS3cLB#cgq&@|gSE^Z)dl zdp^7C_1*&2zC|QY%jumXoK8j#EbVd{V_Hs2=5VJ6ap;nVeJ!UYZ%I!3T~_z}W2L~? zreFQc^v=)sMlog=Lg_T5PZQ>srg!eCj8y~dXxSNMhQF4>dJ*nTjeouDWANK=seRwp z$UK+XvhRggUVWjGg8t%g z@5r+yUjreIH{d9VE}s86eb`bTRQMTm=)B!U1!a=sy@5Q~O2Rlz#fixZW8^w?kyCGv54y_w1}1dMsqZBUB%+*K;TR)LWf=_PF|ZAghQ+8{vsrNyDT%w9x^ z@YcI+s>u1n4_Uu0Y0lP!SSFa+*tXgBQBLcaeqrP>!A%ikXDtDQM7gDHhcU)+%&JgY zE~){fh!TfB++DUCd=)@$Na1!Nu-!CN0P)lAX=SXqA}L687ot*CqoXi2sf~c7ikuG9w6L@=M|A{=E@L2U>yO-j7d>9O>xGyw7^P7=_H{)r|o)>1|WXB)LJef zLuRc>60eVS+KL<6NV_aH(sHZub$ALJ9>V7D9LHdVG31xIEGkDgcGdT0V{yaMp4?wQ&(!IIK(ET`CHU6 zSOJg|V!2WPqQf+X1Ze;ghnfl?NTZbxHRAz7uoy$On;{iI$X-14_poDzgea^sDPF`3 zF|%Pgr2V@EAf6#Xngim~M+FdEK;bSHD;{h@&NhH%`xD4(9 zN>g%N;%ElF#0Q;%{oN;R)w^^oD;oexHW*}YCd5@;X_@W%~^HDwo7)}vpCvj zr z@>S)fKX!>!+z69{Sxsd_8?<)^qq3>g6Vrp*4y&3hp$lv< zu;bRuI<=fpKj1wH2;3SusU}Ign|GVfh?`ZS)NymZ<>Q8@c)3pzK~Woi|SjmJU;7tZ->F;TxTbv<0=^F8=k# zN)*zfF-z5F8sCV*y!OwU29%~diZEnCso%)9V%>s9 zxk-~ZNcBbmF)az)(kgDyBOEt8b!kIz173e2xq{o8LTd%LJ8}WeZ5^v$lYk3r49olG z&v>|9lG43T8@J<@A**@NQB=Wg+B|)z2?w?cZVoSjgDUyvr@b5xH&(qdLz;XWWctv2 z%N}8F%(nwnh${JZ!f?A}^LGU|9;Ux7%w~qKFv0D-LZ3A1V+d}J8LZ>u#)8T{9S^q! zN#aUt&bm&6n;x+Ym!I1Vw^=lcNF-cJcj0o+Cs+?GcC*$2@w5nHaVUn)ucZ*qth!n= z(s@&kZ74`L)$@Rew7sVEjA7iwRB^FCPlV08%w!lG+?a4TX`fDS*G(0*NECx*c6cji zp{%SQ_*sHo+WgI*4Pq^tWA%1Tx`3ilb_Lo09;{mTqT(T4ZkdAKy#nHr59JPLWTYQ}6h9VS0pKDUi z-?r1|jGbWlecI4vtVL6hA+kgNhSo|;-YUi-!;Pos?DPy3 zqMlubU~y+PwaFhvZ%LIK^qK1$P7b+@x~|r4+w-a;B7?8K(@$g6p2H(L&kYuQNStWf zlHQB{JK0S zV)M2+waMB(mDtS6?}r*A?%5bmY&rnH+5vb^06PF#uO7m${`hY=RRF;b@bj^t(tBAo z0ok0DmEL=d2#fsNg39W|gOr&&M?Dkd9I5P>@GyOyJUt{&>6SctbIBB=q!RkGwm@)0CbYBs(0e?2OBy1kzY&bkAuhnSAlz0PAeETj zQ_IVOcvrx!KmbYH(>yJ1OimcR=e5=(xl@54M%C^f5x2+O|doth5O2v3l zn3jzDlDOAIRyyDf3(SJCRXU)ZZ3_AVeYdIbSs_$tx005(jcdvzbO1t3cj+{1{vt|v3y(7 z=B!Uty0fR?NgEt0*?Y*8nWMFv0@9V7zhaNZR9sjiyRPZd#s8nB!-Ya$(p#B6c)E0# zMydrg4Mt)>EX)I6KKJd zA}!5XgB&SrKxga9&>+Plo=iNVIpLtKLr{v~VxpW-736p3E8Ura;Z(lzN$dd9aYJ^M zl=7Rb{<0P>Jibf5jgp4qKzPa(4nK}~*wDdKMybM6CLw-HZ`SH7*}Fz;Yt?1$nY2m= zyekE#B#l?6p-T4VlY>vvl8JIqlhTjH{4H(Ut-ca0TwqS!Y-~eZxGA<#-${#wEZJnz z#&h9>B<&S$CM=C_9X*Rj&*ug0r14eYm9TX4j*$tf*ybaWUv_kKKx_bv1&N$VvZ~9K z1+m={n~%K}cv&OeQocD`#RX5tE4DDJxWJcxn6*j1PM?Yz(O+ z(iX{K0rbG+RXhc|m6GN+EGnKdINUF8Q+5mv%$>aE;5VV3%HRMXENFL|rqkK zaRESXXmjP`WiK6&%?F2yrv!^(&~2*(YZdN^u#vLjsgffB!r%&3JoPy~GmYC%`4u^) zkb@=;7wBz)==IokO51*`AL7Ei*yfC_;sQV%(dO`W1PdF80Nuvfh(gE3-Ck8cr(tmW z@cDF7lIFCXH`^*M@QeZEZ{Ss2I3z^)vFh2(J;rEBcHrsRU|S{MPX8YWe1Cac8S|O6Z-1vLjdqS>$T12JHl%@(R~mniK9>uo_QQ7w#!vu_m61ji;EB zrxcVjhO7$%evGM^o!D#=T4P^^RXkm7O1+;h-&4#!F5oW8w)oXr$HVuqvKn-@ifv$b zNo@Yxr5&~*i6udl25v$;1`t3Pux8bjR3t)a5kw9d+h#RU-n0dGMJb98X>~tmFe6PZ z8|fx|ovJ3q=Kms8k#=3|{Py5|l~6?*O4mr@-Ne+Hmay#B#&6ddSM6$dy(G=a)62#e z6UnLoC8YxLWjm0*!Tcg1EcySfavofI2i&7U&dD&rgT!7j0$FmX*^aPc{I^wY!I zwp(?@1?~+-!iA1C63^B0wWPBWtS5c}bx;Z~%Y|zO2%Z*y4~+Gvb^5s2_M34PPw$Im zQW9^5w6tAom~tK|hZU?-HX>Eh@`$9Z)OOL@R)Tdzr&+GJhDxwj7E!3Ua8Xg%ENT3* zG*k&z8Pmrbto2fiw|tvtg!QXs8}~#mS=~mJ=?WwNW)Zc8lT;0!?iyf3UpS}@h_}Hb zLZJ?I?c9V6X_MNHsrr;k=#$zyoqI zSTjylvhIdXO=z2gM^qW**60+TGMPY?j>D8hSM)D+u}zLQp6d|XkakAt0J$!<6WXRMvzw7b z$HfxpqKxsoaVSksvSM9s3T`FEYd7-*wu7e3$Mg-VE1HuqhZUIzD7Dlabi+mut{t&$IF{%&Hx1*v6k!oJ`Es@$HP1Zr66p*qUHTWa!Ss z^0K7)Ka&vp=B9eqj%_6oEZzT4A+Q3reQL2PC>;c=5rFwTSHDYaXRQ|8!bC(Z(iX%r zqir7*q6%&kI(0s5Hzdj5 zM}V!;0ZC|HD)d*KE4Jb3yt>j}d=XV_V;H=kNX!@;N^Lha(+85Kw9Sci!jim6BxlO4 zuIZ4!Ki(U@IbxP8dOZ^PotZc|bR;>eOWwtG9TnVm$=(IC3)T+Y3XhV&n7yU}yN(MC z9uwFa2Cj}v<2ADPmb#3!ti_Z&<@Ef`CauFZlul~fpHstju0AXC?pnTb56)5^aOa8Smx zZJTUkfIuNM#5QbPWSi;&ZZ~u|BWZXHeNr3Ye~*J)S2)gzbl(im*|(yLZKF;T{y^A7 zciyKh+J-dJFW3fdEJJTu^QU4PePM^T?WUBNcGyO~ofg}DV{2l{@o?OVi4s7VBv)#v zIb{n+lp>@6S%*`%hHU~SRnmlT{JP`;oI7k5`>8>gM{)bFv_ zsjkp>460ph8zrqHLk>#vc7ZV>3aiYm<7V7b$q=qQuy4I7tz^h*oxY%v{HldxybNLL zVA}O7q)LV`?Lz+S^iu(3tL!?i?OxWMhQW?5bKXvSF<$m=l^9ou#7g$E#B+s|Pds~9 zNeKh}!v}-g;CSkH2t&^jN6R)`@IGRvIJ{f1;X=`!QMg&#c}+;WO$17Hm^1{X3HoG2ITO|)(KelikT#)hk^`fPZP%pmtTtz> z*j7rb*hV5vyC{_?mE($Kg?TrH(mg>WS9 z5Ze{2i+}{Sm15bg?UL0+K&%Sy7~kAWsu|z3;LpFdlVgEWpKf7+a3RfrVhJ-K(l||L zger-2)7V^b#f7OXr1|V{!O?Dbv{RV@H>;~KH#lw3PSG5DwrKX7mwjAbKzPb>01Dli z4B<)I_&}QXQ}YhtWC%~NtPcIumBzGG9n;&{q-JP_%u4#au~pzDLk@}UZDXszONLBq z>yaThWZNN=R>_bF_ukkl8N$5a*#T$8g_~j{8lHC*7iLVE7vp8fdbz;;R9_8NGK6@# zLduvSR!@lU$pt%1Ma5H3Nx!pQ@zkX`b{(FA7f-uy(U<#|?Yrz4tdPCy0rmU)NLJ5oxbuQgs=F#WO1&}|r9~G^0k+YdcSxEq z%*Jzp1fP;Lf&`&d3d-N!-L1OQVq3{M(RfNPO6lIwN~fjlq}U#2ontD!Af*RKE8Qxk zYsKc>dOT0BN$KU$N@t|>h}h1VrzBGIrADr+r6qF~99EE)ynAzPw9yR^U?uc22?!p%?zCa_9beNqY7@be%{Jxn|THhR`s>x5Fb*Xqb#s$z9TDJ&7*ic%Ct zX%#?jO4@m`?&fkxQ~?BOm*nYIW2@N4;BZrH+l;MZ8|Kdi+$w;~Yb4k!iP!~Il*WDl zTSe(sp>bXk7rng z%*}i{XpEklHs+nOYJvrXC^a&3{4kX$6^so)I_zTG(9}1aux+>2Ypp*#Z^y+;>oM2F zk?yuE|3zAUFDBkS2HvkPc3}ZFRnObCYbErvYP~K@MwQUx0u$0Ml~5)0cP$DtnJe`L z54}}&!5c$Agsl<O^ja@p|8mW>O@YUNuGKvr7(H=EX{0>~CAyD%D%tB)0s=M0eD=4mBE))*ju zeg9MdnUGC4g140ni7a?Qv*mXg27)+=?DWl@;9X2)DCharO#sTTFxB(gA90?lC+2lECaJOii_wdEqT}K;DbDmbH0d zO8^7b32nkT9ww61lbBc~n*siLNps zH=VPRNH>&7yhp-N4OJ3}2aO<y(Ma1s#?T#`_~^+?|56;(`fjit(1mjTqY* zR>7L-(MdrmC-gS3im zOyDtK72GhNQ~n5F#kM2Uk~m!zKXO3o#syC zH9-$&Gt?-?;rf&zhEWL?sOd7Q3Ey~5snw=1U|?Gj7!D81woTgjAp@jE+rk|)PDP>v z7LP38A|zeyfQV z*d_&$hyrflnO~t%{bjRct#WNjHDUnX0zU3OBzhg1KVbeY^4P z@+!8m%%eXzTO}>IULdYc6{TRtw0j5;MHQv1q>z^rGE>es9j4=uZ?lquVkeZEV8%JB zIb>}Vds0Q|L9x+ooGMXZlJtMZfwht${(OUX!U`ZaWmvqptJt<)Y?}q1+lDmR(H!fL zlw_OG=2VeJ6u6#=Y`$;h{{;X5|NnRae3VT{R8bViuV1D4Ieup!YL<$P*2a`^Qs?8& zo2&0*eD94qL_8uxgc$M*LWweW22s?i2wE6@KrMn6xe1{#h*l9qwvbx1sYxP`z@l@{ zygSU~;4p{#=HB1=pYzT+cN$3sDItVp{Hf2)fHf1W7|iGQTJWW+sWWP1l4{tyd8B{2zOjaC9(%}&E6_Wx$=3Nu@u%M2ZCQO<^BNU7IOEBxl(>*^0RsjzKlI(H? zG@Tlzdn`KT1nYKSVzH988S#EKK=Q;wL%L-$nOX)lO{PjPA_1+G)Nw`o!(DhjlT#xu z*W}d1Sah@u+m;Lz!jI|byO^J^_JITWu+cs+N~Y@Yfkutbpv1+=*PEGY0NE+mCfOVCheNIJ?;arSAk2BZPTl z@ekspI4?YO@Va+_r7&3eLpUs<>Wxbx6ERJ4*i<}5e@L}h|)rlUP2M+y|)BuL0ae? zf&>T{AV6q=kUYNk{*3pnS#!@_>&%>4Yu35@?6dF8y>sWzl`@_`8_%6{Iy)rJto}z& zh=ZH!gXgj$&qe-wpych~Z1X@$`o9PN$%=}JiHiOAT=u~`jR#r|ULPN*+BkTfU7L@( z^nXKa;mG?Pm^u9U|o+MPrfO9 zv!f9j&Or7j)lT>ykkbjWgrG(Xk$Uk!G>Sl}KA0iWjRQgOK?<;Fk~p7>Z9U!#01pvf zA1mk~MTZENkLL&{qGPD(ttO(#=dA!|k_lj(sAv#|b)%d^t^<#5|X&g+19|i>7>y=2=eonLfzd!cPpF}@Hkj49dwVw%o;LdCeyLlaUAS3o9Q90 zD7DswigIh?VSw9vMUj@~Ljk*&239Fv>$N-U!7D9GGK5Jfk>&oK-AY*nP_)Nq&XaU? zHrU+-HQ}?cp`#Ok{|-Fn1TEUEaGl(j^U)FN5NFO!DZ|JJ=wc^uu@d{2;$Z@B+f}r- zGTk7Zum5PR~_;E>NFG`al@Rotyk|${utNwT4>12~UX1o>X zR(acfN!$1Eq4gPDuV1$WXvnR7W^i`9JK<))b71!+grLGP{W%FTuY{Pp;=u*onEfz} zJoMl~uE84)8o8L*uqn&rSMg}gOc9y7o|9etuZ&b^y0oQsfD1vkL>3igyV!|(JSjuy z=vK4XNZY%>b5ceVZ1EpF65-IED&&=N1uy$d zKA!(%RMMF}FfJ4=3vU6g({2bk?!gyV2h!G6($dA2x(|KGdAKkH`t5BEH5-Z$nPA4!=8$1RCESMZIQ% zC2{U~p43c(NK8;Ai?so#zie58ircu!cF>9N0 zGxFpjrMzy>_fHsb?eaZLy7xzYkxVF9ok%YE`gk+DO7*b-B4&CbGXBG)1`glp|}@N9T~$E0O&tX+f(Zj!Xrz-HM;ok z_0z}8)cm_{krrd@NGwzCQP`;}ujHDdCekz$guWceF4>na+Ph|nX1w3J2mrRj{9A^> zt>3<)fd&9Y;nz0<*i=OU=ob|+fKz(iZ&CM$?nvN2R0^K3BioA`avKzSscCi^!2RD| zg!M6I9~@~JWDdq~1LP1(xCGJP09YePZTczk{2YW{0$7;_@LAlMg z(1r&iu}SIgBCKy5FYjA6?Y1EjN3Ef0NcirVa4^Xt2JT@m?aLg-vAR(L zEZ+lPz~#u#_fly}lcqS6LOSJ0Mhzo!dNIteXMeLjjJ4E3v|C(o#tRdIJn`iUlrG0A zv)S19N}C;bl232%UQt}FUwjES2d&$o5J4?qLJ8pl60*?y2TK+SFQvnlqaf~Nigy#09oZLx-h~`>2(S8WllQ&jagX5QwCu8cL$o?E zPP!gjvH$Lfuk30JQ$~)q0cdHx@VQ~&+6u97eZ-n7LrgNo%>hSO!l)GLIr`xvT8*ZQ zX#lfwBsyW=WGw{Vp?oQ1smU@Xgh;SqhqH850rueYF zN0-ZPUH!*aHP^duFk}{bFJcGBxh>vI6d12m!QtS+W>!d?UX2a>Rt zoiuCBb+U5kt3=NNQ`JQH#E)+jBXW-(0uHZcsd9!gp$ifgr8g5WVyB1ee7lk-%S6QL z(IVh@gx)5x68LEU{8~sR=|jj1qSrjmaC>y_tBmLU5+Eu@!mBZ$&L*EqOLqrr0mPXlU-rJ>A zycXSkxOW)@H&+5VRjv`Js12?F$|+qcz~$h2z;<9ba5!~0s_HD+0~F?WhUi>SC7xyM zWPZB3V!X+*_M(ZS|5ijB<3438L2W%EGQvf5gaJh7Z49_FjfU#DyaYCQRDTV>A(Udi2=gClnz_~vb=Z&M%*4;M&( zQb>W%TV&pPkJK3JYI|U7H%MpNeca_cznF=!Q#$qJ!2fQF&ntA}rHC24(_8DB7&&jm zl!DwoX69jx+d_~R36vL)ePk-zP_*g#nn(BZ&+X$Zbsvb2`d7s`Pc)NX%V24!*Ve*0 zrg@Oubo0%ws>imlYSqQdLI?O7ACvz)&33VFjY*l0OrG{$bKQ?@C>*(d1n;f(Pwb#) zIai)~NEHZcf&$4TH*+n81-oxsCZP3`{p@v!FKJ<6^zNTPQ>19)FtIEBHAZlfz{!%B zUcW9b0EKxtLxBwUCBa7Wx>S{kbN{7e5~0|;1jCdIGaF*3MHuT@$9vo;`lz6I@ej>1 z0HxrsboL<@dhpP^+#iIQ@oh7xLU38_74U57N)r3^O zL@BVzz5F%fCe8;#?z?jeB0;Xpy;NMjepCT%E{m+VaVVDbr01WOLCb~iQy{oT@Ak6) z(AXQ1p-<`k&at1YotyHge40=yxvri`s~!mnC? zg|K9P$Fon@kq%Bc?_x2>4@%Ha_$mx}AO1OP>g$=YjZRP6M6W)VDyU)^dFf*7ydSKx zkY9gKnz6d!p-$pI@>l0oSz?`yK7;0|)-%^TuU$l5(Nbb}JH8Xjv9 zR&iFLMN(Lo&o>L)*Qn9X+T0$JxQ{QQEq2;XUi%Mk{wMx6^;zaKoth^iG9exP?v;@> zS_~rY*PO67*q&*ATnYM>8n0?$Pkwm#%4|~Av%CM*&UY0$$6rwCh=dzG~5tuC7X!N^LNp(>8Vv3)}P{q$%7{~eA{RIhN4kDg$8NTt@T=YA!L`X+C|nS8n#c4`a}oXvy7 zX79QNf+jh;g%U)!PXsSNCnW9tne2Ezt9ZY8ICKO^!Druu$= zzsa93smH}H!-T8~R*bNbiREB6fyfmHCtuBBz8Qa2E;*8~d`&5M9sQ#e3`xmW)ltmO zi&Hm$P6JOBJeOa^8$6m!E-nuQ$=J2JzC3Kd`}BhJaJK$Y24=ZInL*n4b;!fqtDI>s&tPyn@9B=Z895N zEZt>?=WeVkgT!S$RQ!@yA7ymg#kZ?X&)qz_Vs#|P>BEmGQZTo4-suNMn zl6WC?mBHq(Dz~cXiq>bd_3@rL40G98XrR*!zD-;#!=3JDWKZY7l03*uX-| za~h6J&)|_oa=fHRaqo46ckqTazpci6ONUsi4}xCTk&0ydFeS1`?zfO3V)&$`V_bi{ zqnvKtfB}*I6LA0A-Pj8A+$Hv-f{E6d>CCSSdp(ObDH0J!p;r(4Xfp!En0%q#skr38 zS$({l&Yt9Rq0_Vkm+!O3tKW_&qtQpxOFNVdqoA)}?Sp#o! zCZjDB$WJ~cfctty+4*3b(Wiiib*Xo2;=3Ik9D-4uC#l5(TOE8`qRj)d`kGT~j_NBW zPt#oW?umG$y`$9G#NL$+tjpcaCSPBt@*Di-Exxad@AeC{(JRjL`#K$2QlW&cZQ(EU z#C~BF-F9~ffPGe%R6zsC&~ung>~BuT^(AJ!ThY zkv;T>NlZ@93WyoEJT$SxN7*OMkwtw|njCwHik_*(mD?MKH+ME3`X8iN`yH6Q4y$jl z?@(xd`qyhb(yv#s#P-4A{4|R`F#qqzF|$=Emwzo5^*`AGSeJswX*?yieB1ZwD$FBg zcl96jwKXwt_}WJrn)?{osEYIMWqBf423@%ZrElJbf;Dh< zk);}0zqaKhYy<*L-*%6`6cDg6^ExXnn{UP5 zYrcPN3oiBx2-$K$dQ8<2c=@7GhI!XMC^pORHMBcX*dh%@v73gX>SbTmXB{OClt3hA zNoUt;<5Ovo`OOM-$@&40KnbV2XNui6x<~Bivx~gSO^*9b4{MLpH+E_q2)moLm}?%2EAVy{Vm-)tUqxA`DG3r`<^ ztla&a`sE`Wqxf#TqhRVofY>3VM+c8sI}}fN_qBp zP1M^Y)`?=~zSSyb8;WM>i8p)l8GHe1f;%bfmG=Mo)WbymYh+z*vUi=U2~w*LxWwEs z=QHmzP_4|b6fsGen<#k{VcH95#Tep?ojBmC)}Q-FI@r5OTPF;pT4>u9V2QVrrOvfk zCBB7Mjr^~(`sD40;my3OU9bI8`n@LaAK)7$xnrR_FqP3n@?2sw>9vj8`y!M2@$dW= zolkfyRwzMF$VX1{3c&m>ys#wgi_>>gCmSMQGjOh^QYOpy$MWa1Mq;E@B0ID+%ntJ? zdfvlZVfvqtDL=1&Apj7Inz}uu=scFn6H2n3UdlcE>FQrI9rWSuT)=`$gvF~Pl4jM+ zsN2$3OrT9EVG)KF_HB0F=l)L5(K<+&eqQ&6qIuJOp4AkrmG3>f^nw?E<&cY^x92wU z)6H35OqZ{qnfJRVAEhLeLd>d;Z`J!LH2?1FFO{F?dyYjtOq1Vc_p&#B|2hS{ zREeT2M;<~Vb+(Jp0_-#5kD|S0s%lL>TUuowBn`?!FY0h)T|ZRK5u|;;)kfU)4gyzB zgZd`55q(yFix{qQhzy4XK6|w-K>Mi@c1ntDv*-p_+GDM+P>{NRf8R1mr)@i4+qW#8 z)i@BTYVIxO4suYx=$y}2KR@oO$wB@N^z2aZ1@6K^xld-3Y zT9W5QcFBk%m+yLiO9iYF$lEo#VjuKJPkj>k6AO@~=j7uq=kF z-;4c}^q0j04SvW>O3)W)jME++Q`YCgF?B2u@=IN$?CLU`CYPS*``Q+BzLl$g=UPma z;Y+#g4kXn?JVm1{^yXl%(2V0(5pJry}dqXO4 zZc+Q&F+^IkV^Wk*<2LBx{H3SVFE#JsvUD06NHNG;sYT4SG@4s0Yg$q9G_9M@3glzd zI$C!x-vi=}t@28XielL;L(zl0MJ_1+T&AAVb4;1(4={llz}<`lwz{E0HQLbriHOBy zP@T5wg`gZ60@?_R|Fu`L2Quun8NW#E4vWRtxC+lUf8K$r*K~$oUAE&0DkwnyJCT!- zKKw8`$K^9JxCZ`s=hI zzv6V&D)i~F$bij|5>k7*BlgBmHJq4&>}=+Sw28*!4jWwAF*#@W^29$ z&JEnS`3yY)rI&K!L4U=Gq;K$epgyee)7dslg;-5}QLt{V-nCyh%slKfI} zcSnwT!?VKnt(cq*o$7h{HVoqH_8Ph3f!aj_WD%Wt(|)pwM$NNb7N4wV3D1ty?AB5* z7Y7h4U1R`Sc;`*uN8zXsZ$c-9ThTveDYw+c(?4HnUvv6cQ!y2QN2h%yp2!O&g^`~nX#sh*w z89!tk2xCkq+ly%TQv7|X$%(FG5;m>2;Qm@IhCddO#8+% zm5YfLU|=#(;^wNAhvn(t`mAeLjW^OTh2pbb5PyyKDyQUh&9&Pn4-z3a3^!(TL(Bt1 zq{__I)G?(V*%J^pzVaUr;V7^@pVT~S^*_ZU9rr=YWU4IQRm37@h_@JkL+2`1n?6z;_SPi{Oy?@2Kg@p#MI(l?VO$>@hoWw6Ud3|p%B?Q$uIgZ`@v5V8cahdL$BpKOWf?ACqSYQT zrR`g~Crk_A63}EAnu-#U&F|Dt(@5G@-!mLfb}&mdHP4(%xu<(Ijny$T?2BcsOu5(j zI81VB&{EN_U6_t6=vKJPiL1y&uKS>V91XDSlQ*KWsEF$ zbhBM_zQEYG=Q>BgOIXec;@y^_nF`A5#eT1!v#rVHQX}S^RQ^q+Xs&LLwrO=@U4esx z(Rhu0E8{V5hqo@79g2HBCr#dsil(Wxf3w}M zM|7i1lB7rk{cFTac~c;Xh^{=f!N;J%#!iooX75UG)iK#^LQq4KlaH$J_@eGdm$lnJ zrt4qF9%4Mq!ar3>)0)m{Z0@vOJOBIpk zf+pKPKYIk;W8J3QOn5g)^qo@T2Jv2dW1m?&$JZ zR3}dbwKV$|ZfA>n9xXarkW#JM>XTRRv&_SLcjfSPu<8uBQ9UMEC~a>?|NNbcfn~Ax z{YZaXU>Z?0r0=sVH2AOA3+wDkO`d#ug!plo!WFk`LRI(_9eSKQL^#$&g+QJzsDyW8(?2e_}TwJOKCWq$x^g>mebz7ukL=0Sp$sV`Sw;l1PB;x5B=ZH=x4v_-V)pQVZpGh3KlhXpJ_moSQZc-gN!%vC1`MXlE=IAoqnEbex6_JPR zvvNRU?i@v8qT%CzLoa4}AUr9tq@2F?qlmbK9ne!{51rOQsYu}Rpc$xpUaIvG1YlK4eJbu`9jMBqSbe7UyeliwIM62=ya8B zTeyizB+c)*?_I(v?Y+`sCnF5aKkBLD1az`)0W%hYl0Z2nkKZYceRXRPqX~!r4rJr~ zU%&iuj8;*(uMForo#*N5Nj=2gIn@h(=6$TPqn80l*i3Xlzd2QDw?Zrhlp2-k?nTcw zIYlYf?!MnRZMl{?z7csf`zE3^yh}V;sWdKFJfJmXG`7@)!Sm5p+g?C9Gbuif_nLX4 zL?<5PYXZu$&Kwy+0Ic#Lvc>j|r5%&He^XJ(BYaGI--PUsJTro?2R%7ovxXkhjb#l` z4flUp&Z+nP$mq z`O8h5y-(yS?kUZ$cpt_^r+)`PHW|6ZAmD z9^CpWy>XDPJ-xxxh8F5;Hq`CH``Cjbq>iZsHH@JGwDKoBLq3 zm$!2zBBL|V2EdYGBT&S_az(Rs7H<}Ik)lSQUE5An*Rbb!`n{!v-hFrAodqddW4w7w zkI}Q|+-YD?=u0>d1;!QgTF)Hg50_G@)&dFezkVq}6*3Bdt+j}$$f+~%)wFi+VJ37OY?`uL{^h7`92D^S zrg%hoPVndK60mBb?LFMZm9AhB$Ul!8TLlxPeQ?daQe45) zgEc|N;IQDyysRoolXvJ<|3zO-%i%-Nv1zay1n^@DjO?4t`)fW@mCw}Cu*^lqyPB1} znZx(w=3eY{HTxD>x7HBjtT&lgs2=Y~o>S$z6T*rQ!OD21q`glgu&_nXc*&-D4PS;j z?w4G?)Fn8+>d#T6U2oB^U#EseGIaH%{I!~7)%{z1c+)m9V*QTM^-VtG)OT11`>K-# zs#!gB`m&9o$ieeqsL5F^Q^m+T8FAhV4%qlizlY`$vv3Z!fh=qyS9Hs&oUFQ*n^ZE?UVF} znZKuFN0q{9hiR3gEOQ@szDV8V*rV6=jhX6|z112Gc(8?4_pX6g&#qB@?er6V8+457Mslf%Mp|riL+O>qFXP>oV*_1WTSfeD8=no? zqH^w~4P7C`B`^qAoE1jN*H6csoxsXT)erdU72(2>aAF9`a?vt zrraP|;+P(iRzgf&lHKyKC*a-epM=tc6tRuPjcYvT#~OUHdxrtIX5tc^1P!XbmA)sp z?T7`Il}%n>4=4JLm!|;n#~sow=vO$6X#zg>b9d$r<2c5DjXuSEAUpODCwc!;>W*ec zPyKW`HhMknR#FQcAVzoArR&nWRkqhmwOPxt`KC_W-0B?1N6rR(j7(k|>TnfXPFHqrb&Qjzlst7ZYO&{)X*6Bmei zB$Cg>0W@uHSp>AzfU4EBl!b#hfJ0 zfzOwCXK8&DkJzR^t_K^yyt}XtNp5gf5P`~mJZmGgM(?{tv7(|_PubC0o?@`x&67{j z$Lp zLr1tBe4b?$KJPSh=RQ-f*x{J-<@ySiduQ~aPK6{9Iu|TW8qeaQvj{2T9ix6LJjsH6O#QE~LglMiBa1^Jh(v?As!+c#^*y{ZQ zy@TK9FWafH74n)X9DTG@v`p&ye%&}+dy^2LCiM)ZVPzRc@4Ft;s>xp9qeQ$Ct1*4D z!#sVW1HdJ=c5c}zh*W-m^<8rXdIXP<&%J*rfA5V~*UY_RN}BDOGF{YWkKD4F>$8%<581@<-7@m6#Zv0WAB1>Rc`xzKZs88c(MgJs6OtTE}>`NnY zD1ViEXm6E7jG}(*d3MM`kO> zn+dQr?9tsVRd;`)C74eG?y2$vhURP#)@asWJfoFG+W{7OyvQk`Q{UGJA}lmWsyU=W zUCXBk@yN!!Qv&Qu`L@K4xOw29+oE+MjmwSVFhcPL1~PMXW3fd+Kv=QGL+H@y)u`6# zql5)|h*v@EM`{^w6@;hyn$Ai~%11^XJKCS>r?6Vfs4^6p=(lZ@Ds zVhL7QOL2%(qK)sjZD|)&P>}MKES!E$fT%IXz`Ir!44tygCLUVMqfN7SB9bEYe9;=T zrb{a=g*ZahZc z018&Li{Hk@&*0JsQxkO9Ew7JZP<8%g4jp}xe1`7pVL^w1FeyAeXI7eKeebv&yN2{V zCMW`%*3bhhZX?K*18pS@DJptuGw?p3iB6a31L(^nokX|;-Q1N_hU=bci1r4)qTHN@N-%wsSPE93W~?%@NwM4DQa#7qb;PNIPEqAN z z^d?#SZ67x$_4v?rViN{yW!H8gz}f0;vMEh7IQuTNW|4l0t-cB8PIMT}CI^ z={@oaqgf)5n4>3`3>N^Tf@aDnXL+?EYn$1|?kxPzI7Itwoj#=dHp8?}RN4qB_}hSib$1j2%E=(_c)~fbPiti4Y7tLPL1r+02X)={ zS)G;nJKV@U>V+0bA}9;C)G-k(wdOv7Hhu64El&1_AvtIE?39nO%$K$>>hFQ>DU{rS z{gVRX+_?ejFSXK`DBPA*VG;YiHSaE2?&+rDso8j##m2o6(^wzR=NcQo=-wjVEY*)GC+lJ02SIF(Zck2D zL=~FeDEN$I+tTXrDZA3(@ts%Yhhm4!v|I3jw_S_AZS}pAxD}cpQRmezC(369t@NRb z-md173{uepw75|39*4{XEyP7_PMVE6YTYHuxN5yM8E>J6z#f+M(iHqds7 zDKH{*Mp!)XU1dy+Pn=zJ)g-I@Kq$*k9^@VK=iZ&qD<@}ezr&RD%bvzRtnN|Tt}^1+ zzu75!ut@gRsW#X1^R=Fvy30JD@7kxjv9scJDAk}Csj1Iv`-ySy8Liq~gM2AyX#Y!2 z5K_)sO|X{&l!68&b3gC+9Q_D$=jzAUg6&^jQZGBgndbF*R}W=3q&sCMSx^QKqyJXE zVzFiua?IuPOMbt}aP(9i z%t~kl>_fP;()C0Km?iaNnD;Op$-O6CtnwU)f?PQ5L3J2&@d}^rAP3Tl=Ua37HqbbO zi;-xR4f~R>`{lM4_+!um!!%lR>%F(tyEJZw-gg&*(heln%4<7n=1V`Qpx}z_sOq4g zYF>*&Ax^Y}n(BlLs|VedpcC47%gL=JH*{stmgP#{5V!GXT6?rNGp^e1CgoJ*x)G+i zRKyP#XR&Cc4tIU*NA{2WG$TMw2Mt5@jVR9%Uxq2?63T26c=GK6>%sYvcg4H#}%az(wr}P7jy+ zJVK0_aD3ys*@nOIL8Md1tP|GFacZ=rL|D6?!1S8KSg>U9pZL#d^5mV&(nr6<0!4fS zQP$rShxb)o!AqiLkH|;BRpP-X+2#ecX$HfR#ZRQeo@;?(IQnNN<9eZ~Yw{&(A$LpV zfi6Lv~QHOqiElr!&bk>z$AC@~W{hsQ&;90@;o|6Jtr|4#Eam!DnrImS1=4ZBEtG#$t!5^BQyyQ<&0I9kozMD?}>JSM=~qBt(}@} zLawju(we?FR5Gl)dC{fl(oggvXy66LIvNqtO&0!dI4905N%ynah!6o0bq8wDph?Sb z2s)JR4uiuOc^#?9(R8|8`A-0uWUTNYU5Yl8?Y#rXeWQW>;qOqV!K3@ENdSt-$Afv& z?&;6jdQH?O-w^>fU_W~H70ndsQ{Q2Y7K)NWKXSWUK{KhhNTQ?sztEF)9S(`{eHicS zdx$FC4j_b2)(iE=ZU&*CLWgFMNxN2o@iO zQ=CK?l?}bcEKsIeveKjH%u2p}lYFdrw#B3;I@!${cT)94&LZ{d0I{k~(o9-~>SVqsCx#*#sKI z^1)w8tJYSKrKHwD0OEETT`%)Vmpm)jt%#ylU#PmbY5>RX4OOoD3Eb4uXcU z`1D@bj+EYsb<6LQwh&AArI=^@!5rJ0hXpYeh}L`d(2b>S4Mb3=TqOOThJgnAW~`{^ z_vRKSZzVc?SML-{^rI;@<>mvqe>pG0Z_`pn%RMiPj<$5@s5v*cK4o=e?rRaK=zMl1 zoydQrU?T7tGBfvd!p=!Bhc5pX(aOkXe4&XqYK^YUCN+$;?+SO$>v&-jyI(%6apk%N z;R5iSHlin}>oi}-V!qTo$X1_mriIFOG4Jh&P338Yd4qEvw|VHVzHs?gFK0RWLmsJajoBxx?cabcP``O z>m#>fXQuMyy9O0TJJL-wbi7$}^-{DOf|m)89$c5khq7Im1J44w3soO}soghF&OD zz&X8aVQJ%xXMVY8@ovz%(0U{hQu4)?3m;|luag0e*Z-w&en_0zmO0%Cyg6W8JG7EU z(Ct2A)X3fT@^dKco8?0HW3UH1DilF@uuxrVMp5B5Jkr9~bi~8t$8K>;O_?!$oV%9x zY40nIQ$cJI{j@K6e2|{Yty?!=JOtlc z;_g`L4jUe{f=@fWYKA)6S6kxSbxK>}GLNBosRwcG9tD&T*l5inf+iBI{zsu4-AG^f zxrisVFZ})9GU^;lpEc4ucb=~V64S$|Ac=N3nsC591m0tNB7NxB&xg}HgZzz2MXV3y z$fBze%A_#3bsjmH*-d{Ejr+1lt->5Ks+$_11o0`OOs93qTBY|vLO*XS)8!(NRI||r z*FeiKDp@s# zD>~(UAowIRJ!~H2Ye&YuEC&(9=xFCoDx@L=cvulb8cv~8)UXcq7_muFD%Kd9HacQS zDzIRqv#Ydh_9pUPU4b`c;-UR?C+Z)TtY>n+=oy|*2xbT0>)D$Aok;ukBdKo%_t2P+ z-JqSQ`$sNbG&J$~>8B4aJNwWw3vqNgBeD#1D9duJ3%I<+?Qx8~|B`N(4ig9Q(NI~r z^c*t$E7e!asnG1&lv{wTDw|C6G9Sz&&r!`ed$Ia*jrawb$#n6+1BGj)tI6SlKgz}$ z3<2W5cR1#bN(()%O7(>0{|*@v*`mTUs&^zd-`sSalOB*cBuk12V(xgzz763#+_!eO zcytF(g#GI7Y7k{h;+Aaiz=`AMz+(;UhvQ4_<);P%4FIIamb#N^Bu>u5$mr~*ch>Gm z^D*u+kLO#QT_0bbg%w5oh6ccp@ue%?EI(`uiK)0H6Ei`CwmI7+3;hmh8T21>|L=T@ zzIja*w&&=!q=xNDrZzp|CEN-bH7tItaCsH<*Sf%-1)PwdUeW|ZW9HRJslY2; zFR!h@?%g^yrH>V&^@Q&g|7W1OYz!B%UL7`mFB&d7kpLfewrb4U$Ma%V%-!b6;fCL{pk0Ukj2YXq3Qpk=uH`$Znw`C6{dRbZBkSY__lB_SM8tfCA)ws zy#PyWlg`n3`gk@{%k#gj24IcqB_s~MY_!X2rs+ybYhSi_D&sp-WV<~OUe76(na$V1 zIj1-W4Y5D`k-xUxfI zKekVs&aJlSxz+#$|B)~0q6{Y7DEZ$59OO$_y0VXsH-Z4gyN524%{-T{@fh&`)%hJ|y_@^sFGe^8z!rYt zxql;xE%#zj?oAT+s~tNof8jW{tbn8#3RsiVD-{$H)_uXUtkiNh@kLJ?y(7G3vH4ol zqh^Qy7AMMtHR|u1giZYcGEWa(K;8*zUQBTQkO}EOeXSfJ0JHcH9xu|}&jy@W3{^mf_w*zpC(s40?W>Qsf)kaP8fVAFst@*FNr$XYP4|8vJYx#vsiCmYINfq z`cWI#Z!AUT*nkl>eH-fJU-?4D;8LN?1*7-z12X16*vXd&fxMVIAC-f5ms0x;OjmEd zww_9H4oY*-?|S8)+jb~hM%;b_z%82CQ=44LLc~(s(TLA!oic# zD&DkY@#HA;3Z{0cA*Y+U-}}^qD_KPS<7dfR(q-MYXqhs$7OU`5exKdcoBuJW44UVE zkdOCocCW8(E37=ZRQ`zUs+UovS7cly_fmlFz%plK#5&nD1|8F|KUc2bGr8G83BJvie|0`;!56-Faptxd)!^fW_weRSOy z;z!CyDqoYXwv_U=nc zR~;kj?Y5(jID!<lyQ@`k@iYTaju|#|IcimoHNHM3H2{!OqjZNR^>_Am2@0Hi)(>&q@wd6{`@*xYKlXB+WFC`0s5$6@`ZX!X_XlojFuHS#4`+^OTucUyUa=~55qZGi8U2;=W&>$r ztsgE`k6wNl(r{2q(6fbaIhnmCODs9=KzERc1Nz=Stol?324}W_e{Mi{wN5 z_MKJ!_^b~GRO zZ{?sZ1@LegXAwV{A0&>N-5ULPzNzMDWy(UO_&Jb zr)JCOd5K*sq(XCrYMo}BOMWBoc<7KvGu5x8`0`fZo|4WQ=Hq@&jjpuu+5 zhm-#UzCc00y02{oh_46hhOvR@91%ODRmP1ZoKf7UXgpTqXmPVv9M9I^CJEoazaqF{ zL1Qs8tCPEo^U`x=TQls@OBnc|YGxCGJ9S)Cvq?(m-bK+oU6bTv$rdYy*krB=>#RRN z?XSr+kTF|1#``9i{Df?urJ#PIn|TK-#0)eL@A7FgK=KP7mD%TXoaG4J5J#PL5U zr^FYw=1t&(-n2LYi(efwdw^-2SO?Z~*8D`yE^CqStR!46c;(IWg6x)B+tcb2$`<)t zRjmNCKf{_gPb+>_w@^MII;#Xf(O1T7HCuGnwBR2fVyi=vVq5>Z*j9a4Yqo+mHo;!n zd8=#{b!khI-Xu97$=7Jme#O+XG{WHA3rd!2|hKOavN!J4D0 zS^0gI?o;j8kVDe%LIRtPtP(GQuEuYNMvk0zUOW1Vn#}0Jf4EuLw~4{YwI!~*^%%c^*p z$X=6{c9CU1I<1vV$Z30vLoGlWwzcGi$7RxhcK7XZH~Je=^)fq)jE^O!Ad_rXTP7*;^i1Q}eRmc0HzV z7Nkrxd#xN2MRAuh5$MelcjVsHk6XLCCvL9_g{Rw#6RJtLNoxr-;%b}U#EJMP$IpoQ zGR4x-v;sE?*9)I3`bFvL1bl-plH{9ASb%BHSkJ7tU1&2r8Dnl9V@?w`G90kUB(R^;&JA^&mCnq6&e zQN6lQqij}MEXr4EL2N5AepjKsdbeB{wt5eawzpiseAkszZbqHW!3%u|)*!!#J(Gyh zRd&pmZ1G$+blfL@9jxhM)Unb6;hQ?xW43#IN}k%3?z3?fZ8hYq@{3wy%{;h4ILAEi za`;+Z`y6e7^WBdNf>+ut6{PN2i&S*mqt8i6av+hulqBJq)R`J(Q*62DtTaVT5YLNK z@=M(5vJUgtaxq;~!#95&7rqnlU6n^y#n* zWrcX5XJw7LB^XD2u0u^M#>LgszLaZrXJfyvwhSY-b`Fp9{33xdAihBU{r%ElKHa9Q zgd^`_qH(nCg0HGVPGFne_+K1>V|$xT;CNb}KKb5KQC(<>>jRA3C4VE@f!diaP`Id1 zwfbM^QBRLWcilEIyH!>Na}WoafF4wIT}f)2?mM-rtUm#_=;8_ZWmxu`;V%#kzV8rE zN%fm#3FD0NVx6J*2#cekrUUZhFPITER3VQ`H3&3KBAo!6d+Dj6i zWy%KWa#;w>AZOGcGtN>)$SJ|+r>J27R5X_BbR8aRROua}v(Q32;RXIB)d~mruF?g* zRj4eKg!)U^7g9JF$JL4`ephje8Ldz3pyocVvo=a2m0^uDT8o9x?0-)1BPlYC&UQ(D zR-<`BdpLvd7|4Z6!jr;h9b|2(xM0JRqOd%HjPiVOWHq}~9Icros|258C_Q__$xc{5 zoN>$>X^g>F2p$w{UrBOZ09%F8b6tj#VQ*rm%{mTipOF{heG-#~-<-3zJJLaaW8Sy; z=mT|7MHIiBQS~2E9pH*$71mDT8imX9!eNcutvFef2$}B3W!3nN`9;Zd=DtIM?{$W! zQfzt55AlMd`>v93N{~4TiUrn5x(X8!kwgZ$IY+Elr!JHEv}DGf8~d?s`Flh%P%XFg zONse~|L3%~7Tc!FcDdk4q8l62WqnN&`YJyLrtK?TmdVP60RU6RHm+$ZGaHLDu_8CmsU3w*QS=UU)P1+TQ{sFDy(7xp_ZNypX3 z++j91olsJrX`#$_d{(!> z6#)E-@OwC2Lpma%5K=3|-)>+I`7upGkNdMCY7^ zxet3y+VjhI=WB4|yF~D9{fGsXJKI-;nS@KVVZLzUe->NC0zyUIDZDj_{#z3F)q*dT z@8@Dro|lRYc_kIWZ_-<_+@&laObr` zTPj|r7vq2^RBrO?XR9(Tu+;{S{L9J4rp3ttUsPJ~3*Pv_=$ID#PAGILsSZo3UE)^R zc9(E=_aR6Hgo=HtFO_je_idtfTAZxV8Ql{DQdJScFXA5)t&K@Llj@SR-Xq#oGu!SE z{k=7~*>=10t+d!HE#epB%(h(r9I3YS~YH*YGxYMts<$pwRhyHLH$1`63Cjd2>|BtaUK}|N|VMncQ7?nK(m8!BZ zG|q~aNoN)&ey~Q>%)&fzn5w}|W^xw3#!cm-w_6;qit_110-4uJU^)6GzjK_&Dt4&f zrEz->$XwZTgJ@LE>^UVm8*6Zr?Xch%B%4JXvT=P%ZdNfIS!oc)0b%X8N)&e{&zgld ztA5UbNWYo1*Hsm%lT|bB{O(`{kfLFB>u!uMvG?ffgr3`Mf0D zDY+NvI4JK`DqXHowpdvp%9mp+%ObFSgVwp!sF5FK+3^6;Ar zQ-V}h1;UKR$YUvjY=y&H5Llc4XqmUYisZeTajIszY}dR~!8odXz20eTNqj8JR*Sw} z8^gh!8UO`*^iJ#BC|>~PxT>;1Hw$VSJ2gokwx+f!7tXd zFgI}DAmp)qWnorPt3~Tf>M$)1NvgxiYB9=dh3HS!;HJd}=W8}2X5gs6yNT^rB_bx_ z0%2~DP}Lm(8#DhyO$jSIQ_W~s)7B)MD>|%7s%8>yLh>5iB-|)Cd@Vv4HKl0e9VLTc z=Q*NzqYq&m5N5rzg05ju&$PHMY8yq3|0QD0w3s_Y3!Xk+J7SS-F5 zYjD$Ik>Kz(nX&U>X|X{1R$5#Z&4qmk&Kjd1R z5gqJSHPd3Yq@C5`i&?E&tmw;(FHVc*%02`wfaou2o5%bK0kO$+v|zP1Uqp8$k@AWFT@KcKrf3sg#1UrkbV{4$dDqY3v~GH zR=ei~7VWc%@)>dAzSNn%Bt~wLI3Ba%2|H-56`d1(%5<$01YPWIkRxliN)(`M@&Y$q z_Dkm3HLKdm4H*hs@r>B+JQ;kg=*+HJmH!90Mf8?P=Id#mHC=e3y%t7lb`QuY$Qr!6 z%Toqx21k-PHOjL^Is9e5F;C+cuANqEp=r;z4Zc(Gqb*j#CO2w%Z5*M`v*T*W66a|5 z5ko%tOYUExQ@ig7+&J>C@4A}(d80LCw(*8ZcJYj8S$d{NkIAsztzozooL>k-mr6FC zz1At)7$|dJbW`7+X8!|vYICq6t0UvMSzcY-0>2>mF{M<+ai4Ot&e389j@$b8S|DX( zz~?^&p6J`!IG&dz+iR40y9UaO({o@bn3XOHbF0oy_2I^mZ?f2ot4vINMwvUb>lxl} zCdm=e-YFQ8TH7N?T^ECcvD$G?B@3GMu1gZ$rKy@x-YFt`1g|Lb4M!+n@7v#FLswNx ztKm8_a_59=b76EE{1h}qwzB^cJ>?0R?FoTVUMI>i=a{9uE=lH~d@RM86=1%-yI4$= zF5c#e7aCN&f{!6UkNOnQE1C`BZK5Tr{4)E^dHot?W3DOtc=xjUmY>}%-#BnD+O9+@ z-ZnnJTfSd{TR$3bML$&Je#I*Z;&0sTkc9Q?GLw+&vUsC- zU6RnQF*i%Xec8Ml?}wnN(T#0olYrR1y2h+*#n`Pi3P?c&&8yXc4<{a1mED(WGMLN@ z(WS=Bb&(z7{UYl&IPbI7(6c+k(1E|T9auD3Q!DcnmqvlL4OYI|h}HRm$5`9jIWafo z8Xe1KaMr84)X56d+D=ttVsg1C?@do*jlvq$^Xfh{xVFw&C|&aPP*j5zvI#Rw)lBl! zqJ2=39OzdLm4lPXghp|%FlW2& z3sOXxeJ^PNzfwx<)J$p3Btg@8{Yp=d!LMo#bwuA)5<yg)Oq7)h(m26>Hb- zlb8*6>7K?cJ9Ns1KTGiV#g(<$6ToyW>~T0NX=L~tMZZhJGj4&4nfKRY8SypA8Ov{a z%4nw{`Q`r;bgWV;~vI_bV7>A@(2?-89t(&d8S{GZW) zFBrHNS6TbCbUD$82i8bS`jSKEyf`lHL*NEPXz4zJ#ir@9Qos{#PrD~!##*I!jVk>( zs@_I8;`Zb_kYT}PqH|EjtRjK`g}`; z|4TJG#)I{9{06&?VKv1y;01BqsC}$JE(^1MkIpy_yGKXfq2J;}+Bi~SST|QX@*S3= zwWv1JtW)$>dT>n4rtqPl|_sj@K zs?&UNTqD*oQyc|}qV^8UHa5>V!i^q{aU ztr!h|zt%Q9MXi48z}DEi1wZJ%5w;T!yf;8cl9l5NUj)?*g+ zpxT=JyfAkQK9#ZoxKTJK_+r5=W-Itv!H?G{Qy;>ry!RaxZYT~HDD)P}FYBahRNb8e zwb9}US*L1seo01ok1{!Yxl45e>+Mc3;HD>V-UXuVOa3*=n+3mS;NIZ`H>53sUvRkX z48B;jdD~$@0z%`06uhdl>WxtI3wMpUw%yr}CZoVCcBV$zBwQ}a+#{OP@7p5EVLbyH zWumrAwqyO#92m#7qRe$)`q$7-0|E2~?>FtNr4eU|mFVJ&)hNanqU z;#-qpyY$=YklAUB*=3*b_X%#E1p&-DN23hKjUe=!#nNHnFYCiNFv@2IpEBk>`@WBz zY*H2+>D2LLieRJ6N=#+^rok!}s|8=9J@z*MiGr6E(l-CJ!vCgU|Y9<>pAFNS0 zFADlojzz2De^G$a-c)5xbY17G8gCt+mYvDOs@Vze&QTMLKfT990gmP+AjW;JR;u+C zuj#^y_mV1J)r>N~&_#W#n(??S{A#^Q@8+zIRoG^8tW-7A{eq-RlSRM7D6@WFA(;7! zPB1yEv_ms`tf2uOuR~3K#+|c6$F`A6g?zPdF(@w-WLz%%9#r1A1G(G*+nK^WLU>PX zox}4Pjd!B93qGe`QB61I;@yJV8QZoex&UKXs^Ge!`sy=Y7l#Nea8xxHyFSDzP^1EVs^T2Ip7qx79czTW~ZAmKykIl?^g*@2`_@P72upzN>sx zhga+BqoQNNKya@pjEbDWYEZ4BuZYHaIrnH{XIV2(_NjBPq*~tRj-k$t!JT=xZj&l* z&!~@lU6$Ax_XR_^bH~_phx?^l;$Gz}aX4FX!GAx9mFg13XA-8rsdQgj<9f zy6}UnDf!8WqmB3ke$u1JF!7)6GAW8?wM5q}5nr4zv_?*}41c-k@V`xL2-3|Gg*lFC zJIg_&h&kQhM&Z2Riv+NopvT~AM0u&sDq*O2Rdj(F8gtB-w4`=!+&*GkxXiq;GIF zUF(z$6O0qpa2;7CSuF}@YjDF~CipqQLx1}(vQXX_s=LjnbjPy7f|OCfcc50jxU91` zJ_0{KD4E{mALNT;_WAkkKF;~x>T$@(K0dcXE2ncS;~&Xma^1p40n6nc*4M<#&nMxy zmo+l@F0xt~U7uR)^k>!o_>}Z-`Xgn}AN`WfsW(5Y&)r%6->38Ue}(<0!=KP`w5Sk2 z9RS}$gSpP?Nu$%iNc5c4Q?Z5|tefpNu-2_P8RU+z<|gVLVr~AoBU=B}ozvRZe0N0a zr>0PT*jay>*j~6z1}C?Ne( zo^IbMgWsWQ23uYA+$pVfNph!H+vUj}2{Tgv4osT(`VMM+2P2%D9CyIrJaBl_ZDKuQ z`oPb(E3ZeeM&oqb;Wn&DN3iCli`%s#9l@G4I4HLx%to+&shHluync9Bq^XLq`2iW+ zZuktZ;r9vmkH4b2+>1*-RybVhbzSb+3P=d`25Ua!`uaAomf~Ygnzw=VxXE=JSd-i1 zB-d?VZFjndhZ(}%2G-+V+TRA&<6Z(hRMsh@dx~gFtOJVfui?a}kGYZqQMi}#IX<1z z`^RL#i|p1gIX&vb7?L(}zPYC#N8k5H;`XX{KCQP!*Sq%tE$#nQ3*7uSim^BSpQ?S) z8w>D`_0pwZvhFMY#j=;aeCg|6vpH2Nvkj%|75bdk@+;~U^HkKUNBiqBhOKJyqTc&? zqbk-Q!1KE0ctdyb)=YEVk_O$C3gk&0^UXmTtMQBa*m?y>T$9*wY{6p@nd6}zPvz+Q zu^-b$jeAmW{61~e4AS3%4p)7{y44ze#5lO!)-XPZglIH0X+HG?)@;DAge|MB7aG8( zVT~sU{TYe(;Ns!$b$w=pdp+U3|G}@YHEO`vBYMnJIUF%Ur5x_Zbm?D*-TdMXN8GFD zA<^_w-_v^yM?`o=Xa?@E{m`(qf!yo~slyR@xOF+AEi8GYJIoQmM`#?rQXj6yd%md1 zlQe*uL8Bj*Q-+m~wCBXBB;hv|V?@H%)BthSwG#+%q9ZJwYkR{^RIx!-Z@>Ua+vCtTE-uPRg$`_BM zko;;RLM`?LP$mkHunRLYXHUw$+v4r9+FhaXv3V4hb?&d#2sHY;PU3K z?nOOoNqpXxpb4CBvXBIfCE}B#4LcsAO1`8KlKGr5%o;NglAleY-;t1fgiYLkC`&X$ z661$&yH-zxWR91I?ttI&`L>`j46R=U269~s}0(iF=l31Y48VQ_lvMQO+6>*%Sb{&sVC08{< zlIj;)vhT76;hN(i!Zbs2)}6b&xlhu!Ibm2xqG1?+I@8q{6Zap9bRLqq6JXorgc%CS zU!|pZk7$Nz` z8jy#iE$)oUu)07<1~2gPDmjg{q>-8402YWL|6e9!`c|uK&0FnJWz^t!YFIxc)2xRVUG}#HGV_C#zAX{3Ho~k&c8{J zuQMCyz5LN@(-Jdi4MfVVK?TJM{AS81Yvg#jH9j(B$`Bh*>b@F(rm*f!n2(s)K%_Qn zkOjl65!MR4+#0jKsj|k6UlSoUgY>tcbE%WRMEMAa4MfVVK^4t2fLhXQz_3D&ms{g$ z{!1pr#s~O%xLSh-=z#4v3?DJEfk?SEsG?{YKJT(d%q4-BTjNW4M@S88ylV;$t=9N1 zq2%xEj}se-u5Y4#&^%vxq3JMdFop&mdvM2GbwzCSZs9@z zR=2?tkj>+Qa~ky`X3GS~Ho0}M0(i7!iS)}_zRu7~I5g|+Z(;ZE1pe0v@`%Zh7fP;Y zyD88l>IE7OtDbEc60h-0T{f-Bko8a2Mh%Fwi9^8ePF*u+jbX7e#ySI$LQ1SbhJ>Go zS%bMG$Abpv(3tu&ZPb!Az6=g#jVwSwr*Qvf>Y6!gz_zQ6VU6Zo60h+P8{z9Fc{HX! zKH%lnxPHY(V2#dJk7 zE3t9qMrDn8cZJFW*REVP=ijm!XVsP01diil42N&Ws2j~Id150P+0bPT;vpJ%d2Eci z^7>;9`+pM+%aj>-JcAS|q|-sjr+s$L;Xl*oZn19*%VGDz!x`a(uJep@Ivm3hylWFu zV)VFd4pLo?AV~?|XwZo1?@BhE&C8~hVr$0{su(4dBZ|BCEd^f%hCMHzlVmcRmS)=1!dW@l6q=z4 z36;0$Sc6a;(;660oYR7Blr{8K==Xo}EA%r|8WWm_Rbn3^M}M5>d#u5gdB@c`wVlTA z|1u0s;@&ss!`7C0SppCBfI%brn2jj_G=cL5`p*me52sL#{62mNz^BfTy z!~`Pc*Q8`h%!c9XoFvC+j0JK$)C>Hn>>E$(yT9@D6b&&6hg(BvwY$BX=9ZWtYd{u} z(1eDTWD33|ZnR4<_IU%3{v0p2#;^UFVG|qQ{7u@Z<>Pe>`eU@=2b+4+xb6V^RxH7| z$P|t{+BZnj{2msufu`YmG;cOwVTr&42l!{QCq$3Ro)FRR4NJUCEPg*3X?k(dw8RX? z#pg(_FGMyqG>PR*_`S;#afKFmkia_Ncip*BS2PmzEA-K?Q!8YKTccS)hgoBoWg;$y zR8T~^D3uTsO^6G8%{iKOStI5haKX#1aUX-#$ddQ#Lo-N!3;N3i9_IAoyB z7D-IBL+#u^BO+ zFgnEI7%j;Zw8Z!9yJ91(5qLFg9ME93WQ|v0i%x4O3)CLb*1*T{>9PiOBXHQ)tg!_Z z-5NiejL{Ywlq*Zh@vQ;bTZs$CMcv@Iqv5&-C{*dR;LxXT*!6CZ&WR&- z8DB97*5h6%HbZjQ^o3&4#wb^>7H%?z_85`k9n(`7R-jq~FB}jKfd-|EzTceEMva*J z#_pSm{7;czOo*1CGvJ7%Nz{u(dT;~IYJI32k03{Up+rjJYmX7RDhnfrVFju+@Nia+ z2aUl0v)?py9PwPHX|);wW650m>eMy;N(s3L&j#tv(=jULpDV8T?@!P`OIpl~!@?3g zLNdaVbH>{u!9tP;X`x|Q$DpYFLtu2WO@W9b7(TF>~Q%ivOO8YN_;5Y_NTUh>>KE`@@hUC|z z3=Rv#9@sc7tkHpsgZ@xKkXvK1VBu@_U2#Ds<#@0F_r?@QW{Fv^NQUTJ%X*RpUZkD} z^cfWwX~-DYqhXn@*uWCBj<}%fu`z&km1`GWz!;ae+!|v%B?A3N^?BViGX<7tpMQXj(?-+`NW4uo;{*C| zjFv<@K8{b9HOP*@VNV11W9i6hhCi)s#s`*QX=+XwKQ5r0TVdRoVB8p%04-L`Xo6N) zL&izV$6=Pp@iZh^;kp316@L`tejhI!_plp4?d2Wr=KgMQEOPF)& zF#+{q^GL));IYSQSEe{JOU&z@N8Tk%|3E?<850loUetS#rEJ7N>=~XP$9bEjnze%Y zB<7U#k8||j83kG(Q(z4=;l3t~8IuMq))2#BjAtzPzw#^Ecze}%q_Aj<3%)zV1MS{; zadBI%k;Krfsy&*_gf+riU;p(^T)RhyM|7N-1a@Dm&v%WNB~MUn^nW!n_j??R)W{Z+ zL_FjJZklk2glM#gjl2#3Yqp4&91j{{jW_?4jbK)tpS|o7eALU~F?H`xnA6V`V^GzO zIAj>`z+*gh1pLSptnn{5Xrm@@-asb--<`TfT7xIb z{Y*j2ytSQ&CGx(eHCpNhBIVY2D0QQ04MfiCMrIONK3|)k9?u&6Oo3#sX|64O!y49T z%M!+TrhJRU`AD|L;3|!I1fP$ZPjWm+(mweQ8mvZKFgw$LqrNu||3rPSOXnlwqBfs2 zwQoCb!eBE-T>PTYo-ElAj|hJ#aS`*$h7BU*Ew7*f(;jxS{L_2n%qNJ1XkiViA}wkG z79x{I!5AN7K25;*nA{qTELo{Bytg-oH6E@k`BsVZu`El_hz}9Yr>la}m*ZhA+FQRZ z?b4mTySPSIGf01n;noQJ4GA(bOQ@dxnYB;E51JX#JP-V4mIOT8KSy%$U1eIA*4A5X z+wdR}SrWQaGww<+s0E}?gT?>T^cm+nWzBnB5JBVo1B}*X3HqXXc2rP&i%5A~EM}ay zVbwFT2~_Z~1~4r3R()t#KABM-q!+4MD4`dP($u!|s3syq2m??Ew zYiNuGay$@#@1Y2(VTtYjki;PUE$sLu$tNVpa7!eVmMn=Fhn4McKdB+X-H z4HDC_M#NET9@dCi646pZPhazFoq@iGb=2@-?8tr9dR(s64_A1qM>!HF{qB==hT^Pz@s1Bz@r=ZAMg}J z4NH7AL8oSr{x+=e-qbZRL++F%;+iDph_0BxN?0NA&=XuO2vWlmL*7bS?%mUgiOBtk zhuAyEp}V|RbRa%m9(sZY1)AVzA|DMI(q)OTK;S_FD}sOdvNmd1WB!x1Q8P$?3;Lhw z^S;zIy|{o#Sb_bxZHx^0E2(3@R%@DsHDH0JqpT5l?7{T}NtSpcW>BLqYgmHMze}*` zTY_O>jYMLM%@~$|3S!aF1WSak1I9jY;E^FY9{tG@S*;lIA5mcW`edZ(St2YDd)SWC zZov}Frg=p~yJibZztWeMs@ju)1AFpG&N44*&t zGUkzM31TAGvda==MvjM4;6|oVF{9C!wTOqU>izrc zhBXk$jghPo84@JA;-bwOWDEFXSc7|<2gN%7()c)UH0FMfaGyozec^8SbEjaNkadrb@x2Wxe}DvNXGi(#=rW-#Y4 zgJRK`f8L~H8kzDYeQ5uiz4MRJD?9JHvjK~`sg)WSS~nf<&V~W3Vx^`SG^kcR-ksew z8}BmSxZ3%{7H%+&k*vsoqxui8Wr7L*W2IW)60b192vb~SsHM8XLDsTT{f7k>GQy~n z#v3_w(wfK-R>1cKLqJd7k?|_rCYs_s)-n%9CEDu~;dk-uYIc`ve3q4C6H&6-dyF38K zX@oa`p^`ZxS-HjQT3Yf@4)FmvdZWjj;$rgv-W?lB$m)z6t`;IomJe*sdu_Pr4abj| zQ|vy{$k0Lgo$&{{m6;%i0bUI%(-6HL&wextI=0JvwQ}5*Vxh zqSSG%aWE%|-Vj{_I8Ki^9i~S63Ogbiq2mJMebqgifZdJoNlj%d+z7tDTw^P&*#1eQ z@Uz0AnHlr!IBa#0ns!%MG^1f$SFWPf+!g*?ON@Gtc<%vCFSQ+yhNbq!fq3?|}$kbmL?d)X5w-jFLrIj8#dn0PkjEZ!3y z3!YYtJ9a+2p70`t6qUoF zH)=WJ9qW zaEp2DdnfEzobhE2RD-b47iR8_k|%s&<~Vp9Fdl18Xh$?+h5QXczEOyaR6qWhH^_<} zV81W9H#+cqhah@`w_~x8Dh$3QZ^VI_eF$vNWhx?mf|`34bCh z)6qk4*t^=Yqxz2*Fz)gM_Q5e{+@_!?)#nw_N}{k0_up1Us7@Y_HMRhIrlP%6}-{&y3?--`X9BeX*suC z;u5AB;ylz7`}z+>SGE0gp&_~^bLZrt>Bpne9wEv99LxW)GdqzGqk}r@u8@p4@L+#9 z{9BsumH#cxW!25qKQtlvZ>0Snwg$u!JQ1nn2_Kvt3kx5rdWIxbmh!IzT%>nQPd&es z_UNFfWX?$Kx*Tu#gZv!vuNFqoI$B5JBp{Y8W)xk5H(26CDtV)A+;DF!qNh;Br!v26`sFC!_rE{hSmkj; zp`od3X*~r;eZaA?ir)CFx(oj#Z!zBRP;oDGjT4Ubw8vV5_XZzn)~5=+!mmx{5YBnd z&QoxHn(R2r$Ukv{y2n_ir*by;$ zc3eV1ne(YX~xaK^kaCOTqRPh^b^FTc*E<=%)mKQd>zg6lt!_O^+ucZfps;v zxJSIKD>e)h)mkZUls=>4YAKCkzaQT49uibyH>$N#-YCUJ>TX#+Vh`#kx7WxuM)6g} zW}Ia1?Y~_ksJ*JVS7QR_(lBsuFfuY$kdoIV@_Yn!oMRsS?Y!5#yoUKk?7pS?d9gmy z6SWbvX?@#qGY_#{y~IY)UlHsn>4th(=>6VP^7@XT)B*nikDyIU+m6ZeO}mM@ykQW$ z;2V|@3(!)%LEWCco6F;Qv&nM`}@uKoXjD@&w5>rz0P_u``I<`Nl7W!Ydk0q=z zu#7RH%M+AE91Tr8!Q=jB{fO|!*niQFDCbnaz#nN_>=i#}Q1XPAx1~Mef`4`OM@(BR z!6K}Ly1e1%ERG|k{@3mP9iDi;{G#5URdK!)(j6CieCJ!M^uY8>Y9Sg4!V*SK?4)Of5n*aedpVij_4&c3@ZJB z@xYP^ZCL8oChM%+6Y?D6ILz}n>iNO_KchO_)><=q9;wC*SHZnN+LwCtk{^^hKafw95B2CF&oz38N4>KzdBVpR$HgAf^8?!Ns_t=lWGQjsUg_%z)a(%#|4!ZD z6G^4qu2jP#Y+XGB9OaJV7|;28ko1s`+3-nOARNb*r)0>+ec#&ws*DyPoCkj;Pr79CuMy zFR`n>bBgc{A)dS1_BLOz)4Eq=V9TJ%U_GvcSq6QQcF6Qb3$ zF;>PruM+bZE8H98m&OaPtJK5rJE;K{=Lz?Kr-5zfZck638iXZSMOfCr^IGsdpUL@cLH~VnRDW-%O4T#)LSIi%uSZ;5RRi-yJ>XbETN4{>%pWCh zIL2{;=eS@;l;T45A&x!vZ1;;28(-Mzcsi*zqc*K?J9aO{vSwVwImBkveI8VQ`o zh2y;ZnP>7|ii$Y~wpys+QVhI)9y*9+QBL>?Z=4Oq^jzK@&-4`v*{6BC2|&{crH zrJuJ`BlPbjFvHrok=KX1<02`?2O?PZzz)labgW|TaO-qfBXD>p;vDb?c7?Y&qk6eg zJV7V>Ov?K(cp@pnkN17|gnI#N$!ijM(F-0kVEY zn^I5k@@Co{6BYV58rQw60_~JM;ofUvdyblTgVjDW#ysEm z6x<4U;_C{VHH%zVxC*5ImwKYAC6sue|!o>}&g9eev}@FYVm%^8QzMJom?} z;B&9N`Z<+Q{MzfUKF{*}A8M;p8)p#ma~P({`3Q*@GU0!S@1NDFg0@%E)92Gcg%WajPAVZ89qLp<<_9(+wuF2kg3^8o z+1^IS^<!qaAqU*Eu^k6(ph*Z~hd+oyfA4pedWzAZ6pt z;*WUB35nc0e*BR?OR;oK3*s&2CT`Nw+pAc9Zg&53J6_w-5zf2iS?+h%9bP{goVZnt zr$4vn^+E@ssl2&NFC|TmtOkEbTgCB}Jv&D*957?A$&fx(vwhF>DBIDY$!0i*0jxGa zOuz8z{t@=qySx|-$>9n)XX*p{r}sQRyLZR*%g^uM@!WH>&+pl@fA;woy2qhmO)9>&@hQW|F-xJ>&c)yL9xj7d|mtboG)eT2c8QO<65|$T)+4|ad2El^3~Ue z!6Hikyiml?fSi}-M2qSdJdr-$+oA_D$$7h;zw1J(6rTK-wnH}GdJXjS{S2-j)%$9G zBFve>^P*;DuYo_yp1<8&&uQtocZK!5-dj&@YPdzDa?tzj{@g1)r&n0d+r9PVO~ZbA z9+93KS6I(Sz4biZThG(dbNveIxmFLuyO+A-^KfrH&r8q81i#36FSj3U?5*cqZ#}O{ zPrnfr^;C8sFYzo&B_fS+p5`)4CdX@Z`*XUtp0_0OmWg-X_|t~zMu{EP)(~9O^ZYy} z&-B)Fb8kJd-}S?GJjG{j29ntW)kKp2-QLKZz$-$E^E_-*3eq8-S9XmwQYi=IIZY>? z>&Qi7V<^|*&cQKw1{z!yUlOoCJ`EDa)cjaiN{bKiS5(cHveG8q9?ug zFKPSJIm>=QUX}EBY`N#se@glJsTYTLN#}7p$7RoNYpm9&%|F$yd%uh4uti%1zaHiz zYJXANwt;Bvb@9A8q~}&e$Pwe|UgABz`dSe$oz@I{G9VFpy~d9mkT-=KH$%||OMYEx zeYMuq6X{fXQD|>f9g5(2N&Y-&Yg&)GuL?Q5PQIX(>fr|RPb5C^2cGAIbS+#@K1`tO zMr&?Y@SF)_9eTbZRDDK(=RMheKeUlR?|)tSbtKZ})jJkK?||o*g?5c}D1zst+Gu-_ zPW~Pt>;42euaS030|{TWpjIZ3_k|qqg*+^a4q8q3692DgxQ)(A%7qXiNd8U1hAmo< zMbjqTdH!pm?VD;rn(d|pelGRD4_UNVJdXx{;_-hgRNeE~ep;S?(}KvQ-xIR`8J|Qo z{CQmW0&ke1-j8M^YuNUyYPLg`?b5$0WS!9Sl#mw!5(GY|6Uc)?p6i7?Amn@t(z1*- zJQp369g7}K1N{m2q zts~A{==d{2*F6RDDYd)n!TkuZ4RVw-!CfqXbpDgf3?_V%GV3P^H`y`F^xae(K;+s0&uMnhcKxlES zVIlf*g`s{eqfKAf|NU0!cO;Fo7EvYrA4z(Bq(GO0l77~Bc*I;)ZR8CIB0@fXoB8-{c>k7op;0{&LGlp+{0E^Y zyT2i%Yeat5Z#Lw*o({!CUS2{}$- z|HW46*H!sIo=Yk({i5W+VL%+s%EjaXzj&z+@l+a-)ilLL~mb2H3DmaO@bkoDdH zudV8Y8*ETw#r8+F4Mrayc?yFAonxl%B~?cO7KN@VsT)>rdXU!}NM$t!b8k5E8Dd(?kH2pT|>KajV;L^SMFu7Ks_y2>Aha2=tsPBA`Obuh81nEqBj_|au zBY2P(dfU#$nZssLpCJ0J!#De4n!Uu zT*|4VLGs<`h@?hcGC9eg@{mjQus;t}1SS*6sHY>nrsFbCV%$p{S?32Ho`nJ#vY;Q+4BN_dC;(=Qxm+HrE(SL5W zXh!jQvT=fON>%IAT$3mI!44UkYZ_jnjahI()MWc*ZS~;}+ZQx4aLNd|jQe8j`}LB1 zl)dfJ>x&k6vdG>Sc*2WeYIv!q%!;B#a!JFYDP{R3C-|`;xo%Ni>$;x9BQ2BPyh(DN zsBJ^4Y1YMa_~hz{YBAYB&Xa%SROf=1&PuZ9dWsK}-LReKdUpkX>M+H-WpOBi=e&$` zUY^2pI6_!tze$nEk^Cc>{{9?pqf@HSjR@xnUk;bk?svrVPOu%GYlqOz>p%c6~`fe8b8I2}rhx zq_i%X98eS5`so=X#Pys&1rgjb!Sj&1*Rim=0P(K!>l%QZ2^XiFC;#67%KZ2R$wj26 z%p|w{*ObrfGa$FwbC3u-j)yOJaZkS4j}WBa5)bF?dh*fx;a=P}8`kYc7kK`^ zhuo?mV0~jg;={#t`>~xiaesOWO4*Hee;^k-!qYlGRm+hq#*Cqmw-GCWIvO6=gLGP9 zLfZks>e4}?t4U2K{qVw~I)UO9sC_y6ZKQuw$Fmwd>tJ}@Zjt_TDuA;Dj{#{0c~ikEoLVYOC~(g51{d50q0RR)l{|(p@9+v)AVoOP)*WEh@H+2%&GX zZh#!c=PZXkAJ?DW?ti_v?Yrs>CC%F%t3)GgKPkK2BA5R2%ap!dcG``Nx8VWZAR^!W zTk^%P57~~M4;v@ZD9ntl3Jrg55Zv{2fAYCMY77XTobWo56kd-85ZeP8J?}M!+?k{< zD4QCPOaBS+t)oHgRqj1obby5ZRV$J)zFeelZdhJ4?cvH^E2{57!}=1d?-i?G%VU2c)9d-u@${IY6GRPZN=$+{(*te-k-~6wV zle1s|ft-`-+b!F*ARR{DmgnCKYg#yfs_O|)t_e6V_vfd8iBx0+azc8p4bnmUw(^Jl z$)(yktLZ#>EnI(rCsJ>P2yuU&kqmen10^8Q!1S#A`J;8i4aKdr^U_s^l|O$sw43rp z@Vlh?Zo8+jtm_H#l(J)lfZ{b?ucbo~$mmZ>v1IUyD<}x$eU)(D1-X}a1r*)`g2#0s zU6On0oM7;-BjIbv%1fWDGZZ38A822B$^Y&5fG0@iOqbqF>&WwAmF~!I4bWJ8#f{&%<_SGe{yI6w!zCS{RpiONgW6(Z=H6)cBsBwQ_NgmnBVx0A^hV&M0ZR24JH#qg+<|a#4B0ST=jWdEC++1~I zmflWj;l`&}dT?tSnp(JVF5iQjo%XqECEZvL^x(z$xATxVC~Hz6JnlX`t( zpWVOb#hKT7GfZ5<55ZG2ddCQ(lad2XqtVChOcP~lMvG^+(N$B`jGi$)(XVE-_$|ul z&GmJ9dflqV@YUH@UYOnS+1_4^(S?$98p5Y)=I3X3>?w>@1K&}zW^l^T55r$gKfPnd z_}5E6Lh!M|k1G%J>bWrGL7bX?J70cfXCVr|sycs2O4@3W24*0Fjnj{6r`Et}dAYM) zJuY%T8B~WKqv;my+_fIaC^5|Mxpsc;Khp_*P5LoqG|YW|$80-0Jqk8ZZZKP>GZYIO zyoc0=SDJGIUTHBF=e?))m{2i+@5rvx4L@N~ONkkM$d zhswGkp1YJn7~!5bQGS@p?T3bF7y)y-3n%mM7_2hFj+Ma8Cyxh~{o_i9jVSaAuCYI{ zib~+;zKc%rmM~<5dF5zpfO)Z}Ew;jqORqqsRS6p07^Gv7yDydKhq!NXBV*(>ZTIXh zSB!fbTL|b!+QxNJZ?94aYq_aNXEQ=5chNX>;po;9r+1Z&&{?pe*mlMNZcS=wJD6{= zDh@}eS>su7V`X(m+mvM%9D=p;f?e)*$UVVkBRoZsa0snHo+UVhpy3%2*R9}?391DuI0T(=h`;(tV|lBG2)V6no)vbX z9S)(hZ8yOMhs+29;(?{ha|o`)41X&Wp*RW(?#0S;!GtK(^njBO>Z!VZqC+f?AiAb4 z##?kq5ISp|M|7FX;zl63dt+k--AsO!#0arW3?Ek&tc_vm4lx*(PTRgG(IEkbYvJZE zvqp!62)UvvyhrOMM27@!J-f_738WUnNuzGTy%a@?4zZdTeogy#wYhGEF5?51bBwKE zc^+$U^LM!l4!NKzyny0DI~>xZ%N#Xx80XMsc@DWGE{q`_0tJW6Nf1Hq@7+d+gjS$G zM2ZeEb%C7L_MqU9!0n_}d1PrELre;-a9kT<=|fF)h}8tvq!=MqMuePF6&&Jk?iR+7 zrlkd;XW$epjSexPaJsLp#~1=PYSDE_X)JVZEDsMWD{@1qd%$Y?p-L*kAuY?paaF;+ zJ{%SzbJuo)8cl+fGrN8iE#*5PUx>7D~D)5m@rM5Xt&u@MM%!(my*k> zGOg3SW0qaeZPtnr3`N~?P8C;nn36&u5$GG$P~KRWK)Z3B!oH7;ZZ7PQwNTZjDzgO% zFz*AW?b=SE13I^HYKxX`0z%KqZ|gam=RgEk%%~PhgN{b7(O<(aDzzC*Dg{F7EIdtiML*QwdFs69p z2{bsyrZ)*_eaiM*n?9ETV+`SF%$Dv2ORpI0tj1s91xxo*7HD~vGG~p+O7HyzOKH<% z+K!swT9D^FEIl9eVz*#viY*o2v8FFr%Ho1oXq@TtEad_O4d!ETho##Dn=+{dONn0S zyttAUEcK>;&~2#)xf&vITb`xp#Apc@s9@>lT)O6dcR$4$(1o0oEM=}gE}=69E41lF zEB0i0mL8MCwn^~yAhiB$X&RAEt9Z9y*9;bg+9Xa35a5rr9WjSsf(}!QSVA+x?W_bV zNmSs*42Ux}SXEjp;pW#+yH8VyMy>;&JA% zXoBS-v`K}Da!k6>^L_d)#M@25E~-2GcPt7$tN9j6p=WJqC`V&)K{5~~+%s2SwEKft z`aR1tXirOl(F*sJZd9OC`)O^p`ycso+{>@*C|rKVgJG6y6TL}@^vQ@pgb|!$)D*3? zIyhO{G$&ui%z4uec)`j3Fw}MyIiiA-ScY^A{}j#maT-Uj<9IWDBa_f~7v5J8tQg(u{mNjF)FvWkTcHur^RBLh=+^ zjX@qE^s#BHUWkwh6E##W@ z;kT>G=5=JK;5YgL#T)ZIgVKmJCvI!aXTUrB<~~bY^)oP%oK{O)CO8~|--uwhba{T8 zL%N7}{Yk5Sst4%8c_mMCLJuvFu#^)BE}qywHAA=c#>LN4mmVQlIH7G!?meUi({5?$ z$mzg66;Bzg(57&Rgb7TSfiPi$pFo(f^f@{(O1o8Kr4NavEadV0#yO=FLr-hV5n9Db zLoHBiHfhTrbV~jqi5V4E$FmfoE);l8-B0-nkON{xjHEF8y2o51_Wlg6#X z1cZ9eTAm3wg_dv=h~5w|r~-s6&hQ;pn06mX{iE8b1{F+LXO1`xYf*~{2&7GKh}&EO z<-Xvjc$NhN_tzuA$*ww}VUz%SQ`;>Gp0@0Q2?%{t+Yy5mOt@wy%-Vd~VuBfGd~(t< z17hhV^@FW4jp-MvhMIiHFWhKyT>KsxqJpJDy#JIoKU*zWdRQE$Bsj6s50W%;kve2f|K3zX{p&gdOY1)J?-_p45Em%5b z!sub_7NYmDit*cKgBL8NnePbZ*Ut);ZnnrUW^F@F1Cfx0e#$d(jJaJ4Rw$UTUR<#Bka25iES$j%!@%X-XoZ&1lHwVe@yN_Bw89PR12=3sF0{gZ zak?TqE}L!z6Sk}Pv~)XYuoe?c9RuW~r4{JH^Wt<-n+q+(n}5E~Sr#l^kO?=nB=D~i z7A*BZx*{kX3zm9tyU^uX$}0Mf+Vdj*!>&Bv>+i?}sWqh$61IcQ-(5>>P zWtF-Ny0JN|pd0IutMb`lbA4fa8yB0yVt2~eVFC^E#EzA?Zn$`>Wfx31V#2&K?rm8k zotBmJ66!Ea_`ugl425HI2q6GrY5jw%$#ZE%aS3Gy>a zp=Y$U+)v>J0sO=R%Jr8|34%t)QBIARqZcG2WVRXdw8~r7`3yMswC%F$7y>sNkjaG` z1NB&9VJph=urun5^k?y3nR%{E52+gy5Y+wcC4f=EMtTi zvJJMWs$N+IjNyyM8ndbsN{O1mlm6+WnWb0M-hc^g@kFFg>!8T?C)?RpdS*tj+$L>jw9!5gZS$6n8@=~JDiqv$@T#=U zIqAzkfAF{OX`2>@6LpbrcuxnmIOMWM`Rj6k4;clAc#yi^3e%pSgPk^i;V@TgwayCHJbj4v3pN&b`i=Ammb}+ArwoS zv`HB`zfzCG(^ABJN0kX}EltlVkz%LiHT|4?G$~ebCTr;nS4|qLGIk41Z>TC^oWg`E z!wnA^+TEnB#UbdlRhysZ6dW>N7m)sw+|v63Q~N3DOh<&U^lNnqsJc$ud9}oDgB60D zxrbA4KjkO{IioMv_Z`*}I#isUh%@=~l&?j>C1F%wzCNuUAcdbiQ^S$3F#j z`{Nlp==D`q^w}kWZ^|pDZ1IOsxHX1oKc9Ze=7mCp&|q#YVsJ>y$(7&z88az3KpZJ5LE%KTy77c97q)ID{y{f8HldG|-qp#5f8u>rhUkF`d2d1q z!%y%6yM8If;e+ulJ5Bk(eC%-8r?pc7awZVQkE0TD(Qt+NlzIN3U_LJtf?S?`|A@Bp z#;qmD5jv&q9l=f+453uDLy}~v@(zSkzJgG$Ry|aJU$W{gLg6-P#hw&;)(z>ww6@o9 z=q4XEbz86OEz0&lD(D8ctJ-FbTR}IbwrhgzHdsNoX+gLK=8xc4z22%R!P50|$Youj zSg>A?Zn*u4HX~qMG`c1idtN~|OyGXG!&-EMTay?=yq{VbI&2pwR?<`2Aat`gEYh)l z;Kr2*gwm~{f^IAYE(w}2`f1S(p_l;2Im5wChgCHlA{5wVZTk#X;6`Ibp@j%Ru%?Ak z4}W`;Z!@6bMPq1)+xod!$x)WKyd^RZ_w#+S}6mDcsUE2ei%YOe-`g z4uj@SU_8&wsiF(rq`XFT4D_Ycgt09wr4DBK)$0b!(~Xttyy=SE4&BgkNH^sLztLEH zU4+>NdPW-!_Jo6+*D#!dbb)BWln8!HW#Q*(gN7`0V(QqZYEd`1vGxwS;kP@=Ua;z` zq8pbbrX+N`38hV2bR*u*3HIJ<>UL4OJ*Dn)&d%Bjx;>TK%`~fDZi-I^Nc{?Z=k+ zXVq9oq@NG?g~npQzpd5aW$Y1Eg98Uy?>2|D3|uf^+C8Rim(@WiOd36Fn>oa<(5{L@ zZpk4`mJg*5RC0*vmO;hnHFr6xD|+cNP9K)&W#*&luPgZdzag>W@+%mjXFZnBTzyhZ z@Q|<~4&!nF7=hGq<(RTKRotLzGgcOtc|j=p?Nx&vFj!%5cqmS9sskP{h0v`bloE7- zrgGAz8|}%x{M&Mfq0-gkmXkY7*y|Xj1>NpRH%?<6SrLcBe)yh1BnQLOC}?7G1U4q<$wHJlhjWKsS$ zS#D``n`^`%CUBC}xTfkuvq};bOqiDd%IU9A!Gya8bNyOOXm~quiz9i;$nItFgNvOu zX{S*gK{w^Mvl8qVbg`7dJ`lBt5PFyQ(`acULM{eaON1bl2myA{q;y2c0qN##8iiU- zbR$A&`ZJbSa4!*ZRIqmp*5Y1tBSP*7dcXzFo6hx;|ykt zT1>E#TyDP|5yB;a^R^l+L+tN+IZ~L^-tI!ETC;{DgOYMdPURW`q+a+MHwh=-(uYYsJgl?0- zL)yl*K?O@MOW;Or{`mJZg5u)FSFzv_iJryD9eI|t1=7Gy=?p&W?5nr--M7zho?`@l9Nf zDA{1ebC!og@aGddR$_=jhV}?@e^}EB+F+Yhmh-FjtcHX zdsf@hA8{eT>C#iM65)`ybR1S)=r*49t!@FYibGCG@Y$eS!6A%BLRPdqhurVy5I>jk z(URyT-g`s{ZCWYokq|PpyGdL2c!lKfn6~Sq9dfcRV3`rZ3u8pcE(wPU5#krs+`3h9 z2#cTF!P-KE#0eY|mKPyd_$Ua)(yxVM9nx6$mBmV0yNT#Bjj;^aq&B8rr^0~W4Y&jYe{Dw<_P0EB@joZ_H!zI9+i>HNF@M2G&)(+4> zIAlVWUX*+9SUwI(r#u53Ch&kj^FnURTz^O~zV33*)=mY7@bG$^7Yc3aZ>YE`Ep3W! zO=@unmY$NOn*$czTFyR>6K{fEHE2P%hbo?v8Tbxr(G8*JwQW_qZZt*X7!vyFlwh|d zc*5K3moTB4hF8%0l|Tx8>Tfbz0Rrl zurAI3feN~fo3IRO^_yw!h?ExEl+X6=3xfvkMK>t8cT9(5ueZi3xc9UqKM=_23%9%C z!Z_l&ao`FL@nTPLuSV=t%@Xt?a>wP6%jV)jmzfZhTT9L@`pxo^ZsNRF+%6cmf~7Rp z0dc!%4vAeRa1-KvU@o-Kru(dXvrR|^-Oh^J!7v(h=;jRuITO2~806TBJ3K-GLrIfIYL3^^swK-uzs6{rgtKxPk4EThl84m

j3{<|-DBq)_aD#uOdilc}t1?j}%f1veoGofl-YwmSxE z=?jUQiYFAV{!h`PZYC5Nx{YhrzA#5cvgy{NU_V>2MO$(* zIOVZpC59MeXfMuLR?r69R8>#j2H6IiP<|dmKqLZ2cBH`=;^CCIxyK#)Y3)>ioC)NL z!g9g-D7iFN%Vm>eiVXTH5GXcQXw!XCkiO~^JD}A@C|&uoHovPFyG-ClgdDPkk9O07 zHXM=#w^=Dh)6bA0iftMqWFF^-D&9G-(ChDs+ob@F@s=y* z#xjTpdO>^ZabT*f(P)FI*!86w1x zjzTC==9@tj^$RT-~QJ+ z>tAP@NCqh(gk=1w&&_}}6QUTx>+_iKrK%BPRL>;Uuy^f7wXuEv zTVZm<9AtE88=j3UKYO|LSvtJ=>~@k3c`mu`UlwQWY4fH#i-qT+z!Bv@?bZV63P*uF ztp47^aVI23bMkowlH1fgebj60AT6L=6IeLL6Y#L{`{110&=gaphRk#$pR~a46W`Ne zK?#dPR4@f8nXW^_i!3Ez;>ik0FKl(^eJS_~E0^Mv!;=9bF(2kEA_3T>OABkUtT>dU z!y)D^CIx`ZIVS31K^-woSTqA86qET%u~Q$C5Y-LmSai}3;j&?2 zt0nEz;`wTT#YO^!GmvB`r?`aZsLvmScIaW*sMw^!rwI;MlEZMZc=g0bSnx2< zZ}pM4pb8s1-`3NY22eF0twyunO{||2K#~(4X80MEeLE52z#Xt4xyFQ}tu^Ej+@GBv zZ_T#c5>^0X838ZgF0`WYZ*xZ{=y0hdOpE&k*lzS;Zur+*o|R+e5Y~g?jC(azg|Xd3 zZo`cWOF#NyA>Saxki^Fp9&s;u4ci@y8&_PgCTk&L=wTIJa+7JbWCehOZ&qJ$h({#^ zPnrUT)j_3>^uqm{EA3s6EVL{cjYuI=_EK4{PrM6!&^MLGXQ%-Y+r{UffWWM6mXt(Q z)59eA>kKQVUv^8*L@nTqj{EkGPFEwg{`iMe8x7F>;oI`dly6s rgPq@x!xF4sKQAf~(e)WH2EhASw_cKSKxzo6nQuGuAM3cCm7>CuQYjW@Y|PD&}ToYf8$+{hjnXFAEzR z3)^>AUQ$(A(w|nYW~36PR<56UDM$ZTgpt_)mjtnf^uPGOkYD+l@VF?mIDHo}<>I4ivN)`Cw6whRT;kuz)}E<`=_>^}1w~yg z@y1)fO3AJ0o)6*EO3b};FYEDmFH3>kI~gp_K85}qtuEVf{8ahVawThd+~7}xL>cWH z>y%sudQB450~d-~H~!PT(AjI^aHK>P96aUJN6yitA4PFLB*T?J+1Oz0ZyXKiKnYUCdS6{8C3ui!8Acn<@3Q3aj_NL`Zl^LRsj zqJNb5)KZ+RPVw*fdm>-^Zi2ZQGY9;nwMXCN;NsowYrH=I10UOxq%!T&`&1|MyUN71 zS9leD#|Z?JEA8jw$0?EQHbvZ1>0{>3HlCyj%aWt=?odG=zc$fGHvw5&2MNulZL z-v@?&mY?Q(Zbrgh#{|12juY}ydxg4sWm0k0n&uJ`H`(9JT6`5$N|bAWpFCY6r$ur* zq9EnLxDfS@Qb~7RX`dDL{(!E%auk8L#&l#S%+<2|I^s>%WLk5THf*-%gK%I0Jp>FH zW!6?U*c}`0mnkuW?MZPGHyCf%1)nMt|eb44cEaoM70wAyY`2J>8rNh|ozgQyUPXsRcka|DOPqi5 z8os`rF?xO&J?2jgjx`=V-T)O1Dm58X@~imsi1#0GF{j9JWipwqls@YS6#$r3D?j&v zlS4+0^K2hOayDj3=wzZ-^L!*D?xCkVfBxRlszzO>lZd+{v;$uG*Xnx&1`oyOxzSvm zkt5UcE^cuRk)JYjt@#}m=5$~eLK}OX#*msq3~4o3~J`>feJc-(0StQO`oIkn=B+A3cAoWS>18!Yv`mlx}2^%nqtF zygdHBcfSIUKHS|L_%9cqJ**W(?t>aO+&3RbFa8Ls&j*Jm#>;{Roq#cUp@a#dhQ9l% zb#=Dk)Q!rpa@2|P8b5bymhJ<)VSN#-i+Zk7l>P?!HBLmAt-E z60UjBHjVgE3ub!wmfhbAED2{mPwv>KvRYh8-@Q!{U9*FI@@;)7-{zv2^}-)*5FCuA zo`N@j3OxwvyxvGPL~q|wRxRCfn(2@%YkUzWj7wLXd^z^%vh<376yC8)9Mg;m9-5&P zNB}=%%tUadnq0#t3OuhnXtldvbD&xt@8U{eta;iQirSOYT>XoB;ocMyH5>~#qZ(z^ za@fotfh-el(mC1|-giXGztBNBBpXsar;+{|yQF#D>2$H!L7#kbL9;SR$+g?cQ79a4 zyJ8cgse(=DnKr!Y;OVISocs2}=jRyDaUZl2L&!{JY?-YC&u>R>`BVka_%R^0-}j5 zQ+|0%dVbf0WW+?7O$8`yndcXXshHyZ?UxhbavRECvr8VrtU{}Q>86M+e!#^9+Ip5(=o3wuN zdyzo*qvVz+Kx7xf8RO}gVBP{zOvS~d4ae#<*ER+3JUKJqr_P4dE_C`NQeF6E?f;wV zu)+Yw>O3YAF1AQ=6nrx)>j1L8Jn$P;{aE>tNa=AbtW|zp@b*A|K-CmYsCLgspxE%=rttB3ly z2O!5uM6dW(z!rRL9U3D-N)&ce5 z?geKW zvylhdoj1Q`{A&7yq`bd(Rn>p0!C`U&V`ixN9sB**vaKh~Arji>CqL-XeNFfN4XIV} zQ&THVEUS;1s(OBiA0N~Av6uo6*h@NB%rCmvABf9MG{cAM+v|oaL9Z|9`%SOsVO#a? z=laW?tM*xd_uY33d;xh=8FK^P-KvHvNZ@@61Q$#M8^tD_;j{Zc{m!Cb@AUeou{Ys; zju98q!Q1-R;Fm0sPQ(un2f#)+ zf8RHfH9}DE!Tep{LT9*m7elAsb&HgZSTN;@jn8FhOjoz5Rl+qkW5;?5+`8>A#*v%Q z#iAffBHd(kp&tv@NFRrX%d|o;V*2xwn7~yl0O8e0scGf;dQYt>wf+g?7_|+)bc}C| z7z*`yQBX{YcV+rbpCLQSxg^r2e~JeKTa}rqQ1(gDK(cH)Mgppn4F1AhcXpJ zgIqUHZ@GTGJ1u$|c~>Xksuar8b#SwlZMoxdcq?p}Q{KK!mACnBHGM`ykjc${_NbmV z)!7}KYb<_|7P9GaZ;g}uF01rbTQ^%DzTKf5>h3+(zkT^QpI==)AB32(G{buIxc#G| zrk#&Rr3?j?+ZqESauq$iy!IP;Un6@IoaSUY*Y_N11K7o8CZm~T}DVNN%_`;nCC)`zPh&A|Nj*&cMhx}x1 zsz_Fs{W0azKzUTS@tC z9NZT(&&oGewA*&eW6*NznlZbUdrv&Mw5E7$aTk%&BgR6Jfa{m@akzMX=tn^_n=XiX z8)?{*FYs5s?HV0CWsyeX<`lSfEAWzSku#J2GsG#_lHT>YxM23-UdyZm?7?@BAI9lS z5zq>fgA_#e(RxfOJR2qy>E}J)6dsP?i@ZJl&It=J)jSBkz3nnp|F#=YVF`gw2uNhEy|ijufYzCR+Yh1p^~ z-V%G_gv!>EK9Tl`tWV^9qUaN4LS+M0mlPJolv$jf%HcIS*qoP+%5&xI6tPbA0va!t zqQxu;4EXj5rfBr(?kTMwy~ijJJFd}Pj;+ClJR5l|pL)en7+YRjq&`i7i&|8;#;&m* zZIm0__}-dfg}c$o5n->1FWE$coVOMeG_xz!=Dp57EL}fE;0!zymYdHpSkT))Yr?UHm~#<e$LuI`a5ceZH=2M^ z?8%jldLHcuZ5vqd!IgD(G-VK>C}A@~#9>|e$3pTqd&$l9^d72(=|N-+8}5Fj$lLY> z3W1;DtdjQ|nOkKWD<&SK)H7)H?BG0%UwcZ|SFR^PhV@RymFte1qllB|IOuX~goW!l z>`U|J8kMWnivvHqeho%ub*u`0NzV}9z(~`~Xn9X}S*&ylrS^8?VdwWgs-W4JBu+KU zt+~f)kY|{hoR{LEbV&~22H_uh?u~Og8c~bm_MxHNo241J7moQ6;ya?=K-!vb?(hjC z9MI?jFK6KQ4)BxX1_=Zh9-WnEas!Efl(?im_HBUl5_qL{>VD1is@No@SQzu(D0zUY zuguak_=3s5CmN!i)1-aV^DNa4ieM{?3{9#sJOm#7OiAhWXA8a=9!F!=Q)oUYVpe7Q zQlXAtCAhaeNS_hfW;U$EWj=^x5V`!fS5c-9#)bRtQLlIzm+oH#vrrV4*WRWWyb1M0 z{L$=q9P`$>W7$1#Mypjm&0InHIaywKG?c}o!A~y9HN16Fs=Z=QSaC4Aw9sjLe{Bv+ zJx_3Zn}lw88WbYK`HJ?^cSM`165Vp<+Uonv)`3>!hH7RWH$=m4_i_DIfo?k`y1U-W z5KQGhMfS%x61lPDZZn^`5h=KI-!q`21QdFlsuCN}=Q{#Q?T=@hh=ACvy)<9^&)fYo z+x<7&{b4*I5pSTLuc5H_VQvp$kSL)V^CVF9Y)W?)x;>OExfTQ6`g`$P7OBdbmg=e{ z`(eu$zmB`9ple^Xf4dnP9nHw5JQshoM8_EB`{P%z-W{i7V<>FIo#aJ@M?kv7w|4u& z5QN3>$Bkz2R8wY9Z~WH_Ol@XA$I@EQ5oBSnBz8!eFQ$qiW53Jtag5-{X0+qYf8N49*qOr#( zf4er7q*LA4i)Yo2KOoTwa2XRM*UR;YUZ0Sm7_Xq`u4_4-(@{xBR1e`_O4e$+lc}t; zKjW;c$#au*U+sC?+qiLUqcEBvTfiS_WANrBUw3x$Hh24C=!Wj=ONQ7hmdUnkw@APj zvk7y&n31$82+v-HP~pu&z+Qz|@m|deqMS9+kG+%Yct_H+yx5xSC!ifLJ;U}c-vnuD z!FQqCHYE2_#^ChLqP8e>;cY@*>TC>FfiPO;XZTncYabL0squSoqYcV>RtJJ``etnI zs^9IUDskGGteQlAnjO37-0AXGe5+#G7qGTdsR%ler|&Scw`{Xp7*}vPW)|u#j%FC@w!If(sQJ(e@K2?;I$nuJ)z4Ct*TxXX|}`sds`9Hm`JJI?0I!jP8M5hr8K(ur zVd-FaMpjV35Gs(F%f8SF3A$CD|3(*bt5ica3yRUDmC2>>5xCt@63rh9ohZFEng`hZ zfWrokJ%)n0cUXsW4vhTb`-acfKm~ieA(>v(*FyZH;`v#R1qAE*tjb#^14FOQ$dhU^ zT^bBCFQyEYk*LAq?-$5128I>DLAtsuz7!adCZ`oL}0xvbH z85^R!EP?!LNSs%qiYeqlk8$^tNvgPdA~m_sGoXc}je! zy4CRv;WO7~EA?%~x1p@+O$otC0Vk%7KV^CI@hU0>=i5y)Y^{l977N`U>kV%c3G}qd z#!Orz3?JGlQICd}*Bg&H zq)P^xuwDMlhu;NOHV6OwM(YD70DO+UM+(t^}2qYVH|pL z-bJUgXbc%7{9vGI!!xF)Au$R<4}E=0##P>(bRaEy_kyVk=oifzP?LU*l|MiyIPByi z7iRb-8&B6{{RWF}LPv7tXXoBX=Ymox@Q;V^`Grf+*I7qgS;j^k!|WV>@`XhB8@i5E z|HzSVH6J|d;7D-!&v$tEB1NP9Z&aK46EX|$6aSl6Y~!TEbEHO7oLhoCu+$F%%lTI3srUy;f2p=VG7Vw!zA%nTnRiGnX+jo#iiGOa|-5;Z{jP>Xqbys50ZIG(s}&nNhMWb>#}Pbhn$^DL52_}d15 zuC>J1{yHPIgx%N4cl_q-63e^=DQ!huPjqJlUw;wjC)ytw9?E@7q5b&!BvEV#-4-oj z=&_+hfjYX-oqKY3{4>5wgdY?N`oRafBA(`%%q#YT7xMdR>X!QoyLU7Xx5EF&o%eP< z3W$a;rGzl$t@KT|E}k1YE%2i4NZ0RgQWKiT%mOkbIS4MO3W-1KD?fMko6B%S^ioh$)x(= zk!m&wK>Oj(<-3@EHJJvbS0U1Pp!s{U%pXh(;1X~Q9U4n|4h5}V+6-?7#nw~&li2Lb z7KVm<$RqqA^St>FK>F76j`D8*cBbb~&AjlP!h{Li6>=__&EMU^)3t>)r~^n)LU zWNt{;PNC8+u7RPFM$wA^chJg#k|o3hqzPGSGl~Fe3Q54u^O6?e0UvX7-4}m!*!N_4 zwq4)-8lU%xDna>Jr@qi{qdWIK^V|&@y2Iym@&1naNV~1D$iWP7(W;IpcA@RO^D9?W zK-q)8-b6VC2OGJQt@sTQPUD{W=v2GIOJDQj_j~5M#OWf^EuhMv*~rX6^P+dbD3prP zXA^9{_5Ng0X()x|mm)~`k@8ui_F9)EIJc5h->2*a=e``Q+u*G1;HRmnxwV?`roUl> z;{^M747w0_)z<@A3U-K`8rHls!VmeLprIw}hrS4$cPy5(y(~PHX=Vt2ys~qPAdyh; z%n20eu;1kW)?G2!-LnA)wJ?6*O8vJ0MSXtqO1Zwin$0p7ll3nb4JTgt3$0*m|7hcK zq|<0-G@0j^S@<7Pc=%VRGox0!cLa--RW38|#{uRHo-jR864(8rSk>j zVrh_3jUnrnPtbWZveCphIZ1_)l2LAJOoIli7GvB+Mh%3UeS231boX^{u2OA@BYaDp z^s<9J;s3H=cO4udVzYb$SLbFVp)^6<Ph@T{4rTUCZh*^Ow?*`x1`onaGwhEotfN9 ziN#?S7CA6AB0IJyzWlwPM4Oef>KhI!N;bjk`Xh?5;wPEGbqN)Ib3!%z;Xm$5Wm&O$ z#+dbdbZcEd!+a2(x5Hzqb{N|SZ7A6m@u%Vy=P?FE(J9Z+qM9lJ#_?coCclg%XM2|a2RAF;8fWH0I|^yxe7PLQtx0H&Q!NTszfZk1&= zWPfm8`>*PKX`?R0MobP!@U+|M?tE0Y5ZxNz#tDT<+y+lJhU1F;;HUsb59D#tPokI1 zl27XOU%@y$LJCCOPUBpY6D-NkEXrGvc*xMS!ja>_@OvOalPAV$doDL(k|59ottW;t zV7_I{i$=E6OaSMSdT~uDGw^ziRye%<>zzZ+E7CRne3e8C?7pIsv%EwDN6bU2&@?pTs zuWwUpdN*0Gw3&6F>I;nOs(@?Bcm}0+3fayNH^EU=WnYMWIpt2&eVzCVu_x#F3lUFP z*>h2IC3|sCuF)~&%pUBrX#8YfsY^4;ws5BU2NdUebk<9HxrESvwuEeE zdpGf)%e^)GH=0l;c=ynZ^`{R%9kn@Uw?@F9{K}sSa4d1YZJF3Dn58nDrrHgH12LM2gAxIIi~#d z))tL$F7O|}6XG6jKv^>{DZwiWvgXN{JK>Cfr|q9R;atmS2C9`~@Q3iWcj{zxvV$@FcRU5YPAp4f+H z6cJ{e!v=(lFNRco*}j03bvn*C5D*$>GkSnX{m{F`aP3Jd9J~;g=O#=l|2wy*68NEW z{L+FfgRnzl%HAtn69Pkf!GzL*I=vgdj~dSKj+d<%2-e$?stLy}jf%MBYT=}MIy=lj zyGDf1fjYGE#QFLIi_g!=8-lPPqq<0k`BHXz2-Z9rbSC>KA0j|PoGpi8&*Lr0GjPOl z9E9GxY#FkHAe?~g%g(X3J+}6z$`aK#mO!Ct=COFK5R!_?b4506VXls@Vd8>4Oq?5f zlmI*3e1E`AsJW()vTO>5xXxkTIb=HJ(2C)kFIO^dBhL7pF=JmQ>%L(s*-5w@DyUO|+mh z3i2+aAY%|+P$y?#SJDVp)N!B0NQuIYXZ14ZP<-5+j;IE5Na#`CP_iEx+#bdaJ&N(q zlsHF}0S(aa%-sV}SN5b&P7$mIEC+rNFM{2Ol7pxjTy;_c$9ho6pU)>nld)Li?hl(k zA4vq00ptRuPeuN-CUG<@AUj68_{!ix?GBx*4~U^!<&%u9F@Q(~-QNx-55HZD?%DK+ zqHk0$hp>3>+AIUx8FaQ5kA|3%@2LMgjl~7iKB%&%e!GQ?7Brlgdgcnbi+M`;I9uT* zl~GXaTX*ExE#3XQm|qrDY9>*2l*{y`wMitf)O@%2F~{4W0oA|&x&KlRWH4?O;+ijx zTpXk>qnN`Z-VIvE31O@lwTj}CtDO9*uEPSuA=Rx3b#!`725n?zL%mm-+}A{!|F{FW z=|q4>a!nHDhd+d-qN72QX3z|Q#WGX3$I%7bkJ_O|LwzDQ$iqV%{DedFTkYyS7~`1Q z5cp7%@vW=d&&?mhzl_+=qOeSS_KzVU=r`>wznOQ{1wKlg^u_V#@(<~}9HCXr<{I5G zv}7}lc0S8dyz9q+AZ&!R;WF7V;_E5zrU~Y;Pe$yygZGmaeoW^uS%yRXXbY(wAB^z* z@RRuDuh*kw_U#&2Q}nKBRD9pt37m_t$MM}4(giu-{6RP%5U6p52s{PM?dr2;X}5Q& zT(fg`-c@E#(RU7^K5sO5XwF+;ZJp|VxH4^G-D?l990jVeT=6zv5NfV(p&T$eZC&sO zXKjG2BS>E5g#-0sSr2d?wJU^e$4XcovXk0nQCfk4%nT7DO6kmu~))xbb_9~ zLAy6AyJZ?jL+E1nwY|9*;lZDylD4;P5S1N5oE^NeZwJUW+f=mB529tL5IJXCtn0bALaZ<{pwMSAi@5mps-(KUv~bZ40{|#g}Ko- zO9mJo-Cho1difh%-Cl6a)90xt^%0l&hA3n4PR4wNOugs)raPKVGk$Em=X&SIo~Pzk z$|onEvxG~D@`S!h+}%4(wEq>mLvCwuE8(&_Q@ZsRnY&w=ez{l1-WT9l^i?3}aE6~k;PLMpgx!IYr1)JdE>jv zyI4&d>t1b|p=q2%I)!{BZ0$@JF+D$Y?LS#JUWn_5w?Jz}U4v*WIV zJDD8n*WvV|+G*5Nc=r^++~{TTeDM#1k{~Jt#3j`nmgNtSU0mvK2TcD4DTO&QJ@|yi75INNsMoYsHq9m zSy1pBtZt2grL1ac*=L8nMx&FD{`IrZf;lBM&$R_Kii-6S67wioJffW8r*egVrl_`{ zRyambzS znUc7>xRbl*TiRUgS*fd-if1FAd?8P^{dZVr7akn=)YAT_pYj@TMKqU?Jv&!eCYVnm zzN(&6Mpg~10vw%Zrpc|gKp0r0U&{Pn?@bCL)dWqtkeb9iGMnH~VcQ(Qu6Ei}KMXbP zjPbM7@C;|1N9O-(k9Ecx@&SedZWE_>=mg^Rxi*v2@2x9B!Ms~buHL@#>FWX1FIv8w zU0Rz|1bld0Q46@_hzcQ6!%L(K#ANFV+iBwMRiS+F+#Q|=rD{5ch|SG>tRsOgc#D&g zc3(H8t2_}0?6$wkL3gJyNA_3)F{&BxB?gF-IsUSNL(}zPsydSEISdN1;|4om;t~s| z2A^y}_P=H1=3at;vmvIlvtet4$k1_#?wqhXFLsutGu?IPR$naaY)AHHk84&DjNN;F z?6Z>qG5$QXDD@3d7t*smkOMK3gwDJJ%AgV8$bxL7`moGA7gDA=B%d_{aH+wqmJs~< z7C3g{=Dx_@aOoUh!UbuxGck@c1t2<6ib2;SnCKOapa`F$xU(y&Gy|qoiy}RZwYMy@ zcm9gT@X1`Ue1ITIA`atH&3$t_yDnYs`u|G&GeUU zskiC@AbOJ&eIXWDM?MfD%kl1AE(nvQMneZ_h9he#eRh8ePSgCx=P5n&CtvYWNu9B~ z9GuQ?u~-kjDF=)TwC`4F&NA0WT!;u9b;5T?haP|%81{gHR{w* zMTx`7rv;rurh1UW=+uZVIOdD!+cXEw#%`|;VMBZIE)Itz>5bVTsEH|g%diyDDJ?mt zK)Epm|CdODYGAU^op&Bgi9GEHl>vfbDz#~kAv{tYKDOVs@)DDz5>X?gypt+zZ*Y~Y zmui?H6IBV5zEd+P4B03+HKz3W07Xxmq1dcWnN?NEi`-tC@&gC3NWw(Jo4J6RHK7cf z+H0xRSo8=eFp8`{y=a*k&-s^H<6KPjZInOd?@ExjS`?yrD_k-tT*B%yXrJ7MV4w#Y zL=NjG8!Qho4|RrJ!r}%xIJtG!rWhQ%Ijc%K7p`wer?YxOHPKXzbG>P=TBp+jV+?5{ z+AF?ae|&l)TfM_9*pj{_->09{yx^9IZU*APolhDh?+`9)?R$VK` z$td^UK#%YlUxbeNBlI|SSJn`b)`5B3%B+P?c@X)nB*r>Vj|7$X2N7k3LXsF7%XnnA zS)`KyB;f!6j+qW5Q-+RKN-g6}lz+vf?L&tRmHLPBb&>0;3NACr=aCW=8>7=AWSvR5 zU$cY!Px*ToGj#k~mRa5(RgC@6m=os~o(Q~udjZ^{UmQaAJvHchx7K0ruequ<>jQk` z2$mVvx;qIsUVgne4tH3sF-?jlG)iH?#_?$SFa zY9xJgqpTN*u}_`;p14)G;tTmLcPP@{^gLzaaRc(Z4sK0bH8y;|M%%*DRK614pYN*7 z4@E!K?byBCukIFpd86xSqEOjf`bKwg5fXn&TChzQDohpC1=WV}ZUkiA3x>Qohl*IB zxf*#Kxe6uY6!>)c)!yKLV4htGANQ@m4E^(reY?Rv_8w@RcQ2vr@Gj^S_;pY3=232=P2qPy($1lnzcM*sZK zrI?~;t+FtJ_xZ@HaOQQ%WazVNQ7b^M=!;LGOU8?Bk?k|#7q|1@ryA0Ih5=t+Ji8Gp zt0#7+Suh(PK>}->=ICCf;;@6&SKav?D9K7W=ST`=m^V>D>LjW&_Gi zDyxolTgd0Qr0hPj|Lv=Vx_VRkwP)zxpXHk&s=cQkH@*)@#{PQ^NJJDn+zApDJ(?WI zX~PLNh{4eL`uc$_5)RX!z?%TeC+7eY-_)?jrp6gqD)Xxb*<-W(?3_NDS&-_BGMvm& z6XXQfM+afxRVi8aD!c)V5v$=F^gkGilapTAEfmUk+3=WL{dka)CWF{OG!xYDH}XU} z%dbmf9{Z&L>>h@hrTS1moJ+z|i4{i8)UA!eg9nH#i(-a=bDPMSrwd>gchRhf#j%fj z0ijl0?BL^@$Zpki#lq=ow=cxg{JI_=KM%j zTdASKlw*zlDh}?cazK6Gx!)$SLVb@0_c&OhzR!GKL8k)*kMpcaFuJs#3|FV= z@Pp=hkWoE;SNqmJ`*BSEn0;EH8@8SBmIWy^Riaq(&X_&WsFB4`dAqnfJ%tm@UmAqnCp&#x zkUk0jufUJnXS7*Y#r{>&so+DI(2FJ;=vNSh3Z*$jJ%$I?5Pa9@Bxcxa`W#aP9h>`Ed$`n|ovPcrpJMs|MxzA_FHid?r zp6c*67+A+&qN-s4X~49vXBpY9$} z+2tfP239r&3UxBwetnurFcOiv&Rh7+or(w^z!x&4Ty0sQs_-`QUEIRPrX5u_6>_o9 z8KXFz($=l4(Ds{rj${vGP?JYkV|4_}DZdTfGV&Dyvh^wzm{L-c837N3+ULh7$H+3D z#tmhNKs?#2x!Z<3diPw0mEXXKD~9fiylSQ7X6kHxb##l{3Cz>W;#h^w~N=Ov1O0a#e<{nZdiYxg?@`9%@=Ba9;YGg@8*4&>-U z>>vCBKUvtVyH4Jwt}{GH47eFuyLJKZI64_YCCVeDHX3qzv`Mpdc#cVg+YE$4GtTiDOHP=#=bNs|!inYtk~nPG&bg?L>( z#TPQ~ki#60DNiqzVt&-ZI&QWmx<_^XlSIx5B5#F_^;sDt6|e3n)1DS-Y(6f&`=VWP zhuBJ$w!&2qdRD?+f{!rZ9u`~FciC>2q4bm2GJlp%g^pH15Q{acuajw%40?O^W(d#XX`s7Poz1ZDix>8U)!5wuoPm!982c#OYfH zeQ6}kP~}m`RDMvT6MhaS{t?^&k->eUe@+t0cnPFun<{ESd^mbv@s@iN+Z{SlegxZC z{kdMum88X5Bkj|TCuk@o4=%OhYOj!gRhPI-=x%Rd;c~%twAmj%%W>PbCe}sMwV#mfu!Mh`d**GwfAer41y?F}0gdlBGUYUze(#&d z_vl7XwNh4?Q^j72^F!2`5Lz=IW(&zd4JUjK_5X8|j7DoD8fKAHxcc$1dxh(1G2GIy z=dkpxOayhdFx_@F1ioWVYjd@ctt~J;A_FB{Pwi_~!oDp+ zjV6GzSRgbT{TRByvQJHjw3_-K2Huw>^>AHHf{#Ano+bj1(W(%~0OWym=Zz1D$@^Ov zuCH%h;Fb5av&%8ll(H{_AFKpG#KjgnC{59aha*7HidSV2+^Ad|M5bAZY_IT4F#t&q zzLM{QGH=rjmF2Hy$5sQp!LeU0tMEP@I*%;b-Ke)BU9Q1Smi7GMRpxJDXvfqf;``55 zUfgqxBHq8H_#Z~4%No6Pf=jllLcA7UEFh!1kUHCR%=L}Dk9qyCtp+XanuQX)RE;sH zHyg-v&_j5>=e-r#03le25=Y+Abnf1VUo8t>XtUYNdCB$>HWP?^J3JJ67*@8+ws{DE zR)v)`IngZH?dZ6E;*cKx%sw3auUuE@_;-dDe^`PJJ1HH_+V@YF6YLDI5M=-0SK{7F z4V#9fqUquJ!B=BubuI@^Cy2b{z{JBH&He)Spnhsp-;a3P!!5f$S17{JR;J?;<<8J; zI|K@w_VEUCs&+YeUK0vZ>hm>@N(cj->_LrBI`|xySEB|S`RRja5Hnj(5E);@_H3uNMg231I#lkY~eZCn-*$_=yMR7;3EgroTA~r0PwECQE#3F3&6aMPs zt$S3}82GO989`@|eTn7sb@0A?S^eX{0#OBFGK;Ew0B%ba{LqJBE>(X2*5c9sRwB56 zQ@bH4gMXia(7nLlrU*gkHgvzAG8B=- zKxpFkA0O&);av2-QQH#Ans5RWyzOkYdU6*$H!J8a=9n$LLXx6H5x8Mps}@%VJ?A5) z#>f2zp6lv!Gd{Yfh^QJGdFFI|tbYCZ@Y0w69%33a6n*I}{A%XD_*C=srk3%C>b9ul zSyi5hWHxo*Mrm&+`t-WNqGYZ|WlMkIX$3XMMQ-G;Pp*_M3R!S6pI`Zf()`8i&DmMQ z@{e2{FXWFIT1g5Fn0-pLOq3oC-tlh~9w!Y%XKJlV7j<0tVt$3<2(D34KXFX6E!3qCPVx}nE=T(p%k5WMU zUeud7$k#+aI`g%`9`8KHW$fsS)Hq7!((Djd;iB%st=faoP3~9LVH7eC8qJEu4!+AT z|LU6f`)?JH`cPQUswn3>^aNP=oNG#m*##SS2cd!vpOko-K@`*+jlKP6v?3t`QjmD| z(zXjxqLm?hA#FMTh7u*d+RvG=wR5xH{fGh+8UOKdRI32U=bH6DtN1G!IjxiJY;y@7 zWG^Vg4VYev7v$u)M(h0?3{b{nMTxE^AROsnpCi5RCTnn)P7@!=60p>iv!#7-MTo5U zUNboWOFyV=gu6~7)TH!aj8^9wj3xlb`HsG>ZbA7sh;0$)Vq%*M+C2DnfQe%fhkH+X z?5b%#Nva~wBxv9O406PESK$U5zlV5^QwT(;#val!e*S=&Lo|DUFc<3Nloeonn6#{2 zE^=th&-LPYE{6(R=03Pd481k>-6Lot$8?zqtcv#FE0{-Q7iD)wXvVE?Gs45XB>Cpl zdeT`W;j--A#*8rI-VZgnf#VRSpNDjF@2*p9p*5~D@oi0K=%=66ZTrR74)qej_0gP$ z=HMPs_8WTOy%Vok%RfMz94Z5U``EBPmQ)I1iF-9`MxUM6xQYF+Nd$;`f6qc!a1cgB zva(qCUXyK9gXyKL#*KwHGcH=Gi1Nbu-oUMwH$dkZGZ?N#)v3SI--H4ut*IsrFB7R^ z0Fkd^f6H=6el$w3gc`dUK-VWEF-&aj{VtCFOq`mr(Tgw(cPWzRikO)=+>8{<7j$+k z<;j2#A_V-VCnO;sx-!DT+q8QVlDLG^tz?+dpx+==KgAx^{Q4tYvr@$!2tmX|l+P11 zg3iuJZBiC{rD|gco1ml!c2k~n=$)hS^mj!kiu!g4U7~+v7)Ly4EwNe|c26U|CO<>W zQ7?!Ih7@0un>pvMf5QYH1~qo&zI~~eGwLG>TZF?Ox?q+7X$D?NExhMjw&8aMW7*+| zuYGkgZPnOCG>dNv&%FYqORuTVyqj9K(XIXoY7EX5G_v%jTYby6`~7jRTAxMG`Gy6i z33C6J`!+m{qFV2b42G9z8=5{~Sk(_&%(DdG;~&O8Zlj3vOU~GH*PCF0<+AO>g<-KG zyFMfgnKbHkk-;7kZ3EMTm|$~p8`@R*phmqJdyaZlOfalCzx+%kcfER;dqz%$YQ2r9 zE=roBX1$FFh%!sLz6{wtF4vB|-USPcAl{}l!^~dK6xO&f&8%E6hh$flYsXqYh6xrE zZxfrDgaH#r3~@=UnLbu()Z*~9Pm-_HNg_=~6_A(hF(0)6_+ZixCW6=1i?<5)Ram{p zObva8rpXBnJRS1aq;Ojv>ggWdL)QBu*1gx_<-E-bib(F+oG%MnK3l{9DZ?yNpdmh)JbnE*g! zNlihqV*uYevPO|8`x5fW5ec#Gq>zaBtYe>AUohlGZ&W|6 zfaK0El<PIhXXN=7@>e#e<;1^GggPjg3o<@3EJxVh)N;k9HnVN?%5!H#7K)ww?=x<9E>*i*;`9 z1*ve*#bWG{A;iYzkx~0N*Am;IJkE!K&r(85@D7QOPB__pyEJy=88Iv2aHjGfkE zLSuHsWW*qya8OKWjNqLk_|H>^GR6@j6mVgzFEJT02e}Q4&D+@NHFhBpv*V@caeA!R zq8vv>2{8w`O^-ohgqWoAglDCDHpCVKx081WM=Zwp>)ECM4*&rF|9Am>S8Hq(RTRE`P~K2prM%0d zSe8|xKqQyUmSjY@HI6mF^0Kx)7ZG->g0abYuqw6&{@(lc$CpRI z4m73K*$;6;>EI#9c>h}On!tD$oCeSs;6?yXaKS@kgPQ<6(FI4w2CoP3Bo~Y(jA6z` zZ*yR>3xOLXr?6@OJ>Pt*3nl{3fEg3xMBTJyJvNm@{=4n&JWShk73hjCKq7?LJ+Y!tS z$(rTJlFWz(+B8d2G;UN=1(r{H@S4EQ&c&HrGUTY;&XY6P71&7GFRr)(QTYZ2dV!`$ zrl~|Um21{aRtursbmv?RI)YIa{U`cox5%+@IV%%?)v%{Q#-$|<)8BPu z);KcFBz9bm$hjm^z5YizW*5cIbD%0$gWSkR*HZofKp_p&ZrkD}dpcKbQp#p)6r zXhwCzA~)<%a6Tok^I-o0;fd&ED;ehiSU`6D*4_UDh+yMkOoV}9LBK+G82-Bb{_s;( zFrx@JRfZuY3A2dR!MgYmgDQG@yL`T$-rcG6j>Tl^(Kk=;7d8mj3v-F3yM$ueH`qT* zpcjKUD%66^Biat?p=2Fv1&H|Y*m4+T!Om@|-ToX~yg9!EOW9e#?Hc*0U#Jqk%TXo1 zjHC{w?_5I3h!EriRi{`fD#2AW+c{=kl3N~Kf)I6i*gDpF-R7Vb1lR*S>fhNiYdbILs2eQWTJ#8Jdd#N zVW;ofJ>NK(F@?e^C-R7DDB_A10Aj$4xxy`fpHEifHq^J3FiUX8DpK^)s-{CAip&#t zj2zSDcFdfIRfE|_zx~2O>#=}Ehi_40Az3m)wkl~-gd3}i3~U8-X#)!@YE)M9W@z-M zzm{UMFgzmGSrW1{x7ees6SlwJa(Wd^Y;cR`H}7LC3j06zZFnf~qBZjv z;c;?n@9l?}7ZNK7DGx?Oy@nkCOnuGDeF2CT228XN@?ciIDMz^~t)=K6_gp|$BHsjB zmEyJ@&f+njjdV$OKRD&K=R!Dz?v+B@va-%SAj){`1Lk^SkyGB(FRMW^T0h^>5Ha*b zk@*`aHJ=Us_W%nK;o>5J+L7onlX+z{(Mx9B$%|VNghTXGh<7M5-^ljC+IPR-xBye0 zAUDF9fHhOV>TcY{GGs?!phne<*sjJ5a1*(ny!lG+TL3_f7+C~bywfT~`-%#;3DBhr zH)HXr<+|B@NQjsVGAei}t%HYzpU9zXS8pZyg9nhc(MkpA!&1TuH=ZEdV3|Jj1glSy z!*+*cC{hskhHeOaD+Ea1S10_hVxJ&ZbTjdiqJ{OAB3Cy9thB2++%u}btyJ)5UVr%> znvSd`ZeV(J!0R%B&jeE{(p&nWgN}(qS;z7pIzhU)26uZrppM*}1T*?zNLqx;l!Czs2PaTWp(h`>mi|1bYb=|=~YNCR)+v$l{{%C)wUuB@fAZ6%lsSR bX{VUpYB}E{&Wg&4O9nUw|FZlK00960RD}S3 literal 18436 zcmZ^KWmFwKv^G+p6bdcwTA+B5;&71S?k>gQ;OW2k(rs1`4iJ}CFl$nDOiLjxW!$(=1q5q?x7W`i(s8!_u%l}J1iT$7K0)3{9 zluRM)*eMx}<3UQsh{-^kO-9F3XU)n{$KvcPdQh{GvJ8hD{u&>+uCAq)*-EyB*PTs< zCj4>vOOT1=mhB|;A()2mkc*L(C!78eLYDW~*?TWLb;!D?yg0dV$Np2;SyFPMcnCP0 zD=|@h?0CFx&^U(#Be?Cbpzq&@17~mpbrrI}z4Q#jqOFDUjelmSv*d4Uv<#A|B4XO5 z>T(a}nT1sqDmx3u4k-;!?GA?H@)J6+aHS|1nPXY6fw7EXGMsdAKkXc8_^9ZqRZaK) z>WogGJJi!VZ-*ExA=NCiuhm(cg$#|;;&$tBf40TidHs&?joOwnMe)j`%M?42wXxucxU{8sJ$pU(#6Xj(TO^&p>IK%v-X4PPvu z#%bYdgr`7dN)idHc;JMRsd~wQ<2BW2py-RSLS;O#H7rq?;YE!>z$I=`&_u%BVa`bT zCKpH<;m-fsjQ-qw2d14)n#7DZ*BNe8i0NlW>?x{BS>&;!XU+JcW16ZuqQy!LWOp2< zl=fTNm$)?*=c#I_c+ofv6g7=C*A{ls;+Qe+2}dyIxf*igAE*jH7F|fSIM?6ojWN$U zi)^plCu-Ky3UaCDWH2~R0SC$b;LUe3wVd=>2XErP=lS!*y2K4@j)fHTwi|BG`i&0H zr%h}${=MCsODwsMJ1pNA(YFJ-g0eC*BS+gVY?)l~y;yiN$fMSfi~Poor6a_P)_!Lz z633bm5$c4B0m(Dyc%4TVST+J!g<_0rl%->5Zp5yK$R!1d#4T!8=%)GM6-*(+!N~u} zhf?2D4>Pi(qrhWGiS&c~j4?8kECnKk$nm|WTgi!hqs6|pTD8hH1C4=lVg|ieIlq$P zwsGxdzB(+IuWY8gf1h*XAp67lr5PGUo~}M%5Aq#Woem7IPk7xGdY#h6L{yn8s}h^^ z#6@NsYmVDfWyZj#&EQH*Jh~c@D|t318Q3I76%pp$}Wcv?a7`yEt%L*Sdl;~3?c z?1dQv))kHC=Sqq_e+1kCQW^H8h7Iofs#$n^5sbm;PutrkfV!)vS|jePJD|JS3ym2v z{VhPPZ~2j;8cZFGJ%9SzKqvp}f%)vVqd~h0i-iUW({Jdn{HVMP znyZ($hb8I-q&h8mBfLKFXZOmxJ8n^aQ~o#V(MN&y`-PRuC7CEu55OJ?lTmHq$nSlR z?WEb+JxIcS95XiKXzcmqeP(-lRWh@f_TwMEVQU#@Q}|yI06njLYPDSggBIiS98Ipz zDB#4F7dC4b$xa(}32IG7XBdP}cK+Iiy<0pP?8m%>ST8YZCO$=*qf(1b3oA^lH+)hi z+JQ!W%`MYqn<@RMC4y)zTFl{_|^glh|^hqG>;U7m(ZXN zP7=Fuq9XJSh~`1`xo6jnK*06p^Q7ran&aNUQS%0QAdYUIDJiAV)WRwsC+~Qzp&qW$ zFC)Db{Q-ELwAx8gwxiz(Ml;r$Sb1@1``a8tU9TesPGRA65OLz`7yOY)?|(pS)cwY% z$$x`rlauosnLvm^$%Vy5qNP10s_MG;Dk)%gBWUy>XclmO)YBoxQFI06J^;8gepq?1 zd7-NQQM>&JQ$Uc#- z0(0=&BJsC$Vxwn&F54=cNOpbP(4j;Ksw)LXcT7`Yv8N`yYCeN{Cx&K;?kIJx?g^49 zO)yBi6T^5rrg84&_oPm9bf=6IGtNqwc|$^DHnEu`Q%$;wNXI4O0LJYwN#oEGm@s^J zhqNPsu6~g$D2ZNDl5+2_p(&~Hyyi+cPbEofpLb7{YhX}4mVP3`O-NLXbYOlu2JGjy zup+UJm6{-@#|`wmuPNU3?bSNJ@&ojjbDd3vnzn;yNlvxN@7M*ad7pG^NJ+zh?hf(X}Sw`Gr;rGNk zb+V!7l^w7njOH=&aK!)0>HDkd>Md7mwX1Xj<2_HZ2s{(Pba6{$-S9iO`Qc_^pYVwY z_k(a>y(3z^VPYl)fBZP7f-tFh#ne}|z0Fn`a~`%yi)k9xcJG4{G047-I7{EUB5chP zY|{Th6maD|)BSH|mTWzjM?5W(7mmX6{5|~0gk>roveAj}tw(IO)xA#+)zwruL&H1N z_sd5a(_frZZplydOjF*wLHciq51DJSv49BF5$YI6oA|*MfOaP^)&6Ji>bi@f^@$gZ zK9ankp7*ayTO#|4lm?CUqr<0mWFC5G@~>BII^*%3fYH1xkB_&3=8K+e1QEc|Q~xpF ze+65g?Yh0~A@e|PfAl%Zy!yR8d;fG#Lf2)vkvG)AvtTk1($k#-tluM@Kwrb z@2Jo10PrnZrnA=H$shp@bcrDY_U}pTok!#=OJr03{2t~_H6uL-ye?8WC+o{CD1E`& z#&0|K0F@cydfiAb1N_&)oT=$tGJFS!SZi6VSJiujS8ygD8kdHWp??La$~)-6n{kk@ zakK8brV3je^Udu6)yUtyS3LTBG?-@r$nhq*+*GV!e(TyI-}3%P?^;53A48EL`ou={ z-zb9L9!C71kEy003ZJb)Z1R1akVwt`Ju{(S`A+CiPXzGSAylTsF9#7@W~36)Zio+W$j|X%xn`TZw5}Rt1ZE_F z>MZ+3HWOC}*$w2IQI+8Twki)e+@yo_Jbo<#^S}R%zGk1e$$mc*#Jx1o2uAzEpok$0 zz&4Zo60>p>=H;E}jmG~jhc&2T5SvZfqU zRb{xHO*qe&GHyH{F0mkODC-n&p3WkWd`R7$SA+@Ch{r`X<^2M3v=$PC3~y;WYJ zZ+tN}^`4%ZFNcrVI?Hi5F;R$@4b{s=1CB2{shv07F4XcbR;GZZTihjQ;&aagV!XAG zq;jdit0h$*FMx z*9csOuWn|7b9z3jw17BUOMm%jxT;5Tif_xv7o=CuZ10{9(5ZOoaZO_DgX%7i6yYs|xlO6P1MUztj8E9tW zU??j_wkkgB-2rj05wGz=df?>If+j(df)(e)db1XZu^4 zQfoadmuIb)y^MnoWJ}~UoUiz1*2rtyVR%1>Tgx`4U(|wAc0e!I;?hb6Vb~UFpQm@F zk7wck8Q;*1LTr%zYn@ug(Pz1X2|qv$&%wXHHT*l?k`zZKGos)Wye(EFqBd;4k9LU@ z1ck?X+oY#nE|HNCN%$gc6v#`aZLY=IqL|&ftYqmw7gUNsK5x-Si&ol@ChHtb@-NQR z6p)3dBiA)quZpy}b;W)Tdu0yN|3$`9!Bnldu}Thxc22GGM~*K5ArA$NkmnUg$r)zDgK=@qJIvAxZ*aH`gKR z4>vaswma@J@v)8b?T{!u{FW=T~nmZOPVMLiJ1E z$Ug>+6SbK$&Wp-~$vU|wD}dINv}+V&Uk;U=R7)`%u;AbqkI;pq@OF|Fa3fUGO$L*KrPG> zulMAL)f5gz#0>9(_?LN|YUn3}Mp^XmWg_Wc#;+{h$>-xA3dRpbrqnMka4=sfWGJ)m zwFxE@Ssl{(fsGHmeSqkzI)v{7I$zZZy#k3j1t|i`m*X@-=b1`;gW^(+_d~?G7nsX0 z7W}5k_%K?dCOpk1I9+#d-K!{wBVUAPN zooJL?S!aI9FvEp()`xqkYKVZS=<_yX5~sICo7}A#2r?(U(;+{9%@O$YHYaN zxQyj^D3C-^*_SysbjU6Hl~9mG(6l_})?E4<=hJ4mzaycCtTprRY|RbS zA=orC2&No-xp=Uh$%6F4mZkEEER-xSYD?*DE-ke1`SO^a=(ta^>l!^mrnL*_K7cjGJmrs|K5HdQ_}!c)a}l1U37y`&lxS*~q)=1Qgnrn2kN zO*Ja<$w_gVAWlrR)I~icq&!O67~IhJ5#r3~hP%qDMLeQ3k|Fv7QSt|`zVt0*MkC>3 zlf%VM4~qI0$9P+lga@)J=E}0D5_NKIs}kC|InIia&laU$kmf~2!4y5sh%<>S_E^Ns z>=(O!kv>Nk<2!72IQu*{%FpLp2z|XU6&)tOiEUe*(wlKUK|R(TO3rpjIoG{nKjKt0 zOIU6%yL_=$!=-kZQJZ0X^G`q|z~AwWdNo6_*x#}HBL`OdJ8o02Dgtc?5A;;A+LX;6 zEH7u+->JidV3-S$?kq1EkdCO4k~Oh@Rnh5rsdxF+`UDz5hmF8snZP)0$BVHOP|Qxr(S_hFDqWIk`DGGvX9e(c2OoGFb6%1nz6d z68=haV`l2}{*a@|gy`FS?9l|Xe?mKA=c61rJ96N^>BDHRT#nhfI~zJzupvJ(r^B#= z#OjUr*I%whkKV~T0U632iQ|uUT`c`)QFF6Oj2|9w@pz{CWER)W(~l|C{A*quxgoTd z7O`1uOP)2F)t`;OUHMT=vubl5?i{0PLW}!O1 zO&fK;O02eJ_={Ov2c$I7lw@$N@9PhYOElU0+ArB*d6R;MXa$z3Q6rBVIGMTS#BdtJrhqW^F)?dj?#Ith^@q{8~UCyPc85| zt7+O<*Z6X5vIstt&lV>lLD=jog+W9%_FQZ=$?XSRqB&lZeDNpcDQpWww+N_8Ya*r( zp0M`guJ;e(URK8EFVbnOL2T+rSeSHxK>1g=RuzliK}*jo^$VUpyQ%!5_q%Z{>v8rS zq8wNTT>kwWK_Lshu6S-Pu==DEgQ{+14c|8O)r0MQ%z_)n*4jQLyH30cMbwzPj2e+n zcxBTX%qKHhGfjw#mARHWI$)QwZ2F~Z&%!Z>Rl{*Ujxa>4htm(W^c>TKxEi0=lUR#> zi&ht+A6iDQcU^Eja9Yw&*WUJ?i|>IOPp7dyRG~d&vD;$lCOFud;)v44@0ZcrR2uO= z>gTQw8Kj}G*nxH=0$IgQQPz7!ez8O+eYkJt5vx6bkFDxQ6hIwi8bjYoe4Yrk1gj|l!6-Q&OO8I^|84Iixv&t&`j_*p;{SrQ2LD9eaeVaPqk&+yr;9POPcP)dKmx7}q!C_?>8b-b|rLD=vr`H;}RkY|7|*J{K2_5k2Jn{_px0px^DLfcguZ~`3mC-?{4 zM%W<#C3kR9Ie1)39JVgf0&)I&wk?h%qyotF3un)6kNnA;Zxu@v$t=<`u`|0Li``$sb-gL|o`Nf?e%qx|uC8TbLcZ|*eocjv(VaXcF6HG)TxTTv zE7w_<$50Uiay?TSQIzrvoGE~B=?&L|MTF{@e+MqLi^qAGc*mAkP;G#I-1)aJ#gBpJ zfFVCM5&=NMuRzw*GKQ2F3fNxpX0Jcr(M(d(UqmO+=NpD&kM`Q93o=lD8Ti^jvb!*1 zf1cV5N#}YIvhSUJRk!$;u4`wed$PkbBs^DjlWI2-pSSXjU!NwP{05;|pKPStKu%|@ z3!P!uA^7b^(x0@bj+XKV-==Iz$IOqHGvM5TcL^b_Pq@M%RW-Jqkn=Sh zp+-|mM!V3G${?f*5dUX$I5EwZK=U71CDectiQ}nHxG9^!}-GU1nS;R9k*)ahMNGX|} ze?oW54FN+pxCivdjaK1?59R$r^qEx5``?{x^cx=aXfzIlSlEl;8I_C|r6v;mRZKk! z*wtq(=ZVk2CRmtn-F=Tyxfy;Zm9I*+o;$<7<%VB5+{CV6HBHLKk}l%;E7XZeM(8qg zh=(Ycio~c6LR2qH;z@0aO1j^3Ejjfd@N6B^Xw!jV^udq2*sfks4!nxuDKjOW@&Beu z2EsTl>V7;Fdsg1b9~XAx+xc{ppB2Ip2^P#hB#R{VMw~hC(hj}*DXHo2fKwB4)4=wQ zwzs$p{<617{w}kn6B&<=X;!oh{&^FB@7DKyugY&7wixO4>Xu(K@a}gP8SumbekM$g zFZ_o?)XwsK=}j)RpVz3y~3N0&E8i&Rx#zcbFK+`)0IV zoS;r~Z@$NPvJpF3!KKH1VXw;Rei{x4-k2A$X_If)b4L0 zXRdw&0ISqRW86`WbVc*eZeungpJa!!LhexhO#I%%kk)1Q z4=$M7c6I`I!y9{iCaU({tNmVK8bb5-FHPUq(#2C)J)`ng3Lgt!s0O|s`wVHg%XP~& z82k(Au*(^6JmDxdwsZiy*hh5ov{K&j@GJ0UE^ExO&sE$0aN_hh74-KS{B<4Zj^#M1 zJJnF^-kmEP=DskIw%E@X|AjReJ!3h6Flwy4*;INFE%+zr0?x_-Op=*W1X z-tOY{*!neWVf<91*=D@wUQZlOW_-;);9+vP-4<4vhZRBqHi?%LHNH=U1Gcf3Yq#5s zzucE$kEel5btYT;kq#gE`*SN@?G1>b)FEB=8{M3d3$sY|Zl7!Nkq_$1R$TcA{wT z+XoMDjww=LX7s?P>@#7X8FKY-%3G(vEF?IxRI3D2k z#fIQB^O@)7XM;>u5hsyhNsWL;XpR*!ySU@W^2{H+u8@jP#MWMMJa!N!Amh1xzwCjY zF#PN&Ju$YhwCq94%?~rzMJhpt!$A`=VFd$x0=?UI<1I1|rXAXfua6}+RDw^F(;<8z zH&woGBKcZk97fvy4kVF3I9V z|Mo4TdSyNxL9ml*sa*?s;^+^OME44KN1%RzG;b{G)MXThxuuv8zjBgIwzvxQU)N#9 zn9LzpA&||=^L0enAv*pd5<~cs%MO{$|&Tv3|?Md@i&L^=3Px^Q7RUlDk2XQk} zOeYq#E6xH*C-ybzRYt&_|If5PFVKHR_|O(N8^Pt5AhO9fQSMIPcu1lLS`ryZQM+#T zMowYG+s6a;<1kN$DAaT1##ZYhXh^7mkyd8`pN=v;@|^&`{ku2P3`ZTq> z(HEh7Vo?vK8)wq}_Ie~+f<{O=j9fjCtsLQXLx|od!jA5zd>#lGF zA^}t|wgUhq=Cnug-Dl7c{lPXPi~r?setTRi5jC;jRrRZp*rvY&{mcice^?Xg{`$UV zj0|Z9+A(c(=l5eyF-9RbzL`bReXkvbd@-r5wZZ2%LyJ;#Nf^9$-GHHIZwG1jKa@&3^10$)tC-eHp|7tnSo%O5BBV5w>xevkR8 zO3I5-Qw03$EW5aN(GrGrN2gP5BlGCjzaEC=NS_J-m6>b{9(H3<9JQXkc+6Q4|53N~ z|0&)R6kT!@Xws9)Jf$-oB8`>ZzN|IFKF2<@i4!cb!>O&SZO8hhbpA1UM2xSdr0`aa zL^~2j9i}cFu9!b)mxk68Iy!y{XM55dn8KA+&=dl*;Ypu?gEs@_BJN$u_%$k9pL*@n z&NpM=`#H3oTH))t#5_e5dMNenA6a`v2pa{WDx#3># z!JXIF)Rs1Sl}tN_X7o=oD8lX@)}P)OvN4i$%_4V~BjMYSrfz`KsPchwspcT>y7{ZM zS~QtBU6MEEHa2zYR&7MkohJhS0i;{yU5htK!$@d6)F;fV$}$$t z{qCGBMp=jdiAu*Yb|Q)J4Ap~MTh52z!s=a7#@8S8b0RvB7hCOpjjV%iZJ(C#Q2pF> zm4BzM@%a$DYu0U%?;S?(T6Le`w&!F@H8G&H#ME89_~sUc{~dX8Tx4@<3y0D`8Ee$| z_x)+<>D%bZ)S_yTjtZfhtK$)VsnB~V*Inqz6uU}LX9?Hc_LCoYFr`>>m4?GiLUoJdBA8}S1?m-%zDb55emr)Mt{4mST$rP$mkQcrj zc)r#BU<@Gm+v&rT6<^lFzNz|@Iree;f)#N%dS3f)Q1w7aF8!I;o>k-J-?1~oa(rD0 zFYak64H2+3u)zpk9QdJE`3J<%5>XLZ^?rkDD?c-$8tU8{c0f>r_3~-lCKnRpRkuuM z71OWi0QkfM3@wrPp;tQQw_StK?sAMu%r~lp7yw+Y)TZ3z!8`txNf+1M$bLlU^~=)M z5aPYE|L*8%vo;}K>Elsk`tGO+#6cQ*C$r4Q?(j8DJpa*QvqmBTOEyYQ;1~{U(K#Ny(Uu{g72rL?`A)UK_6}WHHExsv{!9@ zG(BO8@ImYK!R1$NhB~+73F$0;3fxB7CZXS0ybKG~{T8|6|Np9Sl)E%_|22AnkWJbt zsCjS{*m4tv>Yjb~rcFk=f1P>+|6q0I1Uq(sNx7A6N={G+Cl{O4wvDr#334ZaQ}`F z1~5R*#9WFfYci{aQKJH!&A)_soxBA9r>Z^@-Hr{nyx)?oO6gC`#^y&5UPf>;-=AqCdMeIP7@4-h~zF z$IaovZP6MnZ>~BM5vD`X>@!o&Sq}P*(Jf_h@N&)(CzRY@`z?yz(OaX-(-?XuMifuG zax}hpMh_~dPS<}yJ-s1;=+=5I&V*!|q}$tu6ZDyw7pK(1fs9|+bsRb|H%f**Y=5S6 zK%l;jgmQSkD1`u$;c@Wy>`5}Qa;t&%$7B&Il%gPs zO5NLZ$d+1~uI%Wn0obKl63Al0b9X6j_P^`0y2nB?`eW+ooAD6h%BlG}}ge zQ_Ucjfgl6NWF9{*k37!6vp1sP>4&iavz!>INp1u$s>cu|@Aj`f|EMY%nQ*D_?umnt zIEV|0P0wEG^Z}x$w2Quv&tpQ|sQUos_`rV$y`-_SXS&PjXSy5g%rn1ai8e2>Qykzf zG4aO_e(tmC?amnQQlLk~yv0!>z^kG}MzEQkB#|WD)4thFSlFPV<_11}7pQ+5T5_qI zyz@6UKx@FsFnwSj`1#s$MQ?=d5xR^I22b=2ZH4YrNk_sBUD9QFr=I zE0be9^Sdg#YJ%FUIHv%uJ3o?J^&K$rkk@l<$<7AF@K0CWts55WrU^FRRFVzxi(PtCZ{iVNX9 z2+=V_xFf;SOIHo-=i9P(XBQlM3UQjP@&7V4o43p(MAk^{{GMkCK_90i5|hofXA?<` zV9X*gDaUt6P*g8*n2~^_C%Rweec4hBv@o0%%--8xu}zHO z&)*4+GWH(4W3F{;%)+GLF@>>HaA`uFq|w=nZ&QnM303aCN<~KLJ9b|%Xici-RoPNu zZ0tPl`qjZ~x(;9ZTBsiT_V1%Y^*6v<7wm;C#zm@nxMcOXe@&eX5`4Z{zC}tS9yz=+ zoXT(u!3*uDPjI)PKOTQF$ZeJ`_z@T}xeEnvIjiE32Rv55%na1&6_@ZNYFoqt z7F!7laA#{_BI!c=?mK=wZ<3G9^>^@n2S*w$q$+@~ikJ+JEH&9s!Qh7j)O4U?NyuT&( zyU-gOm7}GeIFbyWg*4jdjhE!Yz4PIFE8AZx6_{}xK9k8G;`5F_T(de|2vH4gFL zDf(Lu0tkye`U|&&Na4@hfMu@}?3eN7<<<-|)Dyn}>$#2Y6#dVMgRwgvKtplc%D|h# zlZ1QeDT<0)>6MQslsByk6&7mo=e5}=QglL;}p zWjfQ|CFOVc2{Fz}B3^aOH=6udXGmHnj!#NP1>Cgc0BzjolpRdziI%Jil#YVx4!!U| zJ~jF3zp)QGN`xS++sOuayk?2UK5x}Mq!hLKB4xF@RVU}gXz~wmq6yQ z?!x#>?2W#jiuh`8nKsJ0*X+UE%ui2w{e<89bob7KvzweGrsluh8KS^>{joF3i%cSc zNAg=p%zmf7JP(dPB`Mg6y@TezR{iU2=V`Qu z;LBPBMee`*^z`y{qy{q2RAU1cdwnLOS$MLR_*g;v^C_U=kphW74E=oymh{VO^A;rh zg&&>K?k_?koyV<|*_S$|&61p_rg`aS?ho3WCJ*H5$Z~#mM~SIHZi=JJ51?nDIk0@< zr9Wc&=t)iy-v$8>y!*xV4anwZcp~IQsi?H6PSKBVy=BC+P?60O%a6;s*AXdyyQ;?r zLZF9fvZUg8bV$4U+J7Pe(js)zG;+7D3I|I#+JiLIj{zS;EL6uKz@7O^=e3D)-ethc z7mtK-CiFmE@gN>_FQo12a>4gEZKFLQ{vBD+#5WvtNECg?_m%-hyo%5JQ8p?86Fm_Y z!bh3&Ij>4VI`U{M_$^NnJ}Rf*tZ7PEXVl$UvUw6?SLKv3MvWcAXnha=8ab zza{k9Gqu~zua<2k906DQK(H=s^F8{BwBwf+innb(jI(ncF&Ds!>3!MGO>G6U{JICC zCIzU4v}Hc>gj z-_%vVDT&ji!M*dlU!AV{dsp*51+DnMOS@m;ulRd6^FE0}9mbkjnzO8ufrbyn5ks?Oe7uZ$A;3SL-zR8}=S8(U{ION9Wcgex?N z%3r~*{IuhoO)z4QiJ-q=m}qcm;@w~KO)*dXPsBnO#9CZSI9=>B485=v^Z7Lv7R+Z6 zp`B_oxZi6ZC^U=w+9>u1yh|$YPUmx+p++86;jaa@I%BTg1lp7%KZdt!s;vdYS5*5&%Lwr z)1xbbjMhlJOIR=cmN~LNqb|PU*Rm-QRZzBXE11qMUOkgdPmD8|t%n_AK%n(}$p}UE zSPr4IiC0`6Ufe!TBDE0A8xNxLcq_g8?E;0R8GeK<=+5RB8qI})+qalzoJRirjl-} zzPhgGueYU81&blHW}ZhJ~(kXUJ?i6KX+aB!d6HBi6m|957i7jap>UV(f*KNA`JTnAXqe@Lay9$ z?qwCh1IOmZ7{xUcX>ql9=$YENICQPC)2h+ovnVDI`2X$NkpDZ7VvuuUurE!rwFfH7Em!*tYSH+j-l|^V;l}57C^({V^?5=;=vVZKK!hNX zBy4QXT%yH7m0B!u2>;u3$%-hs&C>bj>Oud6PIJ4K~qv zcQHr3%9P08!uSgdu+r7&@QLYc_j}p+?2Wg@%GlPnj|-}yzdOd1ilVE^fac(3Czj>G zxg-&urvdd@n}4sTV#($ zvKhTJ-i+kAEk5FUURx;D*7<-}bST%iwsu(NjVWkc?|EokRi%V!e8)C+m4uuHKXw85 z#_Hd<+HbeJT#`Lf@+|Y%UeU-4#QATg? z;NeoxZX3wWyBt&vq)a<(aaOxq8%vwvx#M0H1eLY#cgU|-HXH@^Kdy}&UNS^QDlKk& zY|GYW?e1}&cc?htohnJx4j<%H)s-Gn2-s!7JW3#T;%<~yF34``5)qbEdS>%zsC#+3 z*3Uq?dyc~P2Q+d3@}E0k%hHfM?KO|QO_3nt*?wDI6*UleyD&l=&K$?8U z{4P^n!&emkPQ67pnWa}Z^}d*S4q!w92^O|&3_a;$`|J9+UYPrq8G93)XzSHm&0mSR zS~7NpNhzy84Qk$J{tN3?-hUq}eazVIzbbujSN-t%vegHNJi;)d^o2UEmm$h?wBWe% z7v>Gct0HKb+nYAeF_--|Ncl~uqc_)9fxC>gAw=N31kfDnru=FG6$OInY!eaDHgrE% z_qj0}urbiHA$ye5{{nl-6*Gk&!cm?L!Dr?3Ta2VL^hT~Q_jdR&mM8KQ+(CM>9o!`i z3P^ybXse@ttEk78;ps+Dc~TJ6?056g8GM>9a)9G|oc`Kv;@6Rv|`C9j|3w2>>~iRA@c4c*R%9=0Y+pj4H;iMCuE zvm4EApg>Ep?z@C#pwcdROgg!%Ls%Wd+NhGth))FCa8*1u$X68j00o`2kMXR=ji<}ekhMzWq2qq_eBO->rBgn+Mir-RJ!g}IAxP}k!tkf; z&CTq;;jq$k^s!4gbhX0EkBwV~(EEV!smkI#OK|0J(X;?!!oNGYxM^Uce6OqIL%aHM zXgO-GIsj+%VU5?hi;olpYjsG8w=YZIoASjYnnn#{k3Ns zlGjG&@dNEBP1`-2kh-a+rocA|&7-Quz&Dd@BnRK}(9LxsaOT5S8%>wHZ_W0r*yw_G zMCN-r6!yHBcZ6EUAf%9Yzb=$8&+zvv5$4uO#yS9wzYZAXC3L$nsjM@8=El{QE z<-&4$nYdUyCr7deeMJ!P{UdsBSD=B3Gt0bzrCYOyiJ3P>XhZ;ftV&o`{E1;WJmb8% z(aYSd_f~~i;{EAJ_g#KZo#NVblSy|gFaNb#y^W{6vnX4$Kf)|)^#kDBn1?<#^Q?D# zf4Q33`mD(fqqlgLTBOMdDMoIdA&~)f)wH-EOr%xY7DupNDPKgyK*LEIo22AX-_?WQ^TSl+T zAkf$Z4}i_PdZex<;0+_eJ9d0mNMqLHYbX!cR=e|o&Xr5;=Czmy@Ji}nMU7i6%Ub{Y zn_ctx?chr!{~I?bBeN4XP0SEjwGF`Q-x%T-pg;^b6slH^w9o%6($VOjFoJE)2h1bA zGvUh@+&>!f$U*qQsqiMIJfRE0rV4zK&Wa1TN((S3ESh@>ER>QJK#?@xF2_|&^Lskq z61}=H%CAq}%$r>&EpTf3_N#Cwv5=;Ta=1qGObqe9N$X3k=4Wc|=F0sh%Mlcovg5|z zS+3Io7=}&X#&$~QUrZ1fpeTTCno^g4SkAC|iAZzaj(1##6D7ai#~+vAvd{l*o)~QP z2YKfQ6dEVT6JmZYd6O6TM;x2lpcqXXi?U<&^PmP(+;8(P9{HBCkZ7o+L#VVSD?{nX>gI7*T>l3MWHG#L3)(2gVKe=?{I_?nj%-59 zMoaSuyR^y%42z`G*tezZQtNtC6lcrDAEYahNKX zFQc)io!{Nc6kZ9af);kH;d=#M3$R;P_r>-FGbtAz

FW@Jl#O_J$h#1D^@JW)+EU zg@}v@*vkgI3TF+{q4M`jUv|Gy<-@$j6-AhUHKLA3Ew@1!kw8xB$BaqYyOnIh`a{h%t|6EP@zSPb2~p%eC)Z=UvrT zRJE12P$y6UVY%s}`U+|2gOFreh3TWlN=&FzQvqRtsk86EL#O0)BuvD)b5-s*d<3Ah z5&I?R_c9m@{rN$qep64J^n5td` zRG7A^uaCn#hnM75o~~@F{~hX-QD7-o52QbL5N;coDa1H8ms~A1RrOo=<2fl`Ps_hc z0^*-$s9QP@ZS0+4P^k~2w+za)Y^oo{IERsJ6P%u?si(!XEGy8iFjY)+ipb4CS@v{E3{#s&eu0bIzZAbK$6FSuCD{A zMZMf$E9w&xSJ;jQ1Uv!mus@xF_7%ph@KOT}P;{gYe{wkPt7?i*C30jMicjt3U#T22 zkms(euiztEd8gTEyX(>aZJ4I~v(3=KO7a0eWlLC%o)*;_|4BNdbT zxe&qLn9^4l-^3VWTP-1=Tn4RD3eA`bk-Fhc^ZwGsC_kVT(OGEf-WZ@(c8%UZ0oleH z(V<0oG1&R#SgOXEBJ++;X!FRaeh?xm@iPEnreRymyX1oo8ULr3fB2S zD_4p=aTn$CE*2pH@>duHQx6e`E>?Nn$^2Yqh_Cpc044|7_~a+bZ&>+Gdu)O=o8R1> zBTxEbkW^!b&ke<}Lo*@5adUDP{3q;&xpt(~?p}geI-RuCuhyLJ>bl^()Kj_CMj)jn z^&lX{ks?%38#bj(pT-TkF4t0xCj350NZBz|ylss-DA@Vy#9dOV7p8{CWl1NeUT{yn zP?d5}YIwBsFjDF=oIK3nuh$wE3db*!eJoYEnU|sBoi5eK9v(ufT^=5_k8v%j9m-?8 z8O*u2o`QEv|LBC1{l}%Lg)cQHSEyX-zB09}rG%#JNXbY+I^m#{&=kR}5#<`Yf(ui1 zNy$h#$ZuF`+@_Y-)Qv>Sj+Z4@^^}7eNseQZgcQVYdJ2*vq%2Kw{4o)Ry*^FV_T(fa z)%qz&s*j~My{R{7J}X*Go8sa($-PucOD703pG1i7$NZxqH5qiG87jy~^)dcZcJ2QG z00960cmaG@Yitx%6u$Juo0eChJj)pGTb8;oJ9Bq;+MStoW)`|3aU@i6F{zZ6 zK&r+X|DYiOqCu^}kPs`_NB}GTAZiRX@WY@n@$nB!f~F>tC_x27@tm`BXJ743a&x!$ ze)l`?b8p+&BsPUH_TfieEikc>BF5&!98rRf5wlf8cU0ZxW=N8G5jzDtHM>8(ISFPQ zvtS@nmo#q008-4}fu(mpd(b!2h0Jgv7MGE&gf+v$+3Pn?!bBW%T!1Uhmdr4>OIa-} zuWUVV8DVc1Gd4X7R>s!B-v{6NWOx+xKvfpH`wBPJ?Obt{Z&_czE-={xcLJyYxB zy5-15bS6>$yX#&RhOHb1p2|QWXcjAh#TRy-+XoX-HMyWL*B!3MH7696!Yvuc{C-@a zz4`;4-N`+j&vaBLJ9`4VZtFMAruE9VnP!-9t)Ud8`-JZi1f@?S5y-=($Z_lE+111L*9FP$A#i$&+L=U~76#^BODE^YzLC{;I14a&o^B^`+I)O^DJ z6Zvzmh_Ns^&56G%+0(#rWqECRzsp(aa#{(jxD3h#M5&GZQH0S&+A0^SaV^NrZ1h5k z9{}h>G!|lJSgOd|4d-`Xz+KEPQGr&(Fl{o!QG$yoc%>G?YX&+XfKv;jvXPNP~U5lKWdS zbg9qy9azE61FmcI;{hQ`*e*xZ*h*p@>b!denGqqH6J(ugC!DAnG$k|P#3a>RMOyd1 zQ9FWQ%vn*D3dfvw;<{?DrndUk7ias60f3C69i|v-h$MRS;t#Mz`y!n}Y7~OSV_a9b zF00&HLq0_qen{o@ij4U#FXple)zoW$mzib;p@Hn8=vLFr$3v zeX_}Io?X0;u?Xz{ba>N4ffuEj)e#;ivku;Qh;boW(U7uW)Tr07KETvet~s;?yoC-E zC4_x2E#8tNT$9$5_xpX9-UA@nCeWG`bBs_LkMV4vOM36vX|Ft&z$r9uJhUUL8@vM| zjSC;JHc}TkU7!4UEij|@i(Cm|(}?G(zllQg`QU$J0T(VV6388i9y6&|MkBpsN>5$h ziJUk@Jt=&mH^dI}QVZniY0Di7DV_GClFz zD?P^mAmV^Po44D!Xn$VfHo~d#6E~&tgz37)dq@bMi)NJbQrZ9yi56-Ole&6J&>lR1 zT^qGjp?%nDNaf}eq#G>Lhn8UWNpi^9E}5zngnmOe1ilpl#P{{dmRGS(5G$&g`jV=L zjIDX5ZUNZ%re^e>3GLlR34iYOm+zzM*tNtBOpgwDUB>a5U`cs$$A9RcVd7BQu}+Plm6L#srmUyzbqBKI3^k zT(qFvF6Il7nPp|Y4+NjMhgB?LDCm$8&U1y|RX@Lvr+eIl5s1)bb>!I*MTiu|lRivx yRg#O@A%K`APg)7BHP1kN#gNBR|3>)Q$fvirUhEcUMP|h$6AXiYS^ftA0RR90*Roy! diff --git a/CPLD/MAXII/db/RAM2GS.cmp.idb b/CPLD/MAXII/db/RAM2GS.cmp.idb index cb11c64112b0240077971185c2fb6133579dbfca..556ea7806303fbc2fd73d24c538bb856137f08f5 100644 GIT binary patch delta 2273 zcmV<72p;#H6`K{1M}IUR00000000jO00000006530000000000005f`00000004La zKJpr}|1O0WppC?F^^+@5`NFMqxK)@YJH(j=>Qt;62m z-uvvm@0oKh^KfR2dGn!Dh8LIWMS3q~^yAW*4;MbjPVsb+PWIl4PLf!)lT`IS8l7sY zb~laguF*X-x~HQ1aVhXIln;MC0{Ia5ApSQWcx?p32H^F;Zvn3Z{uA(6XH1An*)xEc5+@GW3m!!N*p8~6^eySgzHyDP9K@Bm;B;5NwL3Vaf{9QYLQ zY2Y)!XMxWFe+HZa><#@p0mlP>09*w5jsjNz=K=dbz8%1o5dSf7HpHI?|0lpZA^rmR z4+8Ik_$u({1Mi0Ti{L*5{2|0&0{>y)JrI8x`~|={5Px3{{v*I2LHrf)7Xt5vcwgY| z2d^@3BKZA)qkty>M+5Hz&IL9Ci@=e<<`=ld=L0Oa3Jsq;1cNnIPfvxO~9qVp8}Twp8%c?{n&y33GofU zwZPYayC9zg%$#~o&;i%=bhE3#^}tRr|0ht-8GrmPz(XM375tl_o;&z+!2c2OUf_Me zxxo8@4*+{W{U@M*3F5sVz7McBa9`kl!2N-JfCm6S4gKwc{suz)cJO}yyaPBJ_zB3j z6Z}KKp9TJHz{4Q^FW`R{I1_jz%nt(A1CItC4(k^I{xQIlA$}bA#{(OHMPMUv6mSrI z;D6R!df;GS`n;y258Z?KNU$WO2~*9p6VsE;CLzjfvZSXaCY#bN^hx=tM2jVPo@r5% zDP5R7KWX;DWYauBFHDFMQp~f3q@}5eCJUc7z8{Z`Pnwr(N$1Jp66Lt14@({>L}sL$ zg_socti%*yI0c2=zpX+$-J$kIZBwGDwrwIoF+_6w4@8&hA7_7 zLSeEgH6vY!OEy{P8-dCCr^lG0;v=WKDG(nuRr}5&hMQ?&?2;rOSd#Qj#eIcUc~4=I zU3{o^N7AOm@HC8KX(MR!Q$6iG9@67sLG#rYOO@(oQYGbn&_x?VTaWTn9~A#}B!9&} zD7R`?`}n$2-K?rq?@N`coh0Q{?Ifumt)F_(`jK%yv35Q&4Z0jjS|e@#ubS_uKW#mF zEwpuLD|T`l)h9`NK^sqY?M3@hyZ^}dFs53xhbZnrQd{3q+*kRvc^&nyyjbB>0wQv%NRO&L*J%6Q)l}MrGaqPBy?T=lIsn#}6kX5tbBZ3!^|Oi|!u2zX9?JF8iXO)GQ;N=2 z`5MLls=cVP6k?RnZ$(5v{eO~gSbH3wf0WM|&t>s9ocpcZ5F|BlF5-QOT&n9!vH*IG z*7#{p)6>Dx{}7f>4j#awkI7%ee)<0A@R%cHto=Oezu(RZkN(=Rk^cYu5U$bBlpD+T zgcVdp_)QEre(j*zv%YDy@$qmcTSVwm!@F)F~K+Z=4reKDm`+Ba<=yLcy<#SU4}Wg7R2{F_2)O)v4u zAKMB&nk2(rUuIQnc<1nGJUCeEfeDhiF=eHN!3!OEctz4q(rO$f5)1`f>v+uSe zwzV#I3yng5aYgwOU7OHhFNu7e&Aq-|dd(EVB5K>DvTbG3-1=1Z*4wLe=VM!>-+D#r zc9rW`J|B&9gMSNZq?5)aX+^dHGsIq7!$J$_o>E=iqStk__xSCnf3~k^U+gdW>@~8c z)MnOJyg`0#D1P9wXz|9XLxq>!LdEb9k^L@UrY_S~AuX=Xpf_); z=XG5CxK>K{Np@|`+SxH@{UY7+c#F>V@>r*$&K4>Al7GK#l0I7se7stUXKQ;&@4DKh zpvTyS(~XjTRF_L4--`{GMvIUB?9=Z0RHJWri+H=tt8o9;0>jj~Ml0DKdH$}Ux~$5n z&&3e=zLq>!E{@NcBBdO?FP*xx!2ZI7O)f*XpO*4}^oIRFMvhDKHrM+zYEDYq7j9&2 zgSuFPQ&p*Sd_}!|+SxU1Gyj}?r}#PfM{s@{5?fQ9w`fPDIA-FcKPJ2?tuWMGl1ehq v@ZU#Y3}bPbjfM0`xNbfmKN93;#-Mdm$0gXr^>X15_n24|vitbu=txVd~OlwI} zXVjoXg*?Rvs4>x49s;t6Ade_PK#UQiU_=FK{2XXMexX z`903>oIUsM-dzo2Y!L4b_CA11xsk56_aR(rc<;kIjgvn$(rMh$u9GB|$4SciK!r|o z%HvK7y`MsNR_Oihx(k;A@1DFr$-6i20`J6aykkp)f%U-afK!3r16~Wf26#1a32-U! z1>lRomw<7N?SEju415LnD)2Sn>%cdFJAj?!gJ2(b1a<~~1h^k?6!2`|uVDToz(;{= zfWHQ=1wIB`2fPIMAn+mJdf;5(A+WwrfE&O+5BO8?KMwYM;LYIQ2=@KJnc#l{>;=GE z!2cVt7Xohu|C3-Z0^SDx--7)B@OJP&1@>a#EbwmvyMF~Z8~ld?_ke#0*q;EN3LFfq z1>OO?6F3k!05}MEGVm1O=YXF99tnIJ);|L5F93fB{;J0!CnSj4tyB60=N=56u26=KX5qkbl{`FwZO-KH^X{A z1HJ{k8-I8U@F~duDcCcC&w{@f@bkbez)u4|1^fxj*9!Ivz!!ntfZKrEfiDAJ0lo@+ z4fs0n4d4#oCxOQTm%;iE1D6B84_pac1zZhW0sJD=^Dp2t;NJ>-7Wf?S50LK`@NM8O z;AY6z0{lI2H^h$uwgLA5y8|=Ty%TJ>7g;3utAD`%3-I3qychUO;C;aV1kM3g!~7cH zKET@{J_|S-cn9zh$hQ;hyMP}9{}I4rU_V{JJ_GDGA^%A57l2(M-UjjR;2#G59$@zb z9t}JOcq`1e4cH6(#{rKAj)3}n!2U7h_XYd2z#oGDb721n?32MB06dZ&m=(NL>gmb%n3$=5Nb4-%tGmi!qsny91%ToJ3)UVd*ln5S3ss zIq|t?_{^FzCp2;8RT2%Qr$SMg3x%b18oKN(%iDG)*~7a$-kY@A zeRvv1zBHXOKh39%>*hZ4nC#FuVH%WV>%>SVH-s)4%NAHDl9{h zl|Qk=W39B0(q6_6&N+%d2}#}$$qQ`^l8vQE%7BalOv2kLUU^yY9pFTDv}h>tEaTiCnL->s*!EGyE0zrV4!QuKywY`A8-A zoutT7{xf5h$pSc(@wh-P4}blFcxA4KO%LJ)mGU)Bx8??q9O2WapwukZWuSLDBLnA!UveJ(xh?NUwW)Zr8Qmz--$ z(S37CWzDY2Wa+OSv#e}S(bc}0W8Il{(BgSHMb~#-LwCc9%#wp#AAb`v*!p;FLP^Y( z6y1D_zg0Ioq{QS_r8`^gYTY&L@e+}bH@US~J+G%(KDwHs3k^?GyH&U3xBop_doKDj zHo?^nbCuyP!(9{A0f&InStl)w;xC4(vqp8*G)^0c)q`RWb3Ec@Czu z2ytX%wYYn@7hAi@i|v{2!s6%tUer8VDV96EZMpTQHNj3RmliK*snu>wp2N%?=f(Ke zdU5M-N_*TBRyiyS%TcZN?iNqnT-LSqwKA2uh&i@r7u_Da{(pC@IoPJU8eS{?zp^R3 zUK_vP%R=>}JNgGNx?Lfy`3aXM?fI5b7T3ah-R28Fh%HTvY&YIH)3dm<&64cdp%wo2 zvih`Nt97`oQyjGSqWEp2Ba2QR$=oxN#MoJ@*@38hF>>Xztmo|@b}iS5?e|D6K3=m* z*I*4{5xza5c7GE;sF`)lWxlt#kMqmC8~q!ZZ^bKx)yqeO`Mq=gp8tzp(=ONMZE#|> zEiZ~L6VEW~qqC)&sc!eqR_$EXcVg_BJTXU~%i4kr*7!iyr(oqDHH!|lMpQ#x;m=2 z#TDBg+gENXes^exwrZhX71~;4ix{6NCh^Ofu2;Skku~Kk$=LGzyttRxKk7W#=abhJ xvq{F_u8e;CKla_GX%@N#Ome<+3y|&+6*uqP0^~tjA(IE?I|z;a8?y@ukO2%Tfg%6^ diff --git a/CPLD/MAXII/db/RAM2GS.cmp.rdb b/CPLD/MAXII/db/RAM2GS.cmp.rdb index d719addec7db9b1c8974395a8af62edef4a10dc6..47adcb3eb24bd962c98f1b707269ddd2ef4a2d62 100644 GIT binary patch literal 14334 zcmV4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*Epm0RR910025R00000000>S0000000000 z0090s00000004La?0wyH9LbR%VV~?iWpa%FN2js>=GKJ9qAUAAcX>kI&4^ogd<--nqDpT0s(Z+U6jQ5OYVDx) z`VV1j>uVg=@MYjZ4m|$^e+RXl>Z8U#MyQ(v{|G<$#O7>=XF<#Cc9N(W;t0Gj?T^#bFcn|sV-F3joE4^VawDzF&b`hFlTD5ma)mYCJwt{{4zY5yZgM;i%qW^ zn$GE9p3l4HBGqEQeb`LQ-K1loNy7M|YXNU|+9#1igh1-BzVARX+kmeP)ZT6M zj=Rk`y0o-dJB!1Rb$@A#b6I}Z1B!ZGvmZwnVVW^ZdY5=`NgwV%s+q0*fyxgz2->~Vpqcby;QILWT?aYI0c9{o+Cs!9KxPk6#L*wvF;O>(qvKxEiMw;g z?6<-Iz{lY!$VWOrH7;!wVFX>qmK0CIB#20^L2~yzNiMth=jTr^&jL@Pa}k+iuGzVm z4?!$*i7l%+%qT`^$nq#`DkX@lqN2 z=V6pUyR*eV+&VpstSrth3F2n$za&fJCA}aE%}s&dT6_o80eW$ zXxfkfQQHLOcRP(oR#ToMTL}1^x!Bx|-lf%Ck=hr8PleCSHL4`VOO?b#t=kPRpk_Lx z)fziR6&1~OKv={UJ`tHky*yGVu>R|eIycVK-^Qt;)sK26Afi|=$f5oN{J4^C6eVH6Wfb!fURJw@BLMqMrH;G!&ADs0F z#*NK(5+$#7KW~6@Vaf~dn};w|B-Szm6Hpw%+(jFVNnUkKyLWLM#$6a=y0B3>^N^3j z$PX(TvOohuo(PtwCM;RjgyhoDf(Mh8CAcnMXfisBUWTyVy}k@-W-U}i4O&fb z&!aF7;^z75Io&-omhCzCdS1Ee@62~Ivql2LLZ+W0SV*QY7Qzq=bc#_+6J~!|R@}^R z5YqQrGJFidZ*onwKjT5vaX+p%@3Y5V69AcTA!K@EpL_0?|*^fXDBhW_{-~H z17D{Rd2CJb@h;$_pHGA#IA&gH&iGEQbmbc-P5VBZ7PV*hu%OAABk8b=&mZF3#{K!d zcDNBkN1or11>x@eqbPaYJDzvr_L?4+CO`5L5dY_m7zIOUgstGUshyl4%vIaRToHCVCvut9%ZvrnBS}hCl0B!KmG!t%&R{L?SI+)9 zs!O$|0SoHTA)}yRs$+bhUUG36%HUCKx1iYAg8y6#xow4pT+!r8mC><`MZZQt(~(S( z`gB-I*`Dk_NE=fGO%asw3rHmQP(nhUagu!yp3y`Vn+Ep`L9#c;n5_4S?;oR_9Zd71 z%U1YxuBcqC>Lr`3i+tpSdLR4xVBHv)^xro>d-8DSKK_oPb~pJMX}in_z&W}#Cizj( z|Gte#)gT-Em#lo|4rRTJKdAF|3Q<9pJ)Rd%#3EiuHn{w2Yqoy2nRjwJ9{{M510x{(t zWp8;9oka0SdyC!RPCMx^B;VxQ%(U<#^i9!HNO9j?wB*;;a^Mdu`((;&@+c(a=Vi@2gJZknMCGdg~X zC5?@;@DxjBn9=c5ENN_%g{N35!;FrfVo778EIh?h8D@0+6iXT#W#K87$}pqjr&!Y1 zC<{-qRE8NHKgE*9Mp<}@r83Ou_$ih&Hp;?NER|tK$4{}Ou~8O&AF!mYYz&J5k{g(_ zy(u^u<6NC>cY+h^C&0Nag&FU#zNbu+{}I`oLGTIfcyMb87oGGdNnZjyN&hm8V;@r)jaPAAbdD9_Jyu2$X z3IIy(5p44q(!dt$BF7C1)lDRz#}pr6PcH!8S#szXDk6=zEg$EplDGqBtI#Wg*3dH{_H_7I z?qYtekm8Z!f2}{6X@WZ=@c$m{^{NE_S{k;?AUSuMJzU+>p*sM&$hlDuc#Q#FulBkgV0TR4ST9Dn;2=K*pu%zu zX(yOXf29A%@1hOo?%fxVZI`eJalY0%ZF=%Q@4V_-#3!9gvj~T3$@#r5kVuF3=fO+o zO|2EgaMPM#+C)DELF%V~y^(J)y#idL!2?-5 zMh82ZhPbcUx*AbMN5D*X0n{f*(LpIRcI z|B-|H{|52;>_1MtCvlJ0h1fAZbt+))<-hEQF+9dxgeUYPSok=fkYnkizJrQ>NBTD$ znV=uK6+}R$(`%2{WyU_<{|?X;J=1L09vG*QZ9>7JxpA0@qTFrNW1_;7*d$+2Z|)(L zT0qb-+=Gb*&c34Ebc}gVIiFm&MLEz2nSzccBBEaaGY#uv>SP%HtrFp}Od7uxlwYS( zz?yqBB7TA|1&A=PRu4nQcZ?W)cW=O`)7{bL zGEpiXU_(5MF}s1kPlpPFbNJ_yvFgLl%a9CMbs4u%%!X^{!9c;~S3D!8$Jd7|Gcd4jBlv26%&Qw`l zGV=z7n|o$;(R^w|TcQx`qx?I(V`ya&$=7V^^(^&AY3c!9unQLp_>PB#_OXKT zvjn|EBT5ocVQJy0BPTTwAHGudL2hR@N))3yaGu z)rIw?r8OqIbB9CUEDa`j%EBBuL6b-IJ=E}85sh!+YbYZ&aitqIY1KEU6?!hQZ*w-I+}J%jLe zFO&pJs|!`L^T0)9>8rxyR>)dU&iyGCDlTTA#O1}Mm4Xr%l+;KsFdDnirInQ;Fc+jH zAEKZ>GwBtIUM+-I+DGYQd!xU=iZn>mhz=`c3Wpa`5C?;upm~m~rr?#eo3zvMk==u9 z+A?CJG($vgK<3KPzNZR%=L90~3?~eB>t6@$aKCO7+X3YnuD776wjwwsw^tTCtuV*e zYb!@9OD;!0Vcfh#>PLNqaq~h;3FGEPmtUcEHSMeNUTR;YzjBSq3XL^UIZ4+2DiRrK zMZUicrHFN=Y}3UH9+Jeay$!~`-l0X(y<=G-!TC?F`LuD(u4Pu2h6AqX10=Pb;A>ok zjC+(@xX#uKdv6cGcTQT|lZ7~h_`w4E#AJl9+&Aat8`Jo*votJNq*PX179!r9xeK@B zq>V)+9*Uw*-Pn?iN^F6_lcqINIxz#Ca&F;M1n~S6fAsZjWs_S&Ln-kr^skVY5AS1* z$0oE|DJ$TmGP_~I-EQs!x!o3Vh--3Ol=H@!E46lvtBZS3O0yCv6}O{fTumdxCDqHh zIqw_%B06=Yk;Gny(zOJb5Mlw3<1D83xI#KeZx6D7xNP_Fb43^B{_+uDbJhbyXbKvy zk(_(!YRLgdrOpBe!5(22Z60rIW0ew!aEVv&Gd4&L7+qHe4u>*K8w!D-G_ycF!-X*M z$?PL*iYLh{NH~s-4TH%-J~A zffd0n?Y29(BFXv9btO}P1&o#>|<>G=1lkO#DK_x*!9V;RgvPNg2FHxGa z>58=ki@j78!KT@*QqYgVG|*FpgtP2_kpZ*hl4O^|J8;>^%A)tiHd$ zhpz|q?cd@D4;#^!*2dfVmMOXLSZj~9R!VU$nl!PpB9*crKOW~&vA*h@XKZQ*%G6;V z`|HxoZVDksSx2#TVheA0IF@hM(=|eg^_X~&Svc>oLU^B0`TTS-9}7`2yT`p2+jU9% zL*(=#t?v`b7#ZgM=PVN&Ubmg;w#25TS!D@sGB6mVah$|si(+MUi^*zN&ajBU3Y zxYeA7!}&Mb3P{DEvbJdM;lD2s#-qtlQ3sPl~q)^DiIuxkV9w40ZD~O1I?a#)rnu=2M@6iA@B_-JN1SBjs0TBIaRO%TQ#gN zcA+q9ABb0aC0|KACB4&&qc-j_JnFSy+Q#<99W^@_ zm-yaJ-YE<`LPLr$Ky+NKSq@EPhk~r`V;+a3ou?t@+OhjezL3iT`}iU^(Py#)=nL>L zV>V!?%`$ICO}l3$)1=AC!hc)v!ymMplAIq<*H*jP>S08pYUj!!P_-7c_rSf=>v(PZ zkWJd%+ucNt1UC7H`;Tg7Yu_dL9)7qK;ND0yyYsbzm*K=lxwz+0pt;oy{RP$D#Vepq+=Q{qDw^MQFRht%)|eWjsG$J$DGY{ zFSvL^K^wK~?8kn!w%)zd(}EYW>1NyRR>l3YFjj!KQ`4K>`rBtrH79PD&_Eq*&R*M9 zp~IX`N)5~tU^(60#pKShxeRPfF@QhC7w*`>j9nmM=cl`Bx4A;-%3ufYS{=Xmoq+7O zf~MU&V>VB*l_@c@4GJ2Y%S1p@t9b5(lNJHw%6VCJt@SE+-8F&=cjLo@-YK^yXH^&D zfHWbkre(PAzAn1W-rBQv5x1e()9>N_hKqIOkDHDYm$WvEpIJ~cw&O_$xYEjdjOzZQ z9*7A8MQEt;(`3^%j|1%R{p&Es>W(xaG+5=a8|7UEu4GL-I!xBuhb=AkJG3og z94fGT%@y$xejF(b^1`rh92C>0J94{Ofh3x~0_`5}&HCQRUY|!^sODmQF;>N>f`IIye8o-XU_5y|nCgHXp; z+4^es&v7K92{TgTwY>`-$1}(=;6P<>bQ68XOSVkyF0X}9`&!Z&`9|-u#f#>tfLyV> zUlf&h0IQZg&vM1WK39d+w2{f}hy)rh!xq#gFM!LNsnmKmjG|;bAK=e+GuYNf6vP;O zD8Rpr##hh;IJM7=F__U{J;0)P*0^Yeb_Wb+I0Ucg%}$U=p+&t`lp$YOO5k#UtWL9! z%chB1hd&i)jAThG?mIX*yp%Tj+rAuxU)si67%(Ku(`22R3)2M*Zrjo6@RS|=SC^V)rx6HoB zLlANayJm4#K=x^uBrV@Lij;2qqUaF;4IIR?-QFd{4s3id7{tkJ?-E965T&^X6YG=4 zfmp_JJpS{n26Ib-ePPpc@)s@b%IomJ^6B~7$Z2t%= z*G9_hoyd{xqILsiUnaealIGa@Yfu7|yr=K`wA0S^(ql29pC|IFOc83|13Jov1ad1xK2{7$+oK|*lMg8-iXcgiEb7Cm5tln+PM1-}D~(zGO&E7`dcNKQ`?dsJCpUql#B zK@|Iex16E}WM`C!##Gf9)(;;scW?@3)Wbg(-_BA4}w>&ELQ6ljfyN&AK}}+H+rS|yv<@4n#%I* z?j4#(B+30=_k8vNmcxeU`Iz<4aKTB_MyLHbZp;n56;U&-4s%|8fGsMVw}vMeM%Znc z(mIj^xF{+4y*A5|+y;mdIOQNIG+3`496ZMc|FP^;TtiRuHR`pE&2e*b{F0oHw)Y;? zw#UtAyWg?P!QL}-waluW+x5-uu`6YV3bc*AhlhDsbtmdDrHV6@)F=}uoX;?raQ44g zH4h@cyCcWQxfh~WTLa8t*gS7XzxUhWKfw0~L5q{k69n-L#*pSge6Tvm%5K;`^E0KiXypFMAEJ$wELnlPo8HQ$7o zc*08pj1EYLCx@Kei@{f0ed9bjrNvC{%ZBO98-Q8B9lNexHi#1wHSXRseWmP#u^rHZ zX58t*t|`m?kvG5%8<99JTgdD*&xqnW0GGy!4s&!6nA8@LD^2@8M-gt?#{~m;rGVs1 zH=ESC*~A}nL_$0{#O7Yew6-l!jqQO*APReDM%w^5PhsU&oNaSvffnEhGN=R#9KJq!7+zj#7HT}#>gEWFMWsQT9tdDcoBOmM+$5Tf)3_= zfZ!V*4TBD=tnRod*Ht2M6gWJqliimkSE~=t`w2b^8vMK#2CvyYSiA=6&owpoLQeE_ zfn9)BjYzp@P;zj-EE81~{5fLF4fZHrGcyI#bxigYPL!t?F!FNeoINszI6PEltyM@s z>whPMH!#(OhTY9%L{7qDnH^+wg3Y3~^pS#R9YxAUVQ`ike={cltcAUb0wE7m1V}8h z;>jys`L{)U&q{B+3NI6xRotxH`?+!ld>4!ZHmL{ug7H6|+>4!YTl2xrldovl;Qr~< z7NI#thdIRB4N#ask!!dgA{7C{1S~f-Wti0_#$maB#ayLh1&&HOpZ zpWs`~d-M5Bb-a+3>9bw#geOt&!WLNBk+0o%t{3DS{&3d@b_qwa^2=Adw&{|yIB4f$H|6xOk@O(%vF`V1cOy%4 z-0MmOWKsw#>~_t*W+faV5@SW%ZNZ1?1hx1KhUYWWqOYnsKZT%2A?tJK6e}@U70uFF zscOA69fw$mrh@I=3XyC(-KMMe7U3X{|tyCbgyLZ^3xrZ>SgC) zImXb0d4=uTt1DThk~QUl{C4fCEV_LS)@?F4YzAccXPfi1;&25(0r5Iwf(XBpJx>XdN?WE=S{@Q zpqimG3w?;sh1<2M6|JwO$IzE5*&%Q)(6~HsLPH)Se^CkIJijDyaI-q3~h!HI%vi4kj*Uqp@%Fo^9rnzWW?OX@um%etzpvab#9i zy9%=7W$};N5uAh?#Nc6?B^$EmKBZDgYFBZj%UJ^b6cBegCjpf2zrnF@NylZ01*D@h z0fl0=s0PJ=DmNM*#xMhNRF?BA_Y!WcpMO06^a~`!!?|L$I=A|%B{KP4m|LNI#zVx~ zQ_ydqEVj%~eR8|@sgn8a|D0ky#%=(58l)BTtkpS&iFF|Tl87~;!F63O2~~!b73pPG z>~WGyMJ)(z7fHjn>?AMI4a{GM-w7#>~z$+g1Hf$ZSeX%nHUuX({ zMZ>@B683>t48Ha!PQ{j?T*u!C{T_Uc{Y3?b z!lgAz*oUr1b!ALzgWf;V_&sIb(+L#7A*_E5!PK%;QN7kEbJ@dQLUad_^TRxg;51v zG4b54A#}* zw;2C}m}XlCV>O?ah2ISSYVp6SfL6g`{Hp}F3BQ*A{w#Sp{U}Hkuc+9G)6be3zg86> z3cbbnSMaN}6Ni6Y!>@Q!!Bh;tK+3*S*(L=)XO#Pow**SE*s_9H1r_iL;{q}BdDm`i zh(J%yOnv3N74{|gnXd*`;oYYZ3bJV1UX+M0nnW5i%;p`)yLCs9T$)M`BNwV%FO@AMv znQk!^dknPiqcWQsGZY&5Xgud$E@Ss{;RTPii5_faTeMvC&1```Kq2)WHh;pR-bnD1 zZcqCOzSzT}(jr+0PPY>L2;a-Ch!i`#*b_f^m{y9BEm&@kj{RtT-R8eO!Uok#_mS%B zMyHqL>|TqCm=ss5SRpYIo?;*?oWasL;~|M!Ic_4IW~wR9x? zf4Aj7#m)BSh8q_tht?GBD}q;(6BT@$ZRhH*Uw}M3+;$Lhgs-f;p|PI|!a*&k`BYTv zRnHd(zuO}pR}9hmie7KlbD-ijE0Xwb3x3~xulI4RS888z>j&k69=dN5p75|Qr0*L~ z$g6{6TA%)-aPr;mduhE^dA&p2z9y>)(sM&ndfVtz=~D1raxqbUN?tNIk9U`kmE%e6J9pg6~D&YelzG z-1n-HeldkGz7`H}HdpjaNLaTC9Sq@e-?< z?1b7f*3i;}v#phwRgy*NDD`#B&|*m(+>*^dxs5rz=6InEsaO{tdK# zl56rm27ce*aU5BvehbU{@A*2u8|QPj>~+QSiqsXO4^lljLAqiQRq;?+r~@|^+ToPXw+ys=$f(!j6{t> z<9mu4Z6sYUMvcPKJ5M#5FKwdb^3YLcGk2J#d9tnn>=_BoqHQ|nDH3RTq1pl zB-}Bnys5bo$5odVTFDLm>SKj1LJIFlbc~HB^Y&2`B2MbK826%`UEz?W)9jYhBvow; z4vsK=RxqkW*z=ltWh!2C%_=4$58yd5y;g#?JjWlNp+FTgr|>BelUXPx29p|llyDK4 zh$v+HMWj)dIt!88AR4#! zSfz6`c;-FqXQ{G~F1t8Zr(oD0%E?k^A@&1n-#ujIZ>M@CVHDlw$im-qOJvx4p)D}> zHd(*@FY`Z=L4PfoY*8WtCMzln5hbJwj}pRENEP($UY%QhI$x|TWF_`@h$a)g2B-K( zQ7EO}L|NG)NQo6S*~e(&exz!HM8U=5D+f-OH8niyDq@1q5)c&I0F$qC!ZfhE0V!BSkA z??gYTo*Y<0PZq4=(f*Ueodzf$PoG%NBx4q0IbJRn$LbUed+&o5g5rVYlf#WI*8jF3 z5Gt_{3<_^*4WAs=e`^7FOE?gMun-K&X$QfEs22USk)b&Yv7jqC9O4Xrj@DwVx;ccPFf!K_cL3y@T6?0HOYMVu&XN;-QM8|=^9fXr{t zw*6Tup#4I|ByqMV9hdQu!WaRW$Sh+~L~;g27NP`xyR!^`UfA}|;5e1i^mWyZn@9qG zmd(O8I9R2yU3V0{5o}6?dx_OYJ#D$%8 zVb4S6Mf)TnQlU)X znTn?~FE%C#nNq*KbSuD_7q*jxOz>oJHe?*|-AN=~#SVWHS?kZVTiAw-1D**c3Y*|6 z8?*cRb0Rv#l3*o+A`6j--mVVeeJh9m+d4lfr_ooxl^AQTuP^3Qe$90T-T(o>-VCv z)p$wYhc9b)@C>!X^iplKR>!n_@-`iDT_+7(h-ovXaBR->BTC z2FrRP)U)IYGem?{3=|_4E>=-&++>}~-w2UGk%h=sZ&xu`QHuDI8ssL}4J%TY?Gm;2 zG)WLd2xpkjLY@#Nvue4b1g{@T6;+bh-ZopT4Cu>wWRM^|GRRYJcu{>tiBdmePZ|SC zX!`M4f#AmEbZ^R}#y;=%*Yb(7(h8qY3R->C&;KR{m(r8{l{pGqDO}ey%?wC)mi-)m zc!r91?`GTLOU+69@#W{FlfsvGUvA-2bFqH7{9J5ea0vhwE;SG9hwGX~nd|qX(vX)2 zhp3dk{4Rir;Y*2XKZqC6z2D3+F}ReD?1y&+*Hwm)B9l-y7!2n=CC2>-e+y73NxmX< zbPG@@UDprrw*ZCkPS$~0h_v~370tDQoq7rbUdP%KSwnE%in6_?)LpOVC^#m>8*56^ zdUfX(pipwPz^(VBaG|B>;HWbxD74HR9AhR0g_4e;c5vd6 zde-bWS`<3B%!FOhsKy44qg476oE-ZK?y;cYI@rjqDIZ5CQH*FjZ*xuApSrbx4bBb( zFmLAJ>!bivB6oGrWG8^>$-$%mQ&QMp7Om)90MoOBNdY#*8bPSu?m)dR#FO!hLdwJj zYJYywlJnpsNjgVQMJClbT1F3!R|1$`9-b6nT5JyvVFH*o4onI#CCR-Os7T5Imbww@ zm*sC&W(t}}WL`5Ifb4JdJbVHup7AqP=GLy0>54V+hH0)Lm`3ybB1#f-7)NJkI5@i1PLENJ2Qy3J(U~_>5`52G zq6=(KK8|pMcicRGZFV~+syDaojqkikx=YkLEoZx)ULqs0RJwShy1wu#`rM9=fg~ME^VBy7wVmpt210mvxT&&= ztJLw|7dFP4F}3S7r7>xvh0LH?;_8aIx4I(P8BxWZ>(z>iSY1VmRTWV|M8!vtn3zC) z&jCr1qJd^lz3Rj-@Pmig$8GW7pg-^9?+9I(d}F^@&RQ_{7NlUxEVZN~4eN`Q1cXUt zy~*p3P?WSM2c(0M5*A>)mwe0aBN8pc!+b|UE9sqH9O2UU@Tk{*X&c)YcLc{cm-ya( zw=nPs4JpFF)tlweM0O~^i2InwA>T5t_yBJ_VxOIgr+DYl{G?;*oj5d#taEoIkp`W| zDg^LwEc7QRNb)wZpU{kzSg*d1EZGnHW0mY@31cPpbDgmgdlh%A#9q4_mUv+1T!sl2 z(tj{~RN8U6gN%*yUS35W2CF2!LaF0)v6MPa2TRg%x>u5p)47s#oUWCm<8-Vf^~)e* z;MK283`=UMKwV@pPTFz$i&7%*pP`Jlm@TSI8Pm&H02k<^B}V+5jz-e4Sw)g8raC492k|>bIds9dX^a{t>>9>(t4&DC#~n2 zangFW8JV_S&n@RGwL6brjynUJK1Zka3_&j83ilWprxYGow@M zz8Rg`OSSPZ=mp!*)K0Ew2MMKMu$;bKn5Ah+A_IFP`%_mu!=|Z+kw}`FAm_KJ_7|K= z0!kDfA{y2yxpK3MQj4&bCiUg|;d`va!}@xlBVQ=hj?H>6(YHYbba?FmiIKwX< zs@&-n1FT>AMI~X5NA>OB3Nj80tMZp$vns#S>iShl7yMU_Z4*g{t`3rL19&X0pC_7D7=1EVyC&Xz@pvdIudDo&U(Y#GrTzA zknuZrcyU+mz~RM7Cw&Nj6DAsO zh^4qno$=+hBz{_)lbUnsNNh@r<~P`(@jo5~qPNPr5B(tKzYYP>)s{}mSX=$Ufh&~X zs&h*#GL#MKOw^#_ENx|O*}G%tYlLlkt)bE`%q=d9w4fcgk-#<%3^%jVo(8R6=w8|E z!%h;kOe`0wcijgvskirjiLi50X*#D_LeuL;?K3M}&*M(J)9a#lA#Ys)6k5XMzZ^S% zwn)S5BK~HFX0LT2=}YPt<`@otu7ErMlK=Ce09cwe?%H)E#G_^DPw`%kyZt!qhN4Na z#Zuu95!`CO4C4e;pC>p`z)Z(0VC-WWDgG86DA`$((7*foY_s?)7Zt3DVc2I-aPeoN z#nDc?cX5oBAP`67p-#!k{K`l_euVTpy;c%kw!*Ld!jX_0;d}rBdKR4|=LqBBQ1>9{ zhAnD_wKxoHti9@lJu1co{nFXE=QS%BySYHyX zeT0{a_20X?hD9nv+8BvH)xWx^di2>ofkQ{9K(jpx8uC3AI?{b!&R3_>`lQRg( z@F6qM!7qznP!4`cK-;wk&@8jWEWN_ii*yIPw3L-@Q$IO0O)lmsSSl+>UB&5k6K|Sc_}Jq5_K8ImlrLj)~{Z>H@*9s)WBp!b}>uWzo%6 zc!8y%t}*v&5IOLo43=G;TlNcYf0fTud%%>A?|)^gi)s~3*n{H7Jq%U-i~nWhqI>xk zj|(wU#Dt6B|BRI3IiFI-l}yWIO4(b&jP7Bi421dYY69s}T7fOXlU?SXPw<1To_!Ua zgr*UlwS!h3NtL;3RhmB@k|?|@P6cvRuwwVn&9i*UY4-m!MG+~rB2yVVuW=c(B1rl^ zK{nU>;Qe*SZP&OnT;K2mW>@C0vjt0BL@E; z00030|9AmZl07d3Q51#`>tlTHvRI)YB1A$VRA`0i+JvS&$ZZhvP?|IL;Hx3051%SP`ft~_93$ZG&q>~J# z7*9)uUr<=z{OrWfFo?SY_jtfY_3vWxl<xv8~tbu+sip)QsRpMENMOkQG#vEJO z#xtrD4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*DqI0RR91007W600000000>S0000000000 z005LX00000004La?0s8v9Lbd)v0m98uDl*;M$*dm+9{1@h9eCw-MBL>Tg?VYLc&dG zkR0xY11>ZQKzSP7P<1sq*idXZ!f$@{zp!5%;eX&iWIs9lu-@_aWuB}$)m_!y0LTW1 z(HR6gE3YR{o;-Q-Wah~~y?5{4_wn~3{^(|A?)?xy_0Gj*)C!WQ(>4d;WhYMl6rsQ0 z{A!bl`rXXTKS9u6;O}4H&-DHM0^c9Y-vj*ekJa7B-`{`x?YF4%pTc_>T}16OQ)>sU ztG@|jTVLa_hL{0|9B}^-e+RXl>XXJlfUlbbe}W%Gu{qn}SOy7Rn5}jawoJVfqu~|@bEej68JnzY;;6{Mc`MhgR!ftfdHc6+`>V9cjowKNEPJ8Vp(b_flgZ7E(BGYXRM#GG`Ry^FA&IPyTgsEt}K z+$UQResc*Vbz4`a+dKXbVKXuJla7TZ3FC{d1-#j5pF|E30;$9Lz5~f@1HLv;d%w{; z?l$A-($Zq>EDl4~{iQ9=W%+#%DC%|1ejHtdX~rz+ed56-eZ2pqX14YV5*f6^bw+S` z*@~LMaVs?VB@p+6tlAWZUz*oZat_=h)kWlxL8yYnTzR^P&>x-H*5B=*yvrU)%F&BP zz3W=nCtY(9w0oyPGwH>^_3_nx2RX?BWiUkALc}LPW)D!r(ciFRqHYpL$GxNzcjt`R zZ-oJXkHb@tk92@)T-qqY2)c|dDV~H$5RqJi+b;WX$y#(<0kPKa(Sgb8V)5f9xoRcp4#|X4-*zTO=;?>8@%r7D9{lQJ}M;F1RnVpTp z;N++)qkt70Zf52Kgt2&EG>h?76%?B?(H%&W!LX@!9tBxi@+l4F&~_&=kTW6B zw7~(Qwh7GdcN$Nuq&&xAA>ec6VskfomsWB`YF`kd3f;^NswBlrmBd7?+YK)uW;&$R z8aqW56|HqZSi}~hh|Hp19?29~|4n9{Tj%L-<5bY<$H?@1EDU^xR2TsqQ(mqa&fBOo zAmdRCazBWJR?DvSQ+)?g6gtbiZcY9-DWD%5X#f#WJod5t#Nz(3)dw74wj7EVMovOJ zC~3v7%35&{c3nH3k@b6qHnb^!$)*rHOY%4Um>fcY@|+VYT|{6Zm1g~$M6Kv=oc0LH zjm>rvC0DwiH!yOc$_pQw$52!xRx<+?P#i(sMIDSuUUy8pcX1rXT_|I^&`~+{kPpMm z4=oz9KmtOZ2%4uRG+9=K%6%?Ty)Qrh|ZNlVc7*D`*o|K2w^n< zPTS`eGQPhNSLohNC1xJfscfCc$MkDV3w za3h9{Jij3u!rl2NQS!8RJn!c5`TG9$#=O&Lfxyz_cSxErkkpKuUZq3)A z7}9AE17d~{Q~DV9kDVuV{Rm!}<~Q66NvVpn=Y+Ge9#_H{wB`N6**`;d zsnj%}K^;0}6ckKxj1J-@Hw+uiVZFJ&$W=pR;b7oNv>2F9ZOmC8x%C1$rPzi zr=@h*bNCO^#uPzQ1ZCp|B$9LFkl<%rWFLfQR8hsI!81eP?9DM2>wWC|$0%n9%lzoF z6@HT|Dp#xe$QJ9uAGx63$GJXeHwG5{56#b?J>GeUzoV$#O@2<=E>i+1v{yJ)%X^xL@v>brajvpU4`JI^-aBqqO42~%sOmP5SGNpql4mgk8nhsD#&dKyf^%WFC(o8~0X56uKzq zlxpNrbP~lQRU`H+I#rt2ZO*vqXQqW0p=yejLW=wDq9r#B@gXCT$H2Dc_spZ9{k5@r z@nQOK#@$kPUjher_<4_hKWKiL$9xfiyUejW1JE_Nw~iI)m;RnRw!M$Z zSH7@!e2#I&8Q18M!ENMsE^*w|-quASIJO&`i_S?nr$L$t@nz5N6^)9ruxW-e%;@kb zmNYiX{8KEIVMd2fv81t4=AUAz3^O`>iY1MWGXE4yWth?7Q!Ht0l=-JvD#MHppJGX4 zqs%|WQW<7+_!LVT8)g0}mdY@r!>3r%*eLT)u~dc`9X`d9#zvX{eZZ2|ve7RJNS-y$ zj*;LJi?d3$-3dDJMgZDZ{ z+G()~euI=A2mQa(1P(VqQO-%9{Bs0~W!lfo9)!5qJPx|aIQ?U9o$Xs`PKx87+tdYs$ryq3pXej5dUmKta7%_3^7tKfcWMSAQ0 zEN4LL0_KczCfl)j4r}BvL`f6wO_Rhyy9<-yumKL^nKWP(o4D6*vn4brC9-93#!1}S z-$JMuA%eXrUP-4xA|&rPtL+c`ooiUtZ1=t}Fl|nQoSoCtx%-Fbuup2mc~~#EroEUc zHD?6FRvNa;AUSt(I&93-k~#po$k{Is_So1-6Ss*XaQ~bCA?-IchQEkv+fJX4nJ6gI3s7BHcjZCcV2fb;*-v$S%f9C;W7Y~{ z*hfvU2%>?2ATs;r7&_dDr4+!M(qme{BuC|)R9cAr zj}dxkZ3MelqC#PFHu3+)|No6X$^b4EjH-1Ra_`^c6{G)xKl`4Wi+dH}oh*n4X`26z z`2Wc?%nx%f=D5{?(N~+_j(hrsLV(QQ{tu=qfPlgOnWd9amq5TYw}aq+p@jd+VhSL5 zV>n>>?x8&QP6Q_Vo?wDpgZid$_#xu)2zO<*JLe zhl7F!5IhNY6J?EmS0;sIdRpu7Vqh5rS^vddjSy-R1R_E>8bdBHQ?J}6I-gJ+r zxlH7-2RQ!DV$5#fAG)EzI%kQ`C1cfxoy*x)_zEWPH=XtB^5o3^IJk&f@{kw5Va(5L zZMow3?X2gyZ@$*CxxZ6gS~#pMEYz1>C}EQY_zn)@w*+gHsUAmItGiSbK}xCIPCJ#= zikUYk+&nPweriOT;*{P;`SVdIfw<#775643LhJ&4q2|@(` zpnX}H!~?Y-z6&WCiNXUuIFdh%J;Bz}39XKQM(s#wW9-;kSevh~cdLs+FBL%@33`W0 zmL%wY?b34sQExN4z%jeuUcX59P!*l9FP?LMG%L<;(W+opJwP)=lxVli)``ncGOO?H z>~C#v9vn5cp6u2RpB-!-ZBerYXYf~DSglkS7AuQuE7kRt)w#;bdSz{GVQqPNp}M+C zu@sII=`0m0aAkhZFrdk!`W|Zd?X%tb;nv>n(c$LKzRhOytqD5I%FyAqysh2R@+im% zjp%G;e)5L>y%)g4=2wSDjc5D&+b@rv?`6W70X0}~QyAGNh;%HY zzW>aCIfdo{7$@!ML)R#U340-9vWmmLXOs{O_W}ME79R57x(&ET?HZW3`yeM+S_QAz zdE^4J^wo+Bu}s#QSnf};P<0^#B`z;6trV2#)01nYFAEJ6Dv%8ny0o%VDJoRbe~5zm z)TjeRON$DMUM+-I(v$nx-smr|A_&klqWub)lr7;3DTtH7PS8BZ8&EKp+D+Q&{K)RX z`)L`mk((hPHz9N5Xx~$XeGCEtaKj0M-TGHSJKV3^#CAe?fp;gU%B=`aIoc}=o>rLS zo3)jr6=_R9VcfpN0>Zd`p{0az`=ZO=wz{75wfJ62U!=csiOCMaH6b*Tb-#$jfwUq6 z>}?1|tTP=pBJ+_XcI`7Q@W4@H>AtaTk>Iu`_k`Mb|JDvxo5TubYtBnj+X=qG8^w6w zxP^CSJ+t@P1;lgF;#}tA6yhffoC-T}@pkmhEeML3#+RL?VZp+svf?ry@#gepc(x{O ztSg?Z=uOZXLC#EOuGHEw-W2XZD9uVFSKN+{@n(!nmlQAO=DgAF zi|EvqMiRRUrE3W=A;bnB=UM0m@V0Z1KI>xvaoNb;#D( zehw^(a~3SH^a$%`^K@ey`Cw z;+uFCJ)Upz>~TKZI?}`a0)J9ZFjhW+FT+vhBbRbBuLoI3j;&vp*8es8?*1NP z59-^$!w(!A(U;bS+xnJez*{wsRZ~iFE(u9uWl7SoAU_f3Qn9}3+zNRh9K`~q<1kPC z17>D7g^<&$BiK5z#cXcrxm`~;4<*!N;!&pByvGV*WJ2Zh>%@F0IK}K94`FQACG8K9 z({r@GC{i&p%=_pp6B}N)o#{@#rlnaa3Dz($7o=eyBk|)N)}pH2>5_%*9#Fzic##=f zEoL~6|52;|C=pbs5yO99zz?U%P*DeiJt3(9#S+(+%!9Qh$zpBz_$^c;|`B8~=t?mqG@dx$`C9oM*{pq2DaFOJ%HS@7sp5ZlJ~g&j3J7ng`{ zC+`#no}eK`7$7>X*DQx74u^uQE;5fp($4b`i|p9Na$LyseSPC1x58(#1BeSSBx5$9 zpv^LGM@@T}B@>}Jl4bmD!7_f(Zc1`SpsuZUv(>|dM9I#TL!fFci0y&1kN2O{%+|h3@;&@;55RqqXm;mo1s}tSjdY7zyhut0?cZp*-uijvy<9)D zU#7bk_wEr7Lw7GoI0f4Qqwq(vy?5{D_}huvcFoRbG|g{&*gEDm)nRyHEj9IG87PW3 zX9ASXFKhl0`8jEMRex3h#|X&Y)bKd{#U*ZzB^}fFI=VzKoT`)XVgdelHvGr7O_gxp3tImQv0HmqA>vLNd-^@x-*BO>{BhH9-ICU3@iPlb#yLId09RUhk5Sxz z(gQJJq6iHoe(Gwv=4pVVzke0R*w&FIga+F?_5{7Fz?H0tNBcRn_Mt?JO^yOK96fTX zz_B)0#7FpXq%b%ZhQ)DGOq=e=?REu{X!;7Yhrc)Ldn0>&9(f^}i}J--5u2RBT|PC^ zZj?l22gZ9ivcz9$XtwsUm50g31s`eCEgvuBI^Ww7ehmeY;fhsl{2ws z{~UKUn$RLOuI%gVxVOO(gAu6Ijc%dOaLJa5-Q~3qYF|sbBH!p;w)pfr1(0i&_sgR4 z4xrSs7hSGd*ypOSnpQHogOxzzW!Qq)-*6w`&vrB7Y(PPXK|}%m zWj4NsB*3+Pri{Ug1{(nu#k0l*E3`XcxWXZLMPGJ-L<%kHwW199!cqd4n`L#XeOxw8 z)Y|{4Kw~6JT5;cn!QrJWBKmPBZl)Kc(1hBnPK4c>lr?26=|vE;dq>VORzu{spCz@O zL*B7{G_qhguBE-Mu$S(&kyVQhFq6zP-$#gkJNsx9K&Vs6Hr%QRfg=iysm!gg@8JkS zE@9U!&I-sr?XslhH)oO3^Il{&d# z7LNB&N#SxM1}+CDMQMzZ)99>6tGi&U-6n42g*N3q&Y$}<+W~2zfkEI;`>_tpc43Tg zp1SF^Nh?Z|O*yaD^#*@W@W?Q)Se(V3Ui-uqJ+c$X7;ms_b)|D|&Q3}~#YPz?J2=A1 zwURRXCUWGQs69E^mq{O=q&l{K1xkRB_xycdcG}fmdS52=>qI_YDNOBqKu6h>z|l~T zad-_1y70Jnc1CL8W`L6xM8_uu<>)i_!I@>BLx^;D8gl8wJrCPQl37m++E4K`r>QfW zv?$|9eka|QAR)NH0}ovPH~Ep@iXPBGO2kohVL?P(a?_GfZe-_5AUQop=uu^TeGz`R zf*|$--yKB{$dM>vjj5(S5w zXU%GLI+s!!@wmL;&zI!C=1ahdTmRalu8?MyLHb-mne47Eupc?dQ7s0B2LUZVgW`jj-D? zp>-q)a8pw9duf&>x$_SraLP$iXs}*8ICzPZ{bT8=xP_kPYt(BSo8#u>{3SV`Z0|j) zZI7GLcE1}g2YWBf^)jn=Zr3-r$F7teD$q9e9v|jm)t#vQf_@H%=o!zi~=8t9( z+Ep2>+MljaRK_tV$S&vhF2nZhMjYU@SGH5F(W3pI0RX=Qe(|!g_2T6dNW$b^R(%s< z;R*8zP&yzTTn;(84}(}+ed9bjrN&HNu!ic)D}Y(RJ-e@7Hi;7xH6GhDeWmP#v7OL^ zX58sQuPNL8kypSCn~^v#TgdD(&xqhU0GGy!_H%X+nA8c8Yc2aeM-gt>#|;CRs{rRq z&zjVE*2LeIL_)Y6VsjrHw6-k}jqSZkAPPrkM(Y5$PGRMioo#bvffnFyGN=R_9)?kaKXoEDKfT{RKkH74|4z4`wo^o2cx`oXAfvpycJjIeS+OVQ>^?tyV}t z>;L8eZ(ykl3A>x|h#ZH-Hap1Z1gAu8=_47>I*ODN!Wda@{>`iduom_z3Isn)5g?(+ z3YQnW@?VRH&q{B+4lff~Rot%Jhq-bGj2essHmL^(g7H6G?!&IQt@>c+*;iC-@ZfZ+ zi_jxR`#Hth15j8%an$fUM9Kn&F<2gI$}p={jKgyMnz>5n3fz%&Ot;o8;g!e^ryHRC zpCGR0&HQ|(I$p@i^yw~l!jq_XVT-gxtiQRnfg8d9L&8zp#q-ndZMshu2kBh&rd%F2k{VkZW>qFFl2 zRjv7^;}9Fs6tKO$A(CyU+jRBbAsobUoLs&WI3Wf2nb;wQJ#3Yq?vum2{8|UOdf7!- z&M{PBUgNa(>T*`8WKG9F-)U`OMV}6>r0BG^zs;Gcdov#gpId`XPitEyE)yareNh2r z$jW?Nxa81w^V8bPLIEsNDBzZ-wO3IR9M{`YVCz7J7W;c;zBMe8f+{c~JGFSvgW!zj{!oHVQMx;ie4uc`azcGYU_1A03S6kVD_ z4|-cIV87)CeRPRTC4Ul}0F+;Vmws_eCLSL*NDfDJJ#Wq9h_6{zO(Z!Q{J|+NccyT@ zP@9Y>zay{mDX;1~hxW(OHxTCbJ6O1wjmEywXSSJ7`tEahr4f=3$N8m>1ahjp_nbI zK`@}mjmC#D)PS6o<@(Blgh%V=pUyx30tw-`R;*U%mOmv;X1{(ri-@(?pWi@PY?)vB zL``U;xc4uvc%MzYYC1EJqXB<~l<-65BhsL!8I zcGBoQtCnpGWeerBh^Q`3Tm-?!*$#WM%Y_i^^t6b1cA158~pjIyuP#7z$YAg8@i6ze%KX)FEoX}qT!eMtq;6n z_!ZE~J1O`{92-$Phkk!|tPjzuQ34$kDDR3xj|weAxemV-`aSp>`-=(=g;8Os3Oaff zc?~AeV3zsc2>4Y+Jc|m?3VdNtec&tXt89}do<$A5h++g(fj@}cz65s&{*nft${)Cq z&@qAP6V>WTV}DtLU(92Qv9E|nWt%kkD+>H2MKQ`dNE?0KbBEYp)!-|Pjs#zEp27B& zaT^_eE6&4tYp2%F_=|~u5W7VGI|9Gv{L2dI%3BP->MJ#2Op5wb{A54oQb5V>)0j<0fhhH;)zi-+{-o@}2H)Z1RYwo|I#&0qH2QkgI4u)zz zQ|5m&{719@RRy&27UN$fxJ&po|6fs%D)3aOf>eza6*_VHSyS`Zssf}Kens>OewB9O z@ULt56?h6Jg@2VmASL||hL+L14!;%sb49uTbW5OQvC9f#?AZ!1v!Ov_puvl+5jbJE1l~)m$c$v@ZawZBs^)>B!z1F}loP|SyHxm5{{)%eh z#L(~HKhW@d`!vL3G5muKwEZ?Hd`^aZ+K*psTI^f&FBQ}Ipk$`#gkIICNwFRd-AStc zK16+PGZkkHwCSTVof)GdecX8oABKKEVOiOBYG; zd!y4!a(b^tMNDc`t56{^HO4rEye{xI{xP^QldN6TTmy7n#7d@}ByXrJn9Ij5Az_^z z@TTq0EuYjvshEYRP{E3Z<2L@gYJYC|rMQi1X)$LKQn*c6#bzh1sAss2~=udt%% zUlQmx+{S-b?bpjcZ!wBPW@P(?KyK4!o%gQVua}S2VoFy-;r;7>z5HBM>j2gN#r*$0 zp?|%6^;@80(f@aw|8tT&sO4t=N-^~-LQvlCHuvYQ8CqY_d#>IpVqfR0HY+~x-DZBi z`Cj&6lZ&T5)q2GRBviBS+xREU`i1m;;|Y0nkgty>TRf6|| z?P;N}T1@$Bu&dU8x5vFuz83kaO1M>ny}0%7Mc?NHKDA#kvh_j+dhFiDe>dY^i+fse zs#;&{eJ}c63wxE~zAtXQgx;pVb>6$_d%e@)%^8oJ=9u8U=zG0S;-7jNtMzx>_oS6{ zFXIN9E-UOk%0zv(S*g5>>0K=Z@22yYyq@n(HD8N+71g}r_7Bc~didVPKjzSXW9=u! z9Mpfei61z2{>WvX^f=Srjl7dKUL*Pjq;KV&jo-4ZieNxEK)8HJ>Go@m}6X0!rzUEx4^iy6&(PcvG%x~_(W!c6gKQVCSHyJj?R zkY=>vbzKb>)$n4PQRqW}! zH}nopFnu~O3Pd>enHnY{`UbCiXB88X2QVI(zO2AnUg8gKC{PL;YH*5|h{^O56N5=j zJ&L&qOhlB@7)=Z)H5Uxxj8GgX<^9B$ingC@{g)=>VturyG zlu}h;O;LKLexDdjBCcoSSTQRCVdoCjo0oi%2;p#9o^qC+r6CWPmBnVizarcyOP%>R z+Q1sO_E@E}wRh%?%x9@GpDw#NRHvZd-%H6-XFj5UweKFX^0!mH;xLMCb7bM4dL=UK z%g7cO`z^U=0hcdX{ zM2E6PkYX!p#j6GiP7V5vmQuq35Pz+I61h4t}R@GBgJP(L4eDjI+z?- zLQobgH45{+=#PF*4lE%k3)bLFFWB;np^1Uzk3L$kil=%Kms~QP99Ys@7A!R?^PT7y z)sq8D=*farJllVAxYGdT!}YQCj5B6FmgD(iai~r~zc;{VAt;_$J~`ajV*PIm0-+KM z!65Ud)bPn+{dX3Cw}b;B2n)d=pLP&zh-lGI8yT829}Bu>Hz=O$htMGJ^NPZL7)GtS ziWY<8EWFqbf1cI)OS!UF?oJdk#h9yuj5)oM=aq;Pg-vm1Kg0(6^DZFsr?YK;mNIC+ zjWJ1_EedsI<49qQfJ|hSu_%<3L6P~$f#2>b!(W-Ty)!sZr8Iq0apN|ez+dOGunkUD zDQq{LMQ;V0V&R@+^-&M4@_DlEn@Zm%|Mb@LEY=36H_|mB^Agb{Aye{pb#U7Aka^ZV zNyrpu_x!4$H$D5DBxH)Odwx{_nV+a92${FZN;>mAtN=2~boL*@bBos*oW{k3ij+mLy{4}yupCb-Jx?7se-hzzkLSjnKs zd?cc`D?@m*1Gaw#mvreo?HT(-`$u#3!P(GmS7X+axY8>XP$fpFuke_7Df;!wOKw9H)CHG7loy7nd}0a;dDT^k1w zpa_PtqBSw7lmf={b`qFC#n$F5icbtKCDSjdIj|qD8&cQJdr|3XJg4u&mo&*dE0==IEiU9Knn;c+@>ubYgYA|9-9P3=e0CKXKl_SiXj>;WsutQIndX`*ahKR6& zff|T~n^j~Rx7nv!xzjDo6(xu-sYz~v-LN8c*)CCgPm=^em~ci3na>l#WOglA6yx<% zsiH~}+uI%%D+BU!9vPHWJu*0^-teaSiejaH#-20=6w~zcvjV}*$?4gYNzHxU@~s^w zN=qx_gj~?dqkjE2F}ReR>|dQy*h=BLp=xG;yR+<<_=6kD-o2%1i!Ze%?Z=m2lTHd> z-h#J($^eHy(XZSmSLUHmHp`$y1Ldm**g1-YOWb9-enE6PX zZ&w4gHX!CI40su9Pk0T%c`L&9ni6-toTK2F(AZd0oYspwcL0Urs|A*=Cxr{mMF(e{ zNkO4`=HMJNDJT@r^wP^6(1qfeURJpSC=|~uc(YtqwmY1(@Qn{vk(2Jp!0s3rq?y#dQ7C#e;z9#lWNh8=`z5 zy58=*ye`C($pQ)~6PuU)bwx|ggKHw88olzEROe`xJUBfGV0t%rQh;eLJvd_tU|JV2 zDZmut_ClW`DF;|;Gl&#+oy%fHD-xFe5`S<*mn8m%ITLw7Z~(Hu*30e*po|){)PRbG zO!$<7jFVmaz?)o|6v{Y#4PJXBEZOrAKf%{w7+>(gUA!-W$1}Q77f;qULqx#won3#= zj}JQy4y)i?Nt!9g5#ECEuKBc%ga=_Kw_Tb7jFDamASQ>WV&Wed{dcQ z2By(Gzlf5=9LCYv8SaU0wbNUZvz6MI=F4C@;#Tw&-0<%&kkqz+N~?IU6-^!L{4wB zrZ4ITh(d;WADw0T5HWT8{(Aj9z$M?bG{UwYG{45v$!XZf2z%VagV3toxD<>~I8yOu zJBbn?BMl^dZb!#Jk`ARd>W>Gto$8YYd~m$WR9VHN;P~$g8)BK1HXfrSCao!v1v5)r zT{aI^mnAy`ste{pbwLHJt{}yV3aG5IN(mq_;oE->07;gjfo4y=?!;f?2aeds`{;i} ze?G+D5xOw>qm8j63!r#N$g|WAjx?+BSMAIS-Fs1+i^xU)T|>;9MfU{cd642^vy_0ixr2&2nhsa45*?BJ()p zS2ij>z!z`SXP4l~-gy&0>6m&a4viw~-0MK3L1&%{9yrd0{=x(a)+Y7~nz0h=&GwNc z`)PlylKm=Sti*n;Gge|R;*OQrOLxN(Gp_%7cNsE#+HtVV@rqkpUh*9Vf+W30spIsV zlsZnoNz!q8O_Gk&XOeWB9+RZw^p_;{n;B!^)$cD1OKN*oy+$!k+Hv}egFkO&p^Ube zGJi{bSjxP#Tb7czQg#@JHOeJTjdhMndXSlP9Ve*>wxg4#?` z8@coUqtf~jGfugF$c&TLkC}1O`av^JT0d&WN$ZEr(6pQ)299GYc{-2bG_@ykmOVPP z#`5UY8qcFsYfO($t#Lg%wZ``7)EeKTQ+rM|9tJ(L8ku_Fcws*z6+K$o_1tlc{=n-EAgP% zqFYo3pPm#nP2klu*TJXWKaAjMH z_<7Rm90x5%x)(OxH?=37Ufc`~j7FWfST1iRb~|c^YW+FSWmW39MBT0*eYJe_a`9+a zbh=C-UanT_txof66SgxQi&mFud0YGE%Ic{c?W(n&XsvEu&+jq~G+-r`_P2JA{0T5Z z|G`N3(x*J#R=A3D^!XWM6Y5!tbkD*SbDHdehyvP2e zu%4x(mrFSc6n!w)fliRSHVJO$keHH&G=NR_mUwR7UqZh-g;n|Oaa)yi+s&&IwaB#@ z3Dq1o;S}SKtT#M*h8HIzWcsMOdQ8(}x`n(urp zRc0GuE4VVXlN0C}p%L+VPqM4j)LmY}VARr_;3b!i#HM5%#x44U3Pi62MKoMLi22wd zAiCPp#S&|)KRU2w+Sv?MFDW9<%RT&xv$U1DWpC`DuMxKGwMH&&)mv?%+O&~C6%7nG zv(laitzPIp+3dqk60}S#FLrlb1P7^CuYL)?GXZHjr&&VN>qhM}%UsXnPP^0VqIbb> zT>)fkvhDY)vGZqpBFrwVX?AG#S{IzYq<$fS;qd1Q$O9nxKOYK!rCHS0u~Ac(&Lh)aT*u z&*nG51;8>IKCLgYtm2^{l~ZTc97l-&&k?y~wl~W^7qVEE^fHvBWS(B(+(@+=OtSRm zEBjbgRSs1lN#B;2ElDFjLR@933R|_SYfCg%yOtT7 zWdmUXHf$ya{rI)y_yIzf7m#6Xjn-{fvh?h9nVg%Hvn9ia2)4#-`)%Q}Keua-Am?R? zS$c)37is!-X(=n+rhay4n%v1!uwa*G-c&2t{4ol4;){!t7N|N2<7TJbMmr?iM~JMh zET(N(T*#^+b`Embsj`CAg?{Y(3JEjj;PwPJTj2%vMY_g3sDT5(VlYO~+FWHxX3W3L z=czqnN;eFDjW$Rs#RBMcj=rk%ehZfcE*1sKsC7~NpV>uf%LfXV&sQV|EAkZyQ_N=< zVLD4`WH_^K;gD280ax%_nGo8si7lfHgmTD(@1*aw2S|C_?XKw(|^ zKKiZ^17`{6EQv^)vWD7FX_Qat@R5zOesqJ&=TN>pcXO0y-9HND8FWl3<1gPiGXDN9 z*Nrm%@+oEfWos@*4;z2^lrsMQUs{nKfAl+-m%=tEQnta?t%j#>ATC^YBobgD+YAf_ zN*4 z3W;bWqEu~5wLgGDA_`Fu5ruPR&kc%`o6LFUecyBLO+pCb0I>g-9tz=Eg;kFwt&A_l zR8A`Vf{o3s&wl(2BUrkh%S?z-6^0b{U+#AL=zppWUMG5bBTTn4P2>C}qk(%=F3(XwDq18BuEeaKqz(qKvvPD* zsAWixEZmH+EMc*4ec*dze4lnQsAu`>*#If*uHJr>@ql8}?_w&HaD*#7)d=0#?oykj z7$Ou+k6gj>N@hLVXSxXtMC#545A}ldwr#Uui##Wc!7vJi7oX1?@NC4QEHp1;mZ?mw z84nVajvo#Pqy!qSB*=QED>R%4ZfI?1`?8whc2*u8H>LWw_2xjh=Hg{F&8&WIT3Bh> q7BCQ*Tv{RfAOkJ~#_45}xn0OwNuXOUouv0PLJ6kxMfV2)0RR6>xW}>p diff --git a/CPLD/MAXII/db/RAM2GS.cmp0.ddb b/CPLD/MAXII/db/RAM2GS.cmp0.ddb index fbb4d60572b80580d327ce8c032d490db63024a0..e321716088185d7668200574c7bb8ce3ba64e94f 100644 GIT binary patch literal 75980 zcmV)MK)AmY000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*DBV3jhEB008eL0RR91008C%0000000000 z007J=0RR91004La%zbs76vq?q3>tXZJ4oz4z~%eA4V~byb(QPH#-ZFid|goS728j zlS`BT!-LYcy`R-LzGW1tqw1^jhfn?gh3iY-mzwWqMOl5LKL7NgtUfFH(S5k}rSQK# z`*Q31Sy5AMDJlGf@^@)Bn$PE`^4V8*-}+yrzAt4(CrrLqoGWSyD~3>0^}k4+Sn|y$ zA3CbeXZc38t9Vf5w|~`C)RYDk|Eg5Ds#IM0)=`|t_TfiiDW2751y!6Yi4+ATQ4|A? z@2eTbiNaOBPeKe!(2xuIEs&-W?N*3R5 z)b~mo3aUQ)+SK>6YS&*Vzms;A?23-kiL#5*r{Z5x@WqNR6qM8-g-%TQMp01pl?D{I z3aTipI)0*UMN?_!wa|&n7Qo+#T!kA~KC5qDNF61kvWu@))o0a;s-yJj>j}Q~6;yGn zw5`1bJowUAo}(mHpOt=8Tgqn?Rujnh!Q68cwXgxquRY%qLjUy$6s;Rt1 z>A|;rByA~8DvPA>(bzqKD*Y>crWUBuq>@5eN_|!>s#0;HWK^ZnnD6(hrs7~CEKTes1KP_ctC*Xg9m6!jY#bNl}RwJf6~A&nyU9qkI0KXy;w-2dOu?CQIMQ;1M966%|iiFHXPO zBMG02zPE!nALkFCZ7lHPV&ssZz`Sd63OJ{~;i(GF^8;Lh8H~XB7R~u$$g~cH=G@rx z#R~lq&Uq2Ylo>^;T;D0^et>(@re#){7$C~eV-#WhznS{@JbD>&m+}uctP;W!e|z&M z)4j`uJvV9qt$#EsBIjj5mzCf#EA0#lY&WF~X;@YM;A7e6h zE|3WPnS}NoOW-aS<-65Sa~=pL=5S#yNbr*ye9z(DV%N3GYR=33VB&ee+RifND3ZIX$jpIcA0U{wLRj`+%6@(cZ1GM)SU%c0g5 z&G~Ky80|pfaquXrw0IZoYZz>q8k~v|&CIBCYUos2R8|R=R|}Sy@oX2c?VMTY=Erdn z1$zREokr1edQf1kZf*f5*Mb4h=))Fs1cSxOXd}I2cPF+M zqBT6J;0ed!$ke7h^-DX6lULM$x0>^Z&}RWS^8MIx+}0XP64w-MF+~{VsDe2u=lPI` zoO{6uR|W;{>88Tpr8#H8JjOI%lfc({YR-}Bjo$pft$l6UyByYf?Q2)SS_`&|Ijd9? zI(UC3jd?!7`bTq~2tl+AB&M7nOXHRtpqPd3TSGMGFA+q~ksW%ict>rmlp>hpYrs=a zfjl{BPS)WO1xpXk6QY>0BPg)>Kqc7U+?Pm3PQuB>d;>?-a5 zUONrdOklW&U72Cc*WFnm(47yHWc6D?OKV=CteGlWS3cUo>(Cptg+CO67SdGlP|rV) z3v1(|8lIZy4HEiskss#O#2b}bWlh$^Hv*Ci#h&}?X!3}=RB~@V>z*cl56Vx$6pWDK zz39s1^+J3fGb6<3Lc8yP-SCffG$Hw2vTM$Dp~89_*xJz43qrMg?al~XR0#nq!7Qs7On;2%UNVIH`B7~4f%@> zHJ?dg*K=6h5qZR7%RxFXw3c>EOS+P>r(l0%Y>~V7cV=xFNNDWjNgG&S7%bS_V|k3v zY!`dM<(dQ>NbGHyBM zx~=8D1PFJrhIy-a2fBT@Ak9s=-5L$*c;xV18)z?ow29!Y}}Jr%X2xQ?Khw3 z>n&Pxr=Yc06JNplvA01!j{fDL{DFy`RH zBNV>GnVJH>g7Ry?;e5e*G-ur8iblf7*!{RKX@C$c3hb1T!J9Q}tzeRuF#3NIABOlI z&GwEU*aOX4Yo;wpGlF0-@hu+iiEJYoRP0OKapWziV}X5NFsoe2-3{PBU;$rnEiG6t zKypDkU$9FH#xmLFBG|q&Ip z3f*Tu(7&9lv@m<;3@3Kg;-#0Y!@BwKSD%trc7th#omRz6KqN!7`49Y zpm`FhI%pvwh3;w!A713@6*v5Q_Ck-54LP85;pQ|Uer_RN{ayHcjC*$5{40!E+j}KD zjp>>@5O~axBK{Zts)|ArU-Ds%YoR7y9hQFpF;8`FO)E0zi%48Li#Y5p=9MUA$QYVe zh}YF)rg^LYGnDP?9e&`!)PL5&jy`dJ=h7@1pM? za$5tq?KQ|PQtcprFaox@=0 z9EdaEGUXC?AlLn1kvlPeA*_vpQd2>0?G6~$oY2|hEqec|Ag%`{8|=!~3Z3qwp*I+h zv25*&L+R|D!$LekjPP_H2JFpfL1SMzZUo$e5u9fqVxeeo7)|V(-FHOW9Gtal;YOUQ0V=^;AX!pmBbS<1XstfV%C?y_$#@Iw(lPTi5 zk2LCVJ#z*sn=dy|lwE!zn8W!lU`u=-Ou3Ql;|g4#T~WICz6`bFGJj?^|NRuu=_ie7 zKHECLT9a`q(y93XTFSg(EuHA3u>BZG8bF_8!_Uo1W!6&CY6`53#NU>Jqj2{gY`4$0 zmY$SWU}O{qhCjEU^O&{Q()d~m{1;n7w00XNDaFKW?TQ1u`tS$4 zE{y-xE261YdLd1hVLa=!&cR+E!!h&VU$Fm&7Cxjs*YCJNAi z2Yjkxjnu3SMpkTsarE%pLn-F+Q_{AjJvA6TTnt#Xd98DF#^vwc>FI7IWo$|Jd046D zSNKG{Ph)7-nTHVtyWsG4l;%>ROA5?is&wBUPAXM&(j1nxq{WKxL`ojNm+DBx9(jcL z5N1X^^%DeZ2Vc{k+PZaJ*LEGEO<~yP!xdb&mnU1z3{L6~3?R||QW?pbUZ1A77 zvra7c_pW=bl^VOKW1H4T*3+X&cVvv5-%q&ZC1eL&rfhBsnKD6Gb3C;#>T!^JjMxi; z0uzr^D}(j4r}Dx}ussrCs^|Xbpu4dy!^!J^bO-%cj%QX;7Kq zj5S9Osj{;{%~G&x;=mJhJd3}(Ps|YOvNq^Hm?iFmRnre$N*Qu`dqFYi4H#62AKtQ* z^1pl`oIB~B*Ghx!=cwb(y_B+)Go_pV>CKUF_kMVT~!l1CX81ywn=Cvr>{(Pf5 zRjH=5wm=(n4+G4GXkCXO4BAthqz~F&ggV-wJ?>t#EDaW>e}`4~X53HDr+=h9&&FHl zHTW%9yN4oKrwO#A{UtGIEbxlw7uYf_ibW+d(W@@QL~bVpRV9=(-U^)CNp%*@v_S=& z0ru7*m}ALru5Wto{|U{$4`bm&ZiEHi%zvCdmpoye)U3ruvoFB;smm)Vea}zyxWsE~ zz4kRB{)9=Gjmo~GmMzk{`>Yyao!8yFfLEhT@yASR;8r1s%;P1{5yU4}n?*lvDlmFQ zLG%{;PS2!^0~MIU|)m6-eOQM`2M!Rbn}IZ(1qHdUhsb!^c>Ve=u5Ogr{vmh z<$4WvMsd47r*gsFtg{;22r}`+F_?!aQ|Yf)JH((dwLvdJVZuO}pBZWX z{Qp|w7I5-UxW72Cb@#%zcLDK(3`6^SgABP0KI=!*t=Vr z1r+P#eQMCVzx7aqFLA~*u3_v@dfQZ*nojLmI0p4{{zu%bRB(T!%@{9u`ca!o9 z=Mf`R>S%*b8L`2&TN^YHWSRzhm;I2GR=<8v5Ay%C@@s?Iu=gwsH-E_!#oe+>cK4WD z%dEWGpngb7XQA`9ely8)Cg*^zIYlC9c!#HZxQyA750$)2`pc6HT zF<8_xjO)v&NAnOd<(AeasRO#m&yGQN+&XBb(cra=Zf*yog`@7GvYB2|$N3Me*xFTF zL$Id&zuDyYTY47~U&iMJnKyZUWr)#-KiX?c<)QYg)XJcfa(UVkY@7$@(>DeMp1Gh> z?(Y#&uF%m2-LmPiYZEVG%a_aHYYET`-ufeue%%(&J?ue7D+-##1DzY`5j4XW<)^)C zd()9m`z_4tYUiOf~q!GV1>YSVnN+l@Ubs0SwV|yIUTeL;Lp%V zbm&Ig`*Hsg=maB=ax-@8K&J<)Q7-)Bf7lzN+|B4M@Wh1Hc=ga@=uz&PQOB*sIt=DB zQ|569GP}o7N|Pv-J8`PH)@qF{E5XAMDm{lRq6dl9O0cY(fjBSJ1lydXc*GT0i6*=a z5m$8VjVkIHGdn17(jjH!N@7MtQPEX7!yZt;6!N0kvM!pxN`@Qj`;Gt(`ijGPZ3$V=WkyH?O9}JI>Oz=rgSq+)0W_ zc)u~&NQxFhr%b2CVQSq0Lrbk0AA$^~ky+PYOLoPMAa+6svQPR9L&fCNa4PHZFE=PpLMl5XA10^uUWixE2J{eJGYh zoN3ENM9EqRrk6yvT|Z_`bkc*cg`(!O-ISy3D=L&aQ9v3kSqh%U8);E&eCF>yx}m5T zlzCOp>{v4biL)$C-3R2uokva<{9?H9uf&vGfSFX5Ga~{MNGYMwXI**sIxT~3F ztsvvsQxPR?OBJH!DN<4XSF@~D8XOlLzo=zbwds_){&Bij|CRMbgRwVf3ZF@uzN8jE zQwZmoH0K$>RS-Ih;SUQ@^bBJn>fCGC-NSzTL z9FbK`k<)SziQLyg=5LVDF&22`cW;c<g{G{xw{mj(rX&L1G)6k6vMVbGsoU$X{# zJ33Fq0OJzc_O*9PKYY#45QeC}ug81@B2wI_Ey*Ut&G2z5Y!cIk8qM@YM74Qej%tR5{asj9b!7$QZcqgbLu^5#$zhZBQ&~bkJ?L z!MJ}OyhTr$l6=~1HP_%-7?ZRBe^E;U;YuC8#SZJHiGkJ`zD5^yd zqJv{qXK|O?{*1PH3L0#T_Z19n_toyer%62KYhP#T^=XrJUbnp#87~1V$ygU-A$`d7 zK-#{@ZO3-xPRC;hGhkO9T5(l%u~-=Ugtn_A#%>_*>Wus#(za%yAhkTUc(y_e;*O&WWT~tv#}EC~NoQq4N%m zZ69n9N)x`S#T+DLnNf9xQp-av$^1iU?nwns#flK(8!>Kq?K@seShT;z$ZE|4M@a3X zOxrx(cqBcoq&yWm6}|RH@;Ja?`^Nc^lx&;=pJ8n2OImO};}x>D&TCUnWOn)KGh|u7 z)jTBZ+_8wF95*Rv@fNln59ZLKT}G6|Hq(;xb)J0Z(WOt2#PQvenBuPc-?Q z6sy60y>lMjY<)zqkH-xkX}$+nUtqDvspeBar~((@Lj?zpGa@#jOgZN^6$d`C?tD^v7OQ`0ox@I}H{@De`aX zLp=`6q1+eSdqE+SCsoT+J)=HjP$R?B*9CO7`@@t^>{juXAPiB~;KRoI~ z&Y=`7odWyw_PDr%43}cUqcm$9pYF9%YS!Mt9R^}t z?IZty&C>DQHFZ){bRM)+0cX3a0?1vPQ1vD#{* zXH;>~m-Gb#S=!B_(BXIKN4r_F>?m@dVx1d&aEIp)ombJq?9pXu-#NIGk9BS|hC8%~ zy_#YSQebW?vO({pT}=Z+|0lRf&eb$;q5}7c8LqWtaB)$=uo<)IO`Urbd+bmvi&hIj zHlMfhnBR*}rx{@fDDfW^ts#2BvxgP~(Iw5NL!8Vd0nghT0TW z>kfSzxXWTKtLGVPDIXIqT}4Ym-_U?fORP4!?WE3-Dt4W96gAO3I=!WymF`n`0B3>1 z_|T%qjQZygTGn4}ct(#G-u5G8yvv}qz1|R7R9%6?-iC+Z02p)=qGI=!bAiM9E)mW( zXU07JVd5Z;ooMb_s-5J9gn=A- z0~m?R#%_zbt@b3^wRyXov@}>Safi7`d-5*j;^6+YrqN%*+A;1P#Lp*y^D$vQ#9z0* zVDZ@z^|&EJJk&Y(`aA`t|HXa#_xx50O?(?HhNVAa@U%g+@3{)18u}O~zs$g1g<{$1 zi?roVa`*Xm^Q^!1a?A-BbS971%_NUE(agin3Yl`nCK!MVYlky!rk1x9SVw`8liN0% zqwUIhHc^uv3JiYb=?QyhM3h8tvx%OLQsC!oVVS*;KybE#E9!J#;Nvvc3!Uy8fJQnP zH2%C_smjJ26#aW8Yn}E~IeVIx)nZ1i*^SDyS7|Rj8&o{CAFy}(V!zClEMSkGm!yZ> zOTe1n*3WeOq05bB$1Qr<5y(bWxWo5P^JqbBwWt9TNhdi9OoLB5UGw?Wt)~L}2^JU6 z0J+7L%`E%o(b`f9e3nmniriz+h5ZHH{1x_@GMJVeSN79k(A5bd>z596VW{$!5Bl)T zcpz3~yO`fypK0EDm)l58RuSzj(Xn8`hmvjM*M`BAWV8z4%sTB=V2v0{xw4%+=xg9A zQw_l3*us1zfODYm1!w2BB`fj(#x@UtxYpX@G>yFnt?!B4FiFKdq4c1;I*IiM=W{Vh zZ)CjFV1G}`&y@2|mwR=`CDuof!^3COJO=N7c+B~Ow^F4Ck7-G%71m^Jv3S7Vj*5vI zj;D=_Z_tV)SD@xi+#=d6Y6ab%yRIb>z@F*)9yP_<=)dFf` zsO3TFnRNTfOW_=0AT+B9m&lWwQ1`49!q zdnRLU#MEZRyUVn^eO&jv8H26XI=L)hh^pA8?MS*1Z5LJO8ET!?;m>Pa9-}gf$)QuI zV^L-A6FgoMUpobFVXXxlIT}u((Qy^Hkk)x4AQnR}-S&_j*mL5Fh@Hh%Fr5b}*B4xk zkt7VZBS4}7tk=)^qmhqWlXO1@`jK4`e61fQsnUH2xatLG(gV>S{X1HI3>H_^^$jEU zqY&CwUs((ciOE+XX^sZ_%T9z)>(UC$EvilCS!f&H>=4horjNxIQwIG3&Z8nio9r4z zopX5y|I+M!_|YgRzVnArnz~Yr&#~4c2Au{gH|DvDL}_>{MDNT;WY+XE)K z=IOS-AjWt_)G%j7txbN=?RI0}uO zb;$|KIiUi8(E+N(6*F#U2pC5ft0*wPj;2g9AI%c_G2Msyml*FV ztAnTvCnYl{S}2yQDy{46q8B~SSqpXY*n!-V7>+!Nu9DmRi+fFt^42iTnjh#UUOAvPqx_7M0nRv8>z4?T2 zu<`X(6*{;$J9Xc1#VVx@S`Mu7ApwukXZJr8cSkIDlg}-zUd*}VmR&%6h~jF)(KNWS zawg`*76Ju;xDLg%&ZFt#ZwlO%9a+YySVA_R!XMukJx*Ct#C4DOyN4CZ#3k)r1D&Se zJn7Ey^f>%TA^J6Zhml;c5gWz%wi9S^7S)HQWJn`{|Ib1!yhgZ!*?gbH+TKG^X*gKZ zS}QerhwHGm_c%aqp$=teO*;egQ==j=0(R<=2Os$3!*O9;XG``lD&d#iUF|>;>nD)# z1f#eQ&X*T1Kn;dWrsF*?c)Rzaa5GdfVzk&wGsb_RG{1DQ2EmSaa&fpA)xrMr>J#bV zt6lW@MPX~aW^EK&ISAG=jQEWv1Wltm4a!*)wXZdS`s7WHLU9ICf}Sb_L)jz}f@#nK zA1k(P%{g8O9IQhsYMYVcP%!jXhxP!^u@V6rF_f3!tq z`gQtn>hgTGbr^{bkGxRT7S>;%dKyJ7bd@Sk>TeC#7AwpUvl&dXDDPy-b6^K;|2n}6 z(-uqN#}Mqu7+c;9qYkOJ(O)wQSk-lm@pekE&D&hT#;?VD(w3>~L~Dh3dLHThG0pj> z@+ZC1bFinLWy>zol9RFB=q#L!92b7H6-AodW9eeP*Fqc&$@3o8sEp#=;xUx1{bK=7 z(%z!0@p!1jIiYI`T;Z|z_PJ8Rh8^GtB@(y)5?&`_|^ z9H0Fk*QBLWa_~m;BkQ_0iByUM#cz4JpXNtR=uZ52uyut6la;|L@Pu+GoBIRk!Z{Y*#bQr@*cR;T80+=5 zysNxcs=Va{gW2OU6rgN1QqsI*7p-y#8$5Uu%9sq*CD?hJI?wWV=RbYQdWdiFJOJm3 znR7ed>n(JoO&CQn zn_Jy~(yDiH++()P5_=2w0|?fdE7`Z#&!%HF)chM0Nm)Nn4SjBdN0l!&o0i{5=&a63 zdf``~dA||G$vd;DW^;wTMz?(hhz+@>BX`Wz9j!q+h{mChD!^K>-Gjza1ya7|CmeGz z5$Y?ca4{)KBsImB87{aIvt{S88efYI%HR@Rj?8PXwLEWRr zxk~?A=ZD@@Airct`g$ z;OE^~Np`FZA=KtmIl`L~J2h(_SmO<_qdXZ*m;b&Y-ePl;!rFHP@M-?v(Ae%}6|D-W zkLLwsnug-fK8?t_w3gPrNpIErx7MyzEV3VM)bs6U`j zX<=!+DO5T9=nYb7Q+zvv5&z{!QeBX$pxI=wi|@k;NjcLNH2V~G(I&y0Zx{7)j2B10 zyA~zqWvh$sL%rZ)@vl+(#s2QJx664C)_cJv{%A){Th1h;J$Oqbr7kEonC8V&-tw4d zo|5)>vK%hACVtI-r}ybvDgkc%2gD(h76;li_~xqvsm#|FUeK$_|}`4p%S6|ZDgJ6eyqW)>J}p_ zo%3r8JTL(R$J2DD=@~`{_7tu2qu>~emd-kI%=@KWabkxzx`M&xSj0lvNBL>quPNkS z3uoGr7Q+Uq`uFx;lzOY`VrO!bG7yc%)=(Pp9oFF51TjgNgB{+Q{DVyl!S2K#j8`nC z!`hw6n_cU)y<=k~W+0QdFSTh&fA`BvmAamB#nhzGu8nDxG5yvrG`>Gy@v1Xh47cMO`t7}75EC9#Ji}G48m)Ry&X4NMjk?Z1uXU$*wZVHqf8#PMIEL&uLq`6 z06WI7<7rov`O@}eR+cveDbdb{wQIay>k+26A;|ORZ$;l5<&)%rC=plWL(v}N zEP`lm)}gfTu!>N=Sw$s}(u{82M4r;v_fBuM=Ii7!40LNjvoD6NqgUG=(SsR7tgF0; z6)7_{+P{D%_jx6pALHcVRZBk>-g$Wi%xZHBu$)GC6N_Es%eK zdu!~$%(QYHU*hXD_EZPm7wq_25cC%S;eEl5Qa3~HODKH7j#7D1<3HMV)UunKu}eoL z?ijQjH)F_=5?|st{vtd-g2E~w7JR`@>bZ#^`UizC*byuf5dD}?gfMumiAxLhz`%cE z3z)C_h!`afaRE!=i_LFBD9-;I+(ldLzrh!@#r_-Yv^@qQ{}NfLj>WQ~kCIy^eOU|B ztkuJZxTxa`c8KqXY41bF{|U~`rsbO){}*^Ju(^T%K^*a5;}}l_lQ^u&sw7r+Bedk3aEFwCw z0W6pu9nw|p5yxHSN&)wnU-nv+ob=!@(BsiUx)R5xBk#6bZT`(#@7aISwuiCZJ$^Z1 z#nlECK?hte;L)e?6`7EIQ-9L9}o-xpEkJvMbZI>xH2wdMt{ z+%=gVf88m^IQ=4kgJIAaM~G^zSF;-D!Y#ob41@ZDC8_`lfft5=eP3{HogMl>-Elc! zBM?8YJynRehhVAf)P{#83Io@2?P0p`532q2Ei5Oz8+ujH;w&_=^Ant z#24)3mR+cad13_CbQH*`AY%j9iNKnZQy;^Ya*vWP@h4htJ``w}fzXW(f871%MCfcS zf^+xVsWH2)rJ#xD5Hv6k>`$(~p4vWpNi7eqvPx@bk}1S|RQzS-42XmsLIik2zSIh2x@%s+zmKIEt<`Mme&9q|u zUE0!MxOFaqr?!Nrc1Y-PZ{_n_$s%yh$Iyc9RRbE(#WX7QBxWa(@IMPDEzf^B zXJd;Qt#||$9%F9A*iQb-b|30bi3_N8WZb+UF~-N>JZ5za=k&7Uy!-xEGp%#JfXTM8 zcxRrNF^&cmZBI{flQl_m&hL>18^u7p<(_=huk9qdm7s}*vvZ>JkI*xJrhjV@(dMU@mZA~1n3vcAKC)4xn;Jgrej6FT)&?vV$bKne2M5$Mywa~loan9}wws5>+AuGe;akdO7`-Ql{7 z{#$FU&+Q+sju9rgIY8q5olpv_QC5x=I)ZsgRv9~(VjokJ+!C6249L_8IY1M?mLS-L zdyV9229_p4@yTPBp*VH2(5#M=tSQX9GYvo(BtoI*{w1%U zc1_is3*AhR?p}#5l(kE53XL+visIxR!1=PlVEabvArus4hwR+fW_OUz0;@VkEC}{> zavwTZK<%dX;jT#>`FAw?8G>ozYl5N&;Iy1Pd_K%$lmT{;%in18Kl$C8N)5JFYhTO8 zm2gd&ZQQIdmD+w$H=X0upV+$;>)dw39W*wL?_>SKel9B}RnWvFU{7o|nQFIIJ9}ZU z1fJR8ijRWvA{JlHy84;|^T5Ps#Y8IV<(LBp{*eVVZ{ia0(%+`hl?)FgaXEg;e&I3e zz*|n`pGN)SD=>NkY5gYt;Y~-r^KRyJy7l;&VB>G0kexr+IGAVlZZnsb|jvf{iGMv}l>*=}fx2 zXMaSkN!yjt!l)XvsP;VthFavQ3Vp(L?1XP-QMS~IctMt1*0|*6t(L4nXN_z3k{zz} zTJE(_3%|5u8#K2qz5iT*UUeU0E!4gyEo=k(+fR?6b8DUmYf!Rqev`Q}sxt%T(T5}G zk39;k{n*F^;@m+8+f9m1qItK~Ht}_RK2`CLQny{(znS%w+m5twxMyKsiudfOw0)9; zNCeA>&i5M_(AaCf#R$l#8!yN_8fCaJlT;3)mbqN+1^w$=2{;TSLYIOy?!<%bcIQKB ze^a%c^5);HX>9Bp#L=CFa9#o?3H9qjFEXpaU~k=72$+wr2HP4tqv?_3tI{@4U_E9z zaDMUBR0{n|op!9GGgDij?*f0?Q*$3{lfob?Raku(CJ>dl4AS0V|22lznn*2%bcrodl8wvF!IJg1;L`hX@@ z8n&2plJCJ|CPe7?L;WZrFSml^A8auZ>XG1OJhggry){vBoS zXWXRZ^UbhjVH8>|>B~HHE!1*X1zp}NHq2>7N6@b)O48FLi>%+ZrwZY_kS;iEC8aVh z$${f{y6r>I$Tqml*Y#tluBBFj*RuCZ+x%S4+A29REfEUich*m zwd&NhWFb^nQUBgO*pW&t-$HxNt+cvp&b?LqT~jD;%ByrSWixA=_O(r5ttRAN{m)?9 zUqfvK`Dv_UETOp$!Z#W?n9`(H;PP7MJK<-kfIaX06pGjODlNLvSdPYv&aq32tAv7$ zRL4Cu=bCZ{bimRZ6k%k$28^EC7Z3Qgc-2hq24(5`=XEq`{VuDl);YgO>@oSE9luW$e+#V``I$oY zjgizNoJT<)wGaFq|7S8)TBY7~aAs7x5kBTsd`1Qm;`#!Tm!bLqcpgXw5+IL-M#`{r8Jw8hqd^DtzG zm8Iv=r^RQeM4KJnyF`Sp6baufqeuL+6U#b`u=ov#$j_iNA(W@#C8~DvjI~6EptsWT zR;Q`BNpg^bn^ye#gS99qDyBG1H=YIx*fGfmu=W$hxoZ0;dozWtJ76zuBk$$YbS{$u zzhT#v_-+HbO;Bh@zSU^DcLQt|=q^H0Ve3?CT5gf#HOb&Ul=)*T|7lcjfC9tZB6@SC zYmP&gkY?93x^Z2Bb80_NiGaw51f%m>i8X6od^%e_d=8TL1!2-^&s$RIIr%A0nrYtT z@d;BA zU8s8(iekkJQGvxN35VknbK8=c+5kNm)*rce66I>4rfWKejM9*a-?QMGrK0eS^?LN?rHt28pr+N)4;1K9$)A}hi@xwwfC}uSx821{S@t~VCDW}r!1-%9pL}(26 z#`2pxy{1yZjtZPj%gv{M4SOJZe=T=tlA*3?TJ9s%XIk8M4vl}Mz!_L>(K%214RbKkvX=YC>zX3BDD_w9Q=ZuU zy3_5s!yV%C<$A~7)o%@te_{;>xo zojg(z*M2U?1)qTdozI7aEOPNmqI&opE`FOBGOj98my#a{r07qFc<(@afm+Yd!pk^g zsOsjE#-r zVA4?UJDO>n?)~)l!Ss8&U6eOp;()JO;r#PX;c_pCAJ3ipRUx@r#&ptyme9DmyD2TL ztJb)jrQTCutv?E1FfX8~2k-tEe1MqG!1!Qy)r+-!LWMU5Q22tK-XH}#hRN?UM#OgZ zOR{ns_Mgz2FSf&YS2!uJ1n|CwPuos6HyR4_L*WZ{EEX;R|KkhpqgP}98yu(wy9t?i zh!l}Er|nGA6T#tpiR*sKm<>NKgS6)hzN*{in-^_E-e2_vSJiD_0&m4&USF_79LL^q z6Nw!G>_o{JhL~LtNxs;QTJ9nv-$VBD1&4tnJZtfxGk=I+635Mx0X%?<2y#32#(^a> z&;K;ZS|>t%+jh1UVzHm&SG*$X96gb+_L=?MnCi!$eX$+2NS!Z;1z#{LBRtCs9EC6V zPtNCZ90KR_jW@8E&P-dEbg@_*_3qjtIC6Y+RHF)n((5_nC4iv^A)5vo_zZ8UY=+X| zZVH^1X-a0|ODXtb8VIk`eeLYaTqU$tGjc128*SU|>(PheZfX?p$>KF{^^C=bcxbA0 zlDD+(YfAUqRNbsDdRS8frj}LcniVEe%sA>|xx4IZlI}Br_ zESTKEs4sqAanB5p?Nz{80ft=x5!oW{S6Uh)z1PLYMCp|Cb!@0nMWXbkn0;ZkpGS;OCo4htU_$uQH5vy7z(%Fq=cV zumRtwePIQ5c3YBj+x8^1P`TSfTCzcbK}}YQRw4uw!9u$NAJUL%3XH$G2I}yKm=N!L zy@zyYnF4Ez7+C;e)p4L@{6-5>dD}(1>E=>`HPmyu_a52D zy3Pu!e60(6NhNA}#znciY_Q5}dut%Svb&q_Soq^6#R>lCy>xm8Vs{CoE0X9P?Z}hW zJt$pgYmhd{AK;3YO@fWUDdTBp0k!UcYfgkUKBw+6;-k=hzAcgE9TbHj#@?X#@V*)S zELTVTd@W;3N9AQr59e?v)*_v;PlGFdJD4+P_?=kewl1++Yr*n>tBWXJ4_HGl=D(69 zPrT^tUCaH<*yg9Vz38FedTE>UnmU-b4|GeyfSPmMX$i1k%dZ%UhM%$&d}4tsBWK7 zQA-&7H{w(J-Eg{EW0ZjT-3^s`Y$T-{Xj>C+m$vMkV{>jzw65RSwr$(ClNH;xZQHhO zJaMvO+vbXGpX^=dU!3!8R&~!&v$}d#b&qk6>uyQs?0dJ}yx&9s*t-^S*ru%Hv~Fo{ z1w}?UBd>nVXzR{^!E>^j5CCJSo3$3$3ca0a9_+7(Ucu7@<^lzk3UH@vc)20_iGW&X zF&9e@Ba#hPbAVLbu&_~D6(y68RY`nk1>(Ev%CX&X&=w}52H|o330m2bl?cD~Y4RA> z;k#RBh%vYLuzpi`G}V%JAEA(|CEaRUT`l?d+@Pf584!gm$CCs8{bv1bQRS=<$b~~~ zem}rw4H>M8G?&k8T?QW<&Sa(m$7YT9_fd|tAlo)gd0z>`^fgX>F|>8up!4_6nx+t@ zl&EZl6?Jvx%&&?$2hR7!HS|%(b#mf@23*o-(I>OiAeS$N( z^Lr9+#copRYoV?5F)P}i1+X7NT9xw1!_nym39^|XhWvX=!wUMD$zB)tt_^mbamfV` z{~)#!+g(UkH`e-rM2KRr-SF^o>R#tSJ4UTJ?%l)zlCH7DXE=IV$kO)6<*pO4Zve@z zoc`8u_HX7DG;^WoC0`=+)OSYZ(S7>g3g0lD{#;Po+Y7>*UM?LS6{`cXDrF9Hzvt}0 z{{KqOBqz2 zAL;A5=guSxxKW}Zv|qKdC}q*;`ERX+O8s+;M$F2%-}2yfLH?2L0-FmC>{9YNA>IF{ zTGWP(GV0#meNL$5@gj(=5R^Wt!qU7vqD$AGJg;Td&*Xf@C zI)F|$HlP&pbS3&A{|`=HJE#J872r0+9+Sl~*Z)IpiB0<@sIMQTXyBpqgi;ixtvqGw zQOtdg0CV#wIjt?XW>*9H`%uBLZ1JJ*%-?G9z})zJ|_uN8>*$mIDVDj;IEAx;D3WNNbxM(H^Vy++eaCXlEb&a3?q>= zI|HyKq@PORLf@#$x+qW7u8!z`#k;cvMpVnu5NO}FTLqqiq7)CeQw?~e9n`ZtOgkXe zlCxu2<(JolP@Ft5oV#JKA~dt_@y|sUvCA7@_dJd$9?h7j2N=NGPT$LiaU{<7v+McH zlwbvBMtUV(*+*RvYB*-RCTgU9e8GeL{o8ua>UzT#B-A0W2Z&9|t}2WPbmiiCscGns z0B~dxS|lUf!gN`j;6=q5?L#j%Lu)1>XY}7s^9y1rx>=5w&H3@88nKE3%Po~YyU%H=Y?2BEv$M~td-02aaV1`walt9m=R zK8}4?Y(3cXgidv5iGz9Vnv>OR`ovLWFz$Niv!R~hMb6iE%VFWNIAardoz9OOqo0cX zem021<&uQJP1Q>v@O7Nz!?>AtlH~dNsoj-q= zU1)+b{s^Pi>y&K?p+x(n^V|ewu-zn_llstUfgoJ9muna|2A2}Zj4uk78sdFtz%)rM zrKVpoS>+&@ZzNZNxt>=0{WGQtCL3{(oT`WtHa=Wtzs6I!iFf4*o@K!j4-CLJAyuT= z^LZ&Bu=`KBFLb6=%Wn4U_#9t|QR#1CBAUhJGwssTlPXO(zUQqaNjwuyjoh|hfYZni z?j4!+jv_3(*5K^w<*7t)9i_x~GFLh!7K{YaLDqw&Z?yD6ildBsdr`odBF}mLCP_yq zAQ$x{7|&*!3wA@(8UND2I=I)$cW#6Fkr*`<;<}*fLT`?=Z$nLZ`{Q9!kk>Oz2 zGHCRY+ZM)ms-|DlQ-j3#=w0yWWi;r@X4!oqmYo4=9cTuXipR%dKKuGv&0O?^aWLpA zRgR`r4kLfkuzL@~bz?qd;%|{kuPBAnz>2i*n_+ykzFXwy5yN)cyB?J+)_qI!5v~6a z^rrh`fmG?gKhK8QH8+O)cUQH{N8{-4Z&xJyfQB4Ci(a=?V;y0oi`ihG^b_|9kGjI; z3<)Q39knK#R#!X$Yl_o@_acR!EhS8-Cmxg+uaTFejHDs*D@u4jM^`9OA1+gVh?hps88Y%VsG&lKMyIP zgek`{pM(WSDhVgxT^#9bZ+b1QG;s^gb!Htyo_QtJ0W*l$3BsWQdLb!@DQ%^5Jp%MY zcy-?X3>x|o(ZzSCM#H3fx36C$XV;M=2eRQBTarC}X0_8DLP@J7!Sq0Xt%@Yo9bjuU z_@x>WFGH?@9A^A)b06YI=XzL^!KVDH-`q*WSy_w`Ya&0`;8VfS&I;1|QN#x+4#;t3 zwwo)lTJqD4zbY>>8&Nq_0wF9~+YQd$e;a^sHirO@k|_4zSzQAeN>3H>FQ_1CJ-SeYj@xOJMs<5%G7O`jaUj!#ZxX&9QIriPwAr zl!iHeUK0&xLS3}%KFLwjc85qG{zF=4N4F3o5aBw02u5ROiac{+>vabCo< ztSs|^GT;1A8_bKyHy>)&r+S8OFm9d8RQVeI<}@;PN-MZ^5w(aY>JM+J0NA9qF(Y%* zTeKyR)SU2^f8gL$7LjzfKOltWFKiGvZ}tABYBcbs;#~dx8>8>hdZZV913A;OnV)8( z?S8&ju)}>qCG<$MM+q)rx_Xtiow&ACtulbJ*CjxCL0lOAD(8=M$#OiGIda6XEm2c6 zKn^$J2V0(h;I)tp(nkARi1YCh9q+=2tbFtHrC-zYN;nMPY@jI<-|GvyW-2e0cI;bs zJiOjVNbU=qyvRIm2C!Z;$Q6NeUPN^ug1ZxK$7VHd4O1UE9J&@e%IbI#Uv6ce^?z5| zd{yq7rMAo8N+)W_7KOS?@Qw6Zr&qj8uwHW(#@2Xcwel-k!QOH-%R=f_)ZAm&0mlqw z&B(U9&vbOpS7Z6!3GAO z^Du>}>KT(CJ^Si4)JJZK6`%)NTqfMC>U?jkc5~{+)~NW}o4q8acKlq3QAsOjf}3mz zg&yR!{;|-1Fv9q5i9L6Vy_WatYjV~0pWX()Ar^53I?-AvBw?xOKNF}W(_%>H>W)ED z+;;kzPPw1r;R^6lz)?|ViV4^4QYve#LddP^)WsJYr}*IDH)EStMdkgw4&lZ&Mf8I>8V?<*{;tHqv3$) z#EHgHXYG7+Ml&xyZGi|9@>(7bh zC=6Pf(m)4v%oP!nl&PD^=Q9>Xox=(_g|3O}uQI6ilmeO%)Hx?p-2BUK3>*`_!t*$7 z#2R9`$Of<~MSz_<3m9{`0%k?Vpc205mbwq7@0C-lWTs%f&-)-Np6_un zFCSTD7Olb*Q*!D3JsI4N{uH076Tp_Ui7w$LL3ymvbdY=HKfV$pAuv#Cu3%( ziQ-=PMrIX=Qh)i2xVyiGW`AsYOVBr+#@^ye%2#Gqyzf3!pgZ3avDRFUIc*kk|63_# z9*<{C+Uatfiu}R1N^Q4yT3=PzHSjJjDt$G%4ETi zVFC}G%j*UE2+#`+-Ru%TTr4>KETX-lXUz4R*}mzSvgbs+DW=4+2T8Shkh(_3dazGk zvSZCi@>j2s+Z#G&i#!zvT&3Rzqp9*NQn5}(ojgQc2rRCI16|i2?rQb4Y`x9daG*DJ5lwhg3RE64STdb_5_ zh(hD!jQ9Ghv2FrIF)SUEC_#kk1~0b5itdsyl}K!MdXH*zc|Q&v;v_fTI2oP-oaAG0 zOiRuFnzW=t4%xa$@O8zM`2q5!Lbz+-K<=&y_1>m^q}gsdut6`{AQ;u3H4^5EKPr`^ zyPPUP_C)W;wLw%^RUyR*BHXUvCP_{6$k;@{=@C1nR*%*^s^;uAfT? zxRxJtg4IgBb5ruLWQ>A%n1+?Mf*Za3#`}AwT@e7;)7l_DWC3V$W?r2 zK;LW+R67Y!4z4mo)(xM~v;1!0wky0A1~Rcc(}FGWr*NM0T+Gkr9`v`CKSwmu)U13N z`QYhXHn@`O&{dd5IZQTF|3?q{@aDy{ya%1K!4Fv8d5OG#}(<8a){gxp(?b&rFb zwahRDyR|j!kT9i4Y$`D?4k!l zG4PWUgFX7i=tlI?D^g4w-?k=u+RXRf=>m81r#N+jlovzg7qStKtWnDS?8?rjSHdlY zXLPO+J0v^U?MyXq5y}_5nFjdn{f4*jx(uH~c16@nPL^}jUk%{nlaYb0!Ug5q(H z!h7@NFqPuW%RM~k4@T|+-2r}Xf7T@0ZSR&c#&E{>PEwsloH2GZ^=QM?v4&TB3GI19 zho$3i#2g21%=jx@ugU6M;RW=|T>0_db;5)doZ{=FPVl7LLiru(!ZnSgZC>VT!AxBQ z$bwd)25evy9!o3JQ}g3r0W0`vPwohuT4Q_`qi)t~eXZ=QS3>6wyge=|Q<_IpOKx00 z8*=JkVY}X@NeX!MHDv=JsF-r8$m*}o>Jqs`0x+KP!rwg+O|aP;dYYm zbpP}yB&`Ey5wz!E0?Vv|_nGuaXFCKWh$u za0jC8dzhx+z@itC`qJ);V`t>;h}LlKEN&){1;t|xjhIeO$n6`%V95<}x)311^nC06 z?CuFUs05m^2wZmi>9bn@7>nq*vs$lW77Y~WJnL5qVMl8nPhR0gsDYf|^?NERvUz*HK2&t z^}Z(Wc0UotSyzL8AWG!FU$Fueshx6Rj5y#NB=tk7=eCOY$o3W|({5(9v2gdevkOt>4l=OV^}uRo_%f?cCKxydKrRn@x8<-R z1(hndme7>5M*>pj_o4}V@rXS9e4VP2twcrbp+W{i5*S`FAE;}3OcJi*(Oov8=c_U= zqWy{kZsq}Sdh2`Ip4_O#jn#|Lcjq^kd(#fX^9hlw%5ULb)3}d?8U}<~2dgwbelh5~ zoJ09n*4GEaZ)3A%gToaYi;_bOom_Z9oQ)2WG*2{3e{eu3 ze2xAxTuVmR{}=svnavTl0wBM1AbO)Uux*jAUM_}-n zXe;PPr;vg2i2Sz&vy$Uq(Y^MhLlF;*Si`Q)E^THjevEQbcsUHv@IaExJ4C$9Bnbc6 z<#SssKVaHI_+#&6y0lj;_!<4RrLjZEAa_;I+?2cuJ*bhN5z^yrG52G5(^FhSnfJ1f zrQtM_@0&C8H)dULc7GD%1oz4l`Asmvxo7 zPw)5RJL{?N!Ub@@UQ%&?T2x(LHoQiEt1drWbsI&Os}$KN9fT1dy>28`t=3-NIQCP= z>kd1%G0=TKnfyV%TE6@v=5$;!awI0*lBXEng&Fo$RI5@n z9l~8Q$XFwKk;O{=n7Qz8K*hI~e-rDW7|ss$-`}uW+lQ0W(g~ym!t{ zz0p*~PL)CUTHtst8Cng&i+QV7>?K-OwCJJ69n#3?E8>sIaHZOUv_CWLV5LJGnsS2T zh{PBwZMC^PWrk8K4>sz1s`I=41J=2wHcYsd`Uf67UR@_CzUXA6>ya)1puJiA)97{b zM&%Mf9K0?S49IP`FO{1Rpk0-ZEQ<(hWHoJHeyG;hXo+ii94+!RQRRRy=#=rtfBVI4 zRrh}JL~)4b&d~BF|E_4leT+YXZMvC?*sOkK$HSJs8qNsB!S^ zp^S!g`NFi1$$35sQ=wW50%X@;`h^U^cq%=m1PtT_gyeqcZw|3n2xgtNLwDA8{C#qR zoN$W5Qfu`!prTCvE!wY3Il+^i2uXFgUaZEpsqMItD#*D$kiO7L*#Yje1#B)W{~T3P z`e0pZQ{9K4GKho^T_^ds^|gdOPR}YI>8Wr4HbK~wR2VLfN9DJ#t?yzBB_96;b7<`S zckaeUr3L4z5hIHyI86Om-w30i?a{qlJQ%;V>oI#RAczOB)c>p8NJg+(U#)tkhecz% zoLT6?I4tEH=xHC3_Ov5vebe^k(_32b2f|N3K}pxMy8h`hy;TPw=Gq*)EM_kod^7?3 zA9c?OP7Z1X5gL7{5&F=h>XAtd$XFIyr@iZSj#7>efb21pxoB6!BBe3_=}=S zo=z68uHqX%a^^f9e%r}EgsrTMMT}ozANR#wre$2Xyn5hn7s;zC><$~FFH-Zni;>10 zdo<#f1bV9h&SH^cEG2IiU!5}r)JcAH3)E^c0Z)E)eZzl>i*8=gRZ5&vnZQJP_U=c9 znVRpVU+Jfh)VwLPFtO3wW-obtWnzQElRT56jk^VRXScIu@GR-tgguYDWOzy3Q9b8d z?)29Eyf?g3P9ryR<*!@$E5O*VY@p{5k#@I?LTTlg(wr^L4)g;ZOy-BiR#>0NNvz4R zD;yH+&}EZJEeA=dhK`C-@344*yrmSD*Qw{pJSwO9p9e(L9j*M1+$8VeX*#nCzEvX%Bi=255XVlb3V^W zUVWlkRKFo?6^_Dw0royA*M}@K9gTkVHTr3(A1C4mh#6~FoJtuFx#XWdAp}@wY3<&b zjmeMwC~`&v^u6SYfaTsu6qa0~@%4b!0uFp3ycDEuYNozDaWEJ;Vz}U4#{Ay4#MC$H z&?GRgyEAqACfZIDpqAqs{Sl3AavS5m=S*%uI?9(E1tf^s#bZy6M>$@2tfrQAgMMJy zireuaa&C<8embHp6|;ZQkLABli726pE;i<6JJ=-9jziwK;6yzH1kC#S$A-FNw>X|s za%LO{sR~UR%J2jDx4OWWuoLSFO$=0i^Yi~M28CxrWZ=j~h+85{z0`OIygd4ej4k`% zu8BRG&IDFRcn1-;PCdnXdBpi{rP_x3UM)kD0k6qFN5ybEFQEUmA*7K@diexR^rK*Yf7i zK3u_5(b}C?TDeDs$NKY-`SXNmtLm^F7c|H6r09WSaIH`h5T{$`XFnKmo`4g)IXkv` zBBk9e^5r9AIXNFR2)$76&qW25GYVhzmvaYD=4vnIS8dUlvZI}*z~r+c*k#^AZ%ZbP zX1Yyz)O=Il-wvZW8EjnR(Z@4cTf4NrhHp?4RA71o%sd{W;G3 zehlhep#huBd1*i8UKU@I%|>Utbf=H~X>9WhkbN)@`~Jd$RCwd~3uB*Y9EuoIC0q`;7E!7&Hf$Rl4GrXMmlj#O%J9 z`#E>0meL<(>0p2z4u4zp2HNq2Kz(AWM2HvFmICf7@6*%CSIk(X$Nj3nYaQf^c$>+- znBs`RZ}VQZuqT^ZjCt~*GsI`DRw@=s5B7wiSDiRo=01=@vbId*OuKW0R>|(VK!{oqaTNI!?*XdSquOE3n-T5%o}Gxp^ zGnmrFQ3gq)0IAb)k}`_16{UaErGJ8xSJCbJv2TE?`t~~!==G}6Tyz1%mAJq^5h!OY z?uf_eD`yDUbyn@kFBgQ}qR<3vq#oMVCWS_6^}!Kfp4aijiU8?ZwS>fOngydc0!PHA*k#eP6#tGIKD*kHf5!c zHQfog%<^~~4dNw52(3!rRt6$9lJzy0#-qK}lDuhWd4SqRP+p@AYkB_w&(VAuy+^LZ zhRAn^5p+KfxNn%;>YZ&qet7Wb&D_0lDc%=t6OiXv_%;rB{$vX_YZ|+WFD_dOx*Hv& zuQb)|TuPP5vDq^a< zj|1qy`g|!~6{7TdjNBXU6(}upzb$l6WleYWwgJNGTD}ik$LWjTimtm79iLN8G9)!^ zD7kMhuICbUJh9bu-_sl~SAXgqe$f2lmRUf`C{>4y=)U?Bl9LK$CP-?OqFcpgpKsAC zf5g&pMKvBy5AW1=daU18yKfI<=SVsHu8GuITW1>c-&mhP;n<`zZ=(UITo4NF3)>eK zs+PPNsgdX;KQt|z$>mh`@eogI^?h+|Q7Vo*r^9{V_g=r(VF@^a12oq4XrQ2+?_M~e~Q`2C=C8M8*;TPkHJy1piSyDvw+S|&m{ldeNMS&4X1CwknQ|oHXuxU zh29+1rkHd#yhDvSBXFU$RV)o-tJ-TS*?r~d-%3s805{8R4O< zkj%x(oei<^XWM7>oe+idL}|7~5AQLil3!AUdE?K2Y3*x<>gTr?6RL$oqGqPjvE(3V z&BqJJYV#%^8hCz1WgZic*cWu)@WWUKKgA-IEqm~)t|Dla>oc+oAZ&Me@M_?bZ+FLA zKhwI$#k_?WOIER?y8e#V)_WZzw4QdYT8D}1KTic?$L5O}pLt`03T|I#s~HCQA% z4jnr5Jn$=U0$dbQGtpcwZW-V6=n#lV6187f=D(=2)7M_k*2%w)YDJAR3I|`}5VgTE zlwzlx)~3|qI+SjMohtm=NBMa(%qdO4_3L5zvWrU%KN{u}&Yl*Ov5A3%AKx!#DQrHu z!C74f=c#p{*ucqDjWp_kP~W-J_%R}CcsC_H6_;&^Ma)t;)gKTi%ivpz|mPg>ty`-rS>xdy*M}3{fF{S(n5GhV$op)WPh;S9m@X z1=f4w1qr4`L0Kivht?G{#!Fk-L zmB9)GE!_V*3L(WO`CcRVKQU_Iy~r!gll*Ar^pw2C`uKmR<#E40{%`!>v4o}Il3D2g z`?YA> z6P{&>u80oyHQlU?;i@~%JOzx7y!jd3}- zYL5~N#%|GP*Q@&`HxIRVRNyeDvFAK18i~4U+U(@pd3MvYxv1wc=r$iBWYTv{*&&P) zxH0s_QC_}_QVWA0T5)A>hsD=$`Y8$0a$kTQ{@duZi4%`*AJ84V!y5)dn|_;!ia@I_ zA}e_73S%b{GJESJdz^AL_7e)$9atp`=E$Cv-`_+d z>1#t`5M5_oS_P*$ln_|-FBVwThclRSma_jMc*gkqkk!8*jB($%wo550L4ZU(O|YDC zQ2_{-=(hcVDlkT*B!<)SXa1;t@M|P<;^es}FcX(prhceI`Zq|&XYX_o6?0qfY3JvU zo_d-UK340Ynv^sl(KF=BuFpRF>-$>R9U<+QZ#FK5TV?mLt9>!4SJ-VSRH&<j?18ej2$-u8%W+~8z}$n?k{rKe25*zTISzoJAEx{0K7D2CKDvjx;};xL9Eiwo zO;+3#d9Vgf(Pdqe2R(I3+*YYb?<}|nI4CSVO`c`vFHzK`2Q^f3f^eEOQn<{SLtZ}gh}~~Bse{^vRX|hcb55}1cWr#6WL}C z9dgTv+shf|LNg)2J{@agE*V=hbmUeU2**(0*Fq(ur!43BjuJ$sdACm?wzWXLLRa{X zu2!Z^pxy`025^%0kebv1-eWY`+2hfOFWV{`*VNT37PMW6k&dL#0ZMr5SuCl?Bf7s9 zSvst+&J-J}SK_8CA}YV~);SY;uAdgjd7mQ;;NF>KgYp^Q514VV;rof8$0xMBnJ6oA zlF5`85Kop5?KaeF(oeWX&e#Ca}qlDA;LxIW-wotu21DZdhoNO)DuPt_Yg6ylwT`* zMNWgKyiTBeqn2>*!8Y5B{M{s`d9t2Im?|+ik%q3(M3WQdgQCq=AVc-iunD=WwK9I| zrH<^H8NE&n<|4YWiEL5GO&{Rq1t;=E=6Gf`;^-NQDtcqN+VHBw9{$CmN?f1uI!?UH z!vhM!$(eO?0auLJM+UZCSm)S0?CBvG4W~laDX|q{5OH22lVeac&K}^|AYk}F*6*#R zCOtGO(|{b&=XF| zP7_HgD>4!DNXst5m1Jcy>o2ZqZPM)FyrOLif3QZD{X=>6$Z%xFg546BbiPZKj(Ssi(~xbCYA zc!qkwC>_w6MwEq3TCx10t0t5J;3*rRm>pWLoKy`MjnPzxvA}&s?wNeSmZDXcNVea4 zQ)*o0JfP=5mLCtGPg0Ug0b2NHG%740njXyvdlC zmU~ue7YP|&7U2QDO!sVzer#fCh3AxaRlnOh7_}G(!icuq{$JZF37BkdRKwx^HO?RQ z;5~qCNZc0-@7;M;oYffTfKfEle*)U(056>jj;748HM$9FywOdrE0>Ve=C)pDRZ8jYgURPDRHY zUgh|y$yML2Lj+y;!+V`|*XMwp06-*f4~_@%T>C{dj*T1rBqXHlu#{{Eo;rudN5MC> z(e}#Pp^cfdWehrmV zjl^T`Le_J>Ib$vkF%BS@@idHU-P#ZH!KNg<}kDE8+#Q^j_W zjnr6S#<6`L94QBqLk=u%MBg)HzNIN?Or!~wR!JatLm63-lW(76!H18>;)Z+v3#(wV z96vrlCTQn!W%y^m9zIOQU*~*#8Wo~v)(aF;<4Hibrqq^cvsE8SdYS`F0lcof*R#T- zvm-+=!<`S#AwM@NFPHgt(v6hVxxf-$G-RhosHUAbv+yK9$&8`9hH9^vp85yS5isCgP_Z3W=O7? zV~ZUUR7k}fvH4t=#268P>Y6YY*?5QvmO4VPJp?j=@?DquR^TS(wYqJO0oqzyDP)1; zxH=|y1lzW232r?E}xEUq?!tK~zgyoM$VA z0Z%`DZkFa+UY^Pk5gk}0IrB^P9AD>oN%6LGNrYs=s-W3>hXZq~Ul&`E+WiY+C6LvU zhwmcA3g>oNjd6q@1x!$*XSc7MH(}^sF~kIWH>&8SuZUxZ@zxm7h_5;SXn08tgjY?; zHNseo-}KRQ5v7dBk6x=HBt^8vJTdhTDL;a%%L;ODRQwSXxus}or`G~7#^*!h%??hD z)xH8u$8uvf-~1>JpN1Lh|93gzylD|`gY80VZz2 zf7+Fd=UXUT-Ju9VnLu{`z$|R6C$~`C$N305^8&(~^ZK7}x3#fiV5^;6J zLbxg|RXklP@;+|;fDOfwT-hO3s>Xm-0uM#NA1X9m+OQC?ITIAYX@*yE+>H0BhaPvr zE&e4{!(&9p6HfxBEX;P@pa0;HNv2*sU4Xd&y1-JC=rgkC`u#5`(tLOViJx7T!fz}S zLIX8J->AFjSMszRWD2y=555z7%S`c&(*%>Gi8NiLX2HkTw9!^o1z_ifpT}-^g1X)T z-O?(+azdxt81HM=cT1_7%11{v$tIw>%~n>D?Ppt$5>p^G^&E&2|`Q7#)jnV z!dKD;gC`#Ma5HY$Jp6^*%%yPGD}Fx{sh99LgBh1uRBCF|}e#a3xD?H~Uhi|Apvf z>N)AY7>I1WH|TR@_$KO?1ufm+>sX#6tf^TTPC=iV;%lCIl*#Y`2V?pb>V{{REcslM zAh@EOgaEDQ&v+p;Rp$aA}0x7B-gd---ub z#g`?|#+4_thb+Z~@kMov{zoI9c$gVvF!=fP8$cpjGfhB?L`6e*P_PpdsAO@ug;*WT*au-Gbt zkvMdSM)ofx565C*n&aVs1F`dr{D{3FR(vN79Oj>h#?5#%1^l@We5oxJs z3$>mUtxG6=tQ)Nj*2+vbmUt^Wg!??D_4F2BG6myyNb+>kR?Db(-xZ{cFM(R3!)zJ-i=u=6*706i5s8ULAr@7)X(3^OjFy^aLb{)LF+6diuG*hX=axb znS@^pIFl#;$zv328of>2 zA{x|8PUUpqzPigAp&vY-3Mr^e&NsNYHDMX?aYP|zUs0X+Ynh}4Y58zM7tKbkq`<+x zdoppyfVYX*K+ag#q`(o%LBm@A&A^p-{RUCEL)A+AceKB3+3k8Pvb97EY zAU4<@jhCJ)VP6f1+ZtxPT`vk{0cFd z@f{+7hEUmcKMRE_+g8?tK{0>7aNds|Q0HB75lBD@P#&nHWkHxwbL~i50CKnfxHxcf z;2^6qWGHIiB7fL9f#ofc#JUBuWRo(ee~b?7swtx?lubR&Ugt5@^Zc}=e#7A#h4TP{ zl|UJ9lSxnKyZ)D)s|0UDM)b(Y)>Mbln;t5lNuNHatH(kBQKx9Z5KG~7@N~C>zM#cO zAqayy4hD^3#xS9SyoK9~6Mtr`@E4$=LphO&NR7PvcRSiZ5N2AVq@{qQtr2Y;?T{c1 z(M9ZB(%l2@8O`OJxCz#?P15?(%HB(+2ebbak&&KYm(aZALw-T6j)kH~Zh^5F!dTYY zi^dOgsOyUMSmHk`5ay`|lT6N}VX-7NlgriXLQLf`g-+5bZFUc7l_o zYXZ7pbOeOkt;UG`q=`3EpbI^C%j!l9Dj=awX630lKCy|@ssBLf6nNk?4+d7ty}|h! zKE^MG-hpGWC*~6dmv$kxCz5;6|;?Is(1hpdXCa>gC z%`s350tbT^uz@1Rrhb3zf{fNqhEqLu;ny9RXW%F&Oy7!J;fnO)5{!N_t#N!w*vb zcZr2&9_D)XqyQt=>m^F$qhN96E=#Oq&3t}+O*ueO8JFCsj_vej$TBK zzuup0G=1)2BTr)MsEe~kE^ZK&4OJ3e!)#>=A#=9ql8BJ188W)>QG*xMA^sPtS_2ws z1@o-1M=eg!1ya|B|1IiLr>@;TNEsg10x-q_aYIjcKY`W+nWv=*v16j`cv*oGyWnn%}xbM+k)R3WoU} z{PdYYS2XnawDSxRif#C8(%_eBRn3Xmlg9zQyI6N%3(O1ZpzEll29dJSW?zDt}qgsz@$>x2u5N-YSP&Ip|Rzus~V!HtFy<= zcqy9Ja&3(CG>m5-TfvW6MWXHRTV0@}mZFN>w3TDH-1b%*OPqBMtp)if+2|P+AEJ(t z$ghDk#&r+~$lV(xsXCQrtOX4E){1(Ry_s+ek$Oko%eL31$G-bBx$f@QB~><(Mr?qb zx!i&AcC1pe#-gA!Fuo{MWAoT4+y=8`yxC#+SlkPB72A#eF`igX*+@qy&?Z0XXa!AB zT}W6tvFJ29z5On#MF!2Hhz4Pv129f6segdA^P{9gZ^?^h{Vd^6g=~#N%>y3WIoyLA zTsSF4Qt@kMR2el%_B6QNNy&y@iF0jM(5VB3v2R#1?_xOnu&SDTqs$II;8

z=T^@|wuLrN$m zP-{U|1hZ7(1QV!fJ4BwQhg)e-UTU)gJs5*pbp|b}#PK|8wJd*^-S1E6>RN(`M41E$?^&x`=dE%6|##%LZe)JdT$MsM?!nR z71{dt-k;|8Q!$3rwxA93)6i8SMQ*u(evF<)7(#n!Z){b`Y7z5Hw3wUHzR)ybG~QUe zPh^a{Mpv2gOM7Uq4gwUiC16QuB>lWi^lpN)Rzhf6$Bp>R_dYvzwLyp}i>s20MbB3* z<-0FatANWdu7_H|4-r%@nY9ELRF0c^-6>!t{QFo8U)6#Vm$i6e7*aXDDfX5JQz8;7 z7ts6!YOhX-kS3k4c(DYNl6hQPz>p%+WtY89;568*fi)lqhK27!LHzaUh*&sSAhHXz zpKH%TTR{}aC%I)CkF;EMD9CfH97l;WhWYL2!FZw1Rw#%v8m(MwKFoXgk0-ugb<1G< z@a0oiu&(4pwN9h@h*opNgtcP>d)QYrqT$6o?Q~Jrw(5q?>L-vB|7sUJ^Z+FTb2gCL z);nG$3WdcF^$MuM#L3uef0isU&O)+r{7om>L^$*s0g;K4h=+O2;An1b;=9f}GNOxy zvGX9J)5yC;!kafex-+8Q>XcecpjgDlie(3}ZA}EhJfrS8_a_aqz0bb{NT-mBxb-)_ zM+)SVDTbkMF_#u{ddPxLQv?4#{sk3owawvqDr}SYJ1WFH#v5oHi4rb7(Z4l{;X+l> ze7*oItc%1Lz2e>PLhiO0Vkji+X!!f0nk&~JY`h&79-l>BweK>u3yyuvzM-~BL34?= zg1w;8si3Z48QVPA+t$Ug9ym{I_?dfWNZj2bvBS!Sxs4Bwqq`=YLMO_>1`GhaK$eqG zy_R7GXOYk{*tCXiw4qz)H%RiO4JBWW;Ro9!S`3qQN{_xmQcqY3W16*(v8rF#MX?TK z2)xvTLZbZVrQB^hbWn399K0h)-juLENvQ75GJ;0IeNL<*mZ*?+?U5d&{!Nu-Rn8Fh zQ1V=>c2o_~`2{Bpju5mSr&ZwD>b(wA;(s2~=8g(DQnAS2ps;*D+DoD)^h>)5B+0h z2n_UTEdk~9x!9jNfFw9MqW!LwmnFDZc(Gp(EU}r&QY+tR?2p^PLw5o{M6dxslq@D} zmEikbEA~=Y?+vFHlb#3d3j}!pUjbD^B*N-GsN5D{06=p9kfOhZBYuAn&2o>G@3KiH zFAd5Q{F#gu(>?1PxA%BLqw#TZl*oT!tIWp-Y>m5gg=9fo4)Na&l#OM7^MhPGHojVoBU}FjrCqCy{#c|-&T3*`z!a$#0B)QEHAGYW2(2VIWP?#F*EeO z&quQCfq|<1K9Zq8pJ?oKJr>;jb!IQQa!`Ajrx1{6UHQ59g4>{Hth`)?G(kGS)Yy+Q zd=j|MHe}d>Fb(V+cO@fwrC)=Ky`#(!IpR{+(*Fm|%%lJF@_feO*p?>=woJfkoS}|V z!EBa)aoIYE=IG+9DQv*5EmE$Aks-J{itF>nnw5f9lknTt>-{k(M?Aoe&`uf?yOx!~ zW?A=T;ZiKVcu76+U>SH4pOeai)y{wVkElD(6k=LZw<&+OM7LdWg3SnoF09B2?BVLNuDKqTM?fNs zi=qXa8Dz}hy{p*8MLZ0=L&!wsYo1r^Mdt%sKdU3+UaGP3z0mn(jN34Nwn9vT)_49rna&+= zOCl01E}D-e$Z6a@wF%Hwxj6K=t7}zN>(E^Q#(b(U3(i8Q7zko3AJvQop)aga`_&Hqd$_sR3d)+*E75cs-OH_lgY0|CQ_TS5p5I>_QOO=1~6wa>j+Z zmWyoM99j@F)kOFD6Q|Tm0)@8774GtIriAe~$E{l?)8eiJ?x|h+yg0jpydkvSx`afg zi0j({bxJjUpW!fin8+6<%sZB=M2e`CAW}e?q}A|01kgz+jv$F%$wHy`BkSBl&8M4@ zZ@53oSAUNQ|HY*fgRDLHsscT+%VoGX6T*#Boi8Vo(u`vJ3Sa!Iw;3+!N=w zQyIRjbo@Il1u+#zP4RNtRD`8Rj+ym2NA&Gbo$IFBM>>)db6iuUXC5o3&Rqg0=)%kGhE=}k~&a}s=54j!8cBB`C}~h(EI9_ zKyCiB;*iUN3R)O}cPrr+(uUp%yeBvy2)~M%I7-be^-p9IScayjH??#zswld9b(=fN z)rgSp+p96=h*X`wfb)d!y#u1c+6<-e@Ft~@}5KDVS!k>Q722Fwz2fLuG_hroiE;E}>yLXku}tu@tBj&#|(m zGJ=(WRf2idYat`>_hmN!HecY2r}=Hu%TDi0->Hx1%T+$(ZqH+DC49nS%OBkY^qwcr zLjgflJ_Ah|kz}OpEHN~(2Jg@gDjm$2g>=*w`7$f!(z=Nu2Blf3l#=+&je%KfdSXXQ z$zynUUq{cuvC;6xCAqcG$U(yHI*h@&lI#K)1|g{^Us|qjo{cHW9=Y;g&Qb}EI_B2YWXum}2ua0;5_Wy8Hs1L^ z=zV(-Amp!2iO)T#o?>Jzwvn?eS&oU}{t3yc)*{a)qqt-YcyfRU-IDA}b+#+j;dRWV zQVntQESEt%L#aWyrE;tw|8EubeR#RF_pTpE)~HhYo71zf>-Rqyj48bmU}dYP0`@QI z|EztORs#shi+a`B{2Ea3?yst*bDp7KtEr>1ZZ|gy<)2f*Yz|s`sJVv&yxF{?RaN1T zMlr8DA6bX7d@lTmQILX5o(O9em|*KN^_4GOmj>)<-)=|Drltr<6tZdx6D%j@Uip$( zS=l{Pp8!kqS*1G>Z+``*>Owj--c1~2uOB_ib}&_GHoGh3?p>e!sfghtvDc@ z6-L+R(Io=VE) z3arUNATfOziS0s@%&onB)}x;n6_n$jEsTNeSCJ%9e_kL+DV5hkloMFhzXm-O7L?@BzNq~bn`6nAfV>PYGucUwslEn5Bk zR~B?8XTiPTgK@&+25fJsfMDrpM~-JDKc_4(hQhe)v)i6S85j^hFW zlxLPm?m7R!KEPMENRg|k(Ss{pJgwh#0uFAeK~^vWhZuRB{igqrKxjR7SfWSC+a%GK zzmi}1!g@UO7K)HJwC!OL>1P7Se#Qh$f`iGML7SIemIOeK)DWnl9b41}E5?}{5L`V7 zqLXQofC!vX=j)-oE@5mnSCEP%WPeim*$^@Bu2-RZ$@taKtw~qU=#Azs+?Fy=JK~qH z`#n&he0+Z)3mG*=+o_P)g0kiAZFrt6UxcU`_oU88wyhE?ZhJXY60ncwRSdFX@N*e0 zcWgAK7Uf*c?q`pUa1wZqR}t<(^4X4N%a8RMb~h|LB~zBk5DRmXgC~iarVz_J4Veci zfk+#I@gJqL;+n0>H0_0U-ha?jYcL*ABau((LWV8hL0h>cb~}&FR6kg&jYp&wfRUb+ zrf(j!2fWEtzh5yG7HT(~QZ-(cq#C!lW%O&RxqL0%Ancs-oS?!(9S&+Fy`vW2T7J!4 z4^nR$7+AR!g=lO*iNHha6;$zHk4M6e?_IRR5eXRUcs^yoI z%L}DK+a%I%Ant;3s_woFMtzsAMd!6ae-!gyB7boASOe9<^I4LDv|5f+hm6AFhVOL! zYt;QdT-;d+oA~=zTl)gdaY_%~8``1$6lR^Qj~T;jQ=Cy{%AhEfKSlR- zm8hf3z;jXxJ7l>MX%r}Px)U+1bt)?y95 zwR*SRLTcx^N;@|3M24(E{G+a^lT%!`*bpcet7sw4`h&~Jj9C_w#^*}A)vM?_&FoRx zNq&PgC)ltK#EAh3B!W+GgUw6fbPvuJ)Tu%Kh2zwjxnoNcalZi5)b+ZiF8n!6%&aSG z*B>^2V>Fd#W`MNAqFpfBvVI}^eXFvKNr~Z;W3%Wefm2g(UbTn{4ee{uv7Mk1{LyC^ z>b!5;o-99dYfJ7Dp?ch1S#1R9H+N?E3kYK#GstHe5UFA>6^?i?9UmuftJf@P2OxCF zokMz5VK(<1mGjTjD>IdnDyzE5MBAbZCRPGPB2m<^OlI$TTBThS|5(5o@it9CnA;?) z&vwMQ;^+y=d*@i$w$4~3yXBP8iUM>vT@OKtF#gnBzp!KuNC?lVJpjDgcq-(Bg%eil zhpHP4QsVC}X8w)x(A|Zp!&6pD!6@1ikd{(ANh`Pd{yH-%Y?Q2m*fe6gn1rBVAYtp{>O7Pd!JL zusZQJKQyv`;Wr!Sn=T|%*N+SFxE;iZHTh+&;BmSa4u@5vFco@SrHnGQXnevUS;vbx zSv#V&R`N z)mK!qFI#LnAf0_8vX9Ke>wtqOp9grSr5b{nqgod+O5&H-z zmNs(EPJ!&|$<_=Y zRB=9|?qz@MmrJTxNDO;Xq3HmeOr9^(TXk6uDDq@gtfk5fIR*<~B9I0+S}POV0MML7 z;3+;zX~U8k2};p%#Ynt_-LOy>jj*sUH@aJghXJviWH)wg?Zt5E>yh9pe}ZB!&|)VLmE`E5PLY@8S+%Nxx^S2S7!`H`{N6^>`mugMt?5ka zvmoqWI+MPCbd#;#S`ykzt|Na9a61dWNmI1b<|QJbz|8Z){9#gw zC-q0KXR~U60 zXWg6Ww+nm5RK#q}yAF3*XLwZMig43vnxlLGYv2TAy)petQO!7>%Zp`}ABSh-bo zdPfB}?uFqzQCv)9=e)#vLJVyR;_^#bOOWj@o;N(AQvvdqSsADS$xIiqpq#A7^`qgH`Ji)&kxXP{|5>I(vqX9?trfIQClBe9g3b)=Dv{{il&rcR(b z{`7X^)L%aztMOlsi1^6wu*I2k@`voC#YvLgbn=Z6c(~k7PELO0J z=?ow)ZA=xYh+V`mny~M*{&~0KH^w7I3kIph*1;Jm`||8x8rxfx%lr@vAN+iEnBPQ?9v_*A)ox^*jXqw&kt*#+jT&*bK^UJ8(yG!3UlLAz;5? zKV~4(ms*_xPuw)aWkdr?QP`Epj(_6M-iqG$mX%nydu-$nca5`Me=VolXT#O#fZTO| zT?87_W@H>^LG1dyF2cGmBD;Dj?v!y48bKC~d~G0pl&Le0G!3S%kc735ESgs=i!YUn zm=2-zebl4*8$aM+?URd>rk$%$Qaa+GrJ91`RI(sEYl3*_6aT@1LO0d`-_uk| zYNPPj_V3V z#CI~E_@{`1OLLJXD(u&F2oFupIG@sT%csl*If43px=-)049Fly_+&TxYa~!KGa*?N7)m^))V0*cM`{$navIa5k!WC%VG-yDisz0t+%gf7o46e=SHam>U=y^Yx z6XoMP77OY}-ec&n;gEVl<=Q*dmr6&va?ZvAbK@fs z`|Wa)CS1(fmusX?+U$QnD2sFbO^YkNRDvSw9j4})Bcbb8B|9TbsfnT0CO`F3zvwRi zf-gy*Q^I-|VUYb$qU%FwOK~Es7H!GT2~{iHkA)VvQ|P%$9b7djnjNzR5#j1DXXz z6)7?S=6Yao&L+9_VL{2)-v_Zs$jVNeD{5?27PPC%wM@3Z)bXN4vph(Narj}*$oUz+ zVkPwU$Zma%k;T`J={x$vZnS+eJ1b$Mu!-8H%pOw}F0%EbyXuyChT;fi4T7G^2)iu&diyX}HliNQ@8PqF8ld%)UjE9CK;L zG|@?{TToaqZX=($Uexq&&-z2uA1*NzzO4!q%U$%(o>|bi##H31E#pDlsyg=K9SM(R ziQKk^_sCUKd~D0r&CSY|iG8faXFotDQ0Vi&W$eDBT{6sR-utytJUF#(GSZ>(6u>h( z*Jm2uTmBiAzDzkR4qO!{I)_T> zAU@m|bG>@|(mQTTj{m(KLF6eYzqVBiXZ`mfd`##AW42-Vk*sJ92OB=+B=TZ%WZ_Fq zel4gO`qu?~R2#U{NkSbTCXC>L`9s4Dx&_XAwq<&13?|FUaGc42 zMGi)w7uz9QKin$t2_5DcUB+~AaykN|#mne2D$(iPZ8Iwk|tTN46tJ8T2j2 zj-($x@lk=wg98=2ZxQa#7KRF261Smb@fx`Fys4bmX(4nf#E&tBOM!B)$+)p;6Q+07 zxU@|qHv04EWX8rYR(g9cOO5yu1&mnFSLnDS3aTAmDhls>XKwfsp8V+Q3j9j+b^Z9d zeQl6`%QVUHZ##5Ga4Uk!%y28@O3O?wI(+d$iRfeHmu6r6bbhDl_nsVmVU)0gsm9Go z1wHuZ{=C!3$%&EPJO7T)Aku zV+Oqnw!WK)^I3en^h{MFCgpJn>CNg!st1UI| zQqWx@sdkdi^WG}w{FU{!q`L#}8oGVHKMvbf}mx$K0p>QLf)H2L|=D zt$+GgI8E>dB_!_m9#vxhWgR8_b-7zy%c0%Y z53nC8+smk&yw;@-5n@6;1k(rFT9qxw52HVC*Fa;1qxhx6p15GR2mGp93qxb=lJ=|h zeEWgn&Qg!rDo5elWY_vzfV`hX5-NK=9%Ng(6axv%T~;Wo`E5wVZYT0o+Hn-N=Yyjc zLy%Q)hys6U^LkT2_&c?ZcCxl6;lMF+(N3TVpi9Yh$rbj0CsLVsx3A+%$&4YJiYX zCF!=%Y!UzKra<=3pVp^FnN*M30Y94St!{lc)ie^_OI&$yg#}@ok@Vifjc9 zT@GEK)yt(8U`f4*#F520bIuS4^?EC&Y%pMR_zLU%KJQB#Azvk%virMY_$KjV8bvZm zQ6mjVUFw9y*U#6&B2SB@L3^O#&+W2pMO)yg5o1#Kwj}6wY)+Rqm%#QnmvZaaRg`_MfIbWr|+)Vw? zCyTCI3B}MpFu`UAf|)=3R==zOyo39k4c-WZH+QzIgP4j5E~BITrl9r^3w<_s*k_q7 z!R!@tDk>_mE#lJ4!e}f*^`&F*yMJ@jWl+!*-k%Ra^Mx;DcuN&>h(R-c_AidaE)H67 zS9tOPSIYQ6iB}jgM?6IF1#jdWmWKU{?q^k`c-pQ6(HgY-i0sp;LB)@u?Feu2lIb|D zQCxd}MU|l7d8By4KRC2Yue&+1if+UzU8ja-{Q0!S`Ptx)0_VO@arb72oLsgno~8Vu z44RLSruEO{I=Kh8D&Ix-b(50fj;{eu++tqa-Kw`fS(<=38v6|Bfm&u9)=3X>JjT8n z9qQrAvHB{DcxxSGQQKNvv^@XeMhio8QpDiKyi^8%S<*g=-e{?m|t>)zeX z!rQC+Z@Vh%&6wPpsY73Zx4tgG5Eaz~K{#8Hw6? za=TDJs6gVh8)8Y%<8E^6cLznzs=vbpG4IgR*bpAVDj54RV@K7R%T)1(aX~Z6zH}u% z;!A%c9wzJKRvweQ<{5S^k29(3(#w<*Dcw^Un|YkENhoa9_hV_)6`^YjrLWVaqdie( z={}((i|?ne*62jlFhmKHO#{MLTyGx|js@oSIzFj2b)|F;!e5lIc0sY{QipMN z^3y@D2El~<#2Gu?5d_H4J47to2jzPTGU;fuWkRh*lb1{s`_%oGl=W#?m{*Fb>x8zA zH{3p2N>+POWUFU}vleDu$rT=V^a~4P5ab97QOW+^oc?Kpm}ELS1}Si7Z_rnr1Mp`Y zjs~-?>9@5E%HT%KLf&JqT<4{mn2zt!kTk9Ov+K|A&BS3IXlA`WCa!$=tsA!|5B!wm z9!kLqm}zhxE^O!ch*sOD7(bg3v0l6&Z}v-u3B_-OvaO8-M$#+WkjIz86l50&ubk8= z@Ptktyqo}UsRog6=$EDt=@@7ratUoeIX4P`$JZW@<1kM}GTQ`Mv?DEjq|$2@$Ib=H zO3oxa{5;21=54>%SFCVIU`#)cQ%Lwc_VZCx3z2dg;CCHeoU1&g+W;Ev8c;VPr{;{YY2^E7~#wjvY9z^#OZyKhss!!j59Cy-K4M^m1EU+8re~B zu9Z$VMoE5B=80ui*|WFw;p%pRT=VlgmT#Y1!7Pf=j~ri2=&Sg|h}&32W+uvL+bn4~ z$3I3=^m!Hm4W6}pYg>#bkoWOY90kSvOimvM<5-{pR;v&X-8g4w9Xa*RB$VHuw$)x; z9%xK?VK!n0-)&R9U70Oi779(NZv(`!XUdnH2n`-N@O~Z}ff0Wh!uLmj1E;>(UMM3- zL)B1eU$i8|0@;MrB93#*^V#FR2ON3H%aI+3VF+Mgy?j9rWqh<|5jNZ>CJ+*%v%yG2 zG4WW~d1O>IKtVE*yecix36qqCYdw4P+v@^;C_rB`P<{BUJYOm-$NU@FO9;_?{DZD1 zRY~w(nc3u*CYzdFga?{d;>o}Lp6algihoHQ?qRMP zl_UH&gwX1be456^L?VCiZ5$l{=AdmYaH)1zNQfeNTuRy&BWQSCa({{MA#Rv0i!?7Db&5 zd$ui0lq$ms(h|@cVB$H#imTeUx{qMfGKCc8+tk2N zYE|`QE3dyNqG|l>txxVq`haf&=17{4R?b}bWHz(=%a?20H2Ie7QOmM)0Sb$Y#uR&j zATCdV1;@O?KdEN|9pSWVHo`H4U=_5H9Eq3Rq8=n2#gV=#DP5z2<~?dBo^+=F!4oRz z#A2Ac5WsSHTde3;iQ2j+Ow2pM^3~KvCXq1a58Dtd?s~^B?igJZHDE%`B%=2R2CHBP zXI}!niz)B`VVc`4-3fHhUZWBLtKkwx7;j^6Pq;U4K1R4mcF}y|{fNpTvTdc|rXfRs ze1wB+Fv&?sUxzb(sh6YFAxU&4#usIl)J z=4)J4l53ntzWI?@-phb(3`~e8U3Y^v0(lVWsyEjYB+i`6{Q`L8Kc6>Pdwh_DH~gdZ zVGirJpE;EdR79}ELbZ^l!%z&_{O2L(_9Rxr<9(c+wLsP&S61}`vu@Tp%Q63`TC;5Y zt%>1})FA^eswnhpM&F#7@h) z%ao``%Uzhn6dKfr7I}DJ6c2(;@{HSwM$M4fCN#hH!E*Gie0Yk72v(@zlS2G+SQK;a zrqh~u8K(Bqw>0_04X7A8&sPoT#jsH#(_`pUf>f0|s6S}?%(ujjg`X$3C&tOYIn(Tu zG=63~)fZ0HYN)c;>jhay)?!B5#&AMA@Utg~I@Z=J zxN9+C`;w*43Aa)ME35BZSuU{;d6R}HDvXl|7ZG#5#Tzb%&@V56fpG*pMPTh4FLeS& ztc_+vJSBBG>{Ui}^1^r$mVSZ)e^`enqip)x_a3WHhd zocp}-#5}UG0%O4t7#G;y&EZqujO9cYoN;ys9g#3{t!&QaO=sS3@r@`R?ueN0*-=8g z?tuA{3@&pXlLKgtU-Y-t-iO+qQp9ypHa~Lv= z{{3U@v74$>T7uawV^opEKAPu*!crS{h4|Y`$sWyx8QiFf67t$~Ts3d27%G_P8@=ZB zD9#P)Z<%>6Md@7Qj9l!+pl(*!WRoYw_;g^mI6ca%b2$80;ra2UwQxe4JW2^j80;%% zT!WO(a4wKbac>$xHQLg8DCE#Q;2=IDE?gGa-mpX*5zH7{MMJ81N~g0PXv-=Y*wSIA zK^HBVn06Utid0QQN=>p~h^t{Lr?#s>HPFG5iuBQ0d>iwnk0OwTel#2b8gGdpF^XhJ z&u{Gxn?o4N>~J}N+2tcvTgcdqv}bpTcX*RPy`B^N@RaIf<=-9YQep2LjVOp2n6vYS zmb5Usn(z&4Nh`u!h_~v~L4nEY79tfup+Mk0t(t+@GBHR8Z1XrRcvgSZ9|j_Ts?z9z zI_d~I7$swlT`M+CMXE$_EB;wh5&J|i#6)kY!?p11ezI5i9$I8nrbD1_>wuS{fHtn< zCxP+IL}&g8*p`6yFj(;0bwWU>=?pa5sqS_PbAqql*-DykIERRxgvX; zHy@_S2yXvF9zumw)X@;pm5Dyl6cd4H{y86b>EUOIf$I4m@@_DsLKhMUPlJYtrH%%` z5)ZJ1G$$a;@e5AyKV+a7q>?Ds3yl>5gG2{Uiu5nmAQCbH@qo<#kXy(hl@anSs4%gQ zu!#Zau>WEWK>r8U36kgK`}ZxLxS|9U8VR+tByKVG25}+pH!jp`-SP@UfwFI z^txil?r$xVP}lFqU?RG#p6jbD2ZncK=slZmOa)XiNi;&P>tr!0qIDJ*`M&Ppn!!i{ zKFiJO;2woOI1*~~!AQJS7NB^ab|~$1sw8&=0gtC`-w-*M*;I9GK$JBee`|@=Nie2@ zLO>dvT-fyebWD!vAXj7(TU;4dB0!^ANEDC_`VGQ~i|$wTi4RYy$M|ZjogJl#M@3ry zQ5X7saG2T>k#cHC`jFbMHvIvH)5<1}*6wH7-cL*Lr)5N`dI1au5uVn2f{Wh+$ulQ%OZxY`>f5(hys{@DY=e{u&?|g*VM%Y1{YP2#uKk5CKNlLg|D>dx&JNUZoG9 zpn&QX{aH$7=8WRaOs#J-HedkNfq>O*Q+p0war-hhkE+?~v^%G7z!rv0@sAehE^gz` z&r8I$8Q*N$sf+0(zNu)khkH@Y&%1RMpg7yTb;Bn|La^2~`D*s7tl;fvP&9G=Jw^7~ zKHk=0i-aGy;2=>HEjCo=A`7^T!Z@r-B+Pr_9` zyh9{@mo|P)^U(>6?m6_Ht@zol{uUW?5rLM(hvIa3Oj28;Hny>DI5@m_kwzVh=9iti zF60)Zv85Pn>*OovHXqN1@7*HN`N1NuM{1Al5IYs$m&(vwb>ASSjjUv=xn=NaXjesy0bY<{?YMkFHPvA zjiHS89*@uRc8K>+V zxr`FGKiQespLMFxPGr?x=K*8j@_<8*c4yd(i2)x^eZ2RSnY~eu(fEfI2K^NY0$p-J zFFvrnhnKZC9{|<3cT{)gf^ODFHYI_rMo+qkB=uHq-O=8LTL||a8VtIY!Vzy!uK}L7 z=tIf7a@PfPzV|n4lr-L7p2S1t>lZ1>?a(2c(ie-<=sKZFn;(m$S~bSL`u7%&Tk4^% z5p&7^Y*X=rrL_r0$8yJVOmSXi+WW2!ovYlBb5e`O>azSl0`dSScb0-~Kwyogkr#=7;OJOntSq!tOQs9(9z8_&SjEn1iNTIVQ)muZqAENk{TE3PjXRH)f;O3I%G+I*d+a4Nz8+A zi0X2PwPKDwBYvW4Q?~1&uv7VJr*vlaQ~T2>9@Mgvc@UcAV1sqa-WHy-9Fs2#TG>kc zsITAE=s^uGJJi#WSficG3%@zroq_CL5=G{(t8EsExjBI1@z0Wn`hd35)KOAR& zLAq>g-kjd#y~he+MQNiz9t%aw{T0815gKz>M56rNYfeMdM2midRDh^V?*V|-e7LDp z=IBAKULwW3gyA`x0c>|K%v%DcW>e4lbhhw<)RbUTwHmlFPm>4cbU4EL+hle}`_t{_ z8+4hvsT?xLkp1k%aIQgr3mo5KsYk!n- zr4Lv*2{3PR!zh~w(YWDsl`ASo1-S3GLcU5nap9_?$<74jE{3X<(C}(&&G7DDu2LbzE{L z-M$vJSk_?dz%Ct?NU-gUX>E;`JQ;d57$onFv@mA4^dnhHCGfFXznz|G@Xn4o(9#Fi z+5SaI?7Nf@nZG{4O#c|Q2e?HG=YZgs>ennWi-VgIjr7@M_uE1a=C9D{fN{|w0P^?4 zSfR49kvTa-srEkfl$fPy`I4Y4rJmC#u|^!&8)ww^F)FrpI=j2NNgzqxC^ut=ai>mRjEwmh-^usUI1VavYI@xL_VQEpIBU21 z{-`B&gRy6*rqu*w*6+#b==c&B{Ui*>2TyPpvUD$fK{5U)>cOk3Ok@_N~0w15{I%#t7uMR>Fq$FHKygjl@oOJ1(>}+f+hydMsd- zCI6Pa)_WEsztruI1S+@ES)^=vPXo6}j$O$HET}D49x2m9|^&&h>JPj8Z zv%=L_Ldp+05(?>lGjIG(n`hg%PZ=FZEL=9PED;}mZ{)hS$x*|Z)W*nZ3iG(3S>E=o zW2a}#J6tx_Y{i=_&TTBPD2TKg(Mh4v1!O`ks1F3zt;9RmRSv9T?an6MVvTk52>7fl z7lv#%O1Gdr9`C)NzVtaq<|)m_ESiLqCdmE$Z9@zhO}hV#riz1S#BDK}%YC>TbpveT z9lVvh>Yw71;*)ghRT6LAW&e9~w52YOu+U-<7}>%*pmc?qA8<9s zDw59akFg(rox}Q?JPOQU^+m$a<7s*r$4em^il`^Nab-DJ!E{U#^sY;vqg~KoTPBj| zO5_zc0!AWQR9?~xc8TWu0b7+T6?Z{NDFUY8kR57evb?;{oZb7Fv1-xnyvjMF&))(P%#cS zIpSgn;#GeZOdlWoz14R^eYJ|KU7@@KApV2@c}&%in(DdXSMEDpiM39W(JakV5I=B8 z)vpy--m3D3mg9SL_s6+7(JqGE=~T;Vh9WDuisT#ye!)EsGr?Sub33Ee(fe;+__OyU znBW+YG~l+N`}h6vvN>bYSb*EjRv93Qkek7&pySYyO zoi6V;6{f$RYL(8Z+1Y`|6J28iH8R`GMW4Ukj%DHgIN{O(q~m6!{3U$-ZZ-tUomO?{+#=r_HSI;JnBY zrb65(br>W_5Z)}alv0VVF)7`MjPpb^D?z+ez^`%q8X7)*vKufjXF^yVYlT%w73Lap zsuOP%!B;LSIg=)$=$gczNsU@P%1o*prL&X?;S5sKhGQvtmL}eyYt7~aluxW*;GPw2 z_MW*4D1&^em}wi1XzSshecU!5d@}XA#Jado3Z^dZsP;OulNA)Uchm?KdRartU%y1h z4)S~&s+meF@*Oj$ANqLNPx5+NPRl3q zg_4d@pG-URr`m>Ok)*H=7-)&kuC8)~l5(eK-tcy@a0gOz4=Y@jRNJjBMRX|4DE>G_ z;1i#USDHoIxYEa5kwAB>S}s082~uwiHAGblqGfqSO;qK!Q~f@BQdGXH(CD#E;9sK* zgL4@k^dQ$}Y!rcYszjUkgcqMT_*)3+4j?#Si!;{Jp0YyWFxKVI(*_TIp)x!{AOSwM zV>mky%uu**cd|af32?DXyV`>IBvL0OdSoBzon4cg9CwdNBy5q%iYxjWr8#|1M*3M$ za0im(6X-ifY~GZaqEJ*_5%WWVTTNC}d~~+Rr};yTQJJUy$_s`jdM@b8A4_UellVp) zGNudz?WR3;zik3M+S+e+Jl=Sn+Cb?+dqXD-(Px4bq(EKcwk!<5MBy>+;`!@>GQM)= z#f`NglPIz4sV*4epfL(FPjo@p1Di@_bWLjMopeCwz1q4l_l6`(csh#3Q8Fv9Dx7B>Sj$71fiJ9|tmJCb=g4Q?sN}6*y$)x77*v}} z;|rGzP|#~Zv+8Vr9hWe?wRK~f`90jypfsSLn5K~+xY<*_?cNhXp7hOpr9&!4DT^#C z09Yg(lgSYLhx+e_fxs7Mt9OBgHHsC5I=rZp_~^PlXfO|I&-3^?@{hX;zdnnDc?$w| zwxW}v$)!e1FCWd(ZQJzD71SIi@hl6m+J;55SOF=GCQrA=bug$@Z}=wU$it`71>3&S za8jJ?hR9L(8(_j|Cfo9HO}SqZL<@_bw`0+j6Ulc2EbV& zcWs0A_pSE)`U+AB{zGR2!6!r=1%G$!?_#&4J{hM1JFqP=BwrNX(vTy;))@hlUWcPr z9kn@H`cXDpV|r)`FG+o;ZRmSvRc!{GCKVwhCy9Fwv_mr?$_ZzlMn37cEdy6Wk!tui zS^YE0S|PVx^L0-cStO=zh%fC_3vM3tL9jQcIy&B15WUgg-1k1yl$a?4&3E*HisTQ!lDFnJEo8}c ztU~h$*C)CbR4ou`xKG-`ZW*Bi$n+2wu(-n+9S@Y8=I_yM9fcNj^J+)K7AMQ1A&Agx z;W%L0A6V6)RH^qlM?HkCb4BZ;@#KLpL5HiWjI5-2K0EfY5;oyM1{vtPQ#x~0#UaOf z4~a}1%9yKkd=1fl-i<72nP>gJ^n0)#7CE?mYq94_o2vavvPqz+KHYnRb}(hPuRL8< zs$ltdyU4n-&`-XRh2z^i5XYeu*iyZh5VA`zM#?YIhj%R*7Tg{yxUdqIJF)uyZ6m#X z>nV|_NrtxvFKXqH1i>TE^+$mBm#mY+hbPNwrQJLgM2D#+nFgHK_0u83XYt)gpbxW0 zK)Xs5H(d=a?w+DlSgsh*#wub<-)+Qnz$K!^_F8he@Rqyw4GwacNLnv|;ki1-pJscx zCE;^!ajR=$;;q_Ycp;Co0adl1(MSBab#|~4tR1Q38pU$ty9b&kL2=&uam%~Tq8PyzI6gzsr3F@jm3rQI^ zW%*g69n-vbl2q+IfYVivzW%A2*??`qX9QQnbbylBkNJ?kKU<$W)r_x$g)>KfAh za+2j-Wk4U5mW$TuefU3YlPhA$sF^9gvwW|+4T5tzdExST7f+o8iU3aF>{=y+C&xEh zYG*)zIA``-;H|B$E1**|I(L#%Pw3C@cevXZ3U|@i_Ho6jp+Y_;gcf!!cTw7-n6Id!` z#OW(|aBFLdreY9u^z}W)SDjGH8h%(P4DT$t%5F%g#DFXKO({&Wr#}6?eN5Lw=!QF_ zSP|kY^t9dHwR~~bp6s5o2x$p_L2$=riLPc*JAHEEKqd2w0fT zn!JX43IG5G~~5drUkc3Hrr~UZ&piS_ec;k51MW$;UE1^GY&j3Hxv#X9Xi__c&VBR>8&pqhEY{A7|Jzw+0 z7#&FxYa=&P?yXsJ2&}Ie9W`+dCG)l{^cgNddSAEXPKBJa@qU zcLNV{QA;zpsG`-|TFhEcWjzEFzHlXwbd-sNVjxge=p#=ikVxX~YC0PPj#JHeYvEqh z0;*P5?f#*^X}n&0-&-IQ`n>DxTU}+g;(QIZy|V^nmHhPa(M>FU;iJ{2S-e`~p1K*` zyVt~Hr=Pm%^H0}8yH3e^TFMoFRm%WP`|y&}4+^b>GFS+fH45TxpK;#Y)}H~|UIV<{ zD!dgX8eOAe_s4?k&pNxJKk9Wmv#VBRzun8Ibb`F`DhcyJ1*>{2cfOUewh^XulL*EVnS)#IQrIkn#WBUu)Ac^1X}+>Yrsd>|dW~T4nP-vO+UY@Xd?3pQ z0q~q80qfaLM4u!sMy&EYTWUuR0)FI&Jo5NJQO}XSzZg|ZNdJa zb1^O8CFVK})X}{>FA_w|U2wVCe4Ef~b#;z`%DOK`6h>l%*2n1Y zQJ?9r)OZ^za%37XvoN-2JLYlqLL266ZjhvRq|XAq2l?VY-q{>m{^CcItZsN2&`Gvo zp@B6hwbZyV;v{2cmK*n*JosK#ZJ2FP{v_taPa(|NRlmvA5$S@K*PgY|*(rmqXqNH> z#!%m8#k`({X^Ne{^%+_AXRe8Sg$r}Rhu@{lIrQ=zlwrkQi~>$of%*q|Dstf$*BXHQ z^Sd)I<||(i=pRu!brF;m;~8*j$9qn*u#(#kSzK5Oebz*9{x!Y*{CJ4Aquv?(XIWc} z?qnza1f)LfS~9Rd6z2d5ZXv}P$J%hqu9CDrwyuC88R^AMG%4>9fis_Mf6PZ3nKbXJ zbM!rnuc3w5ohj7kt7}zS*Cxt$x{CovIQGQwC^jEygXBiqSNV-Px;+aZ<=_F%eTg@R zivW_qZn;IpJi^*e*ww&+5Xw9Pak8EYj)XqNZWG!90ytBi%7?gR;(!#w1@*X9J++x+ z<{?!!QtIzJo&GVOQESNwh(lL#;jc9n-hr%a18#3K0r{#^bqVw+Bp*4VCWZP;+U5{q zOXZzZ=&-+(qt~QXkCCcmR#n88E(Z=7*kDG^L-z6~0$C!y0nwO|?9WX_eSO0J_&)ma8oH!JdSC6-cz zKyW3-KyW2~<;&75{s-JdRoPzwhSye*SIax!m)l|0CnGW4`&C}X@w*be=eai9BhvLm zPxh$HD*_Q8gP}-~U$_{9;11kf)p3N0#Z?A?7pjUudZPKG@aNW;u02WSNF9bDiwCmZ zT^%q8fCxO#gQY5XKo>}i^{t>YdFv2E}Gm@T(>cuhY@fq8bgheO)u_(_3F zGGI`q4<{+xR|PD!A3hjtoa=)>;VW_A;hgM|MbD8%;b4mFGb!DsjFN4}Jb{hYT={VZ zA95T7s206yWi-5$+^|+M3J!`yb2P_uYOIXMFUC7I)ZENRmNu6_nn>2I;>z>aP}czg z@jo^Ytoe+hGHG;~vOUr^Dc9KpX40I$(jD(lSt404H`)>0yFbBz7Fm~F`Zv>8Hefj` z>J{pUIvpKr1z$BnVs^lAL2^C@(gkkRWuF11?B3E#GK1hWcS(*ra`9X{JEFTBH919! zhG?g_r}>aA0nAsitih44lAll2rbiInb$;XkU8z!A!;?ywX)jCm$?5K*seS*?*AlHB zBFwq^I3ImRJN?mm+UI@1gXTx=7ox*kq4A!p*=hOuz--N_r2Y;Z0pwN5&>b1g z`KGPo-$rd9Lc)-^{Q|GXcy*y!^OcB=9n>m#^O~nUk@&nuLn|wKpBJ3pwKUy4cHA)+ zHC%h0bSZG7fhgOfNq!#kx5UQF?$*COv$er+3i1|PQQgY1e`>AbLiTmdc3a8>PGU;bw#U}z0t1BC1*5dB%7o?*-f)Pv1Jq~ zED1qannLsibET6mW;J@=ig%}cNORtlM(g~N@AYph^_n{!4Yyu9TO&VE?%#|r?(LDS zP>74X_itV@w+92%6k6fQ_im;!H-FSQ>JtqhPGH+Yq(YTeLeLD{@ zl|^)XD)O2_#;d~IUFdINMY#I7&2#5gNClp1h_>j2Mp^2?>YmkbWWrP)`|+XN&m$ z?cJ&uhs-~~)RRKgtsiXQ^9~D6r0m*;~ha_rrl@w|wz!6g>B%W^4HMC8l~L!|UMstZPN%^StL_jl90v zrw|&t$f)2aPNv_30d=K!ygsHj2e5y|r28^yMWQmP7A8VKe2g2;nLc^|N`*YmwNYds zuLHqi7y1sd+OOkn`OpRUBJ#08C>hX@5P({l3B*whv1Al$dH?$tvTwiA_uBW+{wU;o zku*vDTE)9Sw;N+OlfECcpLFxOk1o(rry6IPZiEWzst8a|8$waI7l@7b=lMD`NMBmM z@G8SncAG_ZaOR&r2v4zW1nU%a6Xsswtbk#W(mi@tIQcDg1ND$s@OPEx&T~6yr(=w@ zs`68Z2qS@irrZKqR$YLnH|g%GptS4vDSvu+r+xJ6t1!A1e{`6Vb@b(W)5|S6k&bmV z-5Ai}j{zC|mFukw--PR`yrP9c4_+#Zr!xuEqblKo;9HNpPjU*VM--axNvQ-g4$RB; zq>+scYq#XDjmAa;QUTuhq^Rx}A?g+-Ua;ONW&Z0|Z6ZShBGQXRZ>AUr3EA-DfQAkK zQ?+NyDW-py=4ajz^&)iM#+|$oR!N8;COr9$zC4&BXbEXKBj^Y(-9w*6?MA%1HKUFN zu<&=qmJB@Qknyw-Lo|G~QnoG$EJlBww~8*K5cOUpkpd2d5J#iyRl->O7HPm;J)lF-f z={bFh^%OW7Njf8R+fwjJLA33ZLbB~NPXtm_LOC?PCHHxBB+Z5pHCfpstCBwb`YTKN z4<7nyN(dCz>zrt~v)X7$su}eR1N)XcE+QdYdt^5f@5R&|uKLkkv8GxYfsD{stSs(k zk`*D50!}4F8wG%E%T?cmv+Uv*Z?)*LqoiX+fy%!}9%XRsbsKO8-jT@L@`#E;UVsWs z(D&s$k@PixkFA)zbEF9i{x8})Paf52R!w9YLn^)~)-`G|lT1+KI|1r{?-~o?d7}|9 z((vbU<8-dyVZbj;G$&WtI3512Muhdxb7UG)b`M7)Yc`z6LvHS;M=k~(!~8324#XpE z5ZT-wEjd_yk>K8?Kzjio$dFpsLU?H8oj`RRrm-sv8-{bBz0K+L1+ zZ?Ws)!Z^aQ;hKlh?SXemc_k@sBmb)hsmA>dh=YzdgDk=Qe#0AO#cehC`n*ZP>-K~T zZ6FT`xtVWkC6Xj?$CWs5%>nV4By(a;W$f9yce$m&W?Kw5+>^yI}amN(`o6(xnUd-b`jV^uc1zCNSfReIdN-?#bbjYu_XJEN}8DKv+h6$Z7n zbPU?uBU}?3W^RbG9?Xm077VJ_UhlWtBOrRq#Ar3?L6gM6(O}Km(z(52`pyl2y53GG z>J`Gf66t9zv0aK&-$KLT^NWsL z0@#^hk@&U{Q#H@~*dEfEJ};Nm{NvTV&AL|?U2y(H9C2VUD6@Jj%Pqy}1+}G_*+9s8 zMZ(Z?J=l8H|LA=|Ao2{bEv<; zsW5u9zXOU=-`A$389i#Ezail)qpD^c60T_;aAV}#qp7ri-LVa9$z0`5u0rhqndq7B z!j0uhvqGaakL>8N6l@prk~M6vP=$so>sQwA*QX7*9wpXN0ittlhwOU*+s*+VNp1c- zc>z3QgImWuevc5VurG*%#t1+#2eAzQPsz}6NVxwl8>0ARe9=`2oS5z)b~^@-^)R0b zvf;WnkaiMqzb;Jfy@=jz0Ko&DE+@e_C}`^*asXKNte)`cjo2FczzoyA{Q2vy|5Md{ z2Q}4ojRF@zqJp6K2vUP03L->6IwT+>N-qjX3q=K_N$-i$1q=uXD3DO3DJ4knB2_>_ zlim|L0ZB+8kaBsxciwxyJHLO{%syxK%$c*-tiAV~v*T5}stj?kTAI^ z=UJr_lw=Hu*@6u3AmE(?DS#tvO|8fDOG*0L>j&wH8&*1Wu`nA^y$wLi;r8VTUKjba z>1*2}6u*)LirMLVzrTC&rPsy_ztA(!Y$e=#q#|Kpdfo~b6r&w8GH<+5%-RubU<$%p zVXl6t-Sh=`iFlwfixIh%7v6@MT0Na_RXU~?OnwyeZf&3N20%{5Kgz8_eyotHTtMgI zvfsWa7L7ZS+xeqFz#(Vg26AHSPh_@IfF}yCJVLYt)latP03(+N@VDL@KSvWf67)f_QhDlScD*y z)1jFYTfH97jgt~&_N79nEU)k!aNj^2djlTZDg$$gck%XM2f-3%BlJ@Zzc1i=xU`VM zg6)o<$1?pYDAJ`_rz7b!#UMrX9$xurw1z=CVsE@bBT`Zd$Q!MoPiPn1@yfNCwUt#s zg$JH>^{^a**nkhqSR+g<9-DO*us|>IIQD`Hq=luj*?88;?Rma`TJP6c@e3~v?fuT) z)t=^DG3}?$C*p@a9gd@AzGkZU_{~TZR>DYcdKSZZ$dfnxI}RF_1dHD zTg{xIUfl(>j}}R%vVePO`t}33!;{T*VCCO!<_46cxK|>{=XT6ipCI7_Rtx`1ZWaSN zuq3wK{#4!KsKpUVqL>{1<0UU`GqUH1MV5vMI~ z%j90y;~Rc+Xt1%V*3A=-@OQmHcTh5DyJt>Usj^OX`ikXUemFY@%=J(xY*0=oXi{>i z16HV&*m}@gdYZ$tFnB)+K0Kk$7$>DC1U<|W*;l*h|1~vcfsvvZd{g8|`eRpsqZuS^ z|8HGw8mDtN%`q7OtGk~RxkwKa*FE;B*?=@jmzO6?bmMG*KcoCo7#qzI`5S_|=Qi#n zs_or?4?aWWXtKV$t67T%oFO3YX89mdJROP`Lc^~#2Pzs~vG4D(ukR^ntM6!0tv$+@ z4<1?x^3o^zDwk#2<*G7g=kJ3qZS4`Tss^_t#Xd%PutYzK4=H|3Rn`+|g~pn&w$80Y z+=^Y$1)puwS$4hZt1zN*%hduB;xtg^rJ7!$yM>a5n4Wi^YE&GU|3}%fHVYB?3HvVH z0rnNjzcpRE5>zZwBe}v9Y(SHrHP7R`lt@rNKBbbDt&pc5)#s*xp^2Od4QNCwEB>X=8rz2JJvicH#wFSEQ5OWuxxW(v4N)1sGR82om#C2H83LUaKbw;F*nQK_U^p$_^hem67 zS8T~ul*&kMf_1=$?s#%>D(9q!eP-2M7Ocb!ChoaHl3jUEV5OPpsyqtHZ!W1YDKR8Q z{mnTV|6H5aDi=tgnTcUbCl$7&Ubv{es^jb-DH-wDb;+z&JN^2#=-Yno=sn$oc&z4L z&||z4l`0~85EfLH&nXNUUC~tf>Op6K$@JX0^L%37*Gnpv-cRyS{G!-kTK75p{30lkkT|KT2e)?`SQ>oD!Vx0 zqk~SER+v`E&OTEQi_%Uk%(g(;%lMtjnxBuy+^PeYA?D&8IMT&uJfWNTP6ia^^_8%; zt<(xL9K^bE`jX%6xs_BY57$bn?IOtamM2f;w_lc6McUTOjD_a+a4yI)0GJtS1PwjK zZ-fsHs9yO^Xb1U)5GVegs!Mc46$nkJzg3w3^sNFH`qgqbN*Ky(ivN6s7%(4GBE5hN z31#kRJHmhvccVVQ^8?#MD0ZAcYpv_&Jl8)9hw!i~O+^z;j*~?P*JCBDa zw(&P*z9oN2>|La)L0xqN8jD5yxbsT6`3lrl3p)GQ1N-eRk07zb-tSb+v*t3g;#H&& z@vusyT*+=FWk|y5Q+*bu`R*A1ik;_$o!5-kP#2}ROFyL_=6Ip!ZDUt16M697SZ0)kK{CG}$BVzg33l z#`x!JrwOr6dyAetdy9$K!2T}#e`||xlH!J9Yb$}UuPdwV0zm6qD`JM!E2l3Ldw$lx z-_1sN!}=X6!JiI-WQ#btllt;3#&{R%V;*4x42X8lRRK}{ozING(=QJb4I@JDxv4a0 zPA*{mA55n#qcvO5o;V@jQZb-@d=MnEp~0X>B4nzldv>)z?G^{WV~0R3zfQ(xAvvNf zzh!vz8$QN=0*s3v8+Te;U)5g~P62fj7QD$bd%$tY68bfUF-RO_1S+7P!(Z`@9CaDf z-A`XEdRhl_w%xu?6FnT?&nKx}X*5d=)o6Vkd`4GjNhN>bF{FD+e3NaH4bk&NW?W0< zzKTg70=>}T<#2e#!x~8wIy5u5*~^1t8R zd?Vqd@^90JoyN5*uEYy6QGCGObrm@x~zfVS)x41cGYioqkZHYC5d1~nFVm?JrEn#9P`-xZn>LmE1;V;rRm zWl<2#kOwDl+xJ6MAvxoOe&X3cAtsW>@gG1cv=6jWN9*$}!z&T<;m}Xu49oxsdwr|h zhkr}=`j@65dH}{Y0cFFLh_ZgZCOlhZzU;Ktjgi_zws1pKnmVf{uudtusv#0Ed1;t` z2~~m6Z8Jpipt_k**?l)66*eVWnIUKR==VwLd+8=M)BWnSj->~<>7PuWh^ zbGcv=Mq%4sR<{uc4Lr3E1fofN#S(opPr%ACet^@6X;=so|7@XJZel3;tZKgH1(k zD6eTY2TpYD@wK4WmhYBkj#GW-_Q!1}^Szp(f%X&K_Fph%Dz{+boPPdvO;nlo9pI4Y z;)TeXC@GBwU=Oy|T{phUG~m2sL3cqV=lx?kU9Fp_8+Gic9Y3SU;duFEU+B{}4%G40 znwiAC4_`E<*m@|r?29pXlitJ6cECeh4}NmTcA&MjuovPwW@qDc==`E2*XsgA&b34pgpkLzCX|&+G!uh=FEzCq@s&-fn_XcOgi(gPCGGEpm03a zSwi6^%eKzm*&sl@V(V6OGd48O{7%eOQ#d^ii}!3%b83a?&KKI2`j@9SYtD;fRL0`} zhOLA6G~vTjc1Q6SV+VIY-z(nIuOFAJpXr}`xBp%Os4cvk6cFk1l`rD@NzkjZUbWm& z`BdSh)hp}E-g!&P-726k-bp1y;#0@n?23UPW=BQOA#3P|=6vOZlTO~!OsrUsyD2(M zxt&PKu9IY~_P5}7-tSlRLss{+z8mh`C(O1;#x7N}hr%R||Coq!5R_Qcv*U^R1#n!o zHXUfNv}tQ6tsC5YqOESQ51a2XDh?c?;0WR4!5o0R}4E}v7$FT{%o1CFQcMKWeht;G(YXLLN{t@Jk3=?uP!5Bh#OP@cz-2Y>3H){>p z7!+9-`}P`Z;i%)OCb_346W2mdEyjF?2=pRqoAb?UNFq8NIcPehAubP;_$y zZGTd9a|LY=D{k}HPS$u?w2Tz_ZQWU`{zDKr{r58Q8_I9XE%9g?Fi5rwDb`59@Lm<$N}Ke~RQyRGdSS$7Q!SGl(j*M?fBeoVy%S@ET&3t_?KB@wb%`Qf zkg&#yW#6{j9}H0c6Jvdy(jFCI1`#NVUyqVaF>yn5q(u;tDF7k%XI_Eu+gvw1_g!)G z*o{gUG7#I1J)BUJm?XOeaEPTqnUL&ICMc&UJFWHA4lxK>^ zy`}lt_sj?+P(DVs8){8gdQB$Fi|~*)I62x1+%rPplS*9CMq`)Po`iGHGI*hTEhFJM ze^zMne1nG^63d$O+-60g9GJ5NZNr!9vUl8LfO0xs><;BX5LH-Kg^@iI4aBtb5n#Oi z29Fh}?bYblDj$yYM28uLT}lI)gkP3UtsWG<_qQvpbEQd3nqwvZ|M6VE$P!LPh$J8)pu6A zEv#cr{}1V}0sFMb|B`>CCY$2_`TsMWLG~+@rSTkr_Mz)-L-1V2eMS_WjmA^@%agI| zkOS3jL%?h#smfXQ1W(H1!A14kAtGMzvMkf2=-*DO>F5xw&tq~DAkaVeJr?N>>{&P& z!*A8reyGhz!)nXZIXwLyATd3d^3)LAMp4K~kz-8V<^map`9FK-JG$)4b5abEM>eYB zu};MiBZQMNpLIA@Ad1u%|FBb|IQLBSq|Hb7ON83PY>e2+_@~{5tzrpgSso_TfgY`s zbini2x@=*lfk16+O9@#`Kd_~`Iani69Ba>VI7D<+Q?$ubv0RuNy|r~cH1}^9c zbt@f!Y_H1QtI%B=WLVh>4Wx1H2?Pn>+?o5t&wh&o2k1LPQm1V(t?`#iMBay2fS`v_ zctPF0*^}|79LZZm#DAO~9M#29JlvQ` zpHK$)uhU+PsZzzc46c=5`3b*Cqqzo$?4S<@_|22jjsZAziopnEN=SXI_mA<#XxB-W zXRJxT?LTO>OL~cHw(H6gS(WubLB6sY9EOgn17}1vjJYn~zbm8~cwdeGGJ8hgB}dhb zUpbNS!>rObUrJTL`Ms)V=NYlW^UEJZsvj=&ir`B1{^%xa?yr?x430?hw z;+?g~=L$HVJX8>?l6EKhx=aux?*783%6G}l)V|>#PZ^5*y-mX_c3qo5qOBCml05$rE0VzM3@mkv`cY#% zPS0-;dDzYCJS}jM-3`OMZkBRi=7kHwyBe&Q-3{VS zvbmbDOrey@{=WNuO;dqvX5E#2mCx9uigTuPgsV7f?<@rSJo&AeM}gAO$stC0isFG2 z7C%4SFOFPwc7J^_5s_^b+LBO*$Q$!`;5%yX25^*^7}tCI^5^AKxtTO zzBtC*wWS_$DwA{x?0le%3MOXOV)>hr4HZT79q)uiG9sRW_iFI9r|_g0Vz{Q8(xJ1ksExsCFN$g37}K|zTW=_ D#t-|Z diff --git a/CPLD/MAXII/db/RAM2GS.db_info b/CPLD/MAXII/db/RAM2GS.db_info index 5384081..844e3c3 100644 --- a/CPLD/MAXII/db/RAM2GS.db_info +++ b/CPLD/MAXII/db/RAM2GS.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition Version_Index = 503488000 -Creation_Time = Sat Aug 12 19:09:26 2023 +Creation_Time = Sun Aug 13 01:07:41 2023 diff --git a/CPLD/MAXII/db/RAM2GS.fit.qmsg b/CPLD/MAXII/db/RAM2GS.fit.qmsg index 5e1d42d..9c62ca4 100644 --- a/CPLD/MAXII/db/RAM2GS.fit.qmsg +++ b/CPLD/MAXII/db/RAM2GS.fit.qmsg @@ -1,45 +1,45 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1691880865039 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1691880865039 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2GS EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1691880865039 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691880865086 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691880865086 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1691880865101 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1691880865117 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691880865211 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691880865211 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691880865211 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691880865211 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691880865211 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1691880865211 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1691880865258 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1691880865258 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1691880865258 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1691880865258 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880865258 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880865258 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880865258 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880865258 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880865258 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880865258 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880865258 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880865258 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1691880865258 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691880865258 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691880865258 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691880865258 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 34 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691880865274 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 13 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691880865274 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691880865274 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { PHI2 } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 331 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691880865274 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 14 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691880865274 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691880865274 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCRAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 333 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691880865274 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691880865274 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~2 " "Destination \"comb~2\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691880865274 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 15 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691880865274 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691880865274 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCCAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 332 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691880865274 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691880865274 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1691880865274 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1691880865289 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1691880865320 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1691880865320 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1691880865320 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1691880865320 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691880865336 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1691880865336 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1691880865414 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691880865524 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1691880865524 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1691880865820 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691880865820 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1691880865852 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1691880865961 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1691880865961 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1691880866086 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1691880866086 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691880866086 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.23 " "Total time spent on timing analysis during the Fitter is 0.23 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1691880866102 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691880866102 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1691880866133 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1691880866164 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5346 " "Peak virtual memory: 5346 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691880866180 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Aug 12 18:54:26 2023 " "Processing ended: Sat Aug 12 18:54:26 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691880866180 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691880866180 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691880866180 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1691880866180 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1691903572781 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1691903572781 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2GS EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1691903572781 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691903572812 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691903572812 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1691903572827 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1691903572843 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903572952 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903572952 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903572952 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903572952 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903572952 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1691903572952 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1691903572999 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1691903572999 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1691903572999 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1691903572999 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903572999 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1691903572999 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691903572999 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691903572999 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691903572999 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 40 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903573015 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 13 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903573015 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { PHI2 } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 336 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691903573015 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "LED~0 " "Destination \"LED~0\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 14 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903573015 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCRAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 338 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691903573015 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 15 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903573015 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903573015 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCCAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 337 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691903573015 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691903573015 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1691903573015 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1691903573031 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1691903573046 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1691903573046 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1691903573046 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1691903573046 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903573077 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1691903573093 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1691903573171 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903573265 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1691903573265 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1691903573588 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903573588 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1691903573603 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "18 " "Router estimated average interconnect usage is 18% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "18 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 1 { 0 "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1691903573713 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1691903573713 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1691903573873 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1691903573873 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903573873 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.23 " "Total time spent on timing analysis during the Fitter is 0.23 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1691903573873 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903573889 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1691903573904 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1691903573935 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5346 " "Peak virtual memory: 5346 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691903573967 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 01:12:53 2023 " "Processing ended: Sun Aug 13 01:12:53 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691903573967 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691903573967 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691903573967 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1691903573967 ""} diff --git a/CPLD/MAXII/db/RAM2GS.hier_info b/CPLD/MAXII/db/RAM2GS.hier_info index d12e6b0..df04f0e 100644 --- a/CPLD/MAXII/db/RAM2GS.hier_info +++ b/CPLD/MAXII/db/RAM2GS.hier_info @@ -15,6 +15,7 @@ PHI2 => CmdUFMPrgm.CLK PHI2 => CmdUFMErase.CLK PHI2 => CmdSubmitted.CLK PHI2 => Cmdn8MEGEN.CLK +PHI2 => CmdLEDEN.CLK PHI2 => XOR8MEG.CLK PHI2 => ADSubmitted.CLK PHI2 => C1Submitted.CLK @@ -63,9 +64,9 @@ MAin[7] => Equal3.IN0 MAin[8] => RA.DATAA MAin[8] => RowA.DATAB MAin[9] => RA.DATAA -MAin[9] => comb.DATAA +MAin[9] => RDQMH.DATAA MAin[9] => RowA.DATAB -MAin[9] => comb.DATAA +MAin[9] => RDQML.DATAA CROW[0] => RBA.DATAB CROW[1] => RBA.DATAB Din[0] => CmdDRDIn.DATAB @@ -80,45 +81,49 @@ Din[1] => WRD[1].DATAIN Din[1] => Bank[1].DATAIN Din[1] => Equal14.IN7 Din[1] => Equal15.IN7 +Din[1] => Equal17.IN2 +Din[1] => CmdLEDEN.DATAB Din[2] => CmdUFMPrgm.DATAB Din[2] => WRD[2].DATAIN Din[2] => Bank[2].DATAIN Din[2] => Equal14.IN6 Din[2] => Equal15.IN3 +Din[2] => Equal17.IN1 Din[3] => CmdUFMErase.DATAB Din[3] => WRD[3].DATAIN Din[3] => Bank[3].DATAIN Din[3] => Equal14.IN5 Din[3] => Equal15.IN2 +Din[3] => Equal17.IN0 Din[4] => WRD[4].DATAIN Din[4] => Bank[4].DATAIN Din[4] => Equal14.IN4 Din[4] => Equal15.IN6 Din[4] => Equal16.IN3 -Din[4] => Equal17.IN0 -Din[4] => Equal18.IN3 +Din[4] => Equal18.IN0 +Din[4] => Equal19.IN3 Din[5] => WRD[5].DATAIN Din[5] => Bank[5].DATAIN Din[5] => Equal14.IN3 Din[5] => Equal15.IN1 Din[5] => Equal16.IN2 -Din[5] => Equal17.IN3 -Din[5] => Equal18.IN0 +Din[5] => Equal18.IN3 +Din[5] => Equal19.IN0 Din[6] => RA11.IN1 Din[6] => WRD[6].DATAIN Din[6] => Bank[6].DATAIN Din[6] => Equal14.IN1 Din[6] => Equal15.IN5 Din[6] => Equal16.IN1 -Din[6] => Equal17.IN2 Din[6] => Equal18.IN2 +Din[6] => Equal19.IN2 Din[7] => WRD[7].DATAIN Din[7] => Bank[7].DATAIN Din[7] => Equal14.IN0 Din[7] => Equal15.IN0 Din[7] => Equal16.IN0 -Din[7] => Equal17.IN1 Din[7] => Equal18.IN1 +Din[7] => Equal19.IN1 Dout[0] << Dout[0].DB_MAX_OUTPUT_PORT_TYPE Dout[1] << Dout[1].DB_MAX_OUTPUT_PORT_TYPE Dout[2] << Dout[2].DB_MAX_OUTPUT_PORT_TYPE @@ -152,12 +157,14 @@ nCRAS => RowA[8].CLK nCRAS => RowA[9].CLK nCRAS => RBA[0]~reg0.CLK nCRAS => RBA[1]~reg0.CLK +nCRAS => LED.IN1 nCRAS => RASr.DATAIN nFWE => comb.IN1 nFWE => CMDWR.IN1 nFWE => ADWR.IN1 nFWE => C1WR.IN1 nFWE => FWEr.DATAIN +LED << LED.DB_MAX_OUTPUT_PORT_TYPE RBA[0] << RBA[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE RBA[1] << RBA[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE RA[0] << RA.DB_MAX_OUTPUT_PORT_TYPE @@ -184,8 +191,9 @@ nRCS << nRCS~reg0.DB_MAX_OUTPUT_PORT_TYPE RCLK => UFMProgram.CLK RCLK => UFMErase.CLK RCLK => UFMReqErase.CLK -RCLK => n8MEGEN.CLK +RCLK => LEDEN.CLK RCLK => UFMInitDone.CLK +RCLK => n8MEGEN.CLK RCLK => UFMD.CLK RCLK => DRShift.CLK RCLK => DRDIn.CLK @@ -239,8 +247,8 @@ RCKE << RCKE~reg0.DB_MAX_OUTPUT_PORT_TYPE nRWE << nRWE~reg0.DB_MAX_OUTPUT_PORT_TYPE nRRAS << nRRAS~reg0.DB_MAX_OUTPUT_PORT_TYPE nRCAS << nRCAS~reg0.DB_MAX_OUTPUT_PORT_TYPE -RDQMH << comb.DB_MAX_OUTPUT_PORT_TYPE -RDQML << comb.DB_MAX_OUTPUT_PORT_TYPE +RDQMH << RDQMH.DB_MAX_OUTPUT_PORT_TYPE +RDQML << RDQML.DB_MAX_OUTPUT_PORT_TYPE |RAM2GS|UFM:UFM_inst @@ -253,13 +261,13 @@ drshft => drshft.IN1 erase => erase.IN1 oscena => oscena.IN1 program => program.IN1 -busy <= UFM_altufm_none_var:UFM_altufm_none_var_component.busy -drdout <= UFM_altufm_none_var:UFM_altufm_none_var_component.drdout -osc <= UFM_altufm_none_var:UFM_altufm_none_var_component.osc -rtpbusy <= UFM_altufm_none_var:UFM_altufm_none_var_component.rtpbusy +busy <= UFM_altufm_none_unv:UFM_altufm_none_unv_component.busy +drdout <= UFM_altufm_none_unv:UFM_altufm_none_unv_component.drdout +osc <= UFM_altufm_none_unv:UFM_altufm_none_unv_component.osc +rtpbusy <= UFM_altufm_none_unv:UFM_altufm_none_unv_component.rtpbusy -|RAM2GS|UFM:UFM_inst|UFM_altufm_none_var:UFM_altufm_none_var_component +|RAM2GS|UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component arclk => maxii_ufm_block1.ARCLK ardin => maxii_ufm_block1.ARDIN arshft => maxii_ufm_block1.ARSHFT diff --git a/CPLD/MAXII/db/RAM2GS.hif b/CPLD/MAXII/db/RAM2GS.hif index 5c82f053b87f75c491d743be86fa2eda7e7a57c2..392ade24da5f90247394d26581caacacc003653f 100644 GIT binary patch literal 596 zcmV-a0;~P34*>uG0001Zob8lLkJB&^fbW+050>@ZvD)z8agwsTQX}91Cs1tJnHhT?pY6{LFYBhl_od7L?V+q>kz>u7YEyzgG%}5` zX%S4ETS{*!(HtCo!fROrc4Jv9TV&WsGaP${g5~n1`+ZZh<(_rJ8qSbXL@wVxj5bVJ z?C*w=pveSBwTeY;ekghQi0^rQs_l@BZScOTamwS?D8t`#>J@>pW-6%Sq7_zBH-+khz}7P^aI<$GIi$oiWy z6}$pgL0+jEouTtoG^GHR$P`eMWYaUU4TtM9lM0a#duCJHN@B;>gfZhf(G7uYwM^zU zlsQjdysDI#*EyT7*>dg&yDeLL8*keedkD#mf?c?9S#&oKJ%9B|a~?p#;JGB_4wi@@(J-{-_Z(NMEm$1O-W)I!sR; zocF3TnOAkM&bTNGRnIRCvvr3@`^yWLJzaJz@Eh3r# literal 598 zcmV-c0;&D14*>uG0001Zob8ldZ<{a_hVL!yKUnI`c9GO}aRR-|GLkYS&_R-03yeuD z@zEI*tt$KPGbC#(1?@xHt~P;X^PXe-ob%XxYGS3E8r~~e2(S~XmQ@L{Gsa^C-#0Q( z;be*+a;^!vCI~w)`UqE23+Sd&8(%wAit(TZyB{2|(v(7e;Gl zJa%`d9)ZyaM%u(;7Ee^H9^rkg4>cT(I*VJTgZgR4?(W0tY?k8nluN@E6s4B>5rnff zSw`l&)|HC)0;EZM(#CJmyD=}b0p$wj)n0N|J#?AFCotP?0%n|8=+1+s?`^zO;$Mv` zk88ndQP!qRN73z6HHr(C$y|W8Dn+V{-li3A3KLY_?xj97Nvq<5?CX|4-7NI{Cd*p?B1{(N+SHYm z<}R^gtcKx|3%KMz+`kUD5JjaP`|@reY kSvS^CPEb2=JZ=Xx#;fANjLRRNxy~yWT7Wm-07$Ja(N@Output only Bidir -UFM_inst|UFM_altufm_none_var_component +UFM_inst|UFM_altufm_none_unv_component 9 0 0 diff --git a/CPLD/MAXII/db/RAM2GS.lpc.rdb b/CPLD/MAXII/db/RAM2GS.lpc.rdb index 6d3ed54371614835799c58fc76c739916eee269c..039dc629286343ec4206a13071dacd60d76d6b87 100644 GIT binary patch delta 230 zcmVP(W zE_XcYV#klmhI<#l016sv`-CNQ2KV4>Y0B~2B2hBO~Q^kf@3%(0}M4_^| zWMlTQ@P-{tC4UV5C2(6cj@4F`fw<(RtfXUpTUQ36+IyF~5+3$Lt>A@P!Cv7{I7O%BB;QSi*1x3)_CIi2Rlk??1(SIJcJGI4eEAC7TtIksE)RQlVeT2OF$oJs{3)PQjE--ilL)pwv08 z%N>up*zx1C;ob!>fP#kFK4A%+!97^x$&cR_i8_LNA@P!Cv7X&2~XYCReidu zPw&&c_g-u5bGob1K|nyNet>@OK|rj(H@5Fq&D6=+(%z1knVW%`fr(he)zZdKlJ5NM4SE8z%^*zLG%E`f-wwe932aT|v2vj%P6?bQ>(@{Uj#tnYQC z{svGBH?_yFMYG$xO1GZ zUVNsW-d=7Y1v8I$kDRagpSY(zv!6Vt-m<*~1-tZFSy}I_l9o#+COY&EqG+}XZ|P~S zR6HYXyLEvYnDph=U4DxGtg*ru=Z|{4m7l&m@3RQ~t{1ZvjhxGYaf4=Mumgywcp_(+ zS}Nm;t~J?grTY07z~#=ni>vqQE5G3_fA4kLVZqAV-x!aUJ3OYeLgbKPfuf^9Cuz`A zuBNgj=CVH|u%a36T6uGREW0vO>-=uXcNn~%jO=rr=PVAX_@RzfeK~d3=c+3Ur;c7t zrNiudm+!D~A7#07+P4@|(SFzFpo^8zhY>;xr!KqIL8Zg#Ypm7M=HR+>dfCCCvpiVn z@N4I9AI3ZBr=HVq?=eTUfW^RbBVjQ~I3408;s9HjWnOEvBsiCEAmPc3Dfy(7c|Z_7bvQzB0a( z)%I+z^~9_zU6Hj!A;_gQu? z(CJ)%vuZY_MHs|ywfW|4x$A89?dt~Gea$Ki?Rx3%d|^)atHWw&S-h!F`~J0c`J_l1 zT{R|2Jx#pBqO1aI@w`RF&v&hwruM)TW3%W^M3-)%lT^T!pgGuGFT}aqO@}eQJ9)}D z=IqzpLSs#7_qv+*%-P;YoCVuhORzOe99`8D6eBg;?l=pF5ah=& zk^NfG5M}iLx$YBx;2tzJ^Z z1zVn2F0C~X+~?9N=r^x8d>}!q&muvp&l(ix`-v6B`LNB@R6Yv;@kfcTDt5C45l8UW zU<=4^HC|_g!d?}iC4CeE#0hIcaz~!P!No`rzE1I(;a(A+;Gqve`d8Y zP*WpYd8$iE?Kos8-zMr%QLmEkPfQ%f$2UkyNmR`tQb@2ctmhgfpA4>Z#oe|7msG*0VaE zVd}5nxwZ$H-``>GQ`VgA7mx`|*fwDr+VdkxDAZI(K=^cy8o^1k znPjR+K-X+TvOtZfaPfS$M|?1{uv{OS>ZMKK-nhq5MOt*mmx01<*|$S^k;a%txFrt>tzj)xI#LcFnxL`DNMmu1 z^PJ;V{w%J_{*D3*5helR0nBjSe=s4zr8NO(>-Zvyz}5tiV?8;s$ix=Q$>hg=!NQJwZSyYcr!p9+-E^)iS# zX_`uiRX@_jhvldL58xX%iD^>Marebb!w@9)P8W+^`IR@BgvipViS7i<>NazwOb4G< z4`D3$__c2QX)CWk2Wn~?Z;y?B5TpBt;}V<-;`og384G5` zVO5TFkE4<$f!j?1e7#bylhXCsMk9Z{R^#m2+D7t3c8DJi;cT|uW-$Z*3tEpwO?BR4 z*GO6WWX0{wAPpaOUCtjU$zThvpteQr@SzwlBIo^o(ihaN@x3^d12|v5AQQyooBJ{J zlq{b4|DKgeZTJcDqMD6q3sTIBNM!J0S_YrVm{Q^pVf2iCFdJf zZ=+UEV&SIxnp|T{rm4S#mkQ+mZo{*P`V~}@=(;Iau5$9L-FT2c;w~_h6Yt0Yb{TmZ zVcMs8VQ}{a_0+H*Q=3)rEv^`n)zq_g>e|mGd1I?Fv7Lam$g%eBuS|xvJ`S5L40wr`#ZmB{|oY&@6Otu#(*1V^ugeghVMJh9GG zcs#^FQKzrzMFhP2>u+IPtsq#GH<$i6K@b$fBqweLr*`66u=;X1Ygd+^HBO|sH~$T$ z4ed9YN2sQ1&qf7ju(Of4`X{6J;Frk&ndYV5Nhpw+H%Bz#XKPEn_K3rzf3$o}O-ug5 zxpK3>MdWtIAiZzHJSVqlWJ>4^$CbK1ajp?F8~>Oo<=^nFg`^_-hX3o|^5L;k_E2`e zu=l@$-Du*ekq|pC?hpbW)=`c#&x8yr@gwRB{&iL35cg?i|+9cO^YlNl`=`%b(KjL%nP+UP2J9(#d!M(_Idp`czAGMw# zwQXd3&p2)s+hkakz#)mBn>+NhLr6@3<_)SWJ@J=m7K9#~fBH<7Y3>J9B5t^bFsSYH zhJXB*uXjO`J_ILf=0Q*$fBX*HM{^zoyqx~iRh%{@C0$KJK?xS@n_}!6j`#fOD=TZ12=H18)1mT?pEoo#0OE%9gMU?)H1 zX)2Wk2C6)qJ*Rw+NS#d~b`)1^7E!R{wPHZ@5#z7Jh`NLz_zdn9_aBL#+CTW2$Khd@ z=8Nb?n@q&p-rjd>j-kTtomqIeII17l1t31mbOTEkr(!0k$P-|7NV*AxVFj{D*yk-Z@^%~yw`boeFp_F^>?%5c|XgYerM^3J{fz5jwJWD&(8UYmuSO& zN~tZE@!J$sbaAoouZ?-fYV{>)jt&d=%I`a$q0gZpp;f~A;mYi7G(5}e*J%0Mc_?Q6 z!Qvcl=D9?QjnF1xFM0BUZOefJ2hyZpx9>&lJ)(ydjgzn0BSyxR<3>{K26hy|Gr2D@cqYxBSwKk7@D z&F)61129+xe6Yn0cORoLrxSvZC4%TiY}5lHdigFR5QR^er*zM*UF6SZ;H)2?tg|D3Ff|deGTO{WedZCtBOya723lduHiE0ID;eMIXQ2#V4V8n ziU}lzfF;8K*7qh(Z*nG;|B*;+&%gFxs!8*04-T}6Ut)%$NwfH^AyU} zSnx0j%tlK)Y8A>qMSr~(8H9QWJaZ&r`7R^-c$wMneb=&iIdhTZw;0Hqt7T;$Grn)J+H%-9N4?{8}NoI#Lv$4`9UZ6LJ@t*_3I~&c0&i{@5uzuA~ zLNnBp9m`C+A_k!CPYHtwtqS9|m4V z;Z36G)Nwsef-TP`Uv3J3s;gLiS6|Ud@YUPSoLdI}`4)K^x|y1MH?v2qeXK1X9MaLc4+Q#f!a09)(RA zQC3+x)ltsMK}*6_6e;TizZf3&;|Zee67}osU8h9Vw{MG3k-x8^)vD&&Y^-i2_4S8S zjN1KAS6?(xxluw`@YwibSkyJwYuI%+m@T&FY(fc zn4cZJABtOZtc?nRr--n-yNuE?P2Yog@%CtO%H&=z7CI-I{;#LB?8-{kQ6EbL>dV)h@?eZ0JM&8iHfp*_Yrnsr;6HqM z-ViOW`-}W3rPQ>Z!Nf~bU*@1Ui^EEqQ2sw1z5)21h(AVhH!lbh@gSp^GVI83-(h}} zy{N0-SClHIkm10$$;&>K+>PY2zTpiU%%E(|9c7qD8DT|ezmsUU|53Wys8%MbA6y*! zd(|)*_fNRzUkQ}UK?>vPhg#T&;>=wb^g=v=k_yaZX)GB8Kpue z4**9O;M`q0#MDPKV}-f%kxQL4j`6%)6MS8Dr^rM#+Ej3o8d_JKHhVX%N{Oa<9ZiHi zu~_J%bB)jkGYE`wpBdq*%^hr%0D^};J1p2nkcc?kF_FuvB=f`A7gC){mNteyxUIe zE6gE&E#IJL5$&4t3nq2KI2U9wIzcUSPR+vNw>_hY70R~b@U4+h;nw$L9xtgETtXdM zzs+aN{OyY4StqmSPCxR4^e@)ABh~~2yJa`Y$x)fV==Ii9SLWxH_wZ#$$Fr;FSDWW< zq~_tT49td>lZt%?ip2kwv#8iZ{9%NcHa%yyC>{@;_Ufd591uRDS0%NU$vBzH@9I-7C|k8dot}>YWeA znoKlQErUtWJB#*dAK9_S_9V7Lq6)Bf{5UnrV`#!v_^=1|KoK_zO&EPdMEsBU|3~G) zWbq`PA7aOTjX$e(9#F~02e4O8f8(x^h5IOQO;mJj|HeJH zgdm^_S}3lQl9M)&AyMwb+LUC$BMW}xuWzNBnHrdB#)XSLrV;a!M(DHs#-cO;8H-8D80?{;-LB$T7XPX(Pf zvn&do`m2iqMC{HFijwPEXUB7s)|8wmf`ngs?jH!DTz{HA4v6S({r%CY?w3CsD%?M9 z35esAd_T_`&K*8@ULKR<%SvP&Vzx-cBC?uI^VValJ?b>uA9Rb_(x(h|b4P(|FmhR7UF6dVA-j{xJ$$1r}6}M2xT`b z5j8S4advo+IFa6F3MKGF?aY)Yyq?wy^G;o?mtiHSR`}Rkoau5T8P5t`Bs)QUO0Ls4 zi|uyLfY89Wwmwx(;V}9pmn~0pTz}z8WZs}S8=AehgGo)5UNbARaP3ihcCPSIIt_8s zea{|YLcSwdMFbvpnC&{?9;r<{ME2*PJL(GVoKy0YT^w9G7V$w!VNjgaI`rLEtj`as z!tW_oM-(1^D`3E;i=Ogr^FH|^?m{)Sq)o4p5RM<{%bWz2I;bH;bYZLkq&({~8Q)jP+nE^z z+vSH$?eVXEL6Own^n12MEDZMea=%IM@ABsJ>BV|dn-r<0wBWYyO2PqxKlm{RUcmBN z@ihS|V0mP{C9ql5nD~qx9QM3LTWohv_CqIj$s?+ zB=UTEBl4XF$J=_^C&MH1Dai78uah8L#*r6%{FETvjlRKB2`!pt!PNo$EhdWJqG^CH?15Nsh%ZKQel?ez!)WnORuZY))l({+ob zExUNypK8pG-mx0ki&pw&roSL_9PNVX6{fZAe71M4u;c_jH3G3_zk#S^hB6jIlls+YkR?uOZxOn_@u4NDAXygX5&TklEur>?l4sU~i***??#&{Bi zcWNZ#9{~O|rViuhv`aoh8w7i=_t_`PpD?1oc5=wAjZw8tHlDSTmUjDN1ek_$Gpw5H zgbx=K&tvRxv3Lar%-Yxuc*T%2HXlY3jCYB$Z@KWF!0G)m#)v=VVgwp(--hI_ypKnt zkOW#T@+63Soq(cOxRauFv!R-H9X@x5(s6rRo{9gyh=XWgEE8=bc)IB4B8$l2iaF4TW<%C0mXw!&6HDZQ16 z0-|y(DzWRs{BnLiY{q|x&*+en9i8)pLZRF&9bW%pvcnU;9?<^x zc=uu>=srFzcXxgyv+74U_IQ{3uERR*r#JfOtk9e@JvwdreQGyAR6OP_+D1@eZ2F8m zX~5ZP?p-JD;;7h0@Vkf1caJq2!DW*-Pffp92@MfGRf6yPqM0g>OpoyaW|Eow1z_`E zj@N&5ozvyJnftnKUw{KXd3z0`%}EkDPV`_VcVFq{L9KNqYC%uGix+Kp`S;o zxzDj^#4DqzwVik;hL>YT$!Z)jijyA4hP!7qE;@%qYw#gZ1-~kEexn%Rp5Z53A+D5u z$|ppyp)r56ZkwDQ^%l|mbf}$AfZ51V(E{a{{}5N#=`9x2vRIkCqOg2^l_lf7!uvC{ zCU{|D>d+L2&#;2{DvOTr5hTOAv6*|JKq?1Z_^y=AT_W9oDRM5vqBEGFBi4y2e?_NE zC}w4C=%eFjm3@g6twp_}TjGk*nep1E)bJsR+<8UuUpXVhFO+CRiWQx_O;Nd1^;;Hl z3q33knJA`pg>$zXzRJ})V6xfeQH(U2KeSn0t5!r%P59dj6MGm*)PUZ#i4?)^hp_(Xe`N|YtGEzD9K2ZZ)Mvy+vhyR<6s?@+koQ-*TPxq0CO zq9xM6vU8@Fw^=S=(k)9gvwpcYS?l;E^Q)-!|C^2oIHaXzMc@8()H&KpS zg2R(-hkpI%O(0@ zyYV@l@^Cjp$z4AIssaI-bk>2V%(hk(CTxCxX{$zDm~^Z}k}%nM+t1|FQQ#kVfHmoj zUX6>9APJx7K2C;ylA8O!nfsE0ODZlXMGg|IqSMSwjfkq`u6t?sOg@M3~uCUacNglji&q0vbAISXZuTBQH9+yH~iX zP?-^5SNNp9s^v%@KrDtyA90vo$o14nJnd{NjxWY^!4-B+J2jf@734u+pN(dR{(^AH zEC#rA4owSWaB1eZiIgxb97SFV9_Vv|)ji_q3=5 zc@c5>^BGCw(z|L!d=4Msi2=Mf+~}@@boYjHx0AJun=^KYaA>uo^rdSGzD3R}d*Bdr z@I_>wGFQ$h;j4Z00o+mWg;BYGcCJ6d;NJ(`R4Gqig7wXz0h?>8!Qc;(P1_gDj1Bl! z20`_-zt(>8t}hi$mo55x&R!dPx9*Z*DE-mH8n#<78&XSH7;bdQNIS4PKXz zev$Nd1;gcux>(#A(3>uJsxCDQmkDz#>y-6DaR?7*dIT4~_to4*aZCK;Lc(pIAz8Hys!$|8%Mt#*C1aDloZxo-YOr>$I-D&kb!Q8^n0s@jn2ZCVct2fU zFAHCKm?*HjT5*!*8-?(PmJ$&JdinX5BBE9l1*+*Lev-6l5N7 zBVJhnqRlEiK6Xhzu}B2DX2l%W$_;(w+f>)t=~!Qja%>8AIuFJeZ*2j2N5WA43@SW{ z->bw-=3D~GGWD^Tg5K7mjsqH(#8GYv$aE*U+;H*R7qSR>tGZ&+4o>k;M}@YxPVdcIm^zS&-42A@EVH5Qn1=DKM6=FRq&i#x1g= zE@e+#uMb=rk=fiE5X)k4f%iPmyUkgp?8Z=g>ke!S26wO+fD;&muIEV1z@P6s={6@H zrncWiRV6I8ncw}S{9A10I{;OzSlslmwpvb{lvaYR#{ZUcE^EpxgL0-JX?tdhQ5i=} z*cfRib@~DN_}C5nY}C~|z|U|IGfC^}*`|+jCxP>SPafyW30Gy^$jbiVkNnyfa&u2M z1h2*LMA`_7gTg=Msrv147tJpj*v$o?iy%#yTm)LG`GHP26oodOOG8<#rr^NOTINA7J~<|*WD)i(C< za4&(hZ9Vy;C1IWwDmgC}RPs4&VT*)oV-hoO5e%oW33h7jO*3yy?aL-|7%u0^QJdbC z53^=5>~y9Yd$d0$&>#lNwJv{qDGmSg%Xy&CB z?!NR2ilIa#+BP`tu6slM`&#P?UkM6VPhS%zEK8!Ftiv#5lhC(7lcgs;LXR!)w+4?D zotn<&(WUDLe_%pPm-n6VD%7H%q=OyRag=djbr!zI8WtNOA|!oAK@j0T(HwqMA1aI0 z4Aq&>I?fpU#!z^H`imWRTj2A@Dplxe$Zup5i#0<(3*e9hnhtwUbaH3G1Rx~zeO^6w zyPNKdSU})D_{O?f+)N4S<*Db5(2qDSq`PocUKnPFj`t$8y6v(v0Ow<Vcyxp^q5o#AcGGy`@bfj`z0MA8qEK19lIy0bs}g)%{yi4;3V%%|UwefKQZ1|d9L;b|* zw0?H>HaQ29v1Bp1N|w?K-%2=TfJbtCP#(xQwT4DzV3U0TNK-hvd3A&T2h9$4dEh}=qXg_iQjH1k;85xE&;*0D0H zZP~M?2x9r`8}$w{HTwa_G(k5ATKDve$y2j7%Ov=0A{E9wz8a=Ei%kJ5bsVLg24Nav z;_GLQUL}7*>@Ri`&HdCY0C21e%s3)TpwVDR@CyN!z;?OxVoAYWGnwuPy6GnSdMM$2YD`w|ONEyj8 za+;f0uNhE~79}X6#$~I7O+(ttC}2{15Gd8?+@0{Doai~*r1>(?qnv1IYSOQBq1@yLmlzgPR-*3igdQp*G;-6GIRlFk`Vu0FD(P`f7_cQAR$W}&-9M<>qvoB7iO!iwI6s9yRe>5m1QlY=+;Vz8og|0`DvWJ0mN@<|>2Xk@S%aBRgw`~PD=d#0nO3z?-oB;F9Q5TIV&9Bhxr_zWi0m$Dt90kzDV}6d?xm{g};b0WLz3a9Wd@cT~|5LPtjl5Vr%GL^jA!mB z6wSauSYqD5dkNk}ePj^*J-LF3d$f{L23QLC?Rg{Ww$DCf7Do}3jp@9$qpA?LZPAbb zIf~q5)){jCAXhU6S&j3bwu=xQ6>^iDupTvK{gJ&C)V)W~!_rf}XL;e8JwNQs7jJ$# z+kw=0<0)*mb?FWwm_hjc(YB!f1N3wa$2k;?@0tAK22w;){>fmp#?wYG zg4rxN-{s}D^BHvzcH}i`A&A)eaW{ikeDDdgS`zkYgVyp=pZrHud>^l*X`!Y{E)>sa z^q}J#Gy^t`Ck;s)Tew7}NO!g=)IV=0Yy2}L_L@}%&ed7-bm$UwsD^2iuvZ;vZr73jRhuLQo^_|fA)T+%*&2yBd<1=U% z6!iLd5E%#eh<7&PrJRg{%Gvc%hm%de&! zA`#3F$z5`aY$ciayZ!Qx_=GT7SAKJ!cNMG#zThR=&ES!wAiORx_{7BhT5G9L7hWQ9r|Be$ayhSvs zs2g?0Qbl~S;4+`b=1(o}$N`J`vCx=3RX zHESAU-4BG|D-u`ZEj2>xsVtS(qVt%U;K4FRYQ~N zk~(^U*;Ou1k-U{LyWm#Vjzwl@xoVaRuKm^3OXl|>9lRZYuQ!6MH;M-tA3?`0-X%>uN>8j$+{D-J2ax3tQa zxg)fVzx?#bVYg1-a9m&iIUzO;e1EGuFKhaxS}WFd!7d?xCi1sQ3`Tpx^~*OGq72oN zik~DkPqE@E4lP+8hV?#~N~<{-`5~YQ4L5Z|iQ6EL9NV&8Wf~^2>QP)i#$IUjO%a&r zaujH-HvQ@pGw3+RW4lwupOiJV+f;pKNKV>TF8`9>@s$Y@ox0POrgwamOK={}>sqAg z`kF~`uVkGSkW%!f_2M?gpaScGB>wr>BU6aActWCiPp)8c1yxo(f8A}u1h__&faA_w z>iodOs}y@I@6LGV$*tJQz;W}QHPp>&SrSF0n^@8Y4j7<-(PmJ}(xddTmpMdst}URF z1Q5I*wov@c#j)fJMy8LeE8MBPTe$K-6aC5z0GcHrCBSYyyF9XjIsD*>54LxC&*yP# z5(}*r2SdIVMa9`Y4qF8_B=XS7aH2y!u}P9bR0=&;C&Tpm5A5Zl_$k0NNETY3I)sH9 z>0W3$&3dSmyx5Y=OVh`EG}@!7^lKL^9~25^%EI#pJ#;wSz4buYnOrH+Y1P24*J74G zY=^>$c58*GlEh=bPJXM$9Y{plLn5868n@+X1akKLi8niHWDs){6f;ld1;xltBacbh z(On`kso6mK^dIKcw_rNZvB$P?lSkA(*s?0lCX|8ze?cKJ5Ms9g{xec7g1SL2`LQfBmM0z?@)n_Kqe&_L8cB2a4d}#ABDSXj zJWJE011{4B=`H+v4{%K%0ocZkiWR;i{cET{6s*YzcL~eaDI-#77En%UKFXJ;jJ(%- zano4oM5hzBrh*m|@i(8Oo&&KYv+b_&4m;N%u zQ*a-b=xz1FXr_C9 zl7(662dkNOclW+d?{GDZ2zobF-DpXqxS}N$iy9g^JIquEgp3U3| zL9BRhmUa&>1rkWKV=qq`nq87>tva>VDE~aff7d2Nzk}ERS((3KNW@e@6 zGCg=4VL^o&1ZkH?E@DtSMICIMEEzm=Cyp!CMIz}W(sGa!YPdAslu<&5SX!cIhS=S0 zZ4N|0aymo8LwNpU=r3}Bqj~p$cYUgeZxpmn{sN^+r1U^y8wb%bIofM&#E=6Sr^f;ukrV zN2An(Dd_v4nMr$7+bX&KdGzlyTFi39*aBHNJY;+o#r?n_A{dUwls6=Gsi zakJL!HYN~pLUfhxiY!P)OQ9NAmzoLac$c8|C{>@Q@o3>I-D*P@p(G~tkix{Om%M^{ zt%eDko>6vv%h3epEN8(1zS5)8Ts{l5(8Bs{yM55GHLOQF3!tA6w--{eCwSIW!x42 z!v+FMPsvl{!T9p573`Kk(sDWe8o`><=+g z3Z71K>*XNcv4K>*ID6~wmDiGTE73WU>iFd6;ytSZsyP7QWG8~RRkxM!;Lw3R*kmd}1%LUv2 zCLUfm7vF?sD|r+bNd`}QR3UT+FNl2zpn3?z1AcTl3Mi!aNf(G8KSBPYjxL64<4}0~lL1LJxKXZsp8ynj10>w(K zO)R&bgGF)5LUoJH%qM+2B|F4 zywuRNjcp2;e;rW2h{J-Ow0?A(@Y^+Am;_WRh3O0o?*mcq+^;%ZymaRedYLt@|=wRhFVIq9?NTaujfTKK}25Pmz>(npJVqFedHCgpoK4=Fat`m?Ba1@UG zrvDHv{_IS9OHrjm2T}~({|oi6qEN|Ke%Ged&%8#<${!*FNxmKlkK{tXSVBd+#>N`k zjzojqN-iVo{yAgW2hgD3(XLFsrdP|D0AS3H+&>R_IBj(Z7dUKUHoDMYzp(^=IeGRi zpa$?6XRX;>;?d=s$a0n?IIodL$p7AJbFEX8js~;hEqn|tdl37p{Um)rokUigj2)uv zhAS91Kviis)tnLsK|B%ijrNe%P_I5f7H*|7T(6*0>~+-d0DsTI=eL`qf)HA`=idgy*ti+Yths7c*QLXI93#;U#O&^R4r|5q7bp2(48A19P` z$buC?Cpn1b*^zC=^<)CD39U;PvoUP(#0(FzwC>2yurv~Qv3Hgk#}dx~?lMi~Mg3_j5`PxUzP3q} zi`a1^2jBV$CIMkmy7`z%R<=@!!oMq*3?5%Ks~x_qH-nqUtFD`@`cDmh`?y`dBnuQTbvAIPs9}2?&-JBP7D#--lj#kTQmzp)4T+o3gw}c)kkt`v7l6 zPQOI2y5%who^roo-h@%Gb+99IM_G7EbTPz9(5!C#{@c;r7r2L4B40|e#3%Z#v(fCT zamU)OxF>buB}b~YE#L%Fq;u9v!jn}Ls1cA*kvVILdZ=*>Nn--bvm84>oGhcP{cjFS zD}u1&m3tOvFFajB?J~RwIJ3yOzM#;ABa?e}jRwCyJ<%3ufcBV#o=z9Kl;n3 zUPmFv>Q4S-FBWRoY%$ThrX zEOM|7uVRaPj`Z|QP3-2$4M~R)N##J|zC4^^n#i_vLziP!b^w2YL%)cF!DS(G^_Z{6 z0BPyYMOuJLoH0WLEOy01EyW$PnheuRCm8$$hjvYbXWKyWb6_8kysoU{pvAB9{O32&`GIcbi9mhcR9TtM)-uI>|v4= zB3&Zxsiv$y@bQE{w2yb4t-NCfDFrf@iQiE#ekyhQN2Fo7LFWcJwPHG5faF*)Xc-F3 zn(ziM`*WJ)-4m16w?b&)*3qf-9r6?YDt}& z@PUwG*7b!0@6WlE`w7U(3*}UAtB^yA9UzMT5mAH zpeBO8Ta#IKtW7??PxLVk(CMKE``d+G=_*`u zk?Pf6j9~=~%(EYM(Xe$`IH$1Viy1?`g4FwQc?OPc8Cd}hF``5ZP70jc%~1mE&v z2?p_O%a7~!95V9<)5B?N6{t-Pz_K2mjQ>}{Wl|!ag?LN91C(}cA!!Mx{FZUVmS^T#+K0-@${pAHo4Fr8eO_I3tfHU`kpwGlPxl&t3}GrFQDsRvw$x4P z1a@Mg|7iufNAvzYsbV>y8>OAGPf|0^>Hbh#QN$QWJwLVMIa^-gxJPsHovy0d!~ zuV~D7Od6T)2wOVzrE}G`3pex?^$KWy6qI10#%gesh^iQzu1jSNST++y1v#_5Z8u61 zqhp@UaA$F20+WIvI@l0VAVSZvV9^l9$37wA;H`LT6EkskPrnAy&b*j_QI*rRv zL2~IGcPi7-p~=$44@o3(fi)wn&e}pxtW|t4|Hl`$3zryzrz3Vn+=8_c7G_Q4w{IY% zoohGKP4C3gg5(=qRt5k9iVe{}KOSK2;5hK9F1?p{=me_s`09d0QDOD{KQLg@T9A?Dy@ zB4*-dWMN@sVPfVc{wYH&XX$K8EN)`y{B^Ii8|42yB47A_3NTBk|1JMJqKN(PxjXn- zj$fVLvf6gu`Ji;Rm^>6}dFF_)Kkfn!xQtRzKE&vQlM&jgPcyW-&g;?-8q}XDvj~C8 zIO0Xkl>1Yy`P8MT;(s#xCV!|pF9@RuLoFiY#sb))OVcI%!d0mO3#xFW;i@DmJ$X(` zJZ^bDQ{8SoZh5{3R*aV0K2rkLwOvbYwM`EKM<4FB85tQKU1M3QW@cN3H}^Dl8XjR| zZsnchCvKIo$2A=lshmjbwJ>ZpIoq>Y>u1|V^M1_*n@ibU>XPvEux;z)i=ivhh5dh~ zN;+t)*dK2qf*xK~{f65wUt%A-F4wnPF8Hs@vOnX;7|ZPCkdLUFz)&&qzajlaE~P@^ zTTbE5$>pZ!Za)B_O>eq0wADmOk7812BdWu>2AiHCZ4vZC+jLZ zijQK>V`Eb+%Wr3=>gqYVj4p1r{$Iua8=U{kod260|0f;)Kjg75d#myWn}(*WnG`z6;93y}M;-9V(*e&G^f}jT!h4=I$AkIRBtrD zLetwzmKBW&6(x%;Fs2dZC55(^3|!NTZV$WA<@8bv8j?q5c${G>*(h%PNYydFpMkXF z*)g>+Xago@VfMoBa2lpLnzyg61ND%4md+CFJdyk+s~cZjT7V$NZJu7DZWs0K{??D9 z4F4Wk&|9iLQ9Z+J?`iU|I<%8-9 z0~YrymT@7UD@EPZ;BJ!F6xaH1a0v{Qb~l-+){F{cvO?pLZ>K8k&y9)Zqd>KPZ_x#7 zu$4FGI{Se|CuLC*16+Kq^%e{J`DhiwnhjzUe;frYX6y(pI>pb}U1p!=?rS-~A}<>a zF!IloMMdsy)%#s19FqE7c@O7~GM|r;-YL6?_KFQJm#-(&!+YXoYVM2C`^aN6VTmMd z@C8a#eqtl`pe=M+APfox02WW{n&(S#af;coY1&emaWBkb_;~#BxU?rf=GoKqZ)fwzdn1W{9-&y+;7vY>|H~Cr34o_fs|Z$~!}>{tdo}aX z0giTw7!PX#w&8{=Nv2I3fW6+5}lghQ`L1OWH>HEbQT&dhz@z>q@Z$@#^$$r9MoqyFy=k+ z8a?eR{iwMs{ovS;70}dGxpj|fJosHAa+c_JaXtz8m%^?~HkXcp8Y-Iu^Q*xYF4>P% z)gM}OdXB~pqaLlrMI1BW6k#k6i9Ph(^|I|CUOUETpOzsC!9qjpncKV>q=f(o#K8V% zuCc4Al6G#;Exfl;vcXkw>TXV)7eemEP4dG_El7M&=Z71ADK_fSgXRXZpxq?vtvkGF z{*-<^I*}KiiyV$cexh{*#qMkN{>%=KSPa6EUpy5&>CBTn&`2yhi^?AM6%t>S&2RgA znSmw^v#~zQj8F+mj497B4I?oIx~+yu!L3r51)n7m2s%bl9||5hLIUk9&oa{vk4=eM zY`|xLUJn*G5BXxKN5F^kV-k_01rfLG&x*yyJ7iPN(@>KlNPIz4pNNS(t0NQmRmZY^ z*8NDwnoM}#DUF8dW#)UJ^Ll=OOjOKJ%er~6(Eei_lSr-ITe!!N_Yy`sLZNVvgP?SjR9 zmNH~y(kl^6G;buiV8xBU=6+%>vbSUQg7a+K9H=+^bEkHA7@C2KT;Gbk3++tr+1ED$o*`)Q{aR;h{w}mH2x+?E8CSWBFJd z5q7Hewnie`R~9%&cvH(aF`SDKl~TLtE-|YdN-;wy+3NsARmY|}Z)Wd(9zjo%iujLO zX|`^Fw=we!mxD?8kd3FLZ&<#=@fu1@i5sF1*;rxH#^^F6!ZNlXk(zlG^>q$k_wIpp zaGsaE*x!yCCOeah&Nm%)AKEh`KjOKh0Jfv6j?Ls;Ng8gVdmPQry2F)emzBckh^NTT zp(X_M)^TZyEBi(mMi%c%Cu+^9RKU2_LF(l_v*(QFS%gJs1v~!?9rgZltoX;mOB_eV z#$ADy?CETWXq;1zgf#&|e-i32&c$Q(31Rzoq?GR1dnn#OJ0uGT{xhks3q&>lSeQo` zAVL4UvM-%`~ zL+Ha>bTt>6yjz36dqj4u0C1j>KrfqCG(FLthCp6xA;8W>1tSpx=eA{ghe5= z4K&~NWsb*}K{9>%ds@8QVH;QnrRa8g7z9O{`J+vfR&ok9&v3DNk@jqRJ$srcrnC|X zusa&QkHDrh+FN$$!n~9?k#bLkH~F8O64U(orzDY_t)%ieC=g+nkMwR}=edjhCWBxi zuMhq~wB>6(I$W~UF~KZCuH>bf9IY#hLQ_#_;)RCjHk{#MG957ZfXNPrLD34D$B1#To3((<(V`ZtKxL9xS0)NHhM}2#%cIwj1=O;8j|>g=}?I z2KNB=?K3g+Or4MTq%k#_%tu%7VBV+$#>VNs!%IBzTxJS8ql^t_VeDqp0YH%DIr*@s zxq|nuH4FyQ1woeH{LXqv?5&QRPQ9IUky*OOyI4KS`CjbB^|>FG4R|FsvGncazBwb@ zO*Z3iZus{5zg@A;M~A*m;;ohG_~xbm*Z3?hge`EPLcW4FlKd&Uo_*WAcwHrx?G<~~ zY|mwP>jT}1a{j0)dGEVd$&TXFL7V6QOv=o3z2IvjPgaRQ4!X25jL>06idbNcI_>>I z%60iNjvLut;$g}~MFj6Nj|F(4 ze$*>vKD4*&%w`nu9tp3LNx+dZe}p2!f*>8wWFcI_qreBDhnTrY4*e3WNB~7$0pa40ij(%okwI z??am@h`W{K`TtE;@2R@%bY$uf34`vd@UaPOQPlsWAEU7T`!omZHdO~=#s2I??Tm*W97coA*G5pt6}%(TvskMN(2eYtNj>#08$4#ZO&Y0NUfku{cI~ zBwjo1)WmJ9O@23qg;LjHmkH^vRfrUm|F9q5cW+y&Q4DbTq4);McVZ&Lf zELbt(amm_!Q?xV%xX^5TBAuo5lxusSf}WzI1QEbHj80O*9x2NW0C!_Xs=hNoh6Goj zhXl9gp{!Yet&U^@Pr0}=P5?9JK88}|7tw!#Csk>t_)f3#Q84r5T{jF{Gt% z!!wcHH%ZH9j`E07O|A%o9nO)Jb~Q!`L%==9A_C`h&J$t` z+RsV1z5jVW{}fkWi%7Yh5}k*~+Xm=&L&r02D~FD!B#bqgXYAqCJYMB=`Q+0L?f;A860#@FJ@ z&+mo33H5*qtTRFskVPV7Z)bvzDi#Qk-GqoZxwfpATRtlpo%|O2ctM_nhwK7&3}P_< zF-&F1zi!X=V!ZGnk!@Z)Db8-rs# zV#aV>4OmccuVdk7bCFfLg&z=}ab5fs#HQ**o%}-w`fBlpUZ8Cz&6xl^a8U}478qy& zV0lDUu27u{Bne}=JwSLksZPQ7cQ7KB0V<_CYt)EkynbTly~gfS=eEO%$A|!);E<2( zBc&l8#~!mIdJfTvo=f^GxF7$}yIbr9#OlmLKKI1C!i9`i*Y8LxbTTzo&Xx4|*0{m*kpb zS0Q&x^kSpUCbcVWnn$0tKY%y=IIA=k+KJM0xwS>7_|$vpT&0AC_xaSU zwQ~TOk$b*N@lg~DyR+$I=+rBpfYG2Ezy#Vl{_=SLB^Fa~WwD;YWbu)WUH(#$0zF5I_3%F;zAY8Q^eyig@IMJ=0$1J__ zQz1|B?)$`9C1qmSybifRj6ZN5@G7?RS{yiN<{ zggF`(H}mvS{V*wVR~2(d2c?{}(N>sK#L$Ksr;y1R9C~KA-iHkC74Y7uW7tDk8*oghCM= zB-4X$zPBf|&2hbGx!upDzN$4+rjtDl9pa=1h!4sGQfhUdZKyhF;W_g*J)mP9_Ad;a zj&P`dGs;yo^=ne@IK}3CI{bJFFK}vRlYg8DLP$GcZ2xfCN%W7P<=HJ|o-JjVM91n; zc-09Ke0dDHBYNlcd~G}MOL(Z&D^~rh^OT>EQDLL%0%|YDHEzcNkCdswN=@ec_6_$U z>@O!i+)1E>Fqs%JUw26NHOaKbt>#Z99~6u!Cu-x`zQ?KByEAt0o<1IQbX(ubGw-XJ zZaX4L&VM+E`M5tWDInpXp5K1KrG|twf5meX_Kfu~>x!6K-Fo3USc;e@WYF8Ee>PPL z3Hj(!&~6Ev{WED{@A7Xxq55le!)2%UhfDct%ze}B_G4a#Acja)pRopn~~S>men`$cOPTuOby+_TKB(0dn%DN4dr=~dAUyW1?r0 zjPNaUpTz=$g2>X_bXU1hx$z5GbK^O;V$}R=blhq~J)IBnhgsn>7Jk4;ziak1ag--5`)(F;9itt{TI)r!zFE&Nj;_ zNuzwrk3Ng;TY-Oma@!G*2{}5s^Vm*-*f-h0J(!D#{oQY1YZNLX>;lAicL9l}oI-04 z``GuubFE!KpT&thxi)1YaEGRS9x@Tavxs;lxo>dOj8k|LQintaPh^+8iaq)3|MNzn z25+U5Px4r;z_z1k<>$a%e)C?K+0S-*Qn3-eRDsH<0c?M32$NhXgayw3xEz5ze*X*o zCBv6v6}Sj(%XP1NS{AeYufFy*qrS9wpBE~Elb>5`PgW9(01n0_A5w;azL)B28IWh+ z&E&O5`_=^un+N*|oBf)@;P9k;A4G3V(WP|>cuP!fs|xhg6p8g;Arm5m!;iBn`efN> zoNf>rNSN^6d#wfioLza1KR`D~i~kuHiRQJ}p|;hz(17Q=Gb@ZZi-m4`{j$rKG2IXf zf1^nrzric&^zyY8PWe*#rHh_=0&ybQ?j|!Ti1ZL2Y0tE`;P&RM>4wszUl0Yy!}_> zORv|bzYc9r>o$q_h;c|KUpAq)B*0pK1D`D-HqS&}F+kMyuD)Q9ocaWv$%Dp&-{#p5 zgGNI1eob9dqP{?^`s=d=k;k~`z^t0&Q&YWblWrh!hRQkfE@}byCT3fz7VkwX+tVL- zarMy6_D!&PzGyw4*Sei`iW{yF49~kv~++u;6pAb0$TE1J9R9B`ET(XJ@E{G z^6{GzLY8tg`@>i2Fz0312Y?mNy$K2+GFia!y%x=E`N!eLj2m@~9rMq{>Sfov2ec6t z3>!QQhL$%E2=T4227c40{fAV(tEkH5KrYl9!BJ?ai}%j&1l+#pGB;DN!6$?%fOwtO z_-601o8ct%D2IEFo#Hq>rn3F;i`&gW^Ws0%JJnI%eQn_1jnP;T0uUp#P<=tMdE+Y~ zi2T2Fnw4TB{hB1sP@TERXji_wD)d<7Texr3oo01w(1Ocf>-mV%Q$8e?gr}UHHcr^! zWRd>aC=b>~Gj#m@q99al0aT<6uk486D5tzDr<1_oqIylK!S2wX_S-i*rRIb_XR~co zxgKZliu@k|FdV*D8N(a`Pxr37qf~@mDjKbCgFoZ=2OnYm)Jx=j5_gr{0hXDm{czvd zJ(RkK@iKWrR5;;_xq3peV9vhp7&G)nWj~b`=IAW=nD*Aa0qafFpyW!=n zaP{YK!fS0^+CXjzRC4NXRImkd@;^WQi~uvN@NRduz>qcJd@@Us*ei+?WRl2_syNjo zW?pP~zn0&(kqpz6YYBl`l0nHL^gYX9erORT4B2;Z7x|E<5q;(4|CrfGjmZ*tnqkEw z^0vPy7$)*FyC?{gJSxz%$kEb&8{c*A78boKc`^Gwioc7Pr#j`SdN#2gzn2`MROa{O z)P56L-wTe#mu|y|~H!9%^W;qiOS+o}!!v+-CZw$^mis%P%Vl2g>3 zZu^Mm*88X9^?^=kEMHUUq337kWpb`Y23^8qy7N|=`RTKFbMCbCG<;Om(V7jvo9U^C zlxMEK2|v;3l9P3F?r_O(KX0;ZH+Gn>o<&sR7DhVNo?55NT@x1V`}}OqHD^1>@C=vW z_^`C(X*c>^%@2iq-`Ta~pib}MSu?f7+DuUV6p^(%f`4Bi_IlLFP2}H2BH@t1Yaa(S1>N)oC=KLK4@bWrbeF3Y#I@^w`ar?zYwwkcQRg zA%1&-^$dFZ5s+E5nw@fMgXl<5BuG>95a~a0+wY`!aJyaUT7wwT$Ql{@-Umcn_ft97 zTj%`Yw}l<^5ysVBM(-4%)NaM}ieF%z=2XIEFuBJ^7v;Lb6pQ9JKS5U89V{NkHWAyK z_WhaF$SFE3d)#DpJmI{jaQwl`irqLV>ikbr|3_ZzxjEaVEaX8(mSRLDucSus8%xkI zeLL|~vtM%)aNBLfmh6Dh`tIiv^lUUw917dUb|OVU3@zOV-W?+cO^c1 zGx3lSV*ef(_dujBvWw)J&F^xby%b}Tk49MDR#SuvzuO??a(9QCou|s@F9(gfeHonQ zNSm)D#JZ}Rg0Yr-}o@N?1Lq(5eYLuQ08C4RM;fZw6BQdrwnZ@qDJRhZg& z48euL_qw9;sLCWX`-JaSL0>y~VsVd;Xe1bX27yaK++LuT;`on)Q2{`qc*Zml4(5RU z21=SOs~GjjK8g_NKoT_T(TeLh7YkSr4NQtzy(VUNxF7zznp1Ry0brOv@==eJc^6_} ziL2nEYC5eL@Zaey9);y@+Zam3;?gKP`*xV)%C){>f3tFu@+)>nlZwCxKPPers-!wQ zyp^W(^lkH%;bu7Sg8`=PPRTTQ!ai0+;i%l2OVND2TV~_bMnoh-Z+$h8Cv5rMv#@VW zJHxDI=WL9;qm{09o+W4Cw3!cJVnSCabV0z^ZQ(R9T59g`SHsD%tQ5>eduO8v^RJ{h zrPyKU39J6@K?g4LQCw4NHu^<+lAz}*W$O!9*HJw0-_<2lUoLYsT>!hT^%@G!k*S`8 z!m!g*%jck~vejMeh?R4zXhwK?a#H6m%O+`0n~1M`bf;|YTlhI@=kU*f6!zZ+^|2|w zgk78RJHq$KoT+yo!7{wd%rA{={I8SAaj4yvN^wUTCylrSL|q-`t&|}bi0V+DNi*$u zBx#a}dkjD0#d6;QGY{nuX}*!Q(6a#aDyfrKC%0?{9>hEytwxtkq7zrU?lno)m!bHN zCy6|*WWk@GP1h7lk8wkq=sySzHEA}yI7p%rX&Sb=FaTVtRf5L%4FJpH2C$q{jy(_X*YPybv|(7cwd)MkcZ$2&1n$%zWIFEHFE!$UH2E#Ls`g;#Z(?$l@^A?ANM6`6_l)>?-H-9c3gh?Yy#jvh4|6JOU9Gr>c}; zp8kcZtH{`^^=HWIui6Rwqf3^r8i(00uUTXT;I%dda;idPDZaX);F`ys71{+BgIdR@M-s}OC#X{)*p5EYkp| zgQCY;NwKW)o7vAYToP}?nV>!!&$x$?JAWs0SA8C0VA?;Io(WT2A9l#_4N~9Eg2|ZZ zZmt(>qthuf1N>`@YFa1r9Zo{Y&jyXd`L)A650tgm8rzKgL71F3!g1T*7mhVE>Wtp~ zWTlan*0YB@aB*>ONGoD@6%n(`6}pX15+VC>YHp8zh8Hnv3A{%&J|QzkH0k*_(Ik(B z7-b$K=r@)NX!*NZkiK zvoVgY+HDcf>_Z%q4|N&i_E&McvbV}0UpMw(Di||WiHzTS&AcW)0w$~N@~`@4drxt2 z!9+!ViMe5|kBz)Q5&NU51jhI%NWpRRlNa}66&CD|f{kWZNw0?X#gL~p4{%7tzzu*Q zt#0guRvT!CP2!z^`zYDj7JCeQZBu-WWX2Zy+hE*EDcUmw6;-7oDF^pp)H6J#+3g%Rdv27=))RqG7So~U?9@D%t-n+aMMq1|^CS@B6V{I<|N0_n zwdrm1J!+)SmTyKg+0wZ5`ObHL;zec@lIZQjmk}6cJ*pGKgeq9Eq`z{M>+$y9sAvLT zRSd=WW0}Qs|9cTFMObF2#I%--XkY4VBKI1|tU_C2Iy0BcecWO+D{WSzFdR^w+B&JG`emP#}Kj@)*x9?+jw7n>w zrglV?QEIvK+6jsih`;KOn>Q~bpGgQu*%$K!%G)<}$(8+Hm$2>cvNmO0O;$wCZG`pi znCiIUFwMY|w`HH&Ua&T~5XC!s`P)R4s1A-0c+f)ON(=Dh2P&#}mU0vw@K1 zOn`{dMd5ddc(ueqbHoRLe=DBWqX$@jw^gm2j-8%EFBGx);E4^K&{8C{urU}nS?X=T zpHVO^DrvC2ZQlV=EF{=O1v*^fEOL6t_@tX>wTzagz>;<`#}tPSZO zEFzs0*@dVKmin-^wGt zX0=7cq;|hb-b-d%ZU7;Awrvy|dlj5)gck!*qbG~(wDcH@mIqiuVQ>i)oAn=^?7F*W z?U9Lb4y_1o)A)F_RKjI{MjiZKfs7JHS=<+o1_jNRDr(nRIVnvU*L6DN)n8|DvIMoF zMq#Xm<&^pDXL;PY6DokL6rfZ9TIx&kvRohf54*C)e>n5!ZL>}%(uS`VvWmwyG8rAC zfQ$(hBg33A>ZBnPkMCOO+Lx%~WHiIoF~UV5Y5H|6=(!2$bUEs*MWV1+*&y+22c-LS z|37*(abc(jku3;AAz#%9#x0~uPOi~$5mZ|;Uxxj9Bbkk zsr}7z{L|(7z_?ruQ0x7NkHrKWDYdq{K+C(5@f2AP+6_V#q<=cl_9wvs zQD4{aCPPnGU#&^LrnxYC0csuX^v{w2ayHmAX4~@#t*AKKh4UmiW8+HJM9z}z{k=wt zKBO!jUKpkBAK^Gna;go>lzNYpI=0yG;1aW)2_&6VEFkp|I3v-F0q~H0omHcGn>*`# z^(qGS5yi+R>0ZHI3f};3V?#xQB4FM0wlU@5LJxv-haby(!oqPQ9+bL;u`!iV(m`*6 z*7_UxV}fIJ15D-%uUN z-y;?|tkV(XvQD6+_nu=)Ln3@)0lymfH{M>l1_h7rpN$2SRqZH=>7j>hsV-e`mHt=P zcU~ibA4JHqp??S&S~#?gTU3#9s&+cK(H|Nn=9SgWeXcbYQHGf4DAAEjz`@ndu6?W- z%%+|JU$Km*Q-p`W-q*~A%gy|I>#z0UA9L!*aed=J#>*wF7>y>5B=(8ay6fkdpbD*i zk`vsPp)CQ+$rHZhA%$S~9c$%})36Bc`<#Eihhu#R5;PWl#u^KNf) zO6xS2RjW|;AnlF{0a^4Q$MtSW$UJt-$$Uhcls~sT|GdooA=!f%MpQP?s0rt!ZWp9F zV9^UpPk^*6^d?C&lqR8T8guc3b3?e}{{M&LP9FgC$Xad4um_sWV~#{J^bWMU%dq_U{7a2tpGdhmD(sX3K_h(fUyRbN&B zhv2PXYpx`r!W4LzkGB8D>B>wm2?ry;3^NyYDDHs0sZBfNe zGY9q0vdLO|WO*|i1eE1;`9^gHQ%!P-@9L>o#jZ4>rCCr+a=NG4ULD}xD-#Oneu|(NO{Tu4qGb=F|E^EO$hK}FdKVOF}V%Cyf zj+DDDr9FSoVa?>G87T12xbrcXyuM|_s7o^4Ue4w;#&(b{8!c;pnHB!F4x_6M<1Ih9 zq$UZahK~#Nw+VUOZJmN$V~E67PVvmsA-X#gS%zDa#U6v?^pK*&Ka&5_5i`@Fi~E}ASI{W4o5RArG;+Os+BzzDWy;9v#V^?3iJ!wMm^Fqj$HPc8+l)belH`{vtQegQwhEWH7WD#JCxC>6+w2OWO6zk9e)~r!g8|iSz_A_JpZkl81yz!D>c+C{OAs)Bp7M-JS}%e?0!hBDoQBGMGse z!}YA%XU-rL@r1||Sp;ZlzbmYGok!YhwUepy=6*s5RUW5^^Y0q*oXf{*f!57avv2W5$Zj`fina(dvh=P}|0AzHyMXeD}5 z{?_%bI#%Mo$%l;df;B(IW3RingiCaU#_(ya0yR>jP6C_9**t2rn@#Q@3-Cn=;uuh) zS8FCGqfY|5$ku)L5HAA=FJBo10Z{JI&B*Q};VU+We=%}V_A;o%zDP|#O5qbJ1=^La8utxVR=nQJ zu;BT6_q-U+FD#D+w+^yj#5Ofrd8(VbP}DXzA9eMLx_T@b?Uic28Wmac^DI52_aeJq z{j#03K-X^=pGsxgm1DE$&zMqEI%x~Cy+@1f?gQ`(Tq|Ubu31|LWyMSBOWMp}aym7f zMARp!QBcAg?0Zal?hghN`te$&nFjE=Ccg2;fg*3E`k_j@+keBcPqbK^&wXewG(U zoEWME6gzsMVPzAzUj3p9tETE!NOqBMg!de-W24t=)ijm1p)=LPqUxF7k#kT91KHvt zg2R}4k?ILJ%i)j>AA5aHXiM>~)CpPcO1rsDPZob}zp-53zubji6V_>duhBuo1Gecv zG9)B<@tDlWXb-4u7p+mJ6@*Mv2i45N^3ATRjLHD-k;D!aI6#0&{(XLIa+qYe@axXe zQ`DbCA2MuCxaCn$0z?h!Zu=L_~GfPcr+G8qo56V_&i7Gfsu6pRT8OPN>J9C&aoe3bF6 zIIS3<()p+HQ3l(jdihekRQ-5;0Sl3@haff|8E>BHV*2YAtDA(ZKjz*$uapbO>|iw_ zx>%P62*)8G)tor==%11=>Gsm6$-Zv}-YWf74P(`!AujHd+2gS}f5JNlcktAwGsKm9 z!khKguIi}vBE=%*S8>}vGU*T4K_W+mcN+%+Y5BydocAdskC1dEPn*TkmLt#q)Kerv zh7)OctgWE62dtx}Xc^0bB(e$Bxh-dsg1lUjmKVIG74hPrpR0$r51~esJ?dgI0^Pc5 zYOTB}pXo+R++!Xk+IPh&*|emx*(2om&%q7x2?I;Evi-5K9!Pg%X&q&QS>YMWi7{oK z)^YU$&sQVMA1%q|I95$pNQ%ofiYgUm11z^O*{I~MvMUKODfpl)2fxzvD!$3cL%FJU zo zXO=GTqi`0*)o)lGq;OuZ9{(MvnluxGv!fpX8-&(gfUSHvN>Z?nS+A?Ar93L*$+!hh z{Dq+H%oZa{MR;@wxc%_NnH>ON&fdY-c@*0kef}3MkV* zN1N!XTNE1iO13#;SqnJ0l}d9)p#OQiYV)cqpu)V^s6??yLY{CH$y^`a_`M$ZoIfDG zF}Uphd}vIhwo5Tz-#3}TGo`Bs%J}L3i>g(p)dP&B49?X9p9H|ajfQtk&i;DVb1yU6Ys=i zN|f~FAC+McG*F-|`^=rM!p2+N1_4iP#|G3&&5f~;MjZOLHFLBChMv|NIY2;k-c>sM zrTo`nTAddIgT4X~AxdKUPw!YL0Jz>+n|COQMo zk&Dv$=S!TgYN5Azq`nAe&Rc%QkcNBE25!@+IT*;iZqiO4XI3i7d6@Lu1CI@!D#(Bn zE!v0hrix`z*jQF>Ngr?Fy~kXs%A|qAGM=@9gzg*%qj}MY7dh?+^A8)Hzpj6bWG)Kh zte_k(qlQv5p>MVCg3%i5D!SL`$lx}^L*hNbz#C?ke<^~R^^*Q}rx^@{-yZG2vEX1iqa^AG5_4Jy<5wlRTUom)Z zd*PsxUf`6Wzv?^hH=qZtC4t#N%pR$x$_jp3m>DTJ+|X)cU$CMk?H-aes{SgFgJ=l~ zOs!qiWqQMk#p@oLG86~(ey*#d&MH~8 z*rj9wsizpzw2}?xV{!oE6>#qU0HAmY)Y(?^Pbu~-Q<#Ht7yV(dY?bz$U!R2IEPK^3 zCa~nqjCJGvrR~h5XK>}2%=TRIW{c;74HDgM0{Np+RUs>@< z4?8PPr+1D+oRJtnBbW^ZHr%q9dSl@MD!(zL%Zmjqjd3J6O|AA%7A+u!Fb0js#qxK_ zI*+j|Lc7daB?P)BKzvOc(H>ttX=npURF$eJ1>`^+9|{!VT{^F72O?&ow}<><5{U!W zZTwblk&^Z!N-1C7)Zzneu8^!LX$*`sIH?UW+sr6WzgrTm+fP~zh1mMZs^5rGze1xW zD1wC2e@ST^c?xHKZoJ`I+jK5x0E6KY>?i~siP05eI1S4KjJ+s}xpgr*e_{2n-{OyI2owu( zLhJvl0yHLm!ltfDL< zq_jk);)B<_1BG5j^8~Ka>_jh`2EBNB{07Z@Ab2R`L}JCrDCPJW4oHY_4p)q*ks$%5 z-RR7Q$kRKQ%S*Op&rQSU^DLz~7UmIum=Fh-Fon>r+J0R_g{KoP%q~<0+r>~;HN&e^ zK_i0@DVF6QNuOY4)J*7Zs71!#JU;g`rD`oXS9M^WY~vhPgJ$t8nY{aC#}CA42;rsg zOR-);A;*Q;CK?Bax|Y&Qh}<{l66hV3Ye!J8JX?K)XK=LWt=S0nLrJ9Nea_Y#OezkD zFcy1SI96#UV|20%y6G~yg&~03Sixn)UiU~!ow4l#=#w^kA?5@F`38FO_oh|RNBbU0 zcqi7x99O_K!71cg6;-BSe#QZ3$t8ZLOblk%EN8z+;0ZWGKKREs>oID&ZHQ4hldWAR zHV&owKXTVsDRUd!z`2|M6QYGcmX_c9?i{ zN~~J<)U~Deb;6STI&OfRlw$hG5X8P5WyO*FVd}0Y<0L2`EycA`z77Ou?jmu*tLl${ zEGWIBn4nDRw(qDcA4}L(aGO_Ko4AW56wdplPtQB9WCiOA5u;DnMKan~*xBgqwy|b( z1$e*+F=X@N<3G&OzhOyx5+>EulH;58`OW{<{awjC_;pkf-@NWD`t6UsUsQBeF(UT0 zgx(4V)X9Kb&o@H!Goq_4ZuWZSb%Z!r^uV?vILnVx04zVL zwNCt$I1T${>Z{fJq6!GnXKb6k@n+3mg`>6eS6hPZF)JwG6IY{^q=k6FUM0rFR!YzW zf4Oacu!dUyrWFK=J-inc7&zyOnQbx@F`uQkR@GE!%iS3OxiB9#y&weADA0=eAv65t zshJd&MbWyeosw0TygdY1@e`0UFPp<^H3ZdZ12@z=ZmN!RxDX*+B3cxzfP>MgxuvQ$ zNVmcMT=RiJDynQ1pY z17!>6zS!c9hX^4vosMY2+LfI@j`O`?ng8R^$&=$dhR}(Q__zr>u_l&^2q*cAcYkj9 z{C(!jK;O9^se(a5a8V5Iy@{m}?(m#x{NH5}&ac&jSd|Ta&ewPpp31UCHh$p>aq89S z#SYdn;CpfkgABV3YG40C-Z#2a!`IKRe@*!ObO-lNlD9w?#u%oOubB0vc#l5r^R!yj z>{2z2sn0TLz+ruz-IQJzH$kDHAQqPYHb+{fA&kLC9SHLm`HIwYCJHt(&|J7IY)>ny zOey$VHx3IkdjW}`ncLRo`?m4c8Pm6XSdkxB<{DgJ)_7$w$)ly*Z}oq51G_jdg1WL= zVpk%MtC=(S956sIC5xb8#vV)#)qxwRj`pn4R~L@pZqe%6kiR9LtB9%D=}5MchF9G6 z#g>r-wraWPQHINN)YHe51p;}L?q*RF_h|;5t9;ng97jNLk}@ay`>Xab`9D?bG#VU) zsQ}5zE?!Pfi^W#970M33t0!HwZ(rZBgd-v3tRL@l4Kx=q+s7VV=3qbv7m^|+&1cv; z!n4ZoEd>nydbE6at}0vz*Q-ib80FLAdVo|qW&=A-7G|e6?^rP&RcXrvD`}r07gHzO zj~b&#x-2YZ-FKAuaY$$utqDLNH+L&Sg{{n!I)+lKaLQCK&t*(G@;V@4htRufP^w8j z9RGbJk#7Q}=b!UBZoUjfL8tn;nmtg^Mrt}siOtL_bs_GyyF1ol2dz27sKg1{MqSm~ z2-_N18a%znqt*=Z^CRo;EQH36MDHM~7W(xWwx|Jth6}aWg`xSUbP}8m?s}beKP-1~ zrdwixQ&)jCeXj2%*iWRhoZlf(6fHvE=NSi9%f(=FtQSiW9a3PvaMVeaGx1)3F4)6S zklBF=Qxt}Hf8jUlX&>sRjCz{$6XL&o`+oq`Z95zDiETT%dGCAA_s@6c{HD9BYpQFi z`_yz-Posl?fKbNhcqya@iUB#?FZ|EB-BFNyy*?+$wI1DB;Mk?c!V zUshR*&B7l%8w?VOe3&3-TCjURc~6dTS71g7iXO_(flL_>#l%t(URD-9x*Iu8OWIyp z&qxbP+x-4jA2?3i9$raW&scq2Fi~9=ZrpR_n!SDm)M+^rz(a zmC(?v7A%=e4K+SKT8~3K`DlgROlkbPx3RB&zkTZsW4(KQ@{loJP&jD99@(rj#G2VyxGHNUg^aR) zO5fABAN?O)DsCC83c|c6*`)v8iO$p9p^jCE;p^Q=1MYqTQ!IV6scInlZZvPJWi9tmfa%V|;B18Mryjz?j4A}Cd;Sg# zyS_jZ;*^{|reN}u&i;T9z0-5xlkKyuZXRg_l_I}O20s2F37o#FP?mO+!&&n~siM4H z27s%{=9M1vkIsab;Ycijh=A8^RSvnxak3$jIC>Hf^130|261p4>HLQp5#!$gsHr;=^!Pab zNj4MX36@#eqWL?y@&p?2gX>n`mjuD6@_>5XdM$fER}NfA0IUyc@QQGQ)~HosP9Zv# z9%sch&Q*s9C!sAeE)Q%PR2Vg;w&<o- z*fYn}aOnia)vl2Tkh*CeKqJKd}QIu&vwvRWH^LOwyO0 zAnJg7*xkpluX`)`RL7Ei7EiDhoSb$j(|47pfj^6WraR5LQ_g}X%ZJRf8X;j(+;80w zhL6JDD*3e<2sA<~lx@%$D`c*&eA9xQX ziFh}|-5U7DiQLc!B<%BO!m83bN|Vm?P}M4(4qT*#|1>PLeh$yyR3?&ySJ(a8(%-;y@elDx$gHi=wuoCh*`u* zh`Ic1($AJGbxPH3&{K)Co2%+2%@b9W)nNtG?AZI!ZBDoBv#8EkpMDwbnCio)^A-Ib z3gs&K##wZg^*P|A48!^M;AujKML%C^)Rtu;q<7)R`fjJgWjg{aG))TgX*Jf$XSN)F z_6L3GXI;^-{W27TMEvMw9sfPv+c+Ez!~Zzmz0`Zgk!&(&X9ZdKr_|D8MT-Bk`u6V5 z!FtbN)AF5lXLazdM-#-V1gLwKEAL{~RD3xpq~-Eu6!k9Wl}n$QEBCekZ^$VD_XqJc z*kiOGzsBGtLk{id3;3}3=G-d}^~~};55+`A6`%D}Blfs4a+{e@OKshuQh|Pd(Cx(7 zz@9yF4p7vIC$LJyAMhqYIDzA^dEmoQWL;?gn`h?23&kqjfxl?t0ndjKsve74s+J@9 z33f^&O)6C;hu=B~pyHM=<`^s19HXlkpJYP%M$l8SjIr5!1x0U$Oy~p9W|E=QdDLzc z6GhD&)e&3pl?{?r#=4+7HTvy?gJbzE`<_b-LFuWGoHJ4}94XB76BDp=!f`>Mv8)Fg z?@RB3iX$hmRsk1+245H5XnHHBdHHa+7CUb_+F-jw+`KTkvapOEtl{nsFK9)KL?(v7 zQmrsYxL@~UoY|=1#9LJGMm`I_O7=D*l{FY3CKxxR9lJ#GQp=cs#y%+~6fBk|700xL za0jhdx^Hm4sdRrNC`&*ERqkxREkMx;Trb#xHj#ex}O;!5fu5$K$kIhD4d>sP&bh zHkGuB^M>KQ|g^xzEYJ7^b$B4?UX!>6Qh#-7nPcmXe%*YQ5LAi~?ZVvtGVzNqf@2bRW!k zJ|?_sImBVKg*-AIn0np3t*F+dwuqqdEDiCMZHUI6F2z5PyY_w^mnkK{X!lHfH452& z5~ujYzvbZ;1hd~}#vmm)xuJdiGQ;~%s}NK)xjkjy@0~yItMS3Z^;r_(ipNV znL`US%TK3@n@*voFk+Lk&=39FTwCDz&y>ig1+JmP>tJ5H;ck@Vb9}m>X{?Ei9wicJ z90*0fx}-^<1o~ghK@!@{=5U1GdEWX2j)R<-QIRC}0m8%J^}el0Vi9Ei0Y1+sK(m*E z8NC&DFN}UHvoyE_(i;o z9|H#GwDwMW9R?;;$U;%cG!H&ckH-f=SP`w$6ebma3>2e-)MUTSI~Vhky3An9t+EZL zXz(hlb*u?FThniwnTCJDe;RCipaTHeYs_5~vtQ0as6cRdyW^>)a*j*|A#l4k1-pYm z;e2>>;=2IoZO*-WwIk~l<{>U44ZDrT!$#Mx#X6dUrM+{6%w_qI02M2)nxQsk@zV{~}Nj$i>XdLqadtv?Jfve z6i&8|EVUDJ9H$p+TzhipBnld>SIj+HCPxoE%!jxIQH- z1YGn=lA$Ty9?;>7*bMI-CkAKovfaw$h=_P3EU77%Vgw*bw(lD1Q`NI5Gkq}CQH=_h zVjvCQAwOML%tq1)9$aPWC#rkSd{mR|HqU9nzc3fg#8q-Ik>l$799R}f))0fP$k!j| z`KRnQ(+Ns6KJ8dqc-S6xA(fCnE$pVwmAzRT=9+bcOzUF0oKOoiWMZ&sa3z4J)@UKEJksf34M# z*ubbT-A>J8W#+gPyI*-RrnO#Bna?P0=0NKk6S8<`Z6s*)CtnNAzFt3;YFjL~HyU+d z^z(^L9CE(r950=SBSfSYz3j5B^magRp?=3&cJ`RMy;&B8=vI9D_eB1FUUsw z&54pRhcC_J#NV{By|SXub$5E+0`8+`wT3?w&WwM%46=NhwkqFiFw%FNPibcBO^1b%GtoNH)ogW zi_GP&ST~H1Z5v5q&CH2DQCHddVQ5>SF~*fLlqfA8yPalf$%N)UGx;S8{_-*2n30Ui zdH2fymq}3wQs4f~E_nBdgxz#QClSs9EF~Fsj|;`#`@k`belqFi4E>hr3%vs}@(s6<()~-f znio|+^1D^1&0AFyh0E;MOio|nN;|-zOFKS7<~QHJ?dtPw*KT|5H6SOKmIfiWHeUpl zh}zjtLo;W-d1>djIp%gf3+&At_GnuvT*)Y+ize4NVzPgNzW428oJ9kBsR&xpA@jib z7xygt+qKbO9w1x3@mAR6(3xgrw(0OMx})qyx`YPo>u~v3f$-H8H7Q0VoB>&pB^_ZC z6XRrz>Pzk3hs|nug&oA;$*0d*7mVo}fSpGX6VHJq&YF33Uc=Vzk zZmgsW$l^Q|7n@JcEOX;0r$0-Rf#Je78(ralSx7gcJ6m~TRQ`U-d;rCi>(`gSGT;L3)Y5BTu zIyh0Q;Hq|FnYY7sDAZYM&Gav9=<)GhKb_~Y-fXw6l4W0&1&>(O60;Mua9f&{LtAt) zOW~?nODv1Em)^C71O_|h9VN^!_XkA48xV!s*T&iSZk{lH)miik^y1Y z*zVmvP_=gCd|Hx_BbVyf9v00g2%B~2#4?6$L)R+uGqv#0g1t$W_@#0Wbv{(^-!ArT zHKt9AEi8RytEpM`0DUC^l}$_gX#bkWoa-6qLVx>l(S^783eub(LuHiW8sTOXn7P3P zuZ34C6KXo8a%xuNCNGO&!+}1}?+GKASkI=iWa29hRSU1o?(^-2a1_COP;*7NV`;c! zIXKNE%vx@SJUWKoGTOp!+QN$T+rCN1p%q9R{J7W`=ov8{1_brB84O**qo)@S^p*Wa zbC4A-AWk_+!s;7#gU@yKj#ShEZ^*!mL6G{`ZGAc}d!>r2Gq3YQN+*N!&Qr1I*_MT?Ad$Yq@=UJ*-m+uwMlfwp|2 z@9HZ3cezi$1N9m&fxrkx1tNwl=L*>U{kGN+6$h3xCvAkq$@^93TXXK#tRe_)=<@yvUe3pFAtP(@JiE z2E5)7xj-Ca&4u--|{3JArtMJl+WOEoNne@q&4o@kF-ElNWLFjyy7iBNEI=URnkpz z&g;vv44OJS=N9~ULo(fN4ilTCSq1Jf8&fi5lf|@L4QJB+`dBZ~da(OAc?vCfO8)M>gR?1m$+}{*gvXlz zggjZwP6Y};v(3O(c`@O!&A?TC*0LC(oYX3ey^yHWAar3_vp6! zYanzON|<5xB^sQ?s^{%OTcA4CTTYH*%L}_1>Fbsiaw&29%;OX)`qqX*v8Mi6Jk);L zuuo%k(p$6$>-H`jHJ-LOYI}7yC+(GW%QWHsQ}pl3GXZPOBPA{z2VEtbffczfWZxx}3Y#U$wO`0VtFtU0aJYGLuL_-Q2!%sY)z zHCzC==ow{poh`fC6qpO?dh!h7Pm1ySk21bk%kbb_&-nHSFddv)MDWV9n|f_ zO4y)X*w}moq$^D~jfv^s2EPu4d$+YLqL6-EgIJr!8Dy7Toy>*GvdEoOAd!ZCs6a3< zjw?d1%yG*pw>Jl2`%HG0u;fyPnsD$fd1l@>S}uK?OLV5b|Eki^msJWHH#be zl*MsyqKJIA$2%OtN-w1Me4AXG7Ko?>?Y1|z4e{>gni0;i|0?MUitp=cYjz9ZH3g7< zPj-LQABck77_4f=#j&{T65YyV_m?-T-S&V9-O1rZQ4$8Ix|9DHL*gnVycYm>F>QLA z3Go88CJdOlj(E^m@%#NS5K|_kXn{jrxcE?(@o4;2_!Sk-y8-gyC_`QySIx`{p&txl zA$zDLrPVvY9t^a#9Rrh*%|D16Zo9n0wK82;gsXAiI;?ng18DWr>v8hPm?`)1D``Zg)%SIb zYWmjkG%D*o?nRjR-}soNB)2|x-nPH}C#SQ&Lcw|JI~eLiMJ@m|!bdP_EZ#j+{*V># zsGE~CcMl$7JZWC4$A3^-TI!uBGn>a`x;GihFcbU>F`%70-&xB+!pQ6 zy%Q2)I3RICz8f9YLy-|#x^u83`$vFq{~I_ue!P1=f}r`{dtwaRB=**zBip>xFFwEe z4Ju|aQomAuWa-BKE!^J&pgbBY;iaqSHz@JKe~^9AxN*E`$hEzonK&T8c))Kpf9_tT z{|gw@YedCNq)sh$KM&DNUy2D<^D=fqrBe|iKDGM#J2kx=%%OD1U|!U|c*v(06WcQO zUM5d@04Zu6Q<+uvYeC@>0~}I3Zy_z#ot1;+xfzv^m_0c?YhHsm-8mBCpfp$q%iOBw z&LEM5f3-XIZlga$YVj*{dvs^+25x3ImQb;kp8t$iSK)WmFWkL+Zf@*^yMa_>sGVF? zQo9zy5NUu8KjHlV@`*yM?XA5WfAuqyIG0xy4L+QG7QZ{Chc1rj6(uvwItl6e^yA*ozY=@+1+l8yEg0q@jM% z!iP}aW<(=VV=jpg&Rmbg&#!xuFWDlhfUwj6>Z8r)wa7i$cv}(PfctrA{mA<;=_8U4 zx1!3oxCFw`dq9z$=&Nawo#ZQJQD#Cn)Cp!$D)E8hTs?3-z8mgjRhmiClXmWj%!g%8 zpQHN?pkL+x9q{Y-P!m*jh=O^#mWLI9Dd?^h*Gp4|o7Lw~rxn;OghjOBUyy~hi(T+5C zMJB*%)Q-?96@aB@Cbo=ns(IX;^riR!c6}N1%sIG4w{iFDkC7wtnc4ABT(InKhHNW8;W>!CV zazOemKCzi=pT*=I>=r5Q{pWs9>@&F2qyxEkssE?VpdV<=m*T*k_B0$-VyGrtry-tS zXUfYFY5fIvdcYJM^AH@p*|BIRPU$B<J}w&rXT(Z#+Pzl6u7% z|9t4!@UJ}3DbP1jZhJ&`97C560V}OxmJf~a{EzW}AzB^NkFaCJ`QdWwiA|elUMbFQ zjWt&%C+FHd)fG-kBkfHDdKGJY%Qb*TP4{$V9B_o4!0ikFSV>d$U+mA3d9F;CT8nLE z6-HAT4>p@o?R@R2mHO-Ro86{21!~zg*-^{>6sXJ}o-HCiZ&*3q1_EmD79$4Frb)lV zP4%7d zaFAo==fIG$^#a~#E(4aTIp6w1tkiQGuCwO3M58yRZE1~Cl&f&s{72bZ@tq$wDNFZq zcfyO!?A9hXlZk>e*HITtXz!m;(02nJF+h_DBaBS6_WRjPn8e{B9J?8XVF+s9Y9Aka z=SE$DW+oQ~bJ$E};--ffO6R1rp;S%j>kuA1+?&S`;p7eyky!F4~kUI(#g9fZA zY{@JO2JwEP4c%d;cWO4gVlRB;$dchvO(+(m=_(FuoswgCD*M zryU^+@mZKY@iGGL8_k&npP!X-POCSR!xQqMR2~D!g>R9B+M^Txl~ru<6#;z4s4uq1 zTJIm4*otLRK+Nbh=Im)30iU~uL>N~=v@44*?hmo>(P@YtzkxE@#mc0X?4(R6b(0k-y?;X`y|BV-@sb1+4n4-;=Y_(ZusX@6u4}^oX zw^{ma;BVE5clfiA4)5|sq;G=w4Y}htW(YzfhevXsJ@I}p9=(H6L0lx4cH`W`;XX9x zes;I~mxDLu(kDmY@j^dNq~fb3Ju&8*q=kZ=1?Jx3eQ|CcMxDA32@;k`{SfBh$?-@B)Z$2`rqv($r@zPH<@!K+^w~kofQS1*Tp$-c;lU%C z^+!XQ@?WDr^eP%)E!#}LpmLY+#0iGSjGhbT?*{VLZv@36I$}0L26*mT#*}|dZ7YDQ z^)rnk9+;99F1Zp$3buuzO|G_w?>y{ zH&-{8duIl%72x7LFvyBikHAJC3e)A!BBrw*;dTH_u5iW%u?WitxuGP}ITHAsuvd8v zApnt@tKX+Me@@!ZL-=?{by*{oARy5CL4cLb(SWxIH%)m>Rmh6el+iJ32^N5C~@K5&1QyAjh7hZJjcTB{xD| zm*p~#f_T7`7A%oJ?dzDN!^d3Cf1Wf~J5bq(w4k(d1&4=kS#TNKrX$>{8wjK8_#^?> z#rdM?wNSdVh#ya`5O{cM#|SF?$CEr2*P6duH$qS7wP3;a&#Tvzs4D4B(&!GCOYBRK z5fhIZ~ieE3zY&!dEJ+?&5y0@iiZZZaGu?&OO>rqiEO<;&@Db8&VsmT@wGgO1-Fg zk4OspS>>I=Y|Dsl49GWgiq}O2b_B8vW#?n?zJCe)!BPN~Pbxp4jrV3N8kXH+0-Bku;mVYu9JH5B!>YlP3{}qfIlSac8^n6R zkNMMda2R5fDO2LGWXZsXcE+Vm+#OrFSvY@{(x(16Eam?4;Tk5s%>+TL(ZOd7l7)>2 zVt{MV2XBSl7zFt-5xu|pt9ZXh&&j>?6wGp{X6#&XK7T4Vo!CYtm*Nv#f(HB%3nly# z6Q-&5DCUVh(!o6k2+!9@AQixJT6~%Q-QhN&$f7*EE?fv5blD-IV(Zt}Ou~3F3HyYY zJ^yo{*g*IBBd?5ERF6x*G0U<`h_C+SAdfiaB&XhYyT018@8dY zRNEjxgT)iSf^LT^?`U;<&z9Rtl6&Zwc_$RLzgwvZTQD8omyCUVo9?zx<1mQmDsC*;(sXMH-$a$SgVdTA9 zGw`zqcPnj1ZEhH>&=Ks%Z}i-~Idf?8Rz67U-+D zYMwSDg4!?(PQ^Gxy@UKm^LhJL4#8{e`D|2!*j75hYxVi8n^_njk>EAwd{*|GmPz2L z<$C|%Vc{9!|~)=(RRpTFIHp!dW==(BXb^5eo+{zvhLl3CjaM1 z)ADUzTzZ}L8H)I3Oj)bi04KkrBY&5A{h+&R)7~>u18(5MkVyC>Z0A%(vE(|gg~LyCyFz8h^y|@;Yji$`JpX6HCUE^Cvx4*%LfaMPA|KwAt&8T&=8g1$QNrb9{jD_@TM z=Zw&(qHkDJIDa02UsMuSJuCLI*r4-bx!&T)SV8|z{?rGV7zmySPyC1%f&7{lWf{y_ z`eJ3f)etT@!5f$P`f)7#o51tn*3qJX8-T;p+*;3C*BL&)n4fQ0uDsZM=ACs#IHnsX zbKl4Q@N21(dA9lfDZE74^1`M}?+0}*#eoWnN^-)drAI42s}gR;PkS`3?e5Ug{?nwx zG7}&9pJj8NBzZKv-ge-xOU}|Jml6^29R~0-QmMN^cb&VO>}ywB_&qQq$jaCi(Q*uc z;ApI4EyqUSgX^4-smm=XH>LcG18y;^p^ z2b?FOug+OH9x25WfVAs;KFhV~;+|4b{PS(%)@HI%_tWY!S12b*^mABEKij{y3HhR> z^*2aQqTcU#07mF1dR2*+c3v-(5z_j*@xClM{HZRDtM-=fV<=%%JW!lA>!;_}Y2c_4 z`sZ%A-H!)RZV%6cyB{}$IyT+z8mWr3z6o|8gxZ}IGgO#YGfCcCgDt?tlRuGH*L)v2 z-{fT~jI9%$FHr{I;>Djx{RNnEz_yS57EiUWA5_|(`#0My9&fvtb(QyjdUqI+OwAN1 zU8A|NjJ&#i&*A z8Zq>Chp^>(H}u3kC~bG*s03s#{DXOo%;_P zAjZ$dM@!7TqNIA5XwLChrtf7&>k=PiLiDe%qN{`W3xqGhr~O1{JBrD1BZ?vbCa=90Pb^*V#J8+^@rt z{`B0xx*qeb$9_{4rAA8@Cplkl*BF2I>$mW&a@G*+76ezx|BPv z4s2CN08p%$KC%yc1)&OXwaogHQk2E89-*<7P ziGmrJeH|NrM7~t?&cMx&U)L_wiszI=QpCn3Pk^gT{4EBZnJf$-9woYDj0p43uVDw| z6u?PQQE5KhE*y_8xLdUHho|ySsJMinJ45Oow5E!4Ivk6PE^kF=XW*yfhh;DBmA*O{ zx|}bJ|2wTKrsZc5?j4yMJD@qyY~lx0S5rsr@4acLmcFK@N=l9c<)^GRNC&15%FWxf z35v5=t??^U;xg&u?sJ(rerYM;5LL}B_=V~&F}*km-Sr9Rioo4mh&6tWK8rW)KLlBN zz&2rLS3ahW(7Y92Gni2Ii2PjrLzY~3KqVKm93xRfz-^ml2}w6mf_p`=1-}|w0{0e_ zUBzfNhuu@q)5FTkp$brXx``u>H!4^~o8in4DlDsGVIjt?hZRz=qsEI>$S%XzFIO!I z{bxnugI!~-_DU<39Dzpt)I-9R?WE;L;cD*qz2C_Ziv;cx;S1RY|9=&u_2kCyvbo02-8=*q<(jo^$|xP2xi?2%gmV zIcZdE%wxQr$ltCod%nJ0W+StlxG11*o2S>APz0AIZtnIVPiR|%HXxL0ZUQLoK<6Gf z2XY-M+f;+T*>xT&s>A~232m|h$I=Fy9$sk`dd}6(48z>?>{}$>z z7j8T|SHC`t03MX$`U7|E4)3yhzWH;^662}8be3m=Y=SaHF(yd^wSf+j_UdIj6}$6E z6oDuT`)Bw@)U~?qooOx{hf0leXg??it8c~O*N#m9sUw@*%qUAGQI=KWRQe5V`5D9Wo9Zz}D5)SlhgF z;D7V%fiq1Foym;Q0A95XkP9pX?JPJ_dysV_rk)O*+*ywmx&T#?V+&>$k2H>;lx%?SfO-jZkB;H>6njOt>f#V&WJbAh|{ToSnwx`+eYeZq)}Y#V*wxtlve^vE0|G6(wCX=bvY~e_Ve$ zR7da^bEj{d85MSabV5LF)Gsi*Qg~zhA^up^bT1ZHW(^u6$`P$Gk@RI?yIq_aXic(BR^$Li?^nt zJL%YNz0wo5pjZv`LClDgn1d*S$Ja=nxlF>kaehV03b_E{^-gp^4o;XZOEF~kBy=5f z@M+bel9kGTamU~ZVTmFu62#=yol;*aA?z(*q+mEOG1E)L?iF=m%ssCZ32GrnE9_lk z9Srs$xaXv8>vfoj9T=LdY@E%uQxKUAtdo!#F|}j=Vw^P^@cFYhbdx^^%j1Jm?2B9a zk&WCZ%V}|05;5y`(A&)i^_Ymi^0eOz$N+x>;;&5j+l*~{=~qDL0cl12=4nMVgps+@ zjl6~ICECK*?~{X>aAn;jUwx%_&Q)>xJ{nQ3sJuO-);Ac{qXVorR$g_a*9o$UKO@d5 zeex(UI_fb>ylW{+yvrz*1vesL^%hZ8KC8d;bEq^#HW5h`Boupz_YT50W3_y3oNTvf z!k$OvWXUj{9Re)8KqBniQ)1gPUsO`orRS>#F`;CF*OT+vGtkYj`8oHxPY4l*yhy2- zT5z31A-rPUL$f{ar?^%57x=tq<70*|2u<0gK|F1WQpH~9iE4)fvO@BSPT7J%^YHPGHwTze42>&`$XtfPs_hyA(sR2uI z2(2;+^JQr0YBAAxjX9rPXIuA@GTrBD$b74s{P~~$r}O+r@;FxZ>BL1hQEU`t^h}US zMm5rFhTveeN<@O6Vsg9{XD0axBkg^U@KihHaCoc1$tL>3)QXeeVBbef=A3#yE6^au zG=}Jdl()(|SiG9tjr9w#lsG?Y?-sw;YU_Y=-Kn_>RL2MS7TAZ)bKk%Do2Gy5ODk_5 zfSBGbZh@rNN$DkMoxBAMmNJJUR~O)qyd2ws8pEMX5WnxRBYp*BJ<~bu3PJN{TFO{X z2qmf9g$2y4ENI{q&g;K?cAWndGSnCk#Lc9`Vtp>rn>9<5+r(W_rCTUh5AC@ z?o2xUAn6x2>GX4!Xglp9Mz!@M9O3vXB?&RPJ(h;RgX$EdyC!M?69Oj|Oou#0;Hk}> z3i!}PJU?>!Z8sV40aojQImL81xRr$F=cMqS>Oa$Z?}IaIty58Btl=r^daThPX0@8H z56(WHUA|%eIMp; zimR7-9V#;HaTR?&%hn*qWfo=&%Dyh%#nCZmvzl*a5|)!q;E9;G+UacTT5JcBBvf;{ z)hW$~lbfr{RnvWJj|RO;>+NK^pZe`L;Ejht-;&Q~bx_yghK1d!a6`@h>Tp(Pdww)8 z2C%vyw~Thf*^s`EoZ*CrpkuL9XV!@;hdW zl=NKJp-4X_BQCmuX`M&|qT?R@l zepQLU8(hr?ASqu)tpK|kjmGAta}4X)+pHbE3s!&*;&p{pg(_^{%dTFP-a(RE$WA*z zr7EzDC8q|S1hEJ6fW3^JorQ;+pCgb+oLar4rFvZxA74kERy~l*l6okZJK*eB>__+! z{m#Q2cctUM^52u(UD+w9YiFzbKG{K6=ncWIE+0+-ljc_*-)n!+1IM<@EH+LXAa9c^ z$L$jGvbZ0@Wwfb2G_^~Q?-aUk?{>6}PF17`{Beq#marccDbZwAVd;|HN~z+CfF{C(6N#X1@oISsqhz_N5r8MGgKcdzXR>CWB!2un9LEpC>bxrxxS=t?uzp z#(S2w*^v^dCr)iAY60!3_JQ)*o$g1@w4LJv2eC7Z})iHSEOq#9r-pup4%)X~FsIBh^7{$x>9f;XMz5EQ+Fg28xDBBE%(RQH38oY1( z=40^q5#E8kZ)r>VZ4hW_$?rJXGA|LrYZ8$4lCIoRq289xfn?wsXeAj)$&vu zNFf2A&Bbn4UIA^K78+*G7b$X=Bv9<`UdfEhu>E|%OIzigz>65Zw=9<0|u-Q$-1 zisul>*jx?`d7M$sOoJ_0?i#rrGcicYw;Mvkw($H?cr_e!G3K2-jzT z6o%)jY0g`oh}$s0#WwWw7vp`{ybf@^Y+Vhqi~J===jHust`P4p91$pP?z0p}2G2#I zq|4qHQ?fDBI=oMlQWrIR;vRIX#?R|5Hc6LeUr%{YXEB$Zy_0|Aw^s9o$(M@cl0vjp zORyg=;>SGP3@!O~lOdm?jQ3ap3iYc9E7g)?@>Gj^3bvje?)7H_ap+^ zEbnz#sWqDYIKXvRKfCUm=?=DL@^sZc!d|w8rlESi2OibS_7h&~-m6*O49)Tfti3|- z6x9m%{nviOhu@z8v#)7gAyuDCq_OP|!<=>b?Z5LMKTflMHwp&)0U@g9Z=u_kDf!F& zei!X2HSlBm@AsC+sowH6n7i8BX8m^ZXAk=!-(@qz0^L;?ag=As)@GUFp1==3lJ9mRlu)s|C zPh@HUCeQkueZBDyv-JQ;U$wzqi<){Pf2Ru}*5jBJ z##0`oy8RsNH%<2oE|D;oGZx}lo^Bt~6qFD>(YuNNp2$l7_&CTXTJ=eUo9+kFj9K3V zs3Pm?NVyOx)u;4!1J`H2?s4I{x%E1^xMvqSu?;wGu4&KH0z5DW37CKcOh@XbCh~R= zbjR8rdz~Ch8M|bNm|ZrgZpdev4Dq!xytj-x5CY{L)9k`*u4&o!%a(pyWI5gfiHWP| z8R_%OL=wcqn92VL8QUq<=65T_L!6ns<%|Vps&RW}p?Q%(z~MPFEwA-!Ekr*xVCJ;# zz*m{4ZrxR-wa)RH-6`v9&u$Cps~N$Qrq4h7JogZNvhm%$D+PY2=EP9k_b9(?%I6$( z%E%CYwlU@&r1BHczX+)1T;afEBXP;*2w6?O48-~qALjLuigQiDVZ z<82jwa++o9_aO$*eib~wJ;keZ%m?qG_w#Bo6*54ZP{P*{yKh%@CB-K|6{H7a)sfwg zIDT6cIaUndSN%Fm*|FtmiB`84Kkv09x=+)AGi&z$)3vN{zv4Dw{v)iV*RttyH6Tj3 z_g;M^K}3=+gCuCJlzvb^uakbmF(IF@T-SIw#u>Z%A>eZRk_aU~0f*913R1Ml&p3W3 zRNV9edOxu?sFe)X-I`v`K9ewEM}RIL%F*}=+2TBCz_KffN?Wps5eFKFawL0>V539| zzmf7hFC2cu4VJUGFg*x zTDfjfJ}EvuQ`}g z1~nH02I0+Qa|RnOH=!%|CTT4#^P&O+q$!rMqf5MouQcl4r{ATd4h2;eF*3&zj0=q0+`xe6vt&X>!>TxGAhU$KkLBmSEY+G}5TXlS&qF7?j*92f-KlO-S z9_+}-m;=0~M3kFWk1R?jSp9?tMSVzrj6sua{`(J>f4jvM$pl1iXy<7)+>oQFEcB#% zq!fEcOYQeG`8jJ910`Gjxf|DvO@VjrD-N`#l<}^*5?>j$z}af`#>G(As)B55^JSW~ zh)~zAf-2@lJoG9{b1vF7XmhOI&GpIIph;)E^6GXaVnVaR?>P5OznRC&tF~=6p{~`*f|9w5D!>m6m z53eD*L6E0BsZ7S3pO#5tnom{480y#RO%c0{$D-K+t7ST-r{rYE^CRm}545c!A$Q5K z9NU>`#cT^@XvYDF^_TO@BioVdDCx~!n(?bI$8lzyCiQV#PEp93%aLiE#*JeixXn|2 zxy4u4e)mMvE==ViaF1?UhyvyV0sp?3QJit|lQ|(hKTe+vc4+8?Gl-WL<5WH9)G9Iq zZ*E8R<4y^E{%v%e4$-&>&kUJ z9J&eZGAQo_NLcr4+lx7%eTAgGd4>_O<{z7SE_3L7o4_0^K>jZ!znXVOX)`N-4y}ua zJRl#!He;Gdjaotbi;k z;uWnmFW)n}cdzr)!EKv?^x)a&rXmGkp8XFta|;MmtwA#U1ldcJ zSZIcS87!C~`!;eYi0Z%u#lCYC-gjuq1khl`C@snzXUkS_l zL;5S+`2rr!UR;t6I>96Qs~iU#!4EA*kt{jWV~}y>_4}I!&q{icC^8IBZlfXzdE3y5 zap+@!(q zjL{1eq-49qEe7BIWz|^#Q~3MdB$sZX?z%JTY1`h6zN6HyL?kq=MmJWR#FG5pjOf zXzUyb;xM$>kw;nCd>!jHd}I5zN4`&;S8Xj-){vP~*j`^jA2H0eZjRVGU`E{AEe~bI z#~D@Tm|TI0lTIr%X*63OH^=bUHw^w*GV;o8I6uf!3BnZZd>ng-N|DpAh$&N*vJ zk<4mha)JZ=B@nmG8_3B9tI{jM1Z%8Lx}sNZbcYX3e!o=WehOY6N|71mK|Cb!-htXu z+wgB=Z?(4lUE`Vv;RDTptOj*&hC@o@ObH(k)+cs^`nCO777 z#U!6GlK>G|x3@xqhhPduLE97GY%~$5_ZR={IJ;z>lqczIrHv6S^FQbtIdaWehXRlI z>z0SSY+XI1%7>jG8e=ih33wNEQtQgzjOfZ%yr7YFetd?N>B~+jOv6i5J0DG)jE7uf zmsMuBMOXT9h#uWT+B$TSHAW$ZxL(EI9j_M>5&ZEUT7jHgvm?PYi$2Dl9ADx%r9JqQ zq;dUHms&)MdAuM?Ucht||9=7y1n>LQs!?47vY7n>b@lGB?{9!I%yY}xR@7)@F?$B) z+piC;N;uq77*VUH8!BuM(~>k0jH{Lq`%n1K4v}Nwa!MxtDq&B8j4Nv@3VR)yC5}up zjyo<*C|hHxR~}6}vLPv8L^qrdS)Ot$y*@*%P?{ zphVFQlM>5GNaXOvA7F~+ML7kP2qcR~xfbA>tZ;K9#S}4oP?Xy%l2O7Ho`=|XFf(xd zo_8jUm_lKd6M3X6vU)`mfEe)XUg4I%*DI@08|vGNnUnO4N>cPfW!+&AMdpb!Mvmxm zD`w8aioon+-+XSN^;p1Shwo4#0a-Evwkl~-h#Q--3~UB^asww;)RbMVD%nPV{A(>H z3&SH~ooYgM@)UcRwZrsRJI++XK+ddy5%ojwlMQb1%+31<3&Z?R1GNtXFScezM|hOn zI&k{|=7q$HhLnL(qpoKCz?7G4Jcw-(117c*_Q8~TQx0=g+Dy?u;kooSfaIH?RVixg z!4!}Atf51?WBjzcJy*gibZ;`+mX$5;0#U}(FEF=K7dc&(_<0jZM(dY58bXF1%QC-~ zQuFE1f8$t)h>L>+YDc2WO!AgdM>m<7r!MbA2w$?aeCOI-n;n+@!@* zmg{!+A|YZfn$d)t(iXT#G*WYzvA-t|{lNv;wb4oi+J~hC6>dC6w!vq5&=agaNezo}JGoIDMMGKnP#XLcBGe5uYzK|!*VFkA^Z0MjK z$_j-)viuJK0RR8Ns^8rJ literal 17470 zcmX_m18` z$x^Dsm-}IF!Q&H*BSp+`Jw@~{cZl?p;_W4h%_8$uxr%pnT83#9(ea%!`vpgfOrol4 z)qEwB%fUux4o73?CC0p%I5IyOnEF|6EYlcbiF? z2%06pWtY$HDNR}KBd5a0-cQwPQ7t>+(N$~R*J%Y@hr|X?Cp{jQP23)cE?eQ=`#suo z-wK|Li5D=WSE&DW|7j~Nia9|BlgnqKb2nNy>qPCAZ>~etFjrJ*W|1hH0Z})RLO$2; zMrf(|P&W-9J4?3MK7YMpS2Pu(jy&nHbMoL9rHiv*Fr{ULy-aj@rai1bM)e*VN50wP zBw$`j-tpv%^=_-JM-ooK&-0Lui@Qq+%xl*GU1`?&cLFY{MZ0&m8k$CC_#MKxe*=aYl&#A&yUS7u-kB*7)lso zm}4q0{-UCe#R%o84?2h+#H5)*MKmG`UIN69?%1<5Z23;znJbKn`y4?|q!{L?;TSRrpVKm*#>O#CXSLm*WO}%$+3|q z^5v-XkU2!^X3-L!gsjF5cArou!rl&|-atn5pUX%Ev&&Mu6z~2ZJWc7OGv++3k1B6YX5qx7bq`{ffnO-*v6fohO$(CUGfqdu z6Q^L9usRfSOpZh-L5S7UNne;u!JGQ`=9@Y02$6Gl+t$I{g&zODEu194m6}k6{Po$x zsy&{bFmep5XX>6onD!vQfGn@_l^(cmfw=Heq?;*RQT@WNNi8iXwszT0Z1_tQFP{6N9YZ3D%CQg-6*K(V-??J2PRf`ZhVl5_Zz z!Z@p*QmdQWtYt&V19|;KG)y&}0Hm(Igybyt$@atJ&E@uU_*ybOI@Ngh5$GYWGp#Cv zQ&i1O3^;ni#+X6Fmdpt(5-27KCA)o>hQll7+lUD-V__Q)iN#){dx%fEujO4c9W>*g zqyQ28Wd*Ahs&=(znMSisFP#r>;*j=j)#6Ke*LS_$p8Jsyv5@C{;HR{7F{AP@ zG{h)YN?*6b8zLPJ$36H2Wgr#!0u(d(^$N|K7<|q0?-a=%YwRewobp3`z|Hg9_zc5v zU393k<#jIX3`Ne@0NBc}ha-(IufN^c`z?Sjg6oT@LFZJT^W$i1ra>BTT{!?RFIP zt!b3Ufko#wX<((LYn9#TGv1-3n;v_<*M4JT+UF-<)Lp|evT~y;E~f%;HPNhLcg_*s z9>J$8&NfaDR-ceCR5*=7YuXSwl#y#2WBw>)j2X3Ue(7FUiL<7MJb533D&Xme%h9K7 zMxJ;a-u#T1jSYc5i)Z#~JZdMvlqc%{{+OO6()R7YV#DG>Dm` zZvMsmTrWfs*nm>6<_|~-Jf*PTsT7O3##%G3!fW4^++JN(2U!^(QNMa9JMhiH6$5re z*_~O6P|E2kkYm4%6lGE6t*=7kepeh1|3Se0H|wpZ=tdMUH%w5R>uEC0Y=5ix`!$rH zEZ5rAPx#B5TY+E1mY+OCJ5)@QvWNfY1EBd*kWebUQm|c;^=N3mdSE+Z>*HtfJXrn)+;6cOZq%J;buJz1~ zH`+W@0YslN-yLt*_j|QxU5o(j|IfRh#RSa`TQBaWSM6E1`jbA+m z2g=hGs6AN=nf*KMK<)NlDf%KmcdP@Y`h(dSwh-UxN7%43gwqU%{Ni8j=6H^Dt@V zTKbx$Q|nH*H4A9f?q!P44mhF!lAy;!^y{?J_9mAPO&Odf0o^j>`x`>*f{2fX{7m$( z`M0O?o*`hI6}g4aU_UqQ2MO9lmTdS)l*Q(M&NNyTl=x%(#iBaM6CXx}MlJVb%YGPG zm_xoa{u+SUz=}VMi~=|MxJC&<@#F)4yKLyHxh>8NwW~i-f%)QS$cn@Wmp4qG^qh3; ztkx&T2#a^0WgsE;0uRg#^6(9oREy7H%Ik^1qQk zMNo0}b{{epKGfLdMj&n%JaNS|tf=Xp!wSPWjNO$>$>nPg{=0?-pkEflZ$16|2phS( zqVp#Y%%xDTxtHSoZ@2?^9urO;55tM_eR!qJnGZyzAnC{=AT3xFH9UqNujbvw?G^*W zixkeMbTP72E%>E1+l?+<2w6G}=7>;;5g&R3SCLBGK8q>JGxzzUE3-8bqP9HFP4F_l zLuGt7Nn{r!X%ud|7Pi^P0Q}4h49t=>yL`uOJpY&n`QK*~G#>+t<2!m?T#Sk}^i8AG zc*OHo+`Fy5hm(@^Y?Jz>?l7BQCPi92`y>&azk+Do6PATI!JmcHBek!wYhloP4c&^A zZoy2RhOKrC&1VVWXqcS-aGWkq6hURx|4|MyU^`1 zgf(@SOfQbUwn0W+9dkmy;`_{1c8dn1&w3BfbY`%j3b@Zdr)}Y|sPl*yyK3=(=Y$CRNsD zGpd~HK1^wcbI`&jPydMxVAqK~&TdWfSoLoyyLi>6xLp%JHdXwcUpDi(t3$~hIp>0V zV%ABgmW5nu|sk7%lQ|FFvynTav@jL&Gjz!a^H-HjoN}UZtVYO7U#`p;qas8SvL6f{tee)5D&ZM zhG6gW;u=IfnT9cLWqu2E{}bRCG(Mwx|9*?-4F}p%@ticYdw-+r8z0}`J^jvqqwBOm z;~kFQAxP?4eV?KUrovbW9GQZ3YMh3IN^`=nsRc9WRf)53S4k2szu!Ey{EQ*%5{W45 z@)1kU^$xzY;~{uq^SR&L+;e@yNZWnK?1Ib|t%A&|qi7Sca6LU_?m~Lt*rizC$#ec! zJApYiolj%O2yZ}?$lLSW8TooGQs@W+UOhH^X>q9`)L}*EJllrR{_P_*rwKI{V;WZY z!GQ6-;5^yNb6MMKH60DRh${&cIa-^&|KQr>>r`NCE4@%UIozd_{d_JsX&}uhz1UK@ z&WQ{~EmNI&zBCa73*tTZgM&-<2~IhERZKhC>*aZjoxuuI7aH7A)5`ddn&fQ0duYWz~CAp z>PJ2tE8-Ac6^!AiYz{X~_O#A9yMnDq0kIiiHJi}D2>yoLey>@xv5ui?!|rvQS^feQ zO?e%rV8cIg`M2qx>b2UXA(u>?y86^US1R!;)q%w2Uf*(jgP=ffuZ8gUoOFQI)M$!7 zRbVIlDKkmpD7RXs{mtzs#DR&!eVw86w4r@L@YZS3wBlZiWUAwFI(^3Y5MA9|_&+tO z{iLd0)}di^_9~}lD2gPhjFLRa*SaY~nf(DVBK?P%XQNv`l`LLMS#NfyZBV)l7NuRa^u$9eA zL{d$vdAywZtJZ){rzP<@GN}&jp;7dFa9O*;6erl$@C|}esf9;4EKSlxFO~cA^`zH? zP!6#7xG3_7i0jx7?x@h2uJ)!C&TtL2duW-?=ob1HT>2G@CzwmY6jn`_YIK#6ax3E$ z?jJ;?{rzj+{eR9dEcCU#zA3JizP3aE(kQN02s?MfQcRL#f`pwBH7_+i?zUuAZA|<`$D)KYZ7Zzl)E9{&+m?#AM z70Lydsv*NBMR@k4 z;TKj??!o#)yhgW#GjeMXpmZC+>8zhi*n7AfxRkq-m|4Z<7VDL|Wj}8|uW#pJ4MempgEVFHNBRSmxYlGCH#n z(G)UqJW8G(*cuw3tt`haq*`3XuKN4XDyye!t7APic-E*oHf2<+Tw9&3TyHB{+To&M z7t+0Cxczi`X;=;IrrN*ZVyAfBOh3J5PwTl1zA2R_6(`*#)v(LK2Bg4o09h!ce>iv&9l$);^kG{cza5l zu}d96@08hd@MxACelss)Lf+zEj;!{6W@qGzi*I)(<0CSD`q-nUmy5xw4JX&s2yzVZn zIj4RUe(5f%PIid%IHNmdqM}*CnwgSV*1 zR>Ot1k?*kcBT8jU5-PiD!6@>Rp^jHD=Xl|hLPN8oJc*?r1Ah7&Q7%Ira~#D+kNFkY z=uVq|vuih(i4IAzr0!Hwg-a$P6lU!oG|3K8yVyn zvTGsXJukTPQPbN=e-{QDL&(fE)>%#MSMOzt)jWM*3pXpks#HMcaCWvIGzy&}QHi>_7xgB0Duhc2ezW9?@m> zV8cP_iR+0_BxYNvJB4)GyB5fmw?S?=V3%Wio(DN~m}Y2jPB|V-Q!~aVsT!w9A1yXVPb=WLf7ekV-~Kjax1Zrc#!88e{(#K3T5 zL^S&+_N5B#1!nZ3p;bx8I{PD0qCS&O9t)&L`3v+i<v?{V{eirz*n3q8UBCMliE#0~lMklb#8F?+llU;>gBn4pHwJL@o>Q^U zRyWS)wg)jZye|5?Nc|{n5*6!PKg#0UaS+(_-dh7 zoT7?&9e*dp`HA>y(O2^#3X)>}E&qF^qEM0##`#G70itsQeotOAnz(L&lM(NmoX{&@ zQf!)G{zx(N4tNLPHI5t5*dyo#e#@%z$%#KQRyVi0#O9Iq2y%V}d-J%TcF~BO7W}1n z?Hnl9VZ-`718@S3Q!yW>LXoj?c)$hOm`Vf}8`mn`HyPtLxy)sL9ST>)P4fF^$ zic=6XQ5@+Hj%?>@Uw&|@In&x)Tv+XDEi84kmzTF)J{67RT5%=u53y6abKw;yW~QLT z6-ySpQ0pjZ@Lb%xoOaIMwB+LQ?C|jH;9jmgQ*S6U97|At?)N0%zMzpBOMBG`4qwdQOnt1S22Q87d~ot$GiCnK85MZ=u4%v*^}?rWF4=zsPaK zm6~%NXK>5NjeJFrrsQVo{bD&D@P)=$P^T`fH8t}9k=A3wmbmR8J zzOshZs~<`n72S##{31W(csITNV4tT!c_6asfsGt=?p?q<`L}ytDkh&s$oHuq zW@~(`cBgw-`w{|CnQ~_u5^L*f=D{(eDXXtBf1@$_!T762JfdijEzS0p$7Dxx1XJXb zkky#CXdE^paG!y=3;skr-hp^Gz#c~_$B*t{vSBcf?QO~fzw{>mMj=txL@}%I!ao_L#>>F0_g+BtFX86c>TA*{Z ziuHvUzZ~y=J9yK88&6S=a0ObGzTW)-JC>GY+!!3s{zMt;u0tlsgeOF}6RtCl-Gk+W z?n_?Gb7S-Z&-Coh0220s>_kQuuFovhWe@toz{Wv8$jk8wU6eHN+#|L`V|T4fJ`<@* z>$!ms2z|%$TMxV6AKyZ^^P<|L&#U8m@0x8P`CuWrd4HUYMfk#q@eSa416=Ib#-4H} zy$grE3XN6o><$MSM?DcO2__ouW`kU43=G)26YV8(bj#k^DiY(ea|5 z6iY0V9^!W(#&?vy3=0=sFfyK}$6n2x_)!rB<4n?|xomel+#NP1p$i&^4FYXdo;t@t{ zlOB3Y;PQpgZo~)UQOfy+@t6{LNqx9HoOR#mU8)_FQYF zp|!&GCIK=#(v#cMgUh46gS$P2wod0CvDWgTAYs}yWYGyC8pZ+x$y0x7+;rl8c64>!8Z z`029cy>Z>35>k~hw?Hx#K_a}_3YqFcKT|?s<$(cEKQ0(Oci7n=!)TKYFS_N{#kvrX zwF;NS3pb3Ftl}VuyogV>!d7>4xgQYqppAcT*Eb`~$-O-Y5D;4av7YQq)cM}+j#o1r z`qAuvq1kC9@gOR-IW(1i^0gS)HFA~Kzo4@J=6P%#GK}T4Cih@pIWJ7U)x{F}B4>S- ztkZITv(LLxJym*Sp$ZWgaP{BiYpof2fr#UI0T5Kg{tlS{HzeI}+8sBd>pl@#Gl-Hn za$Z4(7_lQhu*p&)pt4g*1fTD_nzt+NJ`Y)t4_bgDI0_Wd@M;QgoC=2qAFh|9lLJzv z^b$)4$2$D|`HG4C-TW~-_Z&- zWsu`sO7CRIgnueRC%LD)0Og5Dk}htjYBiW1!2ST# zmmuRaGHB`ZGtN)Rmso{kTx!W@PXwPlCHW2Oy4$3}fi5CDhtauxUogs{pQ;)AZaKw$ zm*6aK8u#$O`^YbHWmxe`D}xq{2tGL*i0!qXOHZP%u`rE?K3?+q!CAhHUhdu_zQAX- z6``lENmoP>eusp*Q!U}{c|9s{2fb4SDfm08Z>`Rw`d&SPa7a1Um1@}dFEe`JSJ3P( z=L1)F&}}#@#W;qJf1QM&^>-__VDlv-`S7x=?@UDYsbT~I&O#QfS@_PI%>^VQbDQ^G zPq`PUnxd}~Z-rYx#^EvXO%C(d$m*9+Odrg93tyxO4%3yZ1W@*COTo`_G>cMSI=qUH z5DIzC@g%%9*uEw)+%#<0fw_>hpGvwTzfF3$`R{pO@-^>-XTa}=$^^PJaH?hXnEoWg z8trGi2EI7^Qu5yqe1CqxP@OoyYyA5x{}^)DFaG<&mwdnk@`szxvFJ;FuAQ)P^({ke zS)V=Q&SkPcmg;Enz;^48k!Wt6_yOG<$6tlPddfeB+LdmKHoY`5O!UR^4-O%8y@41eLPUM23j=+J)d|?}zO{^_1Cj z&0?q1Fc+38QuOGAgdOOy6=w-x&KF}5DyqcBGYQ`8&roK@o~0tx3|)+cftsImU9bx6 zzwU|)3hQ4bsJtEjRNmV9ezqfhhZKJP`%*s>vpjzNO-lTqiR(O#r+ zoWO&yEr05qaU$#gm3GEl`3y52r^e`Akr=3--_-XtglH;^O1SiH>o;$hI1+uwl)@$j z1iz>xta^6sWwcJhq*rUzx;yg%skr}wuH}(wi=vE2#xc4UXU7meH~;WG68l6OrS%r( zJm~@PW~~&i(fU&*lR{xpIFh9Lk32QmzMYFltNP#RnoD-<-6m<<8DlVCS~1~x#v#;N z{0HHjlUS8ET@wxHCUU43wLv=@mqJamt%$LR&+H?%gN#8*!iM1 zMJ*GL!QGZCG9+CUvN`Il5JFwODVQhl>p#1eVV=k$??o>Xrdw{idXY%yJJ!j(<9+!? zETc%g?K_Iz)^)lGNaj;HigIHqCX5MWapkIVt9Gx+fd8GXWD^iN3Q*r{`jqa{Xm-1) z#>&%e0pvRuk0M>2UmEwYUY4#(hB{uoEN(t!#-7%^&a|`ns-Ee47Otv?Iy}5JZmL{^ zbidj5Z#EKB=r5KVV8b=0V*AcIisi#6o`3tAPA>vb-e_NN^;jCj+vKL&1DwnleqEBh zr}-}T{f_`&rp2f)07`Px1YS=(>k(ljGL+tq7P-pi~laT z@&L9Cg3o>cx!fpM=CQX<7=C+=`9*RBxngdjdm{Cwtm~GHOSM{7?{Zt3%Us=xpZUkx zyzimqH1{-CpG;Z8+Agy!y($g`YV@zOhC9E|arOM{)K*qIqaZ}K`Bp+Ky)yn2{!i)M zz z_h;2Lq3^yZ5bxW+Nl)+HjXv!E<36_f^NoU9ESatzToiV4Qw=w*mkR5Xp zd$)S*9ksUPBx3VZE~zlQ-xLap8htD<47h6^#PCRG+F}k@(<1#$;`@9zkeh{O8uEvu zMC2t3KdsB!{`s_=7UT2xC)6(wm*s4^UEpY97D>H#Xb);VZ^IcllJDI`A4|ap=b3Ex zs+?=bpOYH!^zEfFJp4C%B-~Q;E<_K zRJPZ|EJv(0v6r`p?XO@616DljObeZ*BZ}Yd57_)$mWg5-Y9#VM1JNjkD;E1siXX=F zOpg==P!5n?rRIofZ}c!8RmuM@IPI?dBdgHKCdA9mDU13YdQEQP++H3hBqxZ+ZSx!_ z>(TE&%C4)Mor9a5;g|hjSPDH`9GkAg_=mqlDU<>V_F0RqjmH$fMwR04!=XyN*Lm;l z>wRzi__5hy$DLcUrqRj|9@WaFGs7h1;Ar88Q_YgUh2SHy$V(~9d59@Iguf@I` zri88H7G}iVBw&p%oLEe4nN;2Wb)>w5#M!W(cfo z3IMSch3?j+P{&_8> zxW?SxS&2}L@FMFd=c&^DQ;v)j6%Bw^9vS(Q*EdV|cckAZs&O~M^xWExCdkx%@QSGR zZAngbq=OvO^*2Sy4cFL8M2U0>`J|SMr_0GkZ*viQFp}Kv^vScDx5L^5D|9o^OLu`_ zmDS#^g=Ka9mV0&RmT9TizSh2RoWA}z%?!B>UFm{1XdTvTYv7H1j(8>4vG3xHCizi> z>vwwE!60W$TZ4{v#K>^PmWVz4c)|8Et^rn-4H5Q`dVj;#f#S9SolCE=xHJ$)0@HWBxJn27K|~A`!q(US^SUl z(cwRZkCh6X#2qmX*!NWM6)dVa@iRxgE)7TDpV=ONNu~GE3gJgGq>D| zek*?iZP%;rKhsI}B+y)O-+O-x?Z2lHSgMpTbS61MwZ$*L+XgiSoSHRyIe#gidOCD; zV>*`GtO+sjkUqrMl3qhhXjuPurjF4_l}Ofe(^c~ z@gF1e&2&JNS#xzL$(rJ?a%^X&4Yp9(O$$jv_bxDqMua*c>*^5d@cm*s%5JZ>^7}$@ zf;Q9%(GauG>5k*r)Mbu13#5dyCV0B}J~%-b%^RvxX8tX9<_0&BH>91}L#1WNTj*SU zldG6zEysbJJhO_?Ni~?~J(7z(PF3AQMMoqz!;M38mJ!Jm(J&>?UU8u#W?y~CSje%A zoBmxVzmwkASR36*>`W`sElv%^kxsJqPr7>c&Zr%t_Wn$N`?Zw6$@CefJ`yA!~ zKNh}`a(PUM!Uc|ZcgPz6L=FYH8?nuaU6U3b;}ch-&DhB5HSy@^YUDI@68!zM#MeI& zcZ1e?EjbjC^4wwb9uN|@G4hE`7Sz{xuoZqPzph%4$3n%H^Y@t;&hb}oOT_k7mMp0c&K=Ga;ye;5+s zu{VDr%s-#X8|yLkNTxOy8>BW3B*`}oCCRsljvro>&Hk`vJY=?xzXg6{wOOJKP04{? zG8Y=dm(ztp6)5HdDsjc*+{hM=RY@^I4h6QaOfZPJ$RH##jr%3)bF6z5>ch!@uOaZX z+sLA5!zZXfSh=rAdfuDzIwMAv`tm*ZK2&^^KXDb_50B}5!PPXE2G7=h9^0<}Jihv- zG#6-2ybxUltA-YZZU;p?>NNy0yiZ1t+dHlK-UT*Rst?Ug(zB}Ts%F=Amfe?(B$-@eh^-W0|rwN;inuPZ}&_@zw^dDFR*W94mW;|&~?3In8;E3k}y&@ zA<<(W1YITp+C6=agTI#?kSw~P&uTu!0O+LDrURD7Mbv<{RvUZN>rS=RRYgK|7cZ0r zt_WdYFtd~w`IttcDJ}eK1CYCy(?o%zbH&ty@8B-5SHlv7QeA*QqVg~UVg!#mLG-@M zg5+y1_-+h&lM9w3+eFrIm`h*w;;`e$_V8o)_*}J{KT-E2cRjgYUpCBge+DePM=SMD z4Xxh?er<>F)$?s|Hi7nGokttj{OYPly7qYr%k*ajQD_aPGfQA?=mN(xFtV?P9_mYo z@fj3sINIwyK6u* zY%QIYeTvzEp+3C)jq!k2L;=Ss&K3}fClh}Ccg(o=G>Lly3*$x})(9+PXba({Q=;zl zb`18U{=_`|5!sNIBiG>;+3fnOluyQ<`K!awEksH{N#(A};`p%NVm7~yqc=Hr|>(%)yBIC5uA3vN_wAlJq)!ON9O%8>xKSs^e)wM5So($@bK_C z#_>D4n@@j9?#5H_0blHKCr-5Gh|Y}KPSjzq={~k2g?XX=FQ!zq#p{AI0sXqD`0tPY zJq9qM&;VtY8oO1imEVpX6#lt(2LL)G} zwE)E(&hV$AwG893(`QJRag83~0eF5!x+hBB6miKh2hI?kcf`RPTk%V)9YiCs^)Vo0 z9hI_9?rtAPO^2r$51GrhS)hx!gD8)D1kXf=6)4=EG3Oc%2mM_fwQ}Tr=R8Lvybma4} zbT=whL)!jRh=8>RTQ0zVL!D9YKP$FZJ1lSwUJoNvb<>tl)n*Fl-_^_DmPm-Q$sQ4X?A%z zfQ~=w*`V`w*?fdFm6jyfOIxz|;)BG9^Zo5~a;pTyg3JA;pt#AK6rom(IDuh#_rjOQ zSH=TAas4e+@!>FWI$?>i6keEs3M~~MhU#J#g@iW(S5f&HYKT2M#c7fS3H4X!K_#He zDr>2scFW{=O6t7B-^mFc?uf?&wp+Hp6Y0u+z?`||diVP*)*+yi=LVofuA#(nZJuUNfVj{wo*m;u!*)3uZZ6?j5`A3ikp(=e~Otu zo*DBB6eOmShd`BRlt4JzLp_><%@;WVad@46OTa(&>SfBueTI&eO^0!&+)}fQ- zceeH%U$_o-oig02y@!L->3W{6}!nd(J;r7y(xs$4$ zRA73k`XPK~DH=RLNqHGVU%N3s3H%zw#)RpopC5u}uO{_9CT`~?{T3WI-3(;o!B-^) z%I6Wqyj9OADu0)!$_C_SbzNHMawig0MXjsBc*D)6cWCKKf0PKV$xp@n@mWV`wC*-n z2RZ`(gsa2bEbHJ+`a}^PMOciYHg*AeY?A$T^`w578~rG*B;L-Lik<@Uw2zEJb8 zv@a6N=N@2D!ePxc`gG|^mwHTx4c5C@v5OKRVIQVdUg~wn+nCt#)(*-rbH$h4q9@*Ze1I zo^sY9M3m~d?Rd2o>+2L}63u$gcV}CD3A(H&-vTg|KNIooia$y@cgAm?umZm-vEM<# zUA4Xt?m3O$89;#$Sv-Bi`Clz*7hkD_-FG!p55kK;pG+h^th)^xFx9C~=rY%cxmON3 zFt66zjcLEq^uK%83{0m4h**9V<2@^7uG$0eJ1Q5hV}Yu#x(1W38`iL8s#~7sgb#2% z6^gk-o^YRVy*I$)N6`J`t4Ee`$EA;CKhsN2Z@M#G6`7B2_kR^@J-BY~#n+pJOs5*n zsXE}4x%fEcNJ}MX3{pHH{w*~U$Clw7zluMQExq7%xX6+}zW3ul^jGJko^}OF(;UIZ ze{_=adgZvu^}Wsa`GStD?o24D@FICTF&-{s1o~3(zEeg5eZIGEp?Q{V{WX1>L|G$K zg9GNPaJQECRsh=MqBXF+DyiAXv_lj%H=s_^t|vSA<3HZx46c_k1A7SVcwjU&6!8Xa z`+w%RjVyl68eqN?@0gRnaXf_n7z7}BeGcm?z<37{L|bPDNz|l*6%h#RGn{zJOI}YK zTvr=h2M_H;M)Y+=_F;kNRL@k_%eVlGgmk0ZrbGBzP+q~y=gw$Tz7URC3?HOcKIPy) zdz8(4NT5Dw@@{~qSN7N9k$u2|J;=r$9$v5Ey|#`GJ2#V<7W@0H%Ko`4j=C11+FH>k zhYPwa;VCzM%f61K+=3q$_juhu&{fwCWauA?ArXCE|Kb{=jAad9ja+?Pr>>?0#bF;+ z+}ToQbxUqLGI%E36BBse?X~$P7~HwkZaXS?wwckm05&N+{Fdj3#Ae-NchGN0VxKt_ z&9+}dg;P(-Te15~frlZCr2Z}x!n5gt_^4o}%YF!dXa)4F!62?S=}#YPB~BJV#32#_ z1;oGa_;636-iQ}DT%H05Fi+XM1+n{WS)pZO%bek((+K_Xv6uQKne*7d?AQBL`4Wvq z8r^y%OFM)`E|7??r!9NuB@X`tbGn2PpypquL<+dT5F0@MdCMEwu;6Tf%sTIKx&6o9 z+|p~&bh+vuDbVZWYV8Um&Yhtjiv7p}>nx8|gWRHJR3#`Z%aav37vT{m=A#fai_?a=kDd+fU!$ z1!XA`%9ke?xas;N$&oC-gGj}hTe0|B6rPMw>YPGRc%bbGA7$98u+7FLv^z~*;at)P zl(1T6CA2r7wtbH)-M=coVrms>xsR$+JjQ90QGo z+8Ze$ zG&z{jU7B2TnDvwb%Dc3yRp<|X9L}qcBVDoF3Joceix&{k${g8c65gcY-O}!>9Qr^Ze$NVO`LKC=tePb9LSko;TE`IQ zFE0$s@hM_2{55XRUeC%bFj4rWWlkY3bu{B$* z(s&r+l3lQfc;O+6$J%HeF?{8vI5HJ6Y?~AB5?SyNIQ+O3?%F^^b80?sfte@vnqfq9W}lRbiCL`wuwZv+0k1)=C)L_ONOMgAuaVgs%^CxObxr}VnOP|1 z+DC{>S;3~M89c@Xq41efV~1b|@-EWI*IC7jz1DykDh zQC6T!h>vX@*fG;6@G7=O$R}~`a7-IS=zG<_(Z5^#WXPn~!Kgs*JL3K0w)98Ro1Mhv zi`b<)G`=|Vm~63zX+h5jmZC1K{@{>v8aiw*7W0otJ5vK?DemP+CEx^5)MUJfSHP= zjjW9PHz93{7{2=^gW>4J#^^+yk5EILR1!`Sn2`A^ax<7AqL`u0JSD z|b27dc>gpS4I4wQFP(+!)24_!o8tBNwy0 z3L(~1N;_x4MKAE*Ct;&-5FhK*_4!F_Jo-)P@V)o|K?%EznYgg%DcXrryyXB7gO}KJ z@Wu5=WmIXQHEEY!Gfu!13Qn>Ov?zVAIMED7jqdGvw!)q^rREs>+y%E4kW!-?&ohM# zf9uDxmCNi}E zTd1q)a2*|2iByZw#7(RX(nLd}scFTw(TCVkOnMR)191^ChLe%t1kqx$Hx2J4J0XI968cKsHO6xO}u;v z;f+2JXeWm8=$)g?YV3*=U(g^RUteyZUa6K=7%CWkwtysdx}=m_`dEXG-*h!fDeV{M z=bM}6t|m+AtMiEAr1=_=lEuhig3+v>jBQSTT)J;N+GeUFWe#A!T^Ck+V6OU^z# zJp62q4WqUP62m6VB(#w+M7?|WS|Y59;>jIb_hPOFTH)V=-19JYY^@OBF`o4T!k&al zXctwpt@M2*_o6==WD3L<(k%u)b`oJ3jAuDmn0a6wGzZvk){kIvURixN<*F|+Dszn# z*Xj2I^xkVTn++RA2Z5A)IK16_!o!F-{M~iC>o6@-$jx2_E_jwC1sR~b;`vF0KxR=2 z9^7i;NLRAf7l!dyUj67{4=baB@89ae+}4YXrn|UlO-&qz3`!`ZJn{hrnvT#-Yr&SS_7lJpX^`QO#*(rP$C zF3W>MN=^`kwBxJ)3_~Ubt8f=ti6U1S6jZNbpFg1}r+8YjtVN^6QtE+v-493Cr!JwI zP^1=%PQt+#`WgvP0ro1ebzebWJVXw(T5{uqy2a<_arVyE#`-m+4gccJhwVp-!?eUm z7VG#O7&|Ck+5-P-JvfqP(-*#j3LwwJ@5;(fI7B=y!M`!=(f&zY@i$Rpr*#-WdRA4q z)rduWgq0tZYu-~t>XoWLTLur@4uoO*e*tU*ll&Xrx$t6G5Lm@dz+ZPi7=Nk&Mik+u z$}prjF{@cQd>0QgsG_I0&Fk&y?e6GoUqhx2oIH0_*dScb%q5oYT8e4^@L;i^*MK-G z)Qrp{ZTs~=yqq-xA|70}0ba7;;J%LT`V?ELQ-1r_u?xU;-Trt`s1m-*VI{hrq>gk> zTt&%9h~@-Ur&w`2qWBHTh}%&~F*cCZN8hL%Ll|pT?8*Sgnzmz_Vr`_h`qdXhr}6+m ziJ~1QBvz1+$ni@*z!c4katbODNEVNBEx%?^@;`nG2q#~!YzNVS5~7o)VCEgr|20~r0Aup+T$RK%oAsf9MR=w z%$$c6f!PD!d}*QeSioY3?@=NFSuz5)Drr)P8=JEXYy^6012ZdX+OAfeXrn*=wGNYo z;SsS;HX%E4iap9&Vfxyhb5$^qGb>?4{m}blgIhd(^FGGHF#l8kj)#I5TQjXAJWg&M zzWWgKLSjWjO2ep8*RVcdDoQsUDS>EVz{D29KA2Q*%3-cbTPXUcJeS`CkbD!gDn)HQ znB*~^Tj`KKFnQM9o~vLLx;GVV%gQ!)fhgmd7ns|ri=3_Q_<1u(M(bBN8bXF1%QAll zrRMYD|0aD7E)Ei?9f>Y8iCac3-DGB+xw02!;w$!3fVU_z-^q@^w{L&Hbr}Yl7lPaf zCjwSS0V}_C56h69gcm{84B4*61h|V_kN@^c?+E}z9uTy6tCdN6vkJEsR!v>F35%yK z*WK<#Ld0A&ql}xRCQ*+4a>di-gZ~=C0v{HfgVJSg{8&8mJ@R=U;1glSygLaE# zD3Txg4V@5pSMZU%uTR&%iu(jvv74zcDOyl($Z~ZzU{kxA(tD=$w~-3|{Od2@N7J!u zi4&ME9dNsh;WfdOvh+@U(Lu+=qNHQ#7aczxT*KWS52zzI=fQ|R7?Kv^GNm9kIz$ai zL=30XPF%Wd1gK6%Z`_UwQKIoWX9vcNXZ3K=f-<|9CrEA<6dZjZt0K&+A{&A8f>o29dc;~$Sy9OV$KYR<{{a91|NmS6(is2% diff --git a/CPLD/MAXII/db/RAM2GS.map.qmsg b/CPLD/MAXII/db/RAM2GS.map.qmsg index a7c7c05..09dc277 100644 --- a/CPLD/MAXII/db/RAM2GS.map.qmsg +++ b/CPLD/MAXII/db/RAM2GS.map.qmsg @@ -1,28 +1,27 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691880854989 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691880854989 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Aug 12 18:54:14 2023 " "Processing started: Sat Aug 12 18:54:14 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691880854989 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880854989 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880854989 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1691880855322 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1691880855322 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2GS-MAX.v(52) " "Verilog HDL warning at RAM2GS-MAX.v(52): extended using \"x\" or \"z\"" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1691880863242 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/onedrive/documents/github/ram2gs/cpld/ram2gs-max.v 1 1 " "Found 1 design units, including 1 entities, in source file /onedrive/documents/github/ram2gs/cpld/ram2gs-max.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2GS " "Found entity 1: RAM2GS" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691880863242 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880863242 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(73) " "Verilog HDL Declaration warning at UFM.v(73): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 73 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691880863273 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(177) " "Verilog HDL Declaration warning at UFM.v(177): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 177 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691880863273 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_var " "Found entity 1: UFM_altufm_none_var" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691880863273 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 154 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691880863273 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880863273 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2GS " "Elaborating entity \"RAM2GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1691880863305 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM2GS-MAX.v(154) " "Verilog HDL assignment warning at RAM2GS-MAX.v(154): truncated value with size 32 to match size of target (2)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 154 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691880863305 "|RAM2GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM2GS-MAX.v(159) " "Verilog HDL assignment warning at RAM2GS-MAX.v(159): truncated value with size 32 to match size of target (18)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 159 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691880863305 "|RAM2GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2GS-MAX.v(286) " "Verilog HDL assignment warning at RAM2GS-MAX.v(286): truncated value with size 32 to match size of target (4)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 286 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691880863305 "|RAM2GS"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "../RAM2GS-MAX.v" "UFM_inst" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 83 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691880863320 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_var UFM:UFM_inst\|UFM_altufm_none_var:UFM_altufm_none_var_component " "Elaborating entity \"UFM_altufm_none_var\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_var:UFM_altufm_none_var_component\"" { } { { "UFM.v" "UFM_altufm_none_var_component" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 205 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691880863320 ""} -{ "Warning" "WVRFX_VERI_DISPLAY_SYSTEM_CALL_WARNING" " Memory initialization file RAM2GS.mif is not found. This may result in inconsistent simulation results. UFM.v(145) " "Verilog HDL Display System Task warning at UFM.v(145): Memory initialization file RAM2GS.mif is not found. This may result in inconsistent simulation results." { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 145 0 0 } } } 0 10649 "Verilog HDL Display System Task warning at %2!s!: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880863320 "|RAM2GS|UFM:UFM_inst|UFM_altufm_none_var:UFM_altufm_none_var_component"} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880863586 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880863586 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880863586 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880863586 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880863586 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880863586 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880863586 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880863586 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "243 " "Implemented 243 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1691880863617 ""} { "Info" "ICUT_CUT_TM_OPINS" "29 " "Implemented 29 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1691880863617 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1691880863617 ""} { "Info" "ICUT_CUT_TM_LCELLS" "180 " "Implemented 180 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1691880863617 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Design Software" 0 -1 1691880863617 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1691880863617 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880863664 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 13 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 13 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4700 " "Peak virtual memory: 4700 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691880863680 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Aug 12 18:54:23 2023 " "Processing ended: Sat Aug 12 18:54:23 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691880863680 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691880863680 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:21 " "Total CPU time (on all processors): 00:00:21" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691880863680 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880863680 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691903562627 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691903562643 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 01:12:42 2023 " "Processing started: Sun Aug 13 01:12:42 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691903562643 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903562643 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903562643 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1691903562971 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1691903562971 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2GS-MAX.v(59) " "Verilog HDL warning at RAM2GS-MAX.v(59): extended using \"x\" or \"z\"" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1691903570984 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/onedrive/documents/github/ram2gs/cpld/ram2gs-max.v 1 1 " "Found 1 design units, including 1 entities, in source file /onedrive/documents/github/ram2gs/cpld/ram2gs-max.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2GS " "Found entity 1: RAM2GS" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691903570984 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903570984 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(73) " "Verilog HDL Declaration warning at UFM.v(73): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 73 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691903571015 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(173) " "Verilog HDL Declaration warning at UFM.v(173): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 173 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691903571015 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_unv " "Found entity 1: UFM_altufm_none_unv" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691903571015 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 150 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691903571015 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903571015 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2GS " "Elaborating entity \"RAM2GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM2GS-MAX.v(162) " "Verilog HDL assignment warning at RAM2GS-MAX.v(162): truncated value with size 32 to match size of target (2)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 162 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 "|RAM2GS"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM2GS-MAX.v(167) " "Verilog HDL assignment warning at RAM2GS-MAX.v(167): truncated value with size 32 to match size of target (18)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 167 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 "|RAM2GS"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2GS-MAX.v(294) " "Verilog HDL assignment warning at RAM2GS-MAX.v(294): truncated value with size 32 to match size of target (4)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 294 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 "|RAM2GS"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "../RAM2GS-MAX.v" "UFM_inst" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 90 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_unv UFM:UFM_inst\|UFM_altufm_none_unv:UFM_altufm_none_unv_component " "Elaborating entity \"UFM_altufm_none_unv\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_unv:UFM_altufm_none_unv_component\"" { } { { "UFM.v" "UFM_altufm_none_unv_component" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 201 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691903571046 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903571296 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "247 " "Implemented 247 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1691903571343 ""} { "Info" "ICUT_CUT_TM_OPINS" "30 " "Implemented 30 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1691903571343 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1691903571343 ""} { "Info" "ICUT_CUT_TM_LCELLS" "183 " "Implemented 183 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1691903571343 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Design Software" 0 -1 1691903571343 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1691903571343 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903571374 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 12 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4702 " "Peak virtual memory: 4702 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691903571406 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 01:12:51 2023 " "Processing ended: Sun Aug 13 01:12:51 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691903571406 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691903571406 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:22 " "Total CPU time (on all processors): 00:00:22" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691903571406 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903571406 ""} diff --git a/CPLD/MAXII/db/RAM2GS.map.rdb b/CPLD/MAXII/db/RAM2GS.map.rdb index a492517ef0adb2db4b26c8bf9ef47e33a244c6b4..d8031a0ad89997c0461f1807dde090ca176cda6d 100644 GIT binary patch delta 907 zcmV;619bfD3GE4xZ-32i6gL!~0R&=NRR}024(|d@q-A$!BLc~78<8j#iMDJ&a6;Z0 z&&1SYkNlBzdg_t?sOSD=?R)lQ7xKZ81HzKm9>3rF@cZ)KNRs47oM$6VlMMF_Yu_8* zYU9ob=hrm7hDUdB-p6?pC$c`oWvZC$tg!GH=AClKoc43yG=I!=NWOHWxA8a#1l-X4 zS|7n|)JNk#9Arp1`w5pLZk@i<+8z`6jr0L&yx^`|}0{njT4SRs90V{xN=_Rk3 zghTB_!LPb;noh4L$&PM2BkE%ZYdh;O8RuI7`^s?%JKA9NF(|YO=E-Mg;u4Aj_56oUY|vi;3e9p(6!mzFKl5%OIVoJhI7(A2;w5k?;c&`EzFE) z_>yr2&BzZCx;xvO!v5?M5ZylpgSD*`1zSiCxRMbWTd7_8Z~&*m9i#6N)rjZ}vWhwA z&hi4=E`NF^=(if>wH{L{=F6w9kD-k*vCuH(D^@sf(ASGDi?Xocs8GnVZ1e(Oh%!X%zwjNa~xj8 zWPguL^sv3c3M4Ub$eO-I-J;{^!DMe9VWj#bAT@v9U;2k7bQK2dmC0sLzqh1c3>S6bF-{yL=3K`ExkYmd zs9o-wEtg#I;dFD#xxc5sAb%{GA@%Sx#~gwZpvdKX^WeOwjU-9F#Gk2#@LAI4HbfLSoya&y7U ze)d9p1Kx7b)qhQ%Cl*R9yjp?NTG}2+U5f%LuL=@rA!Dipjn!$u>dL)hh65cf;Iw6` zgO(Ym5EI$66@M>)38B4fy@N_fZlN-|34CZ1%7#iCUTZ3$Y)hy@`jYk~zd+AGYjkZ` z)6kJdGeqNMxNsD`ME8OXbB7B+Hg*~dqG@e`PHL~yoIR| z4PP;?p&9uhLJy}$W0*{D0nzfGZi1v9;Qj4}0)RxO4P9q8bsMK~^yb z-7+t*?SGNyAT*l zlyn92mN3Ns`{4=9r7r%C{HQyjxfpl9eHb3UP`QcVnJ)@V(8^1A3Nwt@*w>JcAm82p z@rV7PxLi%JKe(}&i;>*JCEx4k{UQA91%_wmry&gY2BXm+^pe>xm}`#1 z^M9D^k%=C*->?En44krN(W1Vj?+zpM-U_-71NO>fXB6oVSM;0VqAq+%%(aMxzbiQmAcrl; hheLP_+wTDY0RR7Z0pez0WDsCrU}*YiqqFD(1p(NX!m9uP diff --git a/CPLD/MAXII/db/RAM2GS.pplq.rdb b/CPLD/MAXII/db/RAM2GS.pplq.rdb new file mode 100644 index 0000000000000000000000000000000000000000..9a85185d239bac5b81e8173e3a8532b4fccb2849 GIT binary patch literal 297 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4Lk>KW=8C^(g7=ApFah4i`;RxP2BXtL^;5cxtT#=Go#^z!<KNm=2<6Q7(ar*n&4!;~DI654E-ThM=6Vv=i@l=EaOGD8d$CZT! UsS4SSr<`JxofdR~{P6!j0KC&-mH+?% literal 0 HcmV?d00001 diff --git a/CPLD/MAXII/db/RAM2GS.pre_map.hdb b/CPLD/MAXII/db/RAM2GS.pre_map.hdb index 233fa0840910e13e00208f5eacecf53117118f63..a4242b264123fe36755f3f5db39ed813c08e32f0 100644 GIT binary patch literal 16354 zcmZvjV{|6L7OsfJAr}j4V`6rWU&Oz-7@3(F znSU{H5i3a%%UC#@5Q`dHIDh*dH2yCHGxvWZftbMm&;BRf1N<-V4tn7Omqj7!^0bLS z5=y*R){%5r$M6?=oY&RNO~K)EVq>-QqNC$ZoiM?{%?uOE)y#m%-y9i*si2_XPq-AS zGOI`o$xTQknVv|mnuu_ch)9?B0URzsR8-WY`>WedWqW>eQDry4RDnxRXq1rOJJ~?gHg^{dXYFKdw0G;>DSsf_#%~BDhqDB%c9B+?z-ky#%)(>};i8zV_5-x($>@AoECTK&kO*^6-vFO( z0&LbppWsN$Hi{B+7clp;$ssv6HVe<9Ei0w{w&mJ_;9#ygJynX6v+4Z9Qld-@|Z^%NI$0Bp%c8?7(5NyFDwNX+_fI%m%;llq# z(t02*J)DGSUIX=o)c181m`*Ntr2Q@j5ATqgEN^uoXS31dHJt)fs^>8^D|wvI9kFzj z_K3UOZ0Df4ngB$Pyq%DFJ(-S>am>f)D-Gri7AalI<|z@?b@J|L2gEF~yVN}v5b#WU z(cYqq{t;zklam@F8zhaRWAY%c9hQn}fMkvrI-l+vDniVTA$U*az3SzE8R=(svc2G< z9HYq2F_VjtN$PdnR}TM_s?}AmjN)&}lU?J)MJ_@*4Wup|ExO~SxBsJWSdW>^kNIx* zC)q7C*fin?yQopjQoJ{IQz+eDcSL105PBM@(c_@Sz@Ayb$#`R8J#eZ$HuL_+bT9Ft z&3fO+XIA!n&eilVW0_*vwe{MP@NCIMY07iVguuyy*1}WIXtdB{zkyR{x&0`KV7snH zqoabwVE*L5B>SK4y!C@7q*DpruWC9=bZI9Vvqux_ERn8^x&Zv>iDiBlhxjkJN9yDC z3WW%=)b&EZ%cid6^23A%(aErKfd}W13+%bz%tKqOhk9J#KmI-?WvJkE=V12tuI1zV zS)zFl8X$*FaOd5uxU=v{P?qlk)N6ROM;%MUjROr}uRrUfMEUBK7zWP9EC`#}W39*VFQ}4lWNw>3+**9~Wi|)o0y*UL>mhbm1&mG!%V^7G#FRW9G$y#7Kb~ZW*OK|s%_03fR9@TzEEV#03HD@3CEIw{Gj7&GU>8*A!ekh4xO^Y7=1c``aqkpEd z7d@-}dYS|@r1*^7v3bZza>r>jq*^dMZ@(W0&6?9c6#y)Cmls^PmwBD`)=I19TaQj1 zc0iBnxDcWbHRa0Pj|T9GlrUZ!h9`4^w;MZn+1poyTb(rB>9E==c=;-=QdO`fv-SZA zoKQ?D4~^*AiK5N>2;J7YVdOfLlVsDQE}c=X&lG>*~zc*iZHjuv_O z5I;gWVXL<-JErbNb_cirdrCwqLcF+9Laf~MSBcF0hs;;N)cpPqi{a7pbbJGY>LkvY zq$i!bjPK&nY|dPibTJEhex$cta(Zo!R$R-He4C6xCjOp&l_>wEL%fld+ghzcvuyLY zC>E2`yP1S0V)+sL1Nq^ug-ZKhx7aUu>NvjOX&mGD`!|}>VG>^{#G4D|>RF`!_^tsM zeAf2$oyrep7PvlH$Zc5ud#pu{k40P*!y5arUucny>0(xXPLb-Z{gzMc9NBbx1L2Wp ztk6%f*xH65$>qDX349ou!E++^9S>{z2rG5m@;lWgm36Mtkm1`8Ni3ViV>Rp0rtBxA zV+rJlCnUBjN73c?jcg^>>`4y44B!HwG$#BI%CP&!5pkAw5z~mqMv(KyRy8pb|H92D zuI$D%szWpLwg4&MX5gvfCqI~w&cf7!H`%em#f^-*9dD4n{IBDZ=|2y?IIOmwxm-#O zIz=_1gdQ+WzSaloBUta(>O;ML#E?`>sMCY4AY&!Y1fi(nAZSMJesLOIGHz>(8Bh6K z{WU;d)4CY$QzPUjF56NUh}$n^6GL?@N%D7g%4pcvGcr6*1GI*4nbaOsJ}?6g3AMg; zItgIMx#YEm4P)|wf9_WUL>==$gPGC6yVU+`^Axj@<^Yvsl`{LB+`O1rHam_rQi0dG zHQ_wlfMKh3WvH_;#}fGI1d>KNQ5_ASh!$Y%!Y;DqVl41@wNlSBx5{yNa-oEfJ(*WH z!`n$<=;-VgK03QiLMa{=mWIzq_$_#R3&-C=X?;CZ`A6IILkofD zZ}-AYFEO5u+PQtKl$d2_!77$RdN;OU>HZYOa$EZL$N2#Y76~Fo(@2utuiv!DKrX~t zT*C;HQ(BW$2of(5632lqp@VSmkP(z8*aWgO3{vq4T9MdrwQ&5KDrByLYy+(;8!^V9 zR=9*7{rFQkVP#0rc`F3z)sOEe*sZV!?iEj!)n}lTm9VK9e^x-?tK1;Lb8q*7 z2bH0$pn`zE#{$2a%%Ip?kU|AS`Qj7Hqm*}g+RZu+IKREPkHN( z$e_3!S)1%lj2vJP`YG6?SHoV64~mWDT`cf;|J=O3orFibrC#aYg#lK-V3_@KqXWp> zS`gofWs-$al(ZPgrcJed;JbeWYN30At%;iF8mHMmEnG>dq7B*C27b($sP z2Z~kHe*@UMkRJAY6Q_LsBa~*mVY%m|C?O#3Ib8NIDs`pbIJd1=9UHS)ZpC6e+KUQr zPM_7PjBDbIPiG6(#`LKPP|%@7JKp7B+#hqHv67);K#SX_>#faY&Ktk*Am7|=sCC=F z@OZta;2dtl_+cvwfgruEfKim)0$Mfx`==U0zC!3)CugvQ0dCC%h$&ueAapJ7Egx}> zX6OXjF!dNYv?T68vX;ongLyGJD&zQ#1FoEg)JCwv5>j*sX{!DcVbn-VWP^`~>=|fK z+~2k(A5Wd=Fe>XYYt$!n$Rqi(USmgCFw4M zN#!1cHs8F74WJ+Vm@Xf&j2G*u9tSDnVUmsdvivDb0dl-CC1o7CT*;UYdj;Zw0E-Qn zPtb{(zXk;Aj3I%@)BmY4|53Uhj!18TO_% zc;V`hyHSsMtPEHo*BV0f5sI-sYn&9=KCV$34t9HGbhJ4427c@BqN9eVn4<=_*l+ud zTimz(#x4Gc^=U?4q=-YTa#h^kg%g`P;m$vu<y zzNrO`TCk(s;H=ckEUr=nF9TS_3}m{=#Xtm|OwyK*V$)u!7=DIYhu(m7VjPvZ(D+4q z^B@0g1<`8SAY^;aFj+y%wPwI8Lh}R}?SGwB?Y@E*vVTaR7|A?YL^Ev`G7UNV4PnoB z;(QAx-$EjjSP&6P;apsF(M~U7JC(3%JL5MT-vGW5|3>~BHDT2ty0dvdrA6U z%d~}_eo5K7vM>N!M62%lFaostWG6&^zVeiLi}K#DG}cm$66I>}&V$l4WOw-an z&Ig=2;^;;=;uU$E-IUAEi~75Hmid@@c5^&#ZU8qB8#RTu?BJuy=D=rjji9w>qU%A`S#Q3CY@OUy&g_nC zD`f7dV>47C3_;q`{$90O<~cyUyCx;cFH@6W^?7VuL+WZmC8QE$O!WuIt=zIjB~A=0 zEx>}9>XqcNvc@pJR#4+^*$i1AIVv+NrVJoyx#YXalq9EpYzL2 zmiaRF5gFC^&bh0JE!F|km~(1 zvXei+`4ej@;UxQ-xJ%yQSLI0N_Uv$w>j7~xVYP$% z;8;3xVP!*SVA8Gl8|prs4jk~OPDV!xJ@Yv{2_d7b=qH+K?eiAa2~0_$LKy-LBfgIU zlg7?-GGdvg44uzCWjZb+7K^fEVCn3K_Z&Dj-sspJxI07PuIt$U(iwlWTn`Qx4`m_L z$13qiCpbYCC+7U*0((KWz8PSIw-@X=*hlJ`oi?hMqf-+P<5q2oX>M+O5Iyq!2#59f z8)dd!G9WFnwqzs&zgsv>#Ik@&z)uuXrx6HQBAMsIy-L9gRv|voUqY|n1^bKuh{05_E!ln!oF0hD>B)WMha`nowb98#@las%-kxn?#Hka zrsW2A1`qPwB(&iZA1uO2+PfCHPI9)GK?^T2UV zuC>U#J!-p35f0t5W@aUFy8SJNlPMF{OZ3{@Xki3k&*eI~iS$DM7{(Ia0`E?$osHv8 z{ao%!VMWWWST?~UI*+o|Ol@MJUGA`GZK9~$5-H_J%dRV;KbU>X$mOLQ*G^@V*UT9l z=5Ce5v1sAsgVRH`fEmI$#-kki4QL8+Xxa%2;Z(f=*!|ACTgXZhw<95x~lCbCfyQ4vm#dLX|6nM|I8V$hEmA+J1r zhdJn)n27d7b3(x@xCpP_#QM708UW(+Bo%GvF;`^e;IGM;U+dbCTd1wwxzii2)q6l;6?5ts$S28N^EN5tWAu?5pyoIzl zcXl?CkS0_@65}!FV|a`cA%0O@jH6(@WtX7<JX-mr3xm|+jHV$<&pi6113KoSYlVh@Fj8aru8D) z-g?I0!tuerqM1G1vt{pmQ5%$M-hDSI5N{{4_D`p zSIQspYK$A`k{A7Wd?tsBHv4)f!p%(Rr#<*Q__;R&OrN~g5A@sVrUXy9f%L8ySJWT9 z0Z~C+go|7q;i&org&CYIat~TkXXWFYwESs~9wVh7mCCTMIsFbi~1teJt zfr}zYuu-H1x!_&Qf2~wq>@Kud7i&H4QkvLkmz^s#I!)TVWZ#ZdXgOS)T&mXKQ$+SW z1JqQ7-_)$P+lrxrw}C#0pHB1tqWdN?7Fo*IOV-=it2Gvx<{K^c&!*UHY;1H`@Rl1I zrz?(5py$Q695KC(Z>u{xRDGaWs(a1G zW@`rqOFG@TBa)REZj&7Usuye}2TdYK7#eFE(19P*4WR!s;vpA|x^B>2|8O+0Hju$? zY+|zqD^sNE8^c-w4LdMr=wwJQ%Rv!i{9)MZjPrQfo)7@~&Or&23}4+FOLgz)cVhLC zZ+9K03-Pm)z3;Gtso3Mx(%#GS6jxv~nPCumKBW^Z#Hhxlq0;us{M`CVdwy|tv(Z!3 zOU=!T!!)BRw&^mNww0WPay8|xwQvq9p&hSCaRLxLIS)4-2s>O=rw5lr!K*8_@@{QuPk`g&qt)5bWS=gf^@pIRXyadJkIZg7G^2%7j0+EbZ zH1IX*l8j3s^$Z#9U>^YM>lxV5N1xq@(wDcH^Dqg^aG=qvx|tYBBRyHqnBqpmfHafD zK{1!FKe8@vanQD!D=dcAWPLGl9lRiDx-iAYzWSHBv6IMY!rc2+h5g>|zYYbSJPhm_ zuENG2+VLJiTqN`o@v4BTQ}$f3WSI@?IYO??AMw+G{-8CxFI;m(p@{>D=|+{$?$F9hV8_|9Zw4|^nPAT`21y7zr@4Atwc~AvN{$2MPCU=_QxbW2O`j<1=$g6YE7Q0 zC(a?rj}Jn(ssEI!&mu%-T#%T)b5$hcXkZ`2Nap|#_BZPwDyP2r^*_}{k!{Ez*%K_S zS=MNCsf2%6;L?ENMmH%zC~j zlv98s-XF}qZ6}sKXZ_Y?qE{b*52|sZXWCCY0S}BwmUm=T6rbeYUX~&=6Rt@!q$Z&e zB$8m*im*d4gn(zBcBmdj&1km8B2eAolUjTom~NZ|v%tG%etP?+X#2-$1&MKN}9_m#zn9+kp$ZH*S_kqfv%bveW`w!+$ zyG*FP3hNyrbuJKjQsntXH!YPX_pV`68YRV0SC;EtRliODuZtOhjN!Gl9Fx3GPx~Oiom}17MD2z|wgPm0_;6C$n<_a{UP%9); zu5X@Dq-t!Fi;C9R%+@%Q<2FRVbE>rda|Uo1rhAF>;Sadi10B@+*J(6Zwl88P9%+%d zwkg{41zDp<>1{IOf5y{d8N{!RGD;wmr4bI7E98 z`z5RQS1qd|w@~>+N1=r|EfX)Z6I|ECl&x9PY3I<~Lo<1+)9lJWTL}4r1;+F~Cgnw8 zNS93d4fiVaf4Z1?bNAWA=sU|*=K4(-^*Cqe)U=B9igSKLl4Fxw6gJi;;>$$adzShQ z=WIT=_Fwo9<0CVmF^gMavqDMVG=;li62($&S;AE|hjf%bC+9zSe^H{_MR}Or?8=M| z1BGvz^4u`C-yNcbi`}&r+9R*vEB(sQ?cluBZfN^^4t%a>xSCqJ(Iz}UBos6^s$tB) z`Guxeu>o6&1b5z0v!eH7_B3AH19Q+1WOb{1T;*-ydKgpe)A4&Y!ebiVoSXQRgX^J5 z<M!~0#erxq3!S6Jk}9`A^;jWNVK&Dz(~ zfIzKwQ{Im1bspa=_;KdO zd(aQij-w&Yq>){wv;j&K=$tBksWEUPcp4%TiFdO^Luq5@<APA-oB8%)?WkL2qa8fan25?09V&?)Zk*e7X3768-JR%bRfMryG~ObZYKC{G z*kDg53WW<{2r^e`K{c8F?S75twi*;(`pnSRE;RnK8yjl>&Y)`*%(4B;2Q=7eZO`u1 zWPEAc7R0IMEH?M{Y?VE0Ob>df$M$o?t;yOqjcJ@()AhvOcYypC9)LB6K7*06EgbQf z?gy@^)}{?hW|(9Z%1yc3Pl(gO=j~q`M_2W|{}B8j$37NEHT7IzInaj7AO6isZNHAK z(U^20?~c*J?K9$FA9hg>GWrl9mZS-+ta32VwiterUf=aK{NiO`W4IX!9;ltQZ1l6V z>6{}<^PoWn59!~eyy5(HuR2twaX2w->R5VX&X~CkWPq1TIjYFQU&!~t?%T@Hh&oyHk>moU&Ztzy?+&3q{ zcn_blFwzZB`2O>H#k~`CK(LcLL^WDw;jPRl=|lTDrEb$M{`cd6y^ZrGj*=0A5lZ6+ zBTivPz}nisBd^hBfu`c~y-)obwgZ`;`&4hQmSjpni=&}&UtmADDC=_E*y(g>3nc>pp}ob_U#w-7Mi5 zp&#R{u}en6ZZpTMAkS$YKD!cTS@h3NMj)NB`wBd{FNP)XD!_+)aco1bdF7G#@h!*%Llh77AZ8I+wy|_m zB3_nII_ddRbgS!9ob!OOBdXz$(k@ex9hApg#v(IN-7mMM>=tmuY4fe*#MR|Z&UYyFb6 zxHZq3X0M`5D`IW69ifVmproGMW@o@oD{8Qsot?AuL3`l7bde|FEbq4(UY12r<3M&I zhNQWA*^!3t^~#ToQ<1^2){eSX)pl(rf~>;)c@cs=Mc|^9bf{9gPA zm&iw3qvxX6Hhw$`636DgL!s4?Ud43%nUSshe z(6#b2a%ULxH8h+VLNsM(amWSsFzKK47CCQeh5~ZKs5p0jY}zLM&ybUJ|IAx_m+F`b z*vuPzXEsd)Wan3l4Y~J6hF-Q-IQhK-oG_)hA#;xA0q&nqMWeSn#@jOz_Ge>l{-CYS z1moO2j*_?vvD0td1?QPYtmw#EA`;G)&}&2oEiYjs+>=Vgo~s}%gWsP+ zq~;#ALkpmOc5b;0rZOUWB7C)nXu~MDhqxP4sJw|uE>XE*Bp9mv14lCDdbZ}Yz4J=# z{T8FFl>w(zYDdSN@7Yh@qYBr15L$&+v7!9>c zi8v)sSxJoap<~s+cbV=VEK305atyIpv1CZJG0d^rmE4l5a__`^d+|KJdux6kWM8Xz zFQRq_z^xHR53=ryn2vrt532Z3!6M;k56x$vL9J7@&IG;hBR0G9x35)SW$g}hwnpr} zeG!U`==@p!(4=MJ=ig{tFn^CLL5f@6m5vWTrS#x_GHUJco3}En4pjz5^h$MV<3(_a zTolFR(h`S5fl-(@@CSND2|v^9t}$Qk!h$9kW;84d;u2jYQ+c4|tx5LlX&`@j?sy1A zxREa-s*X33xWv)KA^0KUJ#(&zLi0;8xQ1NfV`}`+U6j;zOSj~erDT7VRS5N! zZ3Oj|MMTn5Q-R=e^FUI!Wkgc9?Kj^V9`WE^{5`0^&2cSv>nz@jAaT$+Tz{iOhZBIG zd5J2ll&%zE5lJxEEb42C=+(gQMEpIU2;v@EPTJ^jD3R#!lbaBwAJ*FDStj#7ouTyQ z^6EqO1v~HgE!UdogK{fVp>DK2$TeLfE)Z&dcse?bXEZ zgkbA&>on#)vb$C9LG_i*?!YFzV1FV}ai2vLuPpiDP|@4(xF|yL8b@iZ_JRW$ah!MW zt$Ax?8#Ds&k|(lp#On0oqAY)+>vuw`{p)(c^Lq@S;CHh4InxYshJ|eRp!Uix;GrR% z8#>f4cvTuQ$kuabXyT>iVZNE3!IM*(zm3Rx-bdxD9dHFQGp%+7KF3l}^<5DxfxT*0 ze{{QoE&01yy&oflq$!ej!*{Ij?XY{*wBddn*B51rt@M8Kf|FMeTlMADYGTLTw;yVs zQeSI-S$*RY3u8W$PVM{$a+ix2@nH40p)T@gc(_+rx0VzM|D~1-1A&uq$P#!Oa#6ES z!iakE{qi%+g9e@egwFuOYrLplfI9qVzTtH@J!JPk?Dwn4UI08NV=*MacvcVZ9520M zDJTrdIdz=3@(u8Vx?-GwgXjU`vy<`K2xM>CDsM|0Ix~; zq&&ueUmNjfO5pP}$d$**8(p5SboulPZRaAlvplo^2w}0DyBTz!@vg z7wy5;uh{E7AL~_^@it5s{%>xo?r!ycvj1&;%kCuHz6`wKn(;f0+a@nj(&;?$Gutz! z-GNz0LN3IdQ;+Wyk!N{*r+#!1M}d)hNt@OB{lfT@b>u=sc7v}E+KzUr?MA&<7#x39 z7lC{C6!2Qi)syTEo%w4T1>WNv|4~ei*4XRvZUiS}!f)3IFFraM5rrqA0I`S|`TB2X z)xm9S!{a3eVfQ=_IQdl_`^;f)WxLtf;%Q}j^JN;uVp;=9G=KUt@nOQs+H%%h?Q7E1 zrDH2|t*fDR$Hta}VQXP!p%U}BV@6MEK!or?^0S;ktLhs|ghMMPI|2wo8GNifJiIKz zzA)zD+QntM&0)Z7W3rz(BZQEeRl;@x(e=f0CZLi|ITi+Hn<|tZX4fbP=b!-;=Cg2@pb-scJJ(#zX zBB@GM>Q0YQ3yeIlgOz=TW0sXdQ;Ma9r?gk-jN0`l=MRp}5BvS(?LX#MsfxpDnlBOJ zT~Vy8OyRk+L&LLWLgGad76i~@QbrmjJT=0aZBKPGZkR%T#mKMaAv1blYpC`b2;I%t z?{9-UPZ&X8dD!n?=s{n&_Fv&%MQOL9*wYYgKDAKVSwvqbJI~VHR9P5~ypzTs86)dw z#KF`7l!C=U=-eONLO;Ie6u*j)U-k1&o$y-kkG8_|5z5)xEes+4q=11 zp&MV=T?%I91YoF%d~gw-d1X9y25@R)kd1nOi7&r%gWJ8zG=}^9kx+A zLi^1}_IJlFFQTM?XGLwoW)ZL`sU#(#89jhy&n_##E z|Hj?pVFH_raGHD4?-jnteU*Wul#)dom45STcnx5L^b=;{?7kbpK)p0m4t<;3-kG@F z#?vC+m!*%tIl>%iX@g+in)`uc7Xq*SLLZ`M#vIbOF5 zgt)o-8U6*gW0AYP_n;FpvrO%LmzuaoIB~ntj?u>QQ09dB$`>+oMQ{A_0NcrZcP6&> zdR`5i|AlM*UJNKpIBe)vEX(ZH$|&u(RVY&%67&Q}KfEPbc60jpk=#SU=G)N)f9A2g z>iQUN7Jg{Z_-w%V{E!&eOqcw`lTPgJR@$llDCqT?fE5 z^i!*5+~kf|Yqwl38IA$;;6E#cn*7?+Zm%$d{Hn3vw+yeZu!6QrcT&!CEtsCjdbmK% zUi35BM znGZ#XMF5)nJJ<82LH}PKTm^nEW@TWttg&vAdSJlQU%|fG*heDr8$N}9b2oC2;xl{L zeulmcs?qP{c?3sU;f|noPGLmP;|B<-gdER?oKxU>#|!tY_ZEXm+sg2tZkN|4kkcOn z2%jJf*aUX|c)owd?=2OA1Lr=duZy8^!v0DIF+!#6)yLgfoa{$Z1G=!^xdwNp!SIp# zQrH(>Z?t^VskSVDfc!LwX{Zg8I(a2OL&0~+o;SD8NTHL&n3HFM%Z8L@(3tLuD?Ss1 zj7P)kSi|cn!|UY1o$|=ub`ZCybkKg|Crzq*o3wM86I$4Oz2j*9N&T0n09Tyy=DtS* zu+J|pi*5>t545}+v#2N5XU520tioN02EZbLE{FT&xhp4c{ZDmHNIO-7tLChgOlr;5 zA}EUOF;R8cWQLV`hvQ4W_9j~!=)rN!d}k#e^@u(r9ik_VntKNNg5zu7)kwIe9L7q% z9W`rBO`9N=cJ?Gpm*=jNG7%+Vtw5m#;y?Be#LqEBW`CIhW%fxwSyo1bUzJ2&M$0BV zw~Q%}{1(!$NKcpvD#pVinYR4fyHNP~AaS=Vq~N0b`bX_YUc1@fiFJ6LOr1(Ff-!r!&{nw}lPsFw54H>T{`xRWsB<$0_XuW%fhDRiinTG%rtGX1dLaGtu&^nhzbi1=hW6oY zG}(5qz|ch9e}q{<$Qs^sOZdKpjpUP@E`qh``8v z;dJXbfgE1iB5Nc0WgQZ4&~$GLT3fwL0Q|Yq94sEIXgXhvIqd6!o(k!b1u29ilt~6Y znlQJpU7^z3?MTEJfewG_$7+1G>*gE&3mqtJD}x zTMQqoT*n_&dK5B9a%PMe%u7n+je%tT7wGsAEIT686hk(9hGN9RmN0>GmM`Q$byC|E zzHCd>fO3GO)TR8*wqi?ZKHno4YSmlis}RE2*XINt1MRL87RfNn(AMW1^zNh?zq|Fd zm9^&3+|)85!EVcq>@p!f$@|&R@k5er;EXt!c#_ny?;+n6-6mYFYmFsOU?!AKAhL42PHty`I*ku$)e=L}w#K|>pm$=;zE5`$!8o`$K;!$C z8f#M1n}34Yab&L%o^(8Q%xR0fq5J>F3~}8 zhd4ycG-YBF_LLZA(O{%&UY+|Z6uDLixeyMaOQt*s!N)ZC3`?O&iPk9p$E zI<0wgz>pw6v3WDig+<_ynuPgXP2Np1?%Y|RYkh$%?3y?RP)4v_a&`)nwM$#XBNII4 zN+Y33bfyXlNO(Wo8t9t6mx<9}CD@MU%>xlr&8Z9Rfdz}Z4caL>6N;=fYVj9KMD-WM zOC_@4d|H)o+U=rdWUEMoE4mZh3fD1{q737JcG#_r6ntzfMXtuJKm#wQIc;mHz$InU zRg$Bce;%Gmj*o}=EWV&G<8|Gtj@0Ec+}MYMjBVH-FEzo|1~(ksUXd>bMMolc`Ed|GklFQ8%FhQqi2o*OA9%=JN+ahJ8_;KI<2iIkcSh^dN*v_Qu-U) z=iy+GuryU}a5uO1q5czdwK6Wx1EtL_tK$pYb`Q0ui|GUF#{V=HO>!$u>1_7%r;`ecqZIvv#oX=ThP}UlZ#!JZa4`5;CKH?2#CO z{%NK~1ABqlLg;mI{r);7HQq8L5s0;cmT0t>2Apjfc!(Q7WguZS5El~rF$W7p5lji) zOGBn-=GF3RHYGSZIOrnu`|)J>lFYd)yK+aA*Jjjn>;<#WS8k1jP{&l?GB zv!uT7{k=XlwY5pm#!^2eBh5h`8*I6mq5MjWyr90HYbnuVjyotI5sqO^?vLSz%nFY1 z`Ac8M`@NrCFbIZRsw_~G_xNICb<=iT()RL_Z=3JR=@O=%jy;a)aaWuVp{AC0HJUz* z5C3}IbK$r1q$+C^o}lE>>IJ#N*pn}J4g^otU=8v=Ng9qqkWquHzFDu_4-S9wU^+)) z%G3lchVrl=mgV8Nelh=I#GV9GNwIJ(kBT3$92XmYFa}hP*jj0jnf+xuUjc6XFSqQ_ zf?O1cd_4%oF;Mu2cunr*xW{cfwjh%~svxgk^=q63NnPJYvuuGMrLV9e~`dx8_XH;RuGQ8Bius z@-LV**=p&x>W`{Teupk>1VP3qXZ8nG;Ui+zm@{1BsFmq>H7YT@FK~qnPh0NwNZc4Y zqiL|tkE0RX1QgmGfYhS1aLDa1dP%S$cPlP~FW9dw3PU;>x!VD~!Cx;*aIpQ>?sec7n zD)2DV4WQm>sojBLVNk&o1%E2llfd*b(yyhLuibhACqqKU^0km_G6+Kap7^o-JP|KO z`Vf*0B$)at3{319_y_XByFL+ftE;;?wl-T+lnFe~?@985uICoi4bQ5`7!hLOu_8vQ zAzYLGDv`#SuYWH-m{i~p?+KIO86-fyJq~!`{dT@7dAHS`o&v2pejM%>VlrsQS1rH` zKR16GP&tT(p3NdYN2fIvNAD)VVd9~o9uk{-oyo*7f)<+;Re|I@YS=8JUZS)tSK|jb z(LsTXC^qzi4?7y7ayjmL5u@mkODIGL)VL>EfmV&ea&RQdql6OGIeLlqNxS#oLBC=C z4B{YqRw(3E7or`$3ojcv!H@+J2{U_y<@oU7*N9n*uT?GQlAZobF3701LMR1P9kR433G>B^?27JPN&1RwPMc%bSs}&yrWFW^XArxw6<1!T#D$ zbk1~q14QqVV<+LciR2qv3-GpCK9yP-NmHpQ^gi*a>!r`p?TD-YHRFe0;qmjjfOX#T z9ftY5r!!1lb3m1~h!vadjD{T~?RIYx>WWicQD_A!aZi?OLd62k<16iW?+v7+2Cjid z)P^r@z=*f}G~qIX_b}zyvL)n5Hmu3Sgtqn4c0PoE7G1=?@DC`w!PLspEqXdXk9>V7 z?j*I{d#T)TPjW0OiLl*dO-+h&3-9eT`4J6eyt@@~CwYb&YLEm00*?n3BTx+a`$Y%3 zRWj0%Ll9h#*S*;Wt%Xa*0S%LDO9i3D@Ri9(hkAO}CF1(ylXvn`HGR-WLjB!gsq zksfqIP%iT0)$)r?oIMmK0Mo9yqyUe(EDv*LUQMvC3CHP6@|G}Grw?;>wIo_c%YeqB zXm8}~bXwHw`UgMg-{K#gBfkS-IWi?(GSv;Z<#I>O}z5)3dr@$MLtNxS{Z!Q`W^3;UueM4P90_`Eb n173w_L6{t?lhOZ~hSy|h!G0(zkPM3ELMAuo>-(Sludn|DuPIn@ literal 16509 zcmYj&b95%n6YeJ2Y;4;$Hnwd$8{4+6jcwabHnwf&jgvQaZod1wf83e#bl0h=Q>SaX zruy{LXHb9q_)!f5^4m%?#*&XD<2QCXwH2GR~ zd5SuUwjeNXpA3|`pOGcq8b9s@!{8ex?lY zn=V%_t*GR`PJ{{RAF1b^XyBz#(T`rE$j;nXZdQ4(%f1(3q!n7NhJB&K?a3&mi^X1t5j*%OzRDL{9UX&=aVN@8JKVR= zp^*g20v) zcfbu^Ye)nCbSeaOc2D6YHNV0}y~0PX>Wt8^DfWhW+~&N&Uw1;e(qc-7%zoFTR#cNE z*H2-oL;_uRy*)`d*Xu9A=N%o=#6hSmm~G&v;8nxzY0TE^VM=xcsIE7nQ%)SL^``cVY6&@Rk!`d zrpL7iexXFevn*UTN=-;71elvPVheI{nxSD`nd^p#`*j(MuK z0PFd#OPr~=6CH;#$<S9+D=wj_1|p7km2uiUUI}3_B|1GnHN4rTfW& z4b#Cfg^8&yC7z;9+k{4MmEylW&}jwT1_zw=rpO#&*Vfz@}ooPr3``X*bP1 zidY?6FF#IxYOfO8TS3v&lZCTf}fX z3lsHe;ZF42yHsEQvsE78gO)Vq(jz6cvJLUr?Y&J=K?7=-Q!I{tj5d>7I8P2ZU^>{p zC4u3UnyySj=2w5TciS!vX%x)~6~{OFY^M$3wQxr-+Ih;+YKvZxLn?S{Ax>>!=}BAU z{5^5^4xm`Rdv`rmf9$s1E**-&Qlr7oRW*xp*t8!q8soo{PB}4pg!_CS@>N>Fwx~aA z_4{&er?VCRi!$7Q=2&^*$`z;iLMWCasq*lP>R z`O?pPa?Z`Sk`$nuts(xMJZUqHyA)SmF8UVxes`2J1LJJRO|mhW9>_veHGCH&SAN>h z=}$^BJgEFLe!iZ>z<1;izU$V^kyi?(jWz2f*A$G3%U-L5T#IX=wXXQjvBD?-@g1uF zpm;9&$3Z)>tDLUH7b~sB^fkt(w3!;PnZM^C=v zxI$a&`#QaL4h70Yl30t*+i!(a`@?MYAN=x#aaDJGejLh|znevY)H(P;3ypryGVHhlX9u(GLXj_CY#v^!S5{eEN1}FxOQ{9@^7RmU^N=YR3wdl^b2aJp zC1}npn57SRk1N5oXgBiT6uWg899JRd9=XOjKlnq=9jHhG0l*rC9cOM6{$yr$shStfr6+=Iwtk znhvZQ*Z^C7aofsxkPfN6&1vbF7<3@Ag<#obL0lU>+~qE8zya*0h?MtNz-VUqy%W!g z>J<&yJZE&_a6Il+G1+xu_xG1lnQl>h5I$C)+OXJG)Jp*YehkO6+u*hZW=QS8ztz&%?DZPf29HNsGrFyZDco{{>b z63%WHBp{E>I3tA2^0==iwI-ldGk)E}EMd2CU7uZqX^dOm$q1I1X>RYKi$bo?S-b&aSpk?3|B zAFQFvW@TEy%#TCZEZ6kJ`WIe^>8VZ3*z6W|Vt(&$a^Wy5nNa+dG+5mlCahN-BWBlE z1IcLnp8Kwi`BTfqO2z5-leFp5o2u+1paqKtx=brX^ ztT7mAAv@Yb1NVxfF}kYKwIV$PSdhr2VgU+Wv?LYj1C@I2r8Hbb#YXUKa}NpapkNp! z^8odO@$LMvk&7AE(E>KfS>uo9>v-!#U_9?W3G-l2+$iD;AF=S}TR5!Z^|zwyTLJu5 zbbTx4rt7L7*a}noym^QN`Un@gL(A?#OIEN`8s5+bk(nG-M}QjV+WLxMGFru{v42;8 zz*fn_-E^t>4()bKh6gM{+Wn=ZakaBpKkrQEW3p+GWyjpyVccM&lDK|@jJkshuNr9f zR$JcF;-fjYr^`)tX-ke^_mixwRl=Hj*Ifz~NuiC%m{alZs$%R64DK^r!tePc3d*4eM|191id^ zS>IbzdLMs@ks1mv8t!bZjTt8fFD>0JNX$VzK(p7iCUpq*ZGm}@d6jy{EK8QX0gC_TjHPzY-HFmjKnK@fn zzCJ0jY)*tZnGL0V&hxqNM0T;Z%<+iM8XiW?pVtxSE1#b~$K zaMcP=i1m@?JeksGrDxWJ1w1%!^HHPc4)2o~%~5Sm&6%?K9IQorf=)||@A|c^rN%y{ zgpIu9_{+`PL_Q0l63^~!&q>)7EOIMQB~LVupO{xSun8_3846t224t8rOY*)yPP9pJ zC;u)dzfuL(bnJ?#&@ z8LY7U6la+bX82?qHCh+h=Hn4@P81YZ*0HWKCAVp#0N0SJ_LAZx-Vq$dX~XZbZ47K| zT1kxd%l_rJ(4!?8#fRDH#S*S&nH1^ez$BNP`~i!S1z~}w(vHNn8qK@kb=HCTv^0)A zZqUB|Cnvg&g^C#!_9Ae-1t#-xHddKdNR=Cli+anTE;WVCE0eApu6v5XW3(~}0XzPF zK2$;34&0UHM9PaJRkj<3*$>vYLxSvr&6c3rx0slzR8!`r`-*m|gPQkz{Ju)}R8zgk z>{4cCJt3!=cN`mQv?G0*(o@n|wJT4}c(YH7FAH{8DA^$ovo1fiC;hxrl_cQaW|@dZ{s7!-+2gPBg( z?Tn>QXCBG`WF1TH$T=5060=UbB;^{}9Y_RcaI!Q!tYg!E6=$+mZWU%MT`5gjK9!p` zbE`J4cUNd$+AdX@_F`w7&j5e3Ty%(txr5*QHCFbQ&3xHe@IX=)gB9PZBM+#t03I`vL2u5Z zCOn&m!GWo|V*lZ`RLO1*^W4XImM6UT^CX5!* zuC);cccU@Y4W6$>ZN{oYEyF#HS+L*3Q?NIU2n2gX?w<2ST*6HVZu6_3 z$LJ?5PH-mk-aVm3JR+j3H`z8$u07MS<2MzN$HKI2P2&2#%=QaolJDGPZ6j(#ypxhN zsO`$uNF4*frk2*yvrRTP8|$sWs#=X#i+bQYLA3suS#Hr-XI zn?K1?WnlRzpre(QEk3_4r?QfEzev|*(a_Y!n)g&>EMFF@iMIcv zWc0Uh7-9l@aoA7(kNMn0939iVLU)+T-@f46CsHh*Wo5Hkh+EcCS`TUXoea&_%AnFOq@NX zgSii1LdAiYO2JHrRDRDILCUQ?+{AMfW#sh_+do$f(bkP+(eB~OmnDY@?9_TnT+g!v z+%ib`7Tw_ye(9aD^owQw0U+vo=VK`?3*C~&`uW&cx zGt1@W2x@?orYwc0C#&V+5rkGo`!Ob}M5V>9CAr013o}aD%WES99_6oX7F8}KmJP$x zREiiH5R^faQFV**&>nYMX0ISC7I^kqLDClcDfE;}Vl(OW{9Bw~ky# zS!L~Mk}g%|xS#bRWeGHm z_V|~YGMCKDh-I4A_&n2=={6rXos}fy$YeTpghjLQLEq!I$&ZA)ZeW(D$E}p^vBXNt zIY?Oc0AEAo+1W>9zUq15hC#;4#(#%%PVaDHdtl*geTs~o)(O{zpzK@#<^#rt2)q+N zcYkfZ%{ZDE*ELr9R26o2GuVeK%=pHKb-0gPOLegoTKnWg2=cqVYYNlN0U}|{_BFnI6)Ae&ME2vBXhJ1rdc~6_=o-|kJQvA>*F$%G-5bE zUyL_6>XSkib407Hp*G*Mm^fH^Hd_0hZ$61emnDrqMsXC(WXTT~x1a2xQMyinVB)CE znw)7~5zC<}mq?@Of9g!$^U%jMFv!89Fg#t5Z7W_Z+DrF3W%Ao8Zv-x`k$um7qGe<% zxoqA7^lpFQgBQbZ>zH7pom_F`2OVyR?>uZCa92ZUO-oZy?sOHc%})>dri`={~y+?S{|b9LY+{~eSAlV>Y~@Ltrey3ZK% z2E~&qmT@*$zIgW1tXZ|KnZ`D6&(uVIWw`8G(r*aFTb*# z+>#g*YkH^I1GJ|fuW@z;U2wise+mgy=|5_{=`6_kG;7D%_?7`%N%SUWN;U2WjwaGN z-4Sv=dPvNO`geX5k?p{u){+2jVwTN85gis&?he}$$1U$oG zohAO+M2BogC#aQGH2{uP>4p`JN`17 zk5S0V_}3qZ{3V!?SeAVrDo~JZ0|M>(Cx(5R=nOh01sMk6`R_%2mR1UNd}6C3Sj3=} ztWDe~+1?Zgt*ZoUhH+M+zL}D9yX0{)xm*tSPvmC5u|cfeeRxii2$2V8{~A)@g69lF z{Agu&fJnhyE(1%tv5K>(0HG_TQ@;o+m!|H&#UK4KA}-}j|17K(*Nk~`6<{?4M6ZW_ z9q&@|$sw1;WIbhu4-3D{Kqf@o0Md3roWcnK_~^#O3EG4S20EH})=I{Qtd{Kb&I?Y{ znN3e2K-TTmA+bMsTbo4h^n-u$0tc04BKuhprWdLNh`qN-8%xZ8nuvJ`MBa(12mz)*n42E9hCRpbRXX!}--4JYJI5QLYa>DG#Z=_jl; zpkNZfAU7*a03QyKa@W#`s z`d0ETyt~(q*0Aaff3^l=O<^)yEZ;w@IPEjv&Ty0{2J4Yt$;G!`c1T%Op?oi>(SP0- znM2`+aCpPmzZ&m2J@9FghY))5S3Je6j{<#mgY+CKu(@b_WRPSQJ zjz^(p@DcfDGd8P1&nRJrWr_19>*Q|Z-Vdyc$A}LUr=DTJmD_xT#Ejq_Vq8b~2FkaF z&7&wf(F5en-Q7gSkx7UXSJ>ND)v)KsI`zd)K$iHe9T6a{4aEcFwljeRo-#;(mkT$u zpLv@@)ZPz^Eyt-|M*n-xIY@Jiu9j9&+2|=!8VD^3b|_Q5s}9os6v&XT`|{S1+vm24 zv*>?Pp6j1Hvef9l!?A-Szuo*2*Q~a^`$JHIBOCzVbWs=Q?(2$34ts3LPgN?hd{+SF zWSe!J<}_`%8G-_c#4vmtRqo{<;<|F0hOfr*g!XH)E_bU-n%F1C>|9r`Iytk}Z*TWH zI*ygiw&GH&vD9Cvx17qjnwHhoy^LOvC?Lv8>r$0KfR+X=$b}_|%xjUCcd6QJvoskw zj!jm+#M{c=+M?kuVXI9)v()eQJUFwc6G2|V^2Qh3*lBSwbwYsjTYUn32b3rwg^a~i zvbk7qFMgZd-ydewBwKZGm3FAtUIa(}zSO1R$UJ9l2Y?g!JI}=2gI4qVnR>;sI}pHt zwmvtB7?7?c){*3H+0ia>n0?{?{*U#=MR?$%vaNwI5P25fxv<4an!plRLvpC9I!J)i zWKne0c4}25Hv9LF5iBUOnw?@

    M7xjCWOHc!oWTv^>6{EtOFXMIXZ=3k)(-*STv z*th#Fdq4h9oWx+M&=sQ*B+qv4duX>4?ah20)p@G{;b$`$2fYsL%~-vTU$gg8ap-2F zItKg*+GcNOvD1%ecr?pZXBT?S9o0svZX+4LFRj#Stgm&tzxRkBM^ovrEY!WZaCZw7 z38m~3`DuR8nS~fRU|3n(5;U-htbv(p;>m* zW)xr+_NWMa)b(XtYIA9K>vEx?kF50!Ab*i*gx>|c67gxl@1YZ|%638W{k#nb!_BY2 z>q^;9OW!gapz<`1)^eD@XFD^exlqu4nMq=Sn9DaFtM=>{$pPf#CG5A93#Ao}d#(4%|7{sP{F2b%ll1?uhG?Tfe+ zuq%fN`jVPdF%xJf?r{-m2SVaI9UlE6K|Z^jZ#w_%igIW95@)H6I@3ZH4Y6lREM&Rk z8dSk}lM6v)~>IUBeKB~eZ|%&LQp zTXeDVvC+PmaKdv#(RQzfD@Vj1aomeNJ=)&p8mdol45IcS2b6Mr`D|Fn2L}$oM4`Y2o!heL zXbC?1U=ol&yWRHn!f*_Y%uq^>_l8MrC`k7292~q!H4iSK`EKC73Jb6}SK2Q~x~~U~CZ}@)j0xI_SsJ#mUX%#& z01Mwqr{5;b;1=fFkN3(e@csFmSZjM^om>bo*(!BXAUx}XxWWuxgN;!rhPwBp-TtaF zpF#NH79E|dVPhKQKHH9qt&wu-+xbVRW-ws+=a;@K2Y2L6-El66R9s9pQ~!jd_V`>mjfVE9h{dgP=L`sG2#22|VV z!1AGU;Gnk6x~KMNDcVkPHNcBUtBdYfIckN4jG%R8-$pQ3&ASx-Rk+w)d-2=CoB7j1 zsf+GnA@cfr-}Sb;&e_t&4>xHEC!(;aSRp;b?j4+y^*K{SKEhkt!@942Mzx3aZGZLc z(5av0+sS4rTCbM2UmL1j)Z5~I^Mkkqu%%6&#y9y&tLLS(a~b`~VyJeRCYa>^*-FJ} z`P!*#e_J>0tDhSVHNw&P#+dZT)9J}u=)_t9x6px|eOZ`=+FZ5_MJfM__L52z?Ywod(iD!G@w zgJ_5iaNc2H+hd#1oJkBh{@r~fyH}ktoY715@LqDGCi`bK)(YBg z>F4r0R^vftzxHn*7xM}IS=8-{u}WcxSD61)E-ojHr5L|MHppwHQUr^{%2KAF25>&R zAIHcp3D0H|ucAVnHxRo)x+IlTnRVr(C_n{>{dSl=wmXK2wPHTud9!niOc1K%Q>GI0 zSWT_wywww+t=><|jn~37jcGbNo8_OUdy)C#Y2VeVyc1zp`XBNGCyGMt&mF?8%Y)C0 zF;d-o?6r%E(0at;e7JTnzQ@+34FiB4{+}cc6ZV&(OEuA>RZrFl{U)T1!my? zJ)UW$eeSnOe8I0Pff`EoBpaU-+1s93AwwX}2`feFk7tMz|N~4k;Yd~PjfNBa3 zpRqDL@2{ib^U4QcK2UL0le>F6EM8B4hfzmczoHMt1e7mDmVO3H+%ow;F1vs$fT%~rqpQlz{h&FK0+%ryT(u>$rd~xr_MCIjO#?^2Y{c1j-A!74_ zR;aR|ud8j>!{be3tldF>f$&RPc)HE*T|{5srHxM^-Te7^hbZ2N__W?QarV=&X_cLc z5W4}If6|tcJ5~;_GDojk?K~)4OM+m~OLb}cOu*#=cKm~3bm~~AML!%5lYr)7SsaM2 z@8LdxD0($!OD%uCl5Ba@vzNePa5j4L%Neu3z>(`>L;{D>W7rqYHsppj?wOb1Vtw7y zq{zux)yt$Qc11FjomGdK?`+1z%{X-$+*;XF*xUoxO-?-2%E+a55py-?uDOJ}(Q1F! z){^<@Xr(dg$fT-=#o$08glN8*51VMmU&Sfdo-?0s$c1Maz~lH};PtEJbN&RAVj5{O zyLnuThfNPi9=TNpBjv?Osi0W)kWwJ7oKs7QhVY_bjHPTF^PNyZmq}xq>r??eM5{pt%%iwSZw2U*y{IYd<_W)jj+|o@=_QzPPk@h zz&H-evrgdCZOP0CbqT(;PSlOBreiAsJpGztEVMM@KzpHSM8iNs#htz!Tyvb_6sax- zyn&VUWP$8lmk#Y8r+X;|+Q%#vxvNAN`*%yh+$IltXfCS8uPNYAdb;H6*Lam2^Be!v zv)jcwYhpm*2`fubq4@%1}=U6MdERL9M!KwXW9fJhP9H7pJg^&vi|EO6aNV^-$LilG7GLw zJsC6HHI5w|yn$9K`)MJ`nV?bxvDh$Y`3y>UnR_^RuhN>T^8I1IrIj(7c8Kq5ACgJaNDy{n#Wv_G%an7--8)Lqr_hp>=lg+z~)Ne`< z6YsaewtUPo-I&>y*)U8{%`$RrQ6{>h_pQT5zMGNJZ)=G0%q<+N%sdt?v&<%z$VS;i ztfBI;ZYDPDiJ3|!Y7W2_1c4H6kBc&Qkh*N8uyN+U_o1`Cc|`il_=3Oh{)lN^*TI!@ z~%e{2u(mK`VC=^{0Go6 zLqq%I9&I7R0TQF7zO(c;c7K?`ZB@4d%4m2f9XomCA+qh0Rje3{$naz8?3MWVQ&e<& zR`03dG5JtmEZ!k{bNV#aO@>)|*U~deRsQSf_ed7UR+d{o4wA1@mfPIygjQ~n-m~cU z43=AXv%_ow>|Y7cOves;PcCM~JN9C}3s@ehUcz5Pny0PV32xuE2hs2J@l-}2Sph2j zn@PynIYabLVLkW?krR9_dRH~~&;lN7pihEP#dE~AXwe8smP2Ru|A_uj*uHmx1iCZc zfX6I`I@mcN=ECb}5Ax~|5h_F{K8i^k+_m14GW@uA+rLpi9_)p3&r#SH9c~6o{^K&+ zE1oV0HlqNg1!dP-v)L>w&bYeWc;bbsV5Yadua2 zxn2Doc*yeatElRRQ)$G-4wRY=LO4Hou*V61&gr_ltJzwP4X@75`Pz2jYx|ZbIkUr+ zt*MXD#(6s~5;u~_SQdG)7&|DNvZQ4U@tcIK#9?Lr3wRH`SG?c>=CJ3_ZkAgFfqi*C z$~nf87yhf+3HdZGp{I7?)ZM^h&)>mpqyLrHE2?5^P+`03u0h# zTV2~PcfG0$a|ZYtZkBM1tD*cIIM(xf6n)prQq^i_0zNS7!i26z2P0!2r}yovHLxyD%zc9e)<+$6qJ424 zpFOp_NJ0!P`Qbk!yl-&6se*Kb&vNgZ-f4(lCW8NQ2OJE=p1o{}HL;Iy@+y|w0}vGx zCip8KxdEulr=@?$!jCw1Wo!QRx`6wl3%ql}EoM3yeDOg%5;z)sF+n`0;I8_CvdswI zIdKAWzhn4K@d@*f8~?QjvTS4iO!`X7?t62!hR1ac{{fvR=QM5m<8=FiBKixKlphqO zJ539lIu>D1v9ia~uUToh)plh+6qzH2%9gonYYR*NVI#?>`JUlQs`m)K8%Kffr}hxd zaB+V9AJ@*_gU$VGE|Olr_O91v{@0oH_0v}J=MRO_xn{UHIId~0jjen@r!ZhMpZkYP zW|>^u=Amnjee7tR^6y#c^ER)tE0X>oH-C!P^?-Z~Z*g9|{GPfJ1Ol{ATrJ zo$Z9>xvk?HqmCefTY4)nUEH)aPTeY*sf(;NHkb+~Dq&0?W}x6WNxe%IXR*@*6-`If z(ERf{aIXH3I3QwZ`f(cVuV%`@&mL?UT;ke3H_*tXGkpn}3@bzBAG3M$|5n|<416F7 z8YtDw+~n-29^400X23xYs}rk|3HWQqqnB!-s$Zfcy1Msn!yIT-Er-knAt)hOvJ$4 z>roKNPACcw;)Xs?Ue6$V8p6JNn|{!J>&AO3mzx=@h6L{rJa`zO#EZbT>sA}_@&o(qS&D`q zIO>-0YXss(d*zu!OY{U1m8u%9Pr`zB!WqIoee+@+uf;)4e(Mx{Q z!Uf4CI!pR|N=Sg`hes5srf}$&0pr6s>&z8fC}f(KR`d=@kW(imAIMKb@LuM9V+IH! zfaAacu<`QGRO#rENZjho!KUJ+{^TDv_tH9=@Hv9oQI}Kt^!^9Lz_qk+A;^UA&a!i- z)LA&=>w{(I{K(i5ivtk+JBz`n2&H!CZD&?V;m|C(rvGuxYZ*dByQDE1Mjzn9BXrnT4ybycT7!Z=j+ zHRO91ec8nT1nK`p_{9EqH@ImP;FeqZNt_F;oq*`2O}(t03F+a%c#ZUi^9w>40h|mS zqEV7h)!F^bQZKn#i@$Xz*cPr@bYGcF`+KO9J`>G;6x7Q}@J{!#s}3@ahJ0m~6#BZq zvnzAEqpeMNU_%!Vd_*5@>i}ooo&&|Y4u;ivp*yKi`Nfd$UNXyZ`(J@a-0=59+zd3HdQ% zyb8C|e$~b4T{azmO3>fygT(Yci~s(c^{A-ta_1)9=)C&Sb5_GBaY<4BnO4gsoJCzf z%AW)C5pi*nQ*w*b@E@m4pS`l}|$an6e0-apFrEgwvW@N~zDSs&YJj8B$aqT`g z2K!_IXKs?YTRzhL0TEdwcjf%lrLSgSalNQLUW1HhIWUyDxLE(Oj}aJT@O}TZU(Zi$ zf{c{bdu8^$^5*47N*nn7(IKKx8Vi&E98!Q}|CxrO#JG99zX^iPIhTakiJHJCf9_C` zjT6pIk$;JK+4W1r_wDL)>Xm@#CCK6K`@#Oc9($r%3ke?b_Ged|#8^p07WZAlfQs)0 zlOT+bKKJ(Eu1`N6;gk3ukkHytxH)>~R+7LhH`7YeD|T@j!7rnESKK3($Hb zlxr;#c5Oe>-1SY9lKEUySGKW2b@eJNS=lKuLC$pe~2J#jqO-u9&y>~S{n)A4%u@3wdD z?}_)3F<*WR^!Uhw8#-EA+Io(}zJ{vbP&`^f;NGvs#t?u#&p2hq~70CuLSd zLK%_aKw@O!Zd~wc4NXoGdfQl+8^-wHUWsk7$zGd;E+LhK%5g(C@}8pGb!+vID#yl9uyAjKUlv>Bl; z^rD=XmoBRU#^OTvQk10!Cd+oHJ67xT$8z|s9BxkQa+{iB3jx=oQ*0|%k|}xCALrB67!s8(G+CtWQr6MY9thL+ek60Mq>^43F6DkPMf>GX)q5hZaS-kU4UUQOV2 z<#37`Wk$-GA^fSGFdHU4hgl}xJ#2ea3&x%6s0paYy$@vPUeb6c-YqQU=xKLA1xH>@ zJ`Vv7l-Hj}JwCOssw5|RaX#|(8LW`w5_wx=h%%Lev5S@kaex?$7XLF#;E^H%AA? zSV(l+wOZr$;bmJ4Kcma@iW7!yV4W^7xQT@>c`*VmFoXu-=?VY7vHgKCYxyzg1!B3! zy`fDg(fqg;fa3S>Ug7{fbT-mf15+A9EXt?DU9k;7EX@1I!gx65Cv4n9fPLMFxQMxN zXvFHCK=@!-5G*$-vz0iZdKlgyn&Lkr62ri)`*7Inay-s>600x?Gk*T6Wp4fiW?~x$ zLJpbOH!|Fd5^>xns*AjE*qpbY9rN2xNLVAmCL$a>{rG7k4=lmGw?>~q6?r#wy>;Pu_sHpoMd3uwAmTJjQ@fA)fJEi& z5sCc+9}`uzIyusgBEnu|eaTyZ!!y7Y0^3;Z1{3bnoiiVs! zGf+;+cnf)?fp_ZNqz{Z$PlCY-!P~YtgbOT`h!x?!kYm%P4iV!q4Z}6S4TGWJA30H$ z`%wYV`EY28S7fzpSG}kEP5x93IIIjg-9Eh_6B_m$ZA{qc?SD zE<$HGDN6Axzzd7guARxl#xly$dce|k^o#w9?dOF%ddQ8p-@5*=gUf%no9X#%0Oivu z;LPECy;A=LX~%!pXVkT`q|6+v=P=|1hRfb{xgG=-yt8>zkkcQ7dS*2J@d~k{-KJ`O zT8t;W+NDO^3zw`I+bO7un@c!iVW8^j&W@l46{s%g>hc&#YtLB9DsZ z-aywhc`uDwc%VfbG|CorUz8EkE6Z#l%k=2ALkzhgQgSMDvUIji1#7te-Lm zAAv2K055^r*hdQlXlw%S;;yitm-e|W;rwM0}S>f(ImvNh1`<@g5QKAv1G8 z`>Fz_*s)fb*~dRk39j*Zy!#9ANKIir>xO|XBc*us<_IrMg+DI^b6uPAcy||4VAenQ zF5LwWq~TTy@F*bHyZQ7p^R^BaxWF%Mb6ccluWl_$A=k6{E>#3Ow@M$N*KKo`8O*&m zLO!`=XPS-dofnv-T{D;^BbD*tI3JXwLFo zEKH*{oqMlW<>{U;9RG}ANLAVf4;*f_yB77+AvX6GPWMiQUQf;gVxMORxzT*_G*teDCiD*b|jdlN@aR55U!$la!)cNdgFV}KAgH=R=SWdql2*FOuI^z zSuqrEZ%dse3fNzizl-oBM2VbHL3}VEKD&vhms=?X9V#VJux1ScQf2lX%vY~IKFb8L z@;ho6YpZm2y+6id!dpf5c}^@OE5T+9t4J0A{Qdm(i(st!T3&mKBzKI8;=_rPN41&t z4w~c?yWt!%D){S2D>m+DZ=Zk8*OtNsusJ%v2-lTnl*<;M7?KV7eMy^^V+DwR=4AhB zO1s9DlqP`yhR=?QzC(%!@8+qo>%R;*1vMq5R)EHA;E;=4VD8=%eiumAK4plj4It9~ zkHfh63561?{I`%iTHcVTpQN7#?#1k2;$9wS>I{ zKuMY9#8N$2d$OFKw;03fTf%dAaLWJ)2XP@<@F>slP83{p=u;?Q;d=ofSciG^rFk6^ z6f4rlHKrA6a5XU|zt-XhK8=HM+$5mA6;I9r;lzTBPQ7=>@-Ypz|r&-m2)R??c(Y>i{dClKIWj32^aWY3;HPO-8^RYmo;)9;do z37Nm8jr*frnIc3$d*0I6=;ju7HCe^7Fb@|&k{?(tS&RTG81wtHJoINwiJ}Y~RDEDf z@Sh<9IBezUPex1cuK_l}ATeYzRYAHOC)cYB+ty1`b~m>xc0kTkw_!>jI@9pBXXgYA z3eJSF_3z0&|DEN+lme@k0?kcGDdrhtGlSy$U9Ute#sdv_FiBaZb}VqxsBY+5J+H4` zJ{=+B^q@2yF^jb-e7&qMZ%0IOP&F?9#!NCSBHN=nX7_HB>p7;uUyYdB8G&>LsyAP& zG}n6FAep;-qSK!KI8rbO9OGVYDrzt29rhFgcmI}tFgWhbz3gfEV|3b^`?_QNlh(mh zO%spl828*!!U*-Bgjn%EG@&KP8#%XY=@YquPGe$>U6IvZCTSPJeGP{{@hX)|@I)@%+!qB@j)ojmAcZ&LGUYApS*2Nc?nU1oRp@T?nH zUQb}+{8il@{W}@|tJ5N-$b56O6n0XF?;~qhjm(KW6_(SQ9k>epNJZPUA#eMJ-E|8G z+XSkbAfpUrLA_FyTm`Aq>1A1j2q(x8<;?bADtug5jlJ}n5N0(lUfnMG_46mCC@*`q zjcAORTK$MY_rv;4C{ma<7|T_~X(;rOq1NADfxyb8!B4c$Zs9?Vw5<4uUg!vA3f~D_ zdt-ENX4RV4_5^AdIIo{-BkajXbgm~tVQfYkxsuSRith%=_$tI0VvZV-V;f5t2(i1= zrm1BDELAw@>4p&RR#fiQ6k)HyWCee#HIl&UVr8DojZSC~`_TIZj%Mi~tIQbsd$sN4 z__}_WqV9!`GZtYo;b=g0VIVNKiOSn$jw~!}Y;AkElazDa%(tTmLF^T$*AFgkiRhgX z;8P_F~QDw>JlTWr)q{2{ACLIm!TYa7P@5{GYc^Gb9smwCP1n4||?aXH8)%1^7) zg{PULBXwzVvcx4LA<958&i*rW5x&n(N@6NbHnb|GmFu2w4QjZkqhjK3B)2h@@yDjH z<#i4MAa4fZk1Wa^Elo>Cl%Sm26GEni0fnqWj7W=rq~&kb&_8u~s62QhsAyXo?giCb z))wXiMf0(8<*rbOiz!4iawm~o{1Ex;$K2j&cfYJ(oVZ#cn{m~W_4GW;xJ6;^Ty40pT=X5s})6(M7EomB?ct3jWirAg~u0` z$w0Q(ErLuY0Pq5}M~;<<+c$D+M9c6c(FZVYNNlv+7yTxDod{!&wYja>I+>@(u2JdM9f<2z3Qcs@fC4e94dN2&;2WiNt(=%nD;A8A3DgCG&=>ll41Z4|&UNA0vUQoG zR5(e;zm<}?)@)>N3!_)37Z#-&M$i^ zUm&3Zt3h{be;40T=>lNpIRYZE#V7-*)wPr%>9tUihkmkFNoc!~)&523fl#a4k3P3% zs?+J(Up**3lrXoH8S}Uc;r=r(1^S*?8j5<;oN#ORH?KKVNdqawCHj~GDL*R%m+j5| zoWw03{ykq5FO+5i@8TgUU755+$rXsTt8yatTGr!hxhFl(*F9b|)^ad)p2nSwSw^5@f8Ci>R$Mit{BB-%q8u4~9{+4PHw-Vg2cH(p zorBtPpO>?P*{_}DY%y=r&ui^7$#itpTfBAf~IQa2>o@wolyVIohPH25m z(He&KFRjzX&wtG6KXLkM#i<=vq5t`C@HS@b-BrNn9B`fkBH^stm9x6xBs%Nc#m{-B zwmNdpeWWI+eO>u%sM70nw*PIJxxF-QE4TH&;`YPd*Gz4lT`$9JpS9gK3~JAPKVR-0 z?Jnl?n0C){`PFB+$P#9nB5ReOw;E5KhC$`5na$+AMt^8*goe$^IbB^_MKT!WiBtuWfkc0cDkyhB9~P$mD#)~n{}Yqchksb4)hqQU8Vk6d9(g( z)lM=)V@zB0&WbqkB4V@{wMX5!Y>UB7+M+8&5%fX?jKT}5vJgchD~gIzFaw6SG71qe zxFbJq?|-OJ>LB`2(S8_;z=j>m%776{-Jt3XiV7n2j)h65Vj2dF5{y{E!&THb00WA= zK5W$7WCMPsUWcV@5OZ529t#m0gkFevnA_h}q*!$`r=qvAUq2Q$97LV#)XiWruwga2 zoe_VAw|gg{;k=^4s4iu7lrSc)9du3~cBQZZu79k~wS{g0Yy^oT!caD_GS~n}=(S3Y z4YEOFB?bWlsSnxg)j7+4v!vryNo2VjsoeK-x{ihrCbV1wJ=)I9|-LMcfVwP>0;j%spC8-+En zZlA8A=Ef+NTFXUIBVjH^De4_I9lvQdIEuWX)h0rcb2Sy@(ZspXL5}vX%tRsu_g{)y zP{CtSoIvbA1^X&Uz)0)GD5}j47|^SoKrF?I5e1}*nA-sZ9V*x;iOT9I?3?a=b$_6O zjc&RK2mY5Xu@4$$8b?OG4pzX801a5Qg(32~l=jIajE!}P>9Oi&WCLAd?J>fF5D}6{ zGO$7xQzs5Q2l-0xzu*~GK=y{oAg*T=1qm2wy%+`N4j9m@`58;)GbQC_nhH8-zmgBb zf%BQtHa8A0oOBSuB+dnh`Ali^e19zh2Z<5p&RycRL35Y5&BnEG?~Q>(%Mq0fyDr+I zVe=<_q^{HHMZb=U6b9O)Y3kDLEa-&@u0RgjXlWQ&8ML!as+~Z0AGNMU4kIQ1nx)O8e%S=)kJ0@HlST!-qv&@u delta 1292 zcmV+n1@ro(41)}iPk%}U00000000sJ00000000000009900000004La?3&wa6G0Tl z6A?iW(N|Fkf_QldbK6bwTptwlr6@iZsT!zB(UgM!yv}YmWxij_q-lzlb_$`M?9R+N z-}%mEPQBgh_3p*b>ZjlDJ&ga}ogXcxvu}gX)1Ui;4||8dR)5d=yWhVbzj|=N&z-m~ zp4cp3o%nXX_;naR@vAd``t6##@!8{ZeEQev#TKg$lt10ittdwXpT=J=og0SduLd9I zi@k%T=RPf_2h-nsi|KsUtvmJWM&o%3jb4Z6^A69^37$LigQFj_0_*y?I}K`Y1?#g8 zR(AsH?)FB(kkWY|#k)8XJv%-CO=fG;`VJOv^{)>kcAt6?31eKS9v6=>U% zd+w5&f%bLF*)TK_+TH25?~8)%rD5CB)|<8!c)$O(K)cMYmqFVnDQ!c?+;_9Z{?9M- z*(|2rvnbzuSDGwArfagA{QRTVdcWG!fB?==ErCx3Kkw&aXgfTp*KXfAI71rn4$oUKGnV(A~0=ideSIR6+BiXs!aiY4{_WCD3D}u50zr%A3pYnsJgD z8e>v1xJfEjBb$nT;#0Bmbt<~CiZ-NAjc$c=AwrEh=()9swHc)cg<4DqMzr!lv~rc0 z4>e#B?0>~pc#54NT34kyn~7)zHT+0krh$H(ZWUC-S~nJl+DgE|xe$FNI9JLXh)~j7 zaT(6F>R;nUNvHvC;9T!yEp~}-N)MKyG-SAU8+wFp?@sT~VeR6}>ptKoDjqyneV z0d}KnjT&fdE`CW-)%nIniq<3VrA#<4Gz(VJh|{%9BULVYpW=p`i==8N;!vcKHEN7y zXPgVs)?y&Z05yylc$sR9L}!nK)G2Gu)eMZ>4)Y;ikw}47|4Vd6QXgu!p9ph8B`>q? zSAXJPR|~z@)D$CY?MNb&S(LR*`Z`#|b}L^~#!?p1L3t-n(GvnXXT>6_q4^o!r}>H` zfQ4w)1fDw*6Y@0$S`{&EdyHO)kb*W#U)U-Hs0n%UUy+(ZHGi~9qQT%D_FvuTp$2aBa0=oG^v*j4Tfts1 z7Ef_5*uIe(pf}D%gyJdILfl9VV1+1yxXsQusEATEklQi+(9sZD2s9YzzP6ct(MPHk zIyW>JATY#@W?xhziv|vY2%Ym&CZ3!3k%D7%K1mTTL&QNvY(wSDVKru(l_FWG7k?^c z4k86{1U}P)>Xdv)uDKEDwS^Q_6Y`t{8ZZ!JVTf3ZI}Wu{^Pv^&MfU{}O418Doo3!p zcSDULN{Inh8Vh*>!$+m2q`)#XfO9rdNKveXh*SU(FGJi&jhp>4GGVDHDchL}^iPeY zrleRgy02YWVWx`OU8ck-8OoG6MStVkhsGKMG1}FM9H&eeIqJ(CIOmg$uFcP{aCfFw zWlG!?p@2e%phaeSvcLo2GGRKa+bbI~#-PRPJW$^I<{UVsQ9feeUH zJjGgw+styoD~Q|d4D+w1cts)wG%Np`C2cSm3*~>aBngV(XoHbY6byD_{s97$X9c~f Cr(*R0 diff --git a/CPLD/MAXII/db/RAM2GS.rtlv.hdb b/CPLD/MAXII/db/RAM2GS.rtlv.hdb index bd0331df2fab08a2ab914e4e5889ea6f617a73a7..1a398d0ee8653ba56d59fc254c56bcbbaf9efb3b 100644 GIT binary patch literal 16271 zcmZvCV~}XE((T}kdB(PF+x8jTwr$(CZQHhO+nzV~-aqfhSG!iat1IbRy|dGm^bQgL z06-NK;BN~6VDbAf{Yqscdj~TcYdm^(8hRQ!JOL*&OG7*sRysU7c3K7oS_V3Lc05H1 zJSj6rBRpY4GsoY)?~VU+amW5&PXL_I|C9e|V|f3ky8>Q%L1hvPJH2ed;QvX&qo6hF zs)VBGXNcKa!&b`VWOQ++<)ppgN)|QT+)5h?+EQBu!^6lAMU$78r_a~-4-YP-C+e2F z8%GVxjc>)sCnC7_!lfs=VPu(hPMwd8_|TI{``xKuLzvsk8BBBR24 zgI}a@Mz|X7Y&jFQn1c8$ep3AAbRFL#wU_T%D2ZWo5#>03Lh`0cOHY+B9Mw6Qz%oW@ zbl#kAf5c=`9O53Mh=IH|DP8d{@_f zTD&puUVN0!Dp~zB-e2Li+Dx-yzpO}ekt(SrzVLw|i8F;+Bz)G+VW=&ZPaXosoQls(ESV+DaXLs3)TyFnem6jqCe9-jBzn;1$du*gvVaePb1O>0*K;}X3zi=5@N6xR|N7@rKZfQ99`+Tol zvXn@#{)C2^9zkLy`?C+Bni6zPFRod)Z+H`F80Y?Y$DINT^09I^u?Fl5F`eB!WR%N= zMuAtK4ljeh)-5bbh>24UfLVqbFv5pJ@R&0fd^WlU^Cv`8UA4TdO!V;^>*2M5$3y;^ zrA{c9pQ~p@^TKpc0*~8OqeU^ZJ*kB@EI<+uxEF5M?XMM8uQKfZMtwht^ceGG`JWja zA(#;;X$DuKOQ{MfUf6M3v+X`tKI$tBjw|u5YPj6N3n64FE2gQ8W@})1SVwSZe`d-B zH@OCdj)=}oFJ!f`%UZ5urpp4ZQ77cRLgSowop?y1_*o57efe)Q&&?uAv93j*46t6a z^%ps|-Wo1poR_@8?&WBvs3DsH{9ORIlH5Y6-YW zHNP86Km2r;x}3GtZ0N-9)c1Cy?Ib^X9q5R!{J|*KYXfD6|2V17>246~Ce{DZ{F+g) z;k4ciYZ4XoD`3%VDptZ!bV?W*m-+PhQ3T6)eRp^ea|q)HJ_kAd`sslA>t;#ZkPrW#7ao^ zfIxAG@j{X;}&h7imDsF5<3dLGodeyofkn;_|{{`u$!kvZ=fm!7K^=S31;TX$d&p;K{VO zSiI_2Cs{<~v8@hpkIsPe^1|XaAD;jY>(>6c#C%b7RVr~v{w*KHET4>g+I$~ugFRzF z-!Qxl0QKFp92?~~8W;vhKRy#|^ZSh~recT7nUUH5=gvo62DcpJ?%X%ut#tvM?9S&m zOqHHeGs0?XKC_l-q;+tjksodxt0(>_5zQoD{l~H08BILSBfjzo(uvgPD^YgS@iGUL zZ0Dy6Ei0qAh8|Zmmz7Pw{rerr>$nbZlsWha;?f zl~U^QCiLsU4mE0P`&pkS?(*Xd>U(pm{s^8wB8WQ;`L9Ue8~PVgRjl@~BRDgGPs5Dh z(SIDQ->KAYQuq&wU(y(ZK7=7HOyg1vFb74VgFO3SV@hy889i+=81FnS!SXC6R}3iZ zKIetc`T4!Cx`SH! zqr{ytnC270I|n!-S5Em`Vxx`&-LhlX#2)(Q{4|2r&+Bq5&@*%^wDnOxOs1mTiN{wY zMlUWj$H|vOp=<%)Y%}DDI>FeP(s)$hYn^2^@wYz+Dj$YaPQsmy!Ko#|#<^gh#RhD+ z+(5!SPqBl3I8Nv;oRKljm|{vr@3Ev}9azz^gKp~DN4EAIqS*(G(I0~*7|gP-4lMC= zAG>dZw!sp_i;<$2g@*RJG(WRt3W-9HpVs~{$-C{GM$onAkn|lpqXHx;Y50?x`TrS) z_ox{7=KFvq&mT+mhaQU!T)oS_0%Taao0pT;D9_5C!i{wXjlT8SvYtDr+N?9)xO+lz z?e_Lf`;XG@VMLlCg-oIa8-v+A)z&4>j`&9Z%7EPSHb_pEbx(AK!JwV0{ z93T^f^iT@H+LUZ8e`st=nq2#l&Y~N(PwXf84LovgDZuswvvG-31F_>?0AG~5`-@ji zDkvgk`0hES0s1Y0>hRRFuxMW)CJ$J)U7V7jf%Dc#1bX7o6^i;)IBOC$#2tfY)x1xkM(xynP!0}7EX}82`u9J zx(w43!xH(64>kaY!YAaISb@ztr?hIKYMwwk7H^i`C$s6b920ZUY1UYe;-fIsOX2Dz z8wb!LEUTZG^a);Nl*4~mo{yHs6Q42;%`+5cic=n$`%#{Mt&A6*tx)$bOdmS%HmEkq z`E*X^flTXQI9aT^_^Qb)shQDDS|)*Y*g5|Y*}oriZq%hZ#6tHTda2+`Aa0ZY??LejYc-5kk}HY)<;P8 z8%#Z=2si@T*b^?xZMbNcw0l9$$UbA7Kkmc6`|8NM3w7fkHKaWOA?Z(5e}tNNw9as= zl|jKSmcqi^outF5*wy?Ys)86(qJq&GWs)rs+u48CdF31aBup;YTc?iJD) zXx6I$mFhJJ*{=&o$xT+v5+pD}K28LFHZn!kN;#aQA$AILS|puXJoaV>Dx)T`87Q|5 zCyf>-s{5iHB1XNQG-06tr*1h|Y*AIr9_pf&4$3Yzk#xKnN3z#HaXQ1odr{l4$Qc{y zL#lQu{dJB^$l)pkAX_2knp|(K4_BRiR==EM?eBoH0Gh#td)|Nv7x6UJ1aVvehe0M+ zbb-a4f}>nA8p>!3e!f9#b|%REjxEpxbIQT7r8}3B-Yk;A-346WMPu)#b_WO*udI%H zc7D}s4XV|GGi9W5<2(7$CyRI%xOM!M_pfG_=vXO2oQ4L*Le}|r6|1Qjnp{m!uP0?S zF>+dnW-{*!Frf(2S4)EgEB!QTwS5=}AH?dZGTP45k}}-hiK$8I7yg_TBNud?ponxZ zQ%64k-T!c^Kg+A+@Tbp+)}1USM7!b;;RF`xFi}Ul+P2nfoWX-C@KRPsQ_|ebw zEaaWvb)HEgU@q~nWGeBn{e|N%Tz}#D3ty%ZuRw1Kt}b?qD;EE&IO7UsSWulU;^wxWueNbS^m?;Q7x%9Exc4~9gEfVHR>kJI#7I~P8 zjq>0nE9C+6mWn0SYcc&ob$y6s6jh_txqMjzJrm5zQNRcC;D>u`hZ85=nZn&%h&}}^ z>Q#nD2!Bo;J=Dtdxe;P#8a7)Eq5_F?UDXD5X*}kPg+G;RnIyVX$4r10EF}=C)G`6` zyM~K`15d!lR`+oP{i&7<;0E==gpp&R*QGzYJvu&YMg1oHiC~+pEk~shxwc*#(^#D#!S#hs`0(clG2FDmw|{`)4%=WNS}0_3L5Qpi7Q*tcMF*|!dU<-jirei2Z^PHkWlA-zlp2TdJ?^oO2{G_jR# z`JftYYgj4LT7yEQg&etHBR+i2N^Hoqu3(Gn8oiKc{UnCV+8#Os&e&{%VWni4MdoAm zc7gi6cFKa#HF};TmT3y*G<2hKKn;Cm7;WiZV4ZH7 zB#WCKr*WPsLSXhDUpo8|k4GKlAfht=&7A|^r4EG@M+G@0L!-^PBjE<069I97t7{t&*6LcFk;5yf)VeJohzM#^xhDkDy_s&CSY zXV7BtfsGMowcAPG+9HyZK}dSxfIS?|V6f2qo=t0kY#lS96ue#X(hGi@BTGP|QYOWw zjzcgq-AblAS$23j5$^VuewMw?yaBjQ@FD*Z4V^V0vv! z-$`Z&rD9=_TKU9M4s8B?Q+SCgCfSyY&NU4Pfe^@*v6{mle~l%gZ@MrP0rvS0`-DA5 zLf#y187z1Oq@eP94Rqrcb~!VK;Lez+J#~U{8mqzq`&eNhQcp!cb)H~!J=1e~&suy6 zXRbXnF8nVHA$=*bzBQv&g_DWU0h!@bQ1a>^+mk_&dc+bsp?c-Cnv@AN7ySdF0>A3j zIL}E(rbBj!uLR1EaSz2)n2MDhFRy4?+A*{d>~UP0p7kADpRh`?;6H~azamXo>q;I5 zJ62@$(c#H5-x6*YJjO8=WK;Fh4#I^^f`YhUfq1^hQLpFTIwWIU5UMRD0w?AUK~ zmUDx&(6;=&hX!!nb2A1FGE^!fqV39#QqInf(1H3r-a};{f0%7+@WzFRFW7IZq22Yjb3Xq7&+C1 zJ`o z-mbZmSs>hB`)~eRD3`|#uxztm!6R#&ww*>h3Hrq4QXJTJcj)FbBib%y2AnHI?`XP# z1-2N656BoK_d-{aUN^ze*$m=dDPdm8Pr>=(+_7G*>x4WM8!kRE=L>PMWgkdWMb+(} zink4unHp+Vq=YM69AIOGG>-wzCi)rO?!4M;(R7(Pf}oX`yuWP~{1H-lI#TzCuaapX8? zg*A*U)k`|ta5{`)^H@`$r$#b66Xl0V78F(me<+xpum+D21F}n7L+HIdhxV&#CDAdj zN*&C6tStVI!UOqAJJHg{spX7F2~`=C+hg}JDcogdner7?W3a}3e6-oYMDM7E=R!s&1NYlzORPQavA$-*u+J9ZPS3Brt&5jrrh5k zN*!Wkm47x3p|`-stC#TRh?&VNiJITw)RoVIOf+877XXRy0TV$H>lz|`jDdMV&q82b z5Qu1W4h3BtJg7P@`bnFS4Pr#o8w7$70_>8vuWjNaj9;ZDmDonh=A*yhpu_a|Ispx0 zVP)vp!z`1Ic5tY{Eme$|z5uVfS~I9o$Wd0st9zh{G0!Fa_EXzuk+z4gQGEWE(;WO* zB)Oo?L--(LOZL}0swyv46Jh&rzkZ02MNB3B*0@_y)f2vkpiZNm2zo-7%#I%`IK>Zn zLkT2N_v-TO7K=;;ca^Qhg-zpFX7k^w;)NAn7~gS?uy+Zg(1es8!LZx^9Nv3%#DML) z!7x(?_;u5WeDTp>FCsON5K7r{tO7*Qfzy73_ZZ}hR0-zJ!@-2rfLp7ae!Wttp?WCG zT!gQWm-RT9EEZ+!)Sa=T4<#&=cP72Evz3|!g7jaoq~+AvRX5n(pN-4qPm&qT&XQU& z%tY2BRM&{3w-I%ZfSL%C5jR^O1x6i!?una!+z zJn*%GIhW;6w%6oMex|KX3#QFDW`;*GZ8(#ha+%-=M_b;Y*2cvwJVRRc)YuwOoW!N8 z5SAj5Qn~HLdAR9BbL6$Q_($DnudgpO!uf}sSRTqsppvCE(%VH^Q#mMDVA8dkrA>;H z!kuB1T$Hh1Fz3)*cpY2hLf0@`NX31+kFsOmySkGe-R`~n0DrBG(hBn+l%;gmcS3pU zvYs&|ZiBA(5aOaZHC7F_jj#CmI0=Die-w6|#Z+oQWLJ&GU44mKnlZEqY{F(I#FgBg zwcGfo)LoNV+Vl_G<>Ar_%3#`|k3XM{UgCw%z(Y)+*$g7W(<=Y z4Jx1rT^$91kBx9l(~^uEp7%KuR#)2Qw2m<9ytB3R^nCOPMhR{^>lMrGqir9OQ`&6M zds!SkJ^11742}i+S$@2R4g)}aVk$$Z*O*( zJE@!;Z*N~`PdRXJQMMl&cet}SI@@fw>JzI<<=c`_^y~huMA8oK&yPI~=v4uAdK%w` z*`joBXR%t}taBeauU@KPnR%|h-fXlV3cilMPRi&-kko{_Eiaq%d26Q5SR1T);lcfB zJ5v(TvwkXX`-k0t&7GlKbD4gz)~fgKhf~*+5Y6)kYeD0$n=>TlN(BdzST8aOV=P3qwxbDpJzPLZW`2dH1<- zzRB0v#u36eb@_6Oj0;smWu$z%I{JG0dWl=Bi@PG(<@wS1nPC3W(dn^TweKjId90hO z7dwe3n5#noW9ai>m8sJ5g<)paSD`hclR^FS?zIcT<}CDMt7f@(OPL14C9d8(~^@7S(N7%oM?bnZx{ z9rO6K)})26?^J9n6NV>>uodR}WN0@BV3Qkv)m7=nV+$r=;5-wqBX3x(O6lqz8)grCuA=v4Q@Cwh;+b z2^KNfG);J)Jd<#kpPWOxJ0O|+34{{r2S2_ND@x)6I|aAEN$%(75m_ZzY29Ez0RbT+ zKDct>k~d5zcM21}TTrIo26F+Y{?hBbW!7vmY7iyk3||h`!tt7~U~7rB*s9kLA>Z(F zKe}&(Kfyo9a@s-qfw+AX`ne2Tp2>}sRHSQ{dCuT(tl)aU(*yT?D59TD8$i2c)WhlK z>p(RI&ur1vfjdAm4SjD=sjzGwB5XsWOlQFe0z0J|NIB!6KUC_?eI*fnE2sfEXTb}W zN<8Mx=zQXmuo~js?%vHJRu3OQKBv}vZhig>m0U_0LUZZyjooOj&^~1aTs;erf9N4R zAZo8y^SdUS$9t5TvYVlac+Ap%&Y@k+Z8T1FK&iexs|~t`ao^Q_PLIB4D*(Q<9-FkQ zpjSxXBjuZm3-i1ad^UUz;k+7nAI*JEH9qrfoJ8C=&g?mG>u z(g?-IQJf3#H4nq>K4hEWyQIs2_(QbH;q3`x;rCcs&Gi*wxZ=5I52AEZOzq>dXj*LZ zz%H%tV2-Sb8}vgU&lJp3aJ|+w=QvDBk!us(IjShI1ZSiYn1!Ne`Dl8)W&yD2r<<05 zTAZ}m242-9#Fd4UgboQ8u^n;t;>tge_jV3iWzDE1i{#IWKGcGL(Jmr|weynp7_CM- z^OAr?5Dq#N9NaZgLIt!F5y;P1FEE?X^#zR8(k}N8c#_olz9JSoMtn97OODYBijwGsRoKlX6Hn=ahV#r^0v%SHs zijf-$f4KA0GOM1O(zgJ}c*O@x2#n1OfS=CAoE+;JxDxhAtVcbmM7fa{MXVpYkkDi+r6{X%zj0d^iOl1t`#dV4Yhh?AqXx9``LR zYLR@gHo$;QNZwu|nQ+XAS#l_Bdc1ZPzX_Vfldn(i&exis3h;15qxLe&D6FA7ap)W_ zEqZB`Y*%}}H$tHHN)miuIwv>c^B0e2(&*?I>l1=2aRP0**$%=5lyY$$1Za>F%c>eS zdmWDadvpIf`AcZOiiL3d=w5B8hHm{~3*%RRNE^x!8ymTba!pwE3mqtOO ztr~sWk#6iiD)&{;Mh?Af4X{#nc0i1~yot!hZd5Wtdqq#tqwW$|&Uj{Cpc+E8^#s-! z@slM=bCjh~XG+tBKP3>YvWbT}ij|D-NH(#^|D!42RYX3Eir-a}C#iMLeVdYRGZ)o| z-_Q$sL?Yd!EBx|eh=?&La$QLrr|2Ge<(grNG-&_tu*t|Hh0Rc%E4D;K6r24iI|a`g z!OsBQX{M^ktG7oKRJqT8agXF4BEzAxX3#S#(5rf%pQRV@9tf-TWZP!Zenxn$Jf`2x zX6@%R6=0yf=G$0+F{u4ob;sM0&SqcrOA2Bt&UO$kX4CH3A&oSsJT=6fT6&dF6Vemv z{Ts-G?USL|vk2SO@XJO5t6|i8SCG0|B<;LCzrFS<7EHhWlW(0tYGe*hX)PgaR8G&C zNR2ZW$7i(sEE)_D&d|*bd%)`h&US8KY1ByNOCZ`wq0Xww&(#CXmZVj=K>EcGX%&k{ zsx11F$SKlor(KXU>O)qFCbm=O_C=U>Zs9}_drmu9v{-iJCi7it>L64Y)L(7eZyg#S zS^a_@8p$`^u*T0Rx{S|+KgjlIWXC8xo6o+4R-?0u8J+XhT0 zqU`DYcNTV6f4AKS9*5wkYln1FB~z+;CIF6gm$12ymgbfN?^Ar~XES)cvS>1JwWJus zldYhq;S&FtADpx-2tN!BQsqle66BUOFKp5@xuqUr{Oth=QHZ^T?NQh?x^d_$L-s)# z4_G=|=W_yOMI^0wO1m5HZ68mkFzWtuJx&RgK#PlU(oHQ)>xBza9LjgfPRFv;ssF8T z`_SJg$3?+RahIAp7C;&>_ev$`u;9vhxO>WRzXEwu3zKE@rnJAV)P*s-Nmc4TR;?^< zC`aF{Lowap5+SZJ8MQ037vRU^KA)a51u zK9h}m61kTvddlE450ASKz1EFcWzjCukB&;D#<#`etMA3)#Ux5p9gIDW)zKRB83JG> zwu;W4l|1Z-iRp-(blqlW)t}UM_ATo5F-NTT5l8>({Tgx)Op|Ep^|dwyyCKS);r8qW zeB3O^Y1|)1mqM=6R)Z-J6QDB>LbIOEF}UKiVSt9NN}(hPfus}9zmi_(9_5ryNuqlT z@e|2)5V>CT!ph(E2aiSj1hL`>%B$BMaycPZ(BPx2k7zP1ONOZ;qRbRK# zE!Q6Dx<+R+adMnGTu+-jch`p<7CPd_^$f#zrB%AC3orAJiXht#m&AQ0>{|*3{lE{d zE4aR|@0==o(I))52tXq-YcB=nlr}-NdN>|gXQTk0WuuEf;)%N)8`!lNNTu^+2oj1o zV)NQDBkJ%u6Rts;Do~`Ux_X{r_{mb=5H^7T&CYKS0+pR>rn5`39W5ESK1k|I8>7e4 zs%>W+d4RcPt`3|e|HgAhLw;qGc30YMJ+GUj$sq;iTHf_balB(VWgD{4p@iXQS~{7U zb$pxXOH%V9%vnef=Xf%<{YDMgD$Dy0mTBx5$q`dIbk3pNOg zR2@UMR?J-oDM~VAllE)xH-cP5hE>e1woL(Q3Tl`TW;>W1e%l@-;_>ivK#_2#p_(f3 zx&6E$UpU%3^NXw7T}*NU1hzNhNNx%^LK=Y|CK?j=nIfNNacGTxS&aT0D{k)6l#0OK zq%og}f^x!(^o3#vV!2|1c(S9WA=L^g?FtJ5M=xbhM)S~;MR|RQrKUnPPCkv21Gzfw zU6w^@dV+KUdB{F=w!58)IdydMmWmwd_R<%O6f$$V zIJ5t60Rzsi$V|#iIYvpu&MX8e>#!xP7s(Sv6Rv-uHpT-ExD{v%R{q`J&8Tqsb67&M z;xq-eKz%F-z96gpnTxK|8g$3|AW8E%B&^$v}V+6gdeUFVR>$|kg zVM}M6%ZJP3O(*78Gpl_J>pkc8=nYWX9BMDR1oB{Uwf$A#f)mFD zLGr<=NXY1n!v$t&?WR-D8036OFhB&hcOSQ(SNdWTa(#ZC`OM4t-5<~6zLWFC7^|j} zvF3b|!7bl~qABZIOfp){8@Fc~cJ$n;94+|n&kSgMBh)ryA=;RwF6&#y{FRM^q zj32yyPm?Pi>^2k^8m!UcW2L*jzSMNa^?)My-1CQ(PK%%4UzBFgc74wZx9aY$o%4Us z4t(XU{GN4SOLGJ}2YTjQfW{}(-Z9{z#yAH`FFWP-a(5TzbSWc?`)*y82l#ZNea?jU z+0cOgJm}xb0!&Mm^}(q@FOz@{7gs#(?g4WjGRR_l%L+hV*ndowc>4M5L47j$zCNUv zwjlL>{{y_#LGAS}26%1&sm%dy9_4>{0J+m43+-vb`;O@Kz7s;+!jQsPVV;6&Z)J}>CpGAoi{(K`^hH+J_D=9{q1VT$i#X06V1$ab=t4qfoP1|1!j;#QlJ z^YOn9*5LC&nfcakWZP;<*30cCZYZ90PtsfOfQ_T5%V!Z-GQ;m2LbN+;heb^GirB2S z8Nw4JhM%CAD!zG@_Q0FK@bx$#(cXgR_V@|Tc@B>hk58E!@Yv3Yb)l4ymdkWX6_b|B z^?ENHC5!p+*$>10fW#5q3r#QemGXslkBOu4#q_eUF?*Ahv7_qfYU?qo32`7D2ojmN zm0eE2BlRmdB9e)wS~Y-DIU`qlb5lcOB;0zkm1CDFD{8o?nzBTT12>RqK;I`Z-AXA{ zz5EBjTWA~9!@%bz#}1?+EO~)Xiv9`*mUZ(6$28tYdp@_;%#O$YD^BOBNoyp1!6BlY zH$hvs^fw8ywr(P1tr$?M(?>TKGD)f`Bka zYX{qm*HSH5Y}uZB!sxp`vTemc&TBKYdwI*SMIhyD9#BLc#o$l`YAb?wHN&?ngL{eK zKem6Kb}yU4o`^=2a>hepKQ*!P!-S-eN>`x{IJtdwJ*_p>$-lrggF%K)zwmXI01fyu zxbD~cSh-qGNvVj6Hhc8-yBEavf%8nwF0XB5SPkJn{$%%j|D3^nA?G$4-X^h~ywiqC z=PyKt7E-U&NEcwqz~hd>#d=k>5AcsNs^`!BE1&yZnNS9XAf=UthLLJgf=G!+x}GYl zjdf4QgpBT$df}S)JZd3&|8QEoOH%gUkGmm=l7cxfX}q&*EH@=oDr}@-BP^<;TF6?( zr(Sb6JMDnX>yZclni4p*0lczpZH(Sgf&L!Qzx{~l^HGBS_W2cntvw^$>XmoGsWTwK zUUguanYcf&yRVYnq?yO|Apm3VOL;9z{B-2OB>Y8!$Q*AR0?<`?qd5xTUrM=Vj##2W zlXCP`cLhjXMoHPAyzOY8tNOPlr~$NqnOP4|Gc!%BG_6uAy+H8gw0V_P%6Gyh($n%Rk2!lYG-QoeJycXE{5 zH%x2pfbR5ogcMYA<^!pYnBNW~?gq1f+*eC3qtrl+-~Ck5j60rrFzv1>`l$dgiJ33a zjK9}_%$s?U>nd_P1L`$vOCpb{D1zBk{@q~Q%LbJ6wH)1asIE-^&Uv*BZD2S2DFIDO zf!qZrRGtf9mY#URVQmK#v$tK{FfBP~&u{Wv8rn@k4(I{BCE$5c-~BpR#6?p4hKuOa zQ4xDtK^4&Xeb>SI*gXn;ckJ$eI|YUs!9PTL-Hae}V9+x$dObpyIBwF_6w@;+!prV{ z6EQCZsE-3ZThGHNGQzcqk_cG*&aPC$j>bCPL6uePT{FvQYcUMN_BJT`jW4w38+BSu z!&)bYmE86kC~R=D5>-kBGtCtS`;}hi8`%z&j$O6@i zchI#==XqxEHy{2Z7?hcJfIy8zS9@IJ_q!baV-7%6&!H&ueB^UU`PuSK@-ccX=D!8X ze7vrl<;ReJ3*O%EaRR3?C;~f5;9qrMXAN(2`;V6n zZ*%!qGr(C!bs2>psGhg|_ASRN+K*q7d?su|5(?iFZ`~GWc6P8kKi9 zr~yB@XrJBsx40+)J2kt}7dhfQFE_r703~3nM2|km3tv4A1Gw{gGOnMr^?fOL)7+MR zKP>NAdd)a#0K90oRCyE-KB4B@^tR%+JO8FSs`rTZ;HX1=!WDS!-_)UHcU8ovc$;(| zWPfjwso$Xc9?a}Li;wdvGGDK~m;h=IIz*E%f7XFy_^{l*PUv=sQZ-z&@x2|6iaFJ? zB)QA)gG+UeP$5Lml>X!)?1Yf~`14zX9V)>+NSGx>viKa-zdb<>=z+K80@qO2!5p-CE6R2yeflZX$LIvVrRw7; zdrN@Ymj&GRxlKV8$T1fdy|E>I>ijK?7J1!}Tg^M71h^mvxS$5qkb&x`L2m=QvgDxG z|LI^g6xfMYH4)TN1OFPHJY}Rx|A9ZHa(H4n5;11N%#FGpM7u_PuG`$QW}|8#Q)L6SQP#UrfxfIls#9Xl4r9 zH-aMEQnsOxckR3=V1Y{BE)s6U+NRa;o=b)*Y6knt)RHW>vM*l2Fw+aTiOCChS~^8Q z>W7RAtN`n?A>x)ydXo<_5KfyG!I}4wP0T4CuRM`fzP}aCdZY2DS?F!|Y$bc(CYL@L zSZkl0VQBDV{6np1^euM?L3Z;M`9^aKU$_h;Nu+c1(5cCdjHug*)Cft7T^6y1v$A^hfQ2Rd}oS<8c1^gw0srH~@ zr5OI`nCAXmTyqvsyNf;*pc||ZrYI+CgTw;tsdl4Q(P`=eY%F^2 zT6GAG$t_4ijnUCQ3r73v4x`2ZLRGv`xoEvx(`QzceOOf5$Y@Q= z@(~Wyh*tWLy*i#lSnHoqh$!LIR2-bZR3Fz-TS0w!PS7?IbG+(M0Tj#_gw661!#^|b zqhVMYoP(erZga)~5xfc_m9#j2Vs=|)H~XsGC;2k+LBq#(+hk42wg^!Z>^zl3A_?)h zfSy{tjn7XYlsmvEmZ^M@3QQ^M@;r+bO{w|^ks@G}A_ol6Ho~|*5@QFw|O8!^a@&Iuo^Nn<=tQ#WsE@wiJ+b zhGo5EfZ`wsOBYQT7?)$vl&VSgzHR_PbTLP(q0uHCS;cwyN2FW56en|9o5rxxfN}*Q z8lYa#c5vF)fDkpKr7i;94|+{v*ReinMm~mc5T?VVJ}vd&TsA)bN>9!4O;I1;vrHeqwbz=<<8}}0xAy|n zhXkwOsevE9MA1Xfo!?8MHFDLXVvM{cKW*Gj@HpEDjvVud=$IX-Y7#I_*2rV{?7c6XJ(Nz`e)60_4{yH-&@5z%?Y;5%hPi%>NRajaF(50l5Mf_7Iwd z1-^nbwMD!tm~T4;1v^yqXMw@+*|dqtbt3Qf_+!${{dmTl8-wAxh@!P6PC+eYAOkG1t`oZKl^IR z{lqCgd;PER$lp08-w9$JNBH7zV+ZmT6Mu)~oD6CsCtrq0$Ctk|r)lQlZ)h3)+4!cO zL4h1GkQh2;_*FEfEoAGBxn2@;(shO;;$p z-S|6T8#{Vi1ZQ8v4~Al0>T>U}XEi{q{_r5f34Y<#`;(8_1b~+hJ92L()+{Sb8c8^c z(6{(!(Z_`8zvCafC)%j!+d5Lc35^BJJ?!RI9cF{JVaj()j%&gCUng?E-_Pc=`+xH6 z8nt*NZgxP8e~AlOr^I)ZWll(~(1!#k6@F;+F9 zan7rHA{daT;>1g>7>H)H=FH0b?e~T8XP4$23f$d_EDn^F5)xX`qh-P(DVtSUV+CU? z(_xEt)XAzF(XpPG68H(C3!zWd@j$M@6Ki4;Q$-=@Pqot`Y#t>3MKmY1-DCx$oKpz1 zfjVjo(K6-9z+q$X=YP4(%;wyJ9t}~m2 zdXa4alcB6rJ5%O%KH~*_ldgMr0<-25XUWr+=uR}Yc`2WPF0ww-2s05+Cv1hxkcH!M zz*XR8>yb_BQyhUGG{sPbOghdkR=)feCA7FgBN73xE|lQDbV(o*y~M*o#OSESJqZ2F zmCn4i@(ezS$9!~D91DRPfuQdW&B!H&P7f;xo(}wi{hDb7ey_1+WV#eJ>m@Loo;@tN zRPXS~yziUK?v2i^5#gqno119IEEE_xjME*%eTj^Dx%xH!3}h`Hp)9EAPW3C7k5sJfhejYAWQwxmiUTK<$ryI`a7IGF z{6Zr-HmF1FTwT!7F{b>%1pxT@aRu~D_OL_}M(Zu1-EIsLFmp>5t*a_Y7Qn?a+34zO z>j>J|a&Xab$G0O^vanD%3*eJ8i26|y1QJ;w0~HXc)FlM0d;gX#S%mRyeI0#bGn+OIh-tr3;SxtZBE{9 zOqo6ul}q%X=?rO1@#4ji2F%?oU@B%FKR!i<=XR-u=6=)g6Ko3^Ck(4^k6v`tK!V2R z;dTGV@eh>~fM^CWI=(e>Ed|Nquy$)r7gFZ z_Fy=md)}EfspB77KOENKuy(TvcSHKHPm`N3`^^jj(^iTwfzsHcf!zp?>8p@vA!ZJ_ zPz#oobtO);IDzg$BW>E~*~}BZ8$K76n}#gpt*(G6;X+;WlZ{qZqKd&d;xv4iMziEX z(@nBs=t=5KZ!O!2c=iOzV60}ByH$i|7%9gMTB~+g`8Gh9Eab^p0%79tx zoOZeJXx&6%jtut2D<2sW!2pvgrhF_Zxh z_60%zK|?#ccL4`{ZFOl`j4j5sOk<(2gpy5dN=j`xArFLGCJd!ZPbA2 zBUd8B-|f{$&5rtid31bui8s5(d$ZPwrrF&qdTr-O9G5$w`ws9ZwebfPf?l1nE?hM4!1{3Q56>; z5T*SFP-LP-ASxBoZE#Jr0IVK^WMx&9`a1w8+}1>ZL#!$P0R91M9BjjLn=cG98~9J= zFs@vp0+|{>zjwhRDC1kZrc%UeRJCGiQjW1)d~RY1MwA~Zjr1CgJ%6IZ_QYJ3K9&3a z3Kc~?27*fF)tVR~mY7htBAz6u_0BZiuZquv#nxD4N_~mzj^X_v=R(+2s1i|lGg8XASE<6{NNdE_JG^fqn%;c{&ko=JMDk8 z!tq=X_vyD}=&M?ta2bvzf6gBp$?ueFRGQ0acAHh&{^+YL=OX-pklv?Mcn)~Ry&^V>! z#9`O3;`7A3$;2JQb{+DA4!ykkUodb;4TWXo&J-GRx6_Z$VS5=m(v`A}pH%W0?XII# zaCG;27bt1W+*5ji8)0-1ig~4Nj$7b4NKlBeB)y=<99yEERu+9Z>>U6fPXqAH@NvWx zkDQ7ZB+c?CC(rRqKK4f)5CQX~4c+wVGmmm{h`P|c0QIYU#v{w}8a;q;5lm|ziYwp# Ho1On3R83*N literal 16259 zcmYLw18^tL6K{C2bK%9dt&45j&NsGg^J3e!ZQHi(m*4xps<&I8>E7<~G*&3>>r!wDkA_PUcod_^fR7`1BlfjEr=Q^b8#M ziW2xz=8ner!bawfKepKQ|Epr3Q&>Uc*NKu^Bq0i z;fObQb%)TB0eBgc$ z)_{n0#971*o;k>R;#zhX58Iq0ulY6&E=~5`+{nU*I~{{Y@P=hqLFWOF+`Z4Qb-Q)l zH?q~czlHP83)CHJ)Xdr!{Bp zcBM{)Vobly`I*~^+<>leUV%V66Cq*GbZSUyIx1%z?`Dn00-WAha)Z$8^PwoNu8KFt z13nSx6_pn23D%TMz1JOF8G2cowLb5+@0_usRhQQ>fL@DK{Hzdsl){XiO}*@fjyHa9 z-9}q!THJXgUBB#JxVy+*p?M7ONLHjBZ%Jv3FN$GF=1yaih?sM7TjMbnZI)S)>7cIH zPdGU+POa3M*E-br^(@6kP(^KF3?tomdXR1A-V=L|cRw9uh6~gU7C1{_O69&%L%sA89iL4oS z68t|_fo(0X2EF{8VTaY3dIYuF+xp1Qp5LJ|BEKLJM7eNT9!)Ru$xh(=c&nqx$4Csb zZ;Y(&@f4wwwBC5<#?61JVk0I@&WhxLwhCn>A`nZ!M!*lAf+S|7uEErI}_`4@_5MNJaJ~gTMWT4 zhtPpBn!{jb3WmY}o2JNW3LV?EQ{o%)v!M{FoX6?jFkA}FC$GQ#&xs`5QtmddHP(mm zBEm173SdW$2uHU$j&530Pg1@FxM@wwN(GNrTFJg+8kf@))i01P0I=qFvm{>D*R-b3 zTw_oXGW9Zk%)ryQ(>zhFm)mE6>w1SB#1gJF3AaUmQaMbJoib|>&ubo|**O>QY_gwV zf|>~C29w>kkq8Mcgt5Wr`*{clyya#~v9j#Uz>6T>et(<4Vw~{;a z?FY!`meS>s*0-4jS9<08)W?^_yG^^1=~;WX<5u?5s3Q8ba2l%bfM_<_1yglM!x}Pa&Tt^}O6;A6T04(GUS5LN z>Q%1MZ9&azkM8+OKH+n?_vFC76wLi?qfG1 z6Hf*E6AUsKY}*f3-uR+;te#@d%+A-zr|}`Br6Vt-H4*;erfWOhuT=G&x{4_w1=re? zal23-vyxBIh^36KkOtu~10R@3ioipsv;LZ?yMguZ4x3DF_>%%)&t_x^_`dbgn8FWF zyWR86b90@6CBOAicWoCh9XygAjrYY+52vzF-25n-d_YmRaAxMlR}9hZ@?6b z{$_G2+!3GG4WKxXiy-}0pTjHTHD8-LVx|EQQb@LsZ2$CJ3967+;*3()0Ei&`EzlCNT$;1rY$L#`XYA{WtZZs4CO((82G)!U~G5Zp#W#8F%=Z=si zFm2K;!TFB1YOY2n-FvcAnauPLGGs4>b8F3G5wB!9^k8o3ulj<3zm}cUf;1`RG-Ix; z8_6!wYa0hSKBw>em2A=nNwb0IHjt5|UC%ujHkwUe0-eRR(z;VU|>si4uJFY{=)EP)ES+H@gP~SCSpIWc2mnRMxm_J&aIegm5NI*Zn zHmD^Nk>zowu>bU_TP-of$44h<)@s;L@h zx{PS9y8F5wqhoWg(TPpK=*;e~gxp~`Qo$5#sbF5Vf03EID#%0`bUfQfCyqR4kL1T+ z{{p9qZz?kJ^cbDJeMeVbfk_RIA$|=1zhG$gm2qcQA7r9zO1>`~^CZ$uPRb>c8pX2A zi?eP+ni0izq|Hh@>jWvAm2 z$_|v{uhgqrSK}ReL7C>n&1wSpn7DGz=;61UwQyWL1*&?-!~rxtM}Wm&y~ewUp(P`c z$RZIi{=ACSfWp&QMkav4x>34y&A;x#CMt5VbE?4p7c`-zT@7Bcb6cA11gDnd=}}It z@na*LszZlA{mQiB=9{g%yK@Cs#;blRvH*fJOvH!F);OnA2thevo(Kj0-;cYIl_jRu z!kvOt-uOrRvA-9AMHLwo{+36Gp;40fFdIixK@?E{CX>lza_!SI{YQ*RLme+jXE;{P z7v6ZIJ1@+=ZV3wz5v-((sKQ{N2qL4hf7_a;+3lEOCcP6V)%;FH>#9Ur(9iqaw!run zQjbM9y1}U(N^ZS88psrGFnMc#);Qe?bA`?>$W?0Gg_iZwR0ZNFxlH08VU3H{CG;S+1lMR z3Shg~@D7WJ;hZX3v~%VyjMf_nLQ>tdnzSlgk{g%4KX10N4?Fm`*5s8R5ycV!nBQnPg?o5qn zp0KeH@{4j@;^joj7TM27o~4+WoHbGP>L2G^gK5FX3HzQk(PiaO!p%PN03j8xH{WxJ z4dWjUA*FguotrT$RH9v`N=SGdJA<$LLj3j6g;iqiFMhV$EIXvUCbtAlW)31dMn0?m z7d^?td^#su@cejc-c+!cVx0!jmI}H=ZLa75m3HvcB{M~!6`4WcblU}Q1FZ;{Oryt& zNQLAVjM}b_Un2!ES%V;X0)9bugLAJmQ`Ij)fofqYKp(R-{21qyFZ4#BTyl&QR$R#b z4Q+@R&01qhN(*kyN~p5xx^g{=O}!GFeXI)kq#M3;-(bdUw$s)@P5lB_Y^0ADnulVy z`)KG9p{eYz*)}ZgL7o&@(+I=ZO2VbL0tCI&5;epmLluaRjb( zLZYc2+7g@gmP&+2_C*4v=7g&Jc{nt$QuFra)j7@C^^f?F4hgqzaB-^22xcernsol< zM$zZGQeD3ZJl|~6IjE4zbx|ta3h@m^8q$?5$7Fr&xU5D#p7Xnhit)Mnr$W<9>FG5T z)2J52s6n|%No_xZMLvKk?w8Q)it))3YR1F(D5_@$MY(w71D|%IK|}LKpILKJ(Cn5V zH(tDQOu-^jc`<|IOF@D5;CZRAGLuc9q z14nE{hBiPc#%7UHq_rfaNHf9jAJ5E62f4413W(Q+5lGG`lD$Skn&Jbr6X)mHLUTUc zp@qQ1BCIx8*K^Z{KDE(FD zR_u+Di;L<5mTWp*KS|b6)C~nx&FP<;st%I&I5M)~ZPqzk%`GFj3|^CkZ!r`i84M^` zJTs=uHTVz{N+8?Bt-KI-+%pB%D@rrENER`T7F-?f8ZBLhz|!WgZsUd=TxV_-vXPqB z5-|i5Uj)_*Gol542Tx~LPE>B!I3)}@*xXaL!5u~4USe+w3QsaUN~5dHahK1q+Hk*+ zH#UsR-Pv9rOu-%7tkxRNS5U$^vlfo(P!^lmQ0@KM2dEs60O~nC0tL}|;u%dKhS+`3 zI^)MCB-mHTj&zSaLjykHl*b--XB+*z7WvXs;cQyZJnciCukhIvvokn!j;W6n@&P9U zGx@@?jlW${)Emb!OTA9>m2}_87mp)(8?4uOt*Ookcv|B%`I9DL_SK@cQA3*-=& z-{ufnc#nMzVQC9*HPdpGvOQnXo(+u>Q!S_)Fce|IRCHuiIY3#^nM#ksmmXqB6nv$F)1j5jtBk=VWZeks9igFr;z!0HJd|F+L?1&1*&}-bI$lb#| zSWq$0`ze6CBBFRgws(jQ+R-CGEWug`8(|m;(DMeL$lHxHo8IJ(&{X0To2&h0hk`(^m_De zvAyWm)Mxr2S);Pxt4U+_f4c7jMlp75$7wG8D)^rL61HN5%l+b04kX6w)E=FAA$)Pt zWt@H*HOz!qEtr>!;dRNKY}=eF>EKG5l9W?LN?)Ql*LmKwi_K+Aft?)Yaiho&l`c}$ zNBA6{T&RtlB>TmqVE3H!{c_c(sh2{?x+Z^J_}N%VGC4#x?zA;HeAkg)C3(JTUhS6f zq>)l!kDd9rdXh-uL=eNf^l_lCbLFISPkhOBTPtO)Ac9OQaUDn(+5oa2c^e$or8Lw; zKZW-HVn@0Ie(m%&@>g^Ye+{UOiN2bp zr!yGQcltoWHvQV=>~!ne@?w|9NXItNGZ0oDHqd|bd@u_3YG7kd+B_$a4zXkQ{*xkN zE+(L_*A4roUOZLfT87IN;z7ua~O;qw!F56 zn;_YTEvWV<)=YU6D?t}feqx_KK?TU*c7Y^W`ohFE4UK>}h$KON^m0-Z+Z&#Y8;cz& z@5~j-Tg;+sC^f1)36K5zNA4&x%8aG1%hwzzP&4dJ!7y}wEw4`6jdvY=&i8jGHuk^% z{1;boJ-|W7v`B-@As?v_X-Hp4RP4Q=3{Pdegiw6n9#JH>)mH ztQ?A@qaBdO89Jv4T9I<_!-&~03;$&Onm~qK^rllk%2ynuHo|!D)VpCk-hhp7)|Ex| z=GES?1;Jtv`i2~6kIbofew~C2I81b+rVo)`h80qWu8q6YlHiTA7yTno2y4@gDTm@F zz1rQNbNhF`y?t4%`@Vv00!{L?3zt>bws{o9E5#NpfKvj00y8^s`>th$=i1k7qCR9e zG&{oW?Y_$ZK?6@p->O98Z|62$XFUGy{>FyzU~{#((p=W;BRpNU^}AdJ?g60H)e+K# zBB>PEOQ?pK5j~L?donB#Mp(j=ZsPIIR&jE@xv|c-KF>A7MnAL7)$X=MMK`6W5MU6-o-;eB0yo1HErY_w#fR$J?GKjfE$%?i-yws89{JM^jtrFwst z8!XE@9LLa?*64m;z4m+16Ptxz`0ArU|I6-wYKLztDH8!EV$T3FPByDKPBt`~ofBAy zZj*leU>SdO^aqY4FT3(6)`7ou04NbBZeWaYigl$C#h4C&*JOVHOMzjWqgea+G%&X! zu~bvX-1GsPG=`v<=8rE(&C*1ctl*?MCzecyCRN?b0AS-~^#5KW&W+z~WWNd2xkBjd z!+Rt3Ay;*8SaXH@uAmr|Pz_k0mskc$>zXqhP^UugoE}(OuCHsZHdVi_Q>J?RrBb1_ z)^3pFvRIa-{Q1e_MWw1Ch$+WEQIKAH_r5}(#2fDA9>g5}9;1KX&^Mp^7wrW9+_LZ( z*qy#kuy9rZ(4w&PaKc-9EhFHk>mmCjLOU63)wI7#@mB+Oa8#7c#A(<9>$zhqJ~uZ2 zaB=TfFdz|?jcqgE-zwKBaL5VGP7o;-6CI3nnUDC?!1^hr%p@}&p`7KXt_bO+xvplK z-(x^cK?H_lmC_-kypguN_FzjJh$ih_+HUX!25@IWHPR`)G_~ z8_Rd!-mk*=qjJ1)8Eg^3Q$WMI(zF^NlNrW~%8BiWt@Wbp+;DQCTP$)tn-dho|g$!gh`DC^=mjVK{1aA8wMS!o00rhU>-zfH!#03pRx$+f`eY4 zDdw70iZqjmGlv8di`aMv>*OwN`FoFL0( zduevb_kW_jjAI$~RH&@~nvwQZusY(LezZBw;Cm?dy`wolbG6H3ZZxS=D@%$nT-0!~ za<5=#k++sFoHX*3sM}t?fEFib2We)XWo)^s>n}tL+>W@_5Afl^`@r^9^t2Z`ReEKU zKf$8Rym6uWur1xPYaAR>jF#F4@$2pF-|vPaA9S*29==7E4A}%VdulxqHS?EVb5UaY zQ(O_KXq?)Wt{z8>{-wi7Vudo^`89J5dWJ{sbE11I3w)?dF7m=qK^QEVpKmHYKIR!e zd37Be`yGg=vXhaFP{+P^y@>`Ss?V^b#DwOGlRwPRj_l3EJ^kyrT8wkY3Ue2gXg(2J z0@5qrTHccr=L2oQDq#8`pn4pFZyv6AwZwD53huACB)q10m%DYF1YrN2+vh~)uUoHw ze+9cjrod8Kd_xzctD{eDF?;hSG#CSv2Sd%(N?zwg)0j_CS?4@s>`Q_17YW79!dBB% zH>%pltJbh*A>V`YPj2BOR~6)q%*?V=0jpAm5QE4@T3q|{*LU0Y34&Jw-vg!3N&085 z&2326BA$CA-vi)lrukiPdl#W|2=7gXO=*~N{V>i2_$mw2)h6koL@`S?5q;Jn}~a;Dcg|G~H~0Y!CB9H5<=Us+yf zFu17JX)@Y{<;iPd>{BcRP1T8?@3;O$(}5_LMt@(JH($3VrsVFfQc-gq>!TU+nX7vr z;*Br^yGc3W$e8tnU&rTgoRJqh&Pe3Z5l06!$CNeoD)_yD=ibWqK=d_Z0}|dXV6#2< zwJ&TMQYX%TdBwf+4YV?i)D2FYEmV5z$#4j#Hk)MwdUcA)=Xf@OW;fURhlQSQ-s>tH zsudZf67H*e!`2yfcQ(xjmYjywX$g$ZP`uEHcVT*OHar_Bx<+2D$#FIEXG|cfEPN~K zx&5=pLL$6Y9pUALx024~1RwTsC-V7agtm<+^49Ik3n$S-wX7teI5=tn0N80eSx6%l zm*?jXDm2n6!5Rx*A~vmGZbqBG=p{QtI+>t0kiGNdi418#tS?qUBxb|xDI!H#09te~ z%NL3y@}p4M>;#W2BdD!LN}~TQv6%#hYv@9l{R+Xe64cFxqgd!<{2kARHWe&+`)aLY zhmC{B$~MdwW(Y|krDPf9eLp}->n?5JxZ)o(pF_ugidYJB8ze_>AJrQTd{KN*ZK zUzgm~p*i>3B^G-^ui@^KQb5Oc?9ee(QdoVG>{x$sI8LnbCK2*bzMwc}!? z?_~Gjzt}Knx99TeE)wVjV{XEW_dc_y;ZUfHo2SLC3HPl^I?}cMYr|d&_T{T}6w>wG z>%yLN!NLBMNXPi;eE2n!jL$#R>$|sxy>;0kKKXE$Rxgd)@hHPP-WG=F@#|@zdL2-t zL}p1Ew%g#CnFPhp6I0V}(-TReuI<1;GRa%oZdsbGfxu$1|2Qh|!r3oKimk)v(n0LY zn8ZD8?`)M1==nxZ>}ki!@$30;U2_#kQStxT24OaS{HmJ$u{Iy9X6_F+#TNOX6z%w_ zFOZpbIO+vB1KwC1olI7NY zd*VQ`Bf6Fsf10c8LYMNpmjmw;qAq3|GmYhl(i9%6j&#H}>Ci8PT9 zFVb)8Bk6dm?sc(GMr5`ws#h0i)o<;k@N_Eg^+^wFmel0dkesG5^T@e$(mdW$=;I%j zJ%1i2&7k&E7i@;cZ85%X)r3+A72L*cdf5UtO5tu};o|QxPheW!dxMLG2oX|OE6LgO zc~O4~LPU4?7};i8%WlMv4tHwQ7>OteZc0)+`#c2-HkD<`!@raBzW5`sE-3^1z4S_H z70hORx2F`WopTt~EToc#Nk|=G>gEk;fBDgSl%lbDjpl#xpuH}gmq(qhJrF-sWUpcb zOI8Cau&b+S7WKmzf$_#jQ;s819>^`C_XCeKs-u- zR$^pVA*hm4ymQph7#DX(h_B8!o(-hcVZxf(Vw&ez?#5X2hh%^Qxg<`V6j)haL$W7R=#k5`d%MT=yXWvN%HU>n|O zh~f2nC@4xHDW>Lbiklv!{x_N3x5xSVFCKW$gN<7}(ybP$z zIT?83aKc*4bD+E#7DOe37c~qa<`DqS5X(8;KwNGU-d? zc}Lob$2nBjhcdw6I)kU<;J-hUMSy5j&jn zvPU|qrhOQl>k-l?GH&YL?8ZnaSupn;#oR9RGu98N9)wD++rFEYyvCqSWe^h((l?JUT z@)?%y6Xa7Yq9&-O>Et#~HWgHVO&6wqH!y`efZs*#IojGpMJ?UkTSP(+b$I9thW9sH zpPAm4nKz0wVGhut#Uxp@Gzf;v+<^+{L3eFdic;Z~4s?d-Yx!LTlY8}cFslH(APXy% z9~=VZD-Q%hnS$D$xVhV!{16&$C1}?L-QpfWM&MBz6H!$x#xp76yvsuULNMR)Z(*)rCxre^PaV z$muloc+D7?*M(|=&*vAq*K*=AhO&!c@l^-lPdQ4=2zmW^MjO64?O7Dw=>H8Ouu5!R z9m34(LnV7B@Yg9eu$z(W3$Eur5Sfm#3bzuq-0%!n84YI(5;IH3@pq0ElyoGVv@9B# zs3gO?34e-Uj?Re1lr9Ug>4tm?kpzlwAR$LBxbU>|CfBh`oNlvsk zksq$Cq1w>=;Sc3@##5M*2yv@Rf~P?mmhH2dvA#eQFfz+nHXtg&w?&Tw&Y8H=DSL?l82QnU6o4Mm8-KNS)P@iwq;(0o`t;XG$+>=Y523xboO~SX)<|1`8T4oe@rJ3Q@gpAx4Rs$G0Lx~ICDR8d>|2WI&^#&7tsFZXQ??q2X0 zA-+7Yk1`X~=a;8c(aRA@wOd8 z;}GBJo;TR{z2B^OAN#)d3Kln(D2HbUH!o6!xZIvO?OIm}ZQs}ULB>a=YETBeP_7Ad zH`iW%-$3|Z9ly>dIcmNWLEoo;NIa;AB>Y7;NV@6Vhmgz%nZusT;eZdc>vZY$yzd)L zCGWoOY30|<^qXM%PYHbX+rb(%_Gr?(@5Sct9TEL)L}{UhU0bkdWpFvsq6Fr4n|2ho z#7;v|fu3u7Hv@0=TB29u!}ZU1{aIh4=Xgq0gsVnMHkKMVcXnTG?%B8!b)RnUw14J) z?^a$ne^7n0=IG>GV&h^zM0%jV2+}=-OuY*>gPx(6xV)&g&EvVp@jV#$oKOKh*3XfA zC3PXodABcZbkv9#ASU?Vmd`Cu-t(vOLiy_kxVRDV9Y%dH$7X>(F@4QwDxSj($+?)4JLjAkB>9<}0z7tVKTk!w z!rGnB(9RzUXPn@9w77G}e18sPyh*pc(i1{PyV6Rel*w)n$s0HfOh%=s=qhKz7>Eu*An)%D&H2UtN4Ba`=7x(Z zNh1jFqqNd`r-gG)O%WFm8I=;iBn%8=oM4n4ssESBLnxyVno?&LUO6nFK!a}3Grc!G zXhjv|)D{NXbeCGe(>{WVKAF#*7~S28 z_90E{#Dew#vvtO@J|AMelOqj>Chtm()Nx^X3y9^9P8M@R7Q*u3?P63{R+i(lf6${vY%e5l|I88>eXR8$!NYfQ_t*C!!Y58n zgV9YA`|%rHsC3?JWM~1+;xz{mo(v-12tsVYWnKT@kvYx0xumkWi{)_@U??&=sc2Z) zW@V`3cvRexlA2ieGHmGR9;s*U75Bqt{Iz%R#M>kl@4dKd!YJvOz2>T0yN2)*qQt_6 zLR%3DL!BZn+rJuhkDR&J6G2}Fv=1rvx!tf0{kxnjA6uR`*T(_h_;?>7uRGslcpq%O z?;ab1uavrhw;B2C=pH?TueW%h(cK3geVJNUdid&Hln+gukfeh%#3hcQ0%W?Pp}8!m z@56@ze7I&RSRRtWcLl5!aJRs)$~I82ueF`CG%Xh1JC->{M`49kA;>3$QgJBV~U^S z++}!H=il}{t9es`wBoNctux2&C0k1!wzxYDT6|e*CF8&Y1DZ<1#M~+6VX?MQZc-KhCCAV{~JxuY<2U)guty#CRWbo;Ru> zS;NOV;2l00Z}*;K-JEzIEUk_{0NRJc)*00U%a?Q1KiT={y;O;psQS7H?A21c^#q5f z`W+!^rT0~-EKczb#=I0afdWJKO`%Gy5L3)ML<+?5~i$i?tn%H9Oa&S1UE1`WP(x(T@2 z;AlOWS>AZZ!ydZ}1hReS?XlmIFU*jzzgW058s1U<7OP*7o_>krb(}P6uQs9szL{qz zWNPCRzonkWf=;a`RbNf-d%LqFpKV-~Xx%T@acyS-+xNaO{o6nB>`%`dJo1+PH`Dm( zPgvVuJ5#`{P@mhcj^D!WFQCcv&*_dg`AEmO4L#qGmof|*2(rF-V6JI$Nr8N7%GW0? z#4p(;XWGj*@i!p{1AH>2c&(l^L&7_%;){H|rjN36iyj)+;=cRSyHDa{eCo;c>}o^t`R=Jy8*UV5}ye1FZ@cS zb5NxZ2)Tnsb_&i7RT%FHelkc70!c8gvhFVgXyb520oT382-u#|WkqDU(<7>{QLjJI zdR%Y+L3=(~h;D>ru33=gkG1HNMf?b8=x5TN7{Bp?n>6AVyB-D4V-8*@FVC(q*%1gI zXWkbF;NgIpAg4t}@A2yWFc*b)*@@!bdmveqi-a;h3bHSwolhsm~p~?O4 z#*%a!_I?XbwcRH3@e-ODnUYZrHNDTGs)K13j!=yHL1KN20XuB*S$TIKC4IAmvZh1` zr#_T-vT|<8_oP&AFZolR=={g#dYODu2d`O)MfbZ_na8H7somiI(TZ+gatF5~+n?*5 z9=dnq$@86P!gs2R^8YY+3}78we__h!S}&%E5PU2-ian||TIVt>8paytH`1SkltuAxxZfl#PB9uZ zf^`RxZxX0n@)F!k*@?}o?XwDTJcZ#hCo0<%0%zi8;AgK0}GJwdrS*KhZ-ug+v^Sc+j#g7?uqaT2JV+FaLzhF7X(0YaxHy zJ^=9^0RhumjM`!tUsV)t;J5sU0gAl8=HtJ}9d!hbSVPMYHB&w+4S?;f=vZjuV89O2 zpXV^H7UdXBGsA_y44B-{P)>#I#|DhiQDdQYV1b)*G3Ta51X+4c?fC|accKh;OUUPA zWL!Z*gi=zqYNCVe+->!O!dJQ3(B8kunQ8h@{^(sQy;;J0bZ^jRM5`vkUpz7Qq6w z9eZBdMaIfEEY(Rq&{$qqm@Av*QY>ZT2kzD_9Fc~0u#M}WnXfrwZm@zhcpt~ z2qr^j`Ko$m7ucfzY@|ZuMO~ue%*O3fV@ni7Ink=?dEE}vE<_`^ zkRMEAM)K60M?YnUUXr#?bifW;p%Jrl)Ckg+fdGr@m@;n|cgmI=m?@^*;aSM5iw=mHe0=azNa1xF zvkrXmyRW zGw;Ql*ld`5?bCl~n1tdQn4e2v)){7PH~c9l2dB&o0SXJR=#0|e@&8GpO(Y&22>9>p3mf4l6g3?efx zv=7aiU0%*+{!23S+Gh9?nVAd48Z`fVcwQ!@nG5BbxxaIJ9vsvfAe@!Z%v03=iA!+i zWemccg@2!l-f8B{-r!p*FFZr|CWJ!*Jn`mBy$`YK}G(R(0(bg;}w`b7qeI6~)UyPJo;b zJqd_6j%OEwJsZAHN*PsbJ1W?VN9;vyl%J*p*t>_HDc3)8m(q9-7nxQBp@XcFgRKNb z+Q&ly|FAdQvIE|KinLy5U&$+fub@Vz`JXy#xJJ{>D+k$QhcrOekm)zcQmgv?SZ@(? zS^Nx6ir<7j$x*_ml@OhLL>G|a+AykQLC=80@_fcjY<1Rx0L=zi`ERx6ae&!N=%;4U z0b4ai*d!;lRY+vp%S zE)$VZEXcSa`As3prv&_Lei=7}Xp^^VVxPnfAT^BE+qpw##E=CDi12o5YM+*>8HgU5 z1M+4>;+h*l!n+CKfox#(NgK~FP z;CRrCxYGbw_kG|lknMt2N^Nkc?GtzbAGvCuYoKg7#OZQ$B)VetE*^`fZ3@eGhXeJ* zlSo>2lSL5O)d;1yT7VPs0J0Q<&{^ghROrc1$;uT3-0+G3zz7EqFQlo zQf6l)z9A$cS0&4|>Pw`5{1#)9%DF?AKkw@v$;d5+$p9}1SqA!i`QiwGy!-dS*l;Ft z!b@N#Eqi!qxyt@^XZv^NPj^&Sl3<5P{ zBTK8eq>+szq(mn8%?3a7k*4>cjH{u02Xot51ZD~HAv=deDE~&;Fg}BT)I7#8Q5gME zgLy@xsVG71Rt&!ZFB(^G1kdRs=F;8w&!NokZ&zT?WDhG;VT_()x~+yF0du!x(ORDJ zWC6TCk87Qst?fbUn+`734+47wiz~iO9vfv2uYj9YSnnkND$i@-h>%h##7u#{PN2g6;h3_3>g48bO9& zXpNk+R|kd7=w@Yr!~q`1>6tYV7YWsLs{jdRyX3V8ukHOagjTG7ug3Dh_jcx?YU7W* zptv^y(URM6<7?=--&AI??TLN`W(ME#YX_W`o0Clo;zj@+eiH5)$TbcoAIjmMyzb(T z=0#r(fkSU2z8e%3*vV+HCSV4s1J->5gY2w`<~**D_%8d?MZ(SoI%l^G6*|{5874sX zvfUPg6IuECFG1C+Rz#^YJz)@*VzOH&yMTkTD5u97f)nqS%F@>AITb#5Lc4BnCxNHS<+$WT4JkwuoX29(Q7~v+aAa%1LrUlvtXxw zLbeNE9~OYlc~~y*ZW#Nh3ilYWnjr1R2EzkQsNQ{Ut{ny%*ixP1&GnNeO3YLMu5gQ{ zF@{3MKc@=Vp}`Q?vXfK5{m%x~_H{0VN-{+V9bOl$75qGAFFFP%Ho3&NkdSb&PTT?c zJehU30zfxzXiBZg-+_>ERzV^d_-mVMYpL2o%EM+GqIO8F$Wh9Fn1F#6VHYyy%c1$C41~mB*6?wb=Ot`%V6xw%U1!OlvGtCK)?<_OV9I zMXHrSBjB=&;OWue-#+%3Ru&MQs<3+yyi9Vrh-<$5(#QcdjwY0>3CeRfv1W_Tgr~j25~B;-;tC59o6=ehQ4f~>(+XrGZ?x4D z-Mg~fz10GXGYP|gMM`YYmSCXaybo_e*$xfIzX-cpH3W7-vb^8Tz0CCs$YNlJ$m~|d zlCzVFsQKJCi=!C@Z8~i>3KhjuD(qi`JIf_QBhD5Ug5u}M+1aG9k1a5obAy5(v>^q5 z5H@ceT=1!&EImI7hgq{&FyU)1@hpk|bQo#;^Qzwdy=zA7Zw~%K$ytAQhv4#dp!C(n z#5?BlZsg1`7&=}LbQp*%9Xs*)b}-x=22b&SU$C7_-9a-9wdAL2Z<=C7?SPi`ePE}9 zBf^te2*Ei4?%3S1b1Bp0Iiu9nMM0V8!LWdR;9O~9Q+tMt!yH`VP7E(#gG!%)$nxAs T4-h08in&SXJQxZ$|@mOwi%2o&4*_vf7DhTI3&Nr2{ zwze91bn^6`d)~~67;5l)L1B{XVC?rYWHZT!~DcTspbp#j|@O+STCR@+Dj*v#l~#<9}^QeE{ml*J~Sw z7WdqHihFj(K;@L<*)w;PH|q^!ESb023-xZ_G`pOieCwltw!m9biEJ>(p3#-Dbmkm$ zkIO_aP8kpE3g@3*v+`%I9elel+>wbzLzWE+N1TU0bI2NEu=WAA3!m6c1#x$AFa84L z!V~4K@JSo|uqI=imunat^c?-j?;rd*8$aBF@u7vI&x(t;W@fG7V0MzxM;&eDmkjCE z9{%UaTJ4Tp={GGOKfkX~zb7?8%2@srC7_1BpmTITY?0n>Gj4OEi5bHRRVIV60oZij{e?I-Pd

    }TRc^mOl$4t~4 z;eF=oozVHF(9Nn*w_c&)?87fF#dWRo%*v__E+M0C8~%!xeAhe)QVp zHjgv@gf8_+FSyk|DB}S_e9ynyQ4YvuCWI{}E(zYkNME>bf4Z2Q{rKf1y5Oo6^>IIQ z%{tG9zbv%!pbW3IxJ-9HGsiklNcxv>cJXUC;PmR)7Z2c?I&iws(dQ+kTukCsO*4;C?6K{v-GqJSTxP5)mI9 zb1mOcQff|Zy!ob5)aP$I(YDa5WAh9S`6YhffnF;%xCEiIFg&rvcupKvc{WqGzisZz zKN$3y3GEZT9*QlTYht#BRe4S2lyEF4Ka;mbT>Q3)`(Dv*6DJm1cKR5aW^D+^&WLCgbeYoZW7HS5;xNnhOhHv_Nq2S=yZ>RjzZRE&I8X7pO5lf5m$xPfN5?Q_-hodfMbs1`A;Ppu2Dib0cK0Q@&M9^_W-! zncna`pFE^3c7#dwRX^=+E_fIVJkReukt0*?y_&{;q>#<;^>H;lv||ih@n5ki0kqJA zls>7M%q~VaTwYb3y=<+ifFcbYlW6v;)#gW4l%#j8N^CnO2bJN;-)2RBGg)~l3|vUq+EiIIo)CE2uhdgPnAMOR@IgN;-+@ra2NXJA6gxs zQ)UrQ5gYQ+4g;4@(*zBMWEyqR@?U(&cizqh!|<*Scl5}Q`PhNzZ?%y(G2}UkCW}!= ze!pW*yXOcu-TEIM6bSuB9(vXr_Rziw43@STDf6JKAlyk-M1`f4<)<0SNt*4X{hXCJ z3rxmludT4&Y9Wu(u4EaU#z+ni>i=82mDLQF}&vLG-gl1CD zt%`?wUmhcM_bKJU4G!FGi0gAsgxiZ_uS@RR7&5dDK-EGU2H&>&N#sq9l^>(uDt^Y~ z#Xvf~V@ObTjE@MSEvM8u8qGYOk7|ZZEBu3#sseG*UBcUo27X0r<%RoMzGzBCICdtT zGS{7KVYHOG!K)B%KZ3qmN7^S6n3TzvKfmqN|3O`38BCZNU5*!y*cpc;-hwH!lCM^n z+>CO0Jd}E(YY+tBcinvOUQ}pmeUkUKgGa~03xr?#09`Nnpfs{FrXdk6M@KfHe~F#% zbUZZeuqBwKJsQ(lUYym>KVQ;F&kk=yy@Iu(Z^*-zW`JWjwgJ!nhRnsz z_`0Vqm0@TBsYb&)PW-n0%m&lZ`2NmTI)wp<7J181Iz z=MRd_Ji%ND7aE#%Pv$=aE|YQWpv-EERhSc5&oCCcoN!)isJr1BeOIjHV|R#LB1y|P?!>{m4$zJlZ@$1>Pdjq5;5B}(c{6(*@Y;FV zpHnGUu~1C@8;~pzVNY3>SiGV}4o<69qS2@A?OV2do_w7l??@G**UtuW&+L2;b_f@lP_bQu8JT(i^fD``cXSBfhK4UhRy^>#JYFhQrA>kv4_riE}*E9#(oZF zPkTw~BU;6PxR^cu73uvA(UIwXyk9;+&Chii#)wA?>3fsX2zkpKnjCk)L z`-Z~+J^ZWR2)sqR489to;IZl7u7Os9+D9kXOhyr6Rfv7)YuZA^?;$~Z4oIW(suMAiWwE@s1(&=_36rEm|;zx6AIn53q&S9mtI z4(0ha--YZ?JHNhLxI=i*+5|eiP4DtOW}9a!1t|ZJX&+(ON4vC=NPLn!L}GiTFU@#Z z{3q@E+Z&&6{S1ho5e3*`_ekuV>LAwgQN!z1Js!}w(=;`t$(P(8A#o&*2CJXPz#f|K z{V|lviGKzP-if!dq&T87-~5Kt_<8^!$&sdgGZqeVR(f0Mgn&|6~$sQ9nFXxX$IuN=gRc1>IJJX5jp#jqo_5L9LMD24O z{pk5EnZ2V=IU_y|6R|*R?^u{LU(<_)F6XA)Nt*~iKLW{{e8tCy+DV0J$pqf!i3iYSz$mss!vdlTw4qD@4)5lI9fdl_KFHyLheWu4w0g zQ5Xf|!{D)kuukFS=zPsnxYj7N>N9kME`^>Zt8u}1MWsyolzG>cFi0jIrsHL6B;BYQ z5BTvNWvPfP9!`&z43GAT<)=Rx##od0fpt(M*r)KOtc!!72?-@MR7 zTJs&w1;vEqpc+PO=|ZbRy5o>s93BQsqXDFWf4R#G8+uhfM$894W5&L2QVw=$2vi=u zX7ty61#*7lP>rnVRR&mgp_H^U-P}W`5KN|b6ngKR=%6Q5NV>L-H~Q zv~m@k*I2xcPi$$FdbGaxiCE!_VRV!ex7~FbHOlHa@D*|DPz?#Dz>sWA&D zzVn;wK(UT#u3GYNp+QJWc2}VylOOiRas7@&|5bF@TlxW2?Q+es13N_+PBo0N3GbtS zs;}H0*nn~8Qv#O6ydq$Tt-zp=ppbWFTdl1xOZJ57nzL&xj0@5Q2g3=;dikvW)JL^o z%C>gS3evl!tt37r=-b zSd`Mw2B`1vZjUqf20(n$9eX%#@s97kNhkx5JW|_4ZtVlDW_r@yMdYviKC)=$g{L3= za>=@(U-DEdzNi0CE&D{DGRKI3O51>%_+7T*`=9@+zVZs{{lZA(xUfqq)&M*`xZje= zS^v_-Ez&L0lvFL7`z!NbNwz|@jH(pZUITw~-&W&(Wb-oyWR68kBruP&s`@;rd~Tt~ zC+Z|$QV^v=W1j#=w`?lxKM?UBi24u2{0HK2bib}7s0nwX1|LXN;*u#{ts#|Y@1li& z^^ZK8?M2Jh^pO)gu~;kakgFM)(k;>k{H#zd=cw0Y&?M9?`&@=zp%JAKHjg^^SFGMr zVD@m$JrGROU_)_^%H~Xl%$cjURws&*eLJzBLZf;))i&!MDIxe7rLJsmlrAnPrvjnq zp9&d3l|gmTJ*w}h;-w1AUyZsXFH-@2+{c#so3eI|d2@FMj&Cl%u3J>j_Eqrq@gOWu=| zD8%-;0YKK=h;}@3ud?*T&L=JzjcVJkuWhn|n-OF1*fe8{cF?cQdev%Fm_rgJF-kBX zntbb#{b&L)(5VA_TlDZPkDO>9YvTQRv^ff14aktuXu-;V6g9^%>Ey|dRNzDj#o$mZ z#JM|kl5XH+4nu?v<5s|;2=I8)IZv@Cs_Cn2cp<%rBqwO1vRi@bkHqW@{I)Y!f?(Tq z*>B73`XCf8*=eE+kH(;DXsSJdEIsZC39)va8|y`()#7nLvel<9WN^vq=ju&}t)DYs4HvIdCcrsvI zU*@!tww8JS`#q{ta4Fk6a1tfl$8;k%c~Ct1b?^$0oIE#%N+S3f0VcYHFtt+p=6b(K z?dz?2?wZoE=NbHYQWPpK5g$MAh z8C}Ybu@zx=gWiv;cuEk@#282d|AeAA{um=t-TP;3f1Ty|#qL-$Ef`09I^Tz^@R_&rXvbdu;uiH~;eJP9&DK_t zqcFFmAA6Qc!TabHLaW}z-C7PdPewH+ z8$~bEB0Q`mAa-AORVH9Do40R5$sS?Q-9))8__Iuv#&O7`hNUhbhSo(cM;q}ED)=9Up!NG#KihPeI|5c(>z80lo`t{a#x(8MecHBau+XKf>~C0Z zGnY=&qwL|cU8)0xtJ7a}k_v0$eHhBXOSe5B!ZRGdQllV8r z245?tw}n1pa*3Fpk3X28)vh3+LIJP|K4On%9hZb}IW8pcK^#KKmd=ilezyCH-#5k-rb@bB zREK#6XT-&*qw_O+G`%g_%(7H2WAaJRN5E#+nUbkWa~{L#qm{9lK)&k;z~CdG^vAoG zU}ni+;`0IkmSEHoEt#17>$$hFE&|@Q*JJz=Hl`)vx$KrOoKas?ZO~-@RUWn<48Qm- zwFXHoRqkso8yQ*Oo(o})WoaXxWSzTOh7T#9Vr@Op+eH0059$AhT*H?`Ng-iwF>U3*!2lIK6878rj*J!l*xo zcg0D+z@;2P{7eRn)>~9~UM5_uvXzpr&ipP&ufW ztoK7-zaoU4)||3pzHrDgD=|thhQ5k*BOH-%^o5Z$oYaQtQ^QjelP8ol^fP3N~2PLo?N@=~>5klWR0 zxqp7t=68DD!P;5#HtImK)>(Biu*u`+_h#7fjX=_b+d269Yu5x*Z6jG!yG);q4?Y_~ zl1R6q3kql80AB%NvEiXITxm-PA^cdmMM{Vj%$}TNI#!o{88RmV!EFso(JKukQy%CJ zVkrmUs|Pk4rCzZ#tBV+05HDl$>3f))R<7OvC~ z7xZKTQ_f-Xan6iz%|1}6v$U*j&lTBa>b|c24~HuY{7Z8vmfZNk7}ep}rWnS2+S0g* z68v6DD1*EuHtZ3H>!5n-gyIxoy(@*L{W7;N&G`&j6BYuJe~kL|?GG2=Kt~-I{4j_Z zhGoE)LeiwAP&j*Eu)BMbib0Mv6#Nj@@WEv70$lJ&oHoDHeecR^p-QkRAx~-~IIBN% z(37&zty3m-c*4tH@-aedjOg3LUyUnzNc%D5+i>6T+2_U2l1Quv=4v1%d?~Zsfu$cV zPVI_|)*7xaZCu9%C$*^A$_pEcMdja1(30aK^PtT$uCgTuvC+HffA2BA8}DlOM}I74 zboG?%R!1BVJk-J3Q??nJwLU(16JKzMR?cW|O-o!}SNXt6@t0k4Wb0pv5b$Ri2U}F6 zYb)E=GI*Aa!k0fsNR1q~Ccedtw^$J@W4iyA+vY{<50D!<{HCl0R_k#WZc|z4Q%qk# z6S{Wi&vQZP@GLDGonbiJgSt{a+Ny1n*$`dptUi(DZc0a=d z3}dKV-cki<4&OG7SXl42h*I8))>RZa2aDpp`GEnVrRA51m_S!D9mknzh(q}F)bJ-9 zMHC09qrr+LY+*j6#C3A(?7}lElxc*xc6lUAA0Ex2=|VWvh1OFIHnwV;R4#dnwEJE} z*@66OC!_nuwf7ZjnRjW7&VL+nN5uUP_NiWwU|l#wjQ~VNIPuGOxF_f6AVd3&(baM7 zSq;_c=?iwxO60P1nYQhzC_#JHhO~3mJ>Um&~vN!>C5J#lukRRMo{{xs?zOm*OzXVnERhP233Iei#!s#rR#}Y z319l{0zYzZoPZA%oVz!^eQ$lyifPl30|VuWEUReac5Nz$pG%QO+w~0SI*iMW{^QuR z*(B+n)Zbs=2%@>X+1Q$8=D5FbIm@P-_6SO$Gr(^zyVCE;Q?n6fk@4{30{4tlkQFpP zW%agdEPuzBI-dEbx8QMDk2ny#>=~<(;r@7LCqO%<)3=EwfTHfYzAJ=jfrGRqH%Lss zhr~3qX=fdZrQY&Sk=>+OBNP(yA$zpzi`&d%q&AP)pTqRo6bsXQ%vns*pSimRWmdS+ z<2UAo+jq2*zNu6wCJ3debTng;d)Q&}cq988RVLnWID0e%TQ}@DZ+a7){EI(PY9?^N zki8w{%#qX_XG&nJ|NeAFudi@XuJR3N<{5ht|6?`;{?(i4wFgB}4#?RhOZR~NmX1Y=VjyPNe9J2Xh^I}v8 zAN*OMC&omLU^sp@(J8ZQ%-c1}xKP1(WMcSWd2dK>!mT}DF|K@2f}nFeV~oWA3R*wf z^T*=v)J2um=nU4fM)F{jvJQVoCFb|$_x1m;VFg-w=zvCd8>z@+e9@Eg!YW*pLrYfX ztuDKDLu-CWU@(%^n_`f)HJgEW8Judb!>{#21)4b0<49&zNRV@@@#mvg*G5K>rl zfIVITcXj4N-rw^0XG1l{ivKa*ZS?Ucz7!XQlX20t6!^@a*YCcdsW`6v4~^BIGPcuv zF83TK-;JG7ZiKz;FNk=BI+N#te9qjZ80VIM;p$$W@FF@UV?#11Y6h0jM>DZ{kPA~s zL{@F=@ujYhk6Kr~dI5$Uni;Up4W-g0)o(lLJa7fY3UM8ZqmAE~&l5Lj_gy66qU~h>V37=mT{c(n)RKlIizn2hqFt8+x z-4j5-$$!E)W|72Ui^M5Y%@~vze6YVdtV`j*MAuNG%e{|`=6dD2LdHHwAUk*xi>IpM zNDx16z4jKlp>}`$)RDOt8##y|mWbc6)4fEabT1Xm*A_+KPcv zY~d(`^ku3CKZf=nsN5dgZbSkxB^%3A(?W7&!t=3?3Xm&!VJS(M2d>AloU?UZv1+}g z_Sad@%VpQN;HkV-Ngq#FB5gRlIi<0b`dA9lb|nRMyE3fdz4jDv25zv~!h#{Y=%oF! zKnvL3gl?K{+S3oJ;;y3p+w>WaE zLmh5IZ>PbzE03tsC`G-SCd!rKxcw!|uWm|fsd7u|uv$c6b$9QEvukynWV2{chEX9%2ktetxCf2l2HOlmED20V}F(lVfJ zUkzLs5%RzOjjCPH5zemd*dA3&#gLGBY^lAxUvSYAt&hR*0jA0wDqhSK156I55^=S+ z3JSDuM1m)crgFhHbgzmk>k*d7U5g^KxrxB|8ezkzFv(QK^6bZ(b|EjY+4H z9jttp<_bp>w7BTh9R_)|sUL^-+Se%QU8j=1DZ<_51-B6-@TD+TjBY|E7dv-~@E3HH z<+{GSn{%XTMNKlXq9VK+p`R=!r?3_-lIcZBY-TxUW1^Nvz$|vz5y>PIrEh6qp>D)p z>u%dxaH4$<`8`+&#(^47YG6v&`V)=7IPIEE0Ab~y;3F;*BHROg*lZizUBU}v3FBYf z|LH>(w~bzzj?~oPMBPdHF^sh($t2;<77V;|gt{JNbC-1Cu6-Of)i%B{cXT;d5-ML8 z7lMg?1_hn99If=|e2Q7=*cVpt?)YD=*1YCQWzU*BvaPnmrM42wn$}=bQvqfH|EW-? z_I};Dtfg#lc98PEe@(0IuYNU$yzxJ;#-w+794L4?xFy(NGLMHte5yzs-aW-;IH$ge z=xO?q`(?qs{sBJrkdPlAT0R%-92mODmQ1!eASI}>El;&DLuxwTO_ZEiT&6rfb%4s# z=jjJPZ*YB+^aVWx*Y3Llr%d?57diTIN!3-5s|ma88VMPkishT)p#(NzGmZfZ-ox+>O%%x;Z6XV{e`#R{UqqV_b*A z85SAlq!&~jYL3bo5KWfN@WpWVVlST){NUOg(ZHCE@3^V;YeH0(pQDq?Yx@cni(m8W z>G`@KDU%mi_G3ZqchZ>ORA+n~z$*blgD021td7_!?dQU-0L6{?*$2}2CixuSgbKEx zzU8M|K8$*`#`1l?$g>Xxq7&?x-2~)Uo>vD71(k%ZGe^H{6v$ur;q%*9 zA!@_Szk-rpPeRHLAbd3fu`I0q0y=Q4*Sjynts^s{c54_&`kjC@CG>$jXU%?am!Y2F zVBb@zkmo6e>b}OBZe%;&TgV{G*`Md^Ak(fbrcz7Zp*>Y)#6_r*$LO<5rCh10+I0MW zMLEXdR4M#bR*vr@r3vBFeN9t&yzs}Y)ZL1>HBB_6G+bE)rmvH+L~I6G#e+KlH-kaU zR_X9Ms~BM!W7$QZPTK1zs#442yjEusyhTp!{ol zoIHGG;QImxcvwGj@%qQ`5#OH?nn&0NbMn5O*caN~W$|Mgx<@krI-$#8Wcq~6``Kdj zZw=Oqh>dHFr!+A0ulo4INH%~Oa%wm&_<}#$QK3fz#8XPyO6Pz|{oq94uP|YQwToNQ z^6t5)_7I;Gut~ZeU#l0fmn)apK9!0Ob{6jv#c*8y;f{^ps_4@&IlNcWA*ji|3g!SC zT-ml>`KQjp8irY6yE$Tu{Xx&py)?F`{GAebVaNaBo`bFQh9w;wTh7cOYztxk;BPRF z08(CfL-TED#+;trHhMdLZk)|(lH&Xr;2#y~$4Ivb*)~jYy zB@2g@fvbaO`^z(|+)MI6Vp;Q3MbLQEVWOQs^KpkH)v|_W2=}lceJqJ{bu@wR1ctHdm!!VPy8r=lbp6G>J0zc%Wv6)D^F}UR;M&61X;|;)mW= zo$76?6+}pu<~LKOggmzA7xK>dKLGZJoNCn;a zo7FAqqi!JhPBQCjF1g$M#XfCDT#Ed)K$&~k*5jZX)}VXqFnmYf zc4{9K`S``g0P}3YG7%k@a+AO+{4XMOc?@|nF1nDsCU;e+`mUk9Z9QTeTMcW0K+qUH zY$XyArqiL&c|NB$aa)iPwGKu!>T1K03}HeRdF1Hg6VzN06prdhRu$<2hJi{bf^ZOd z2W_?l4Fj%zD1v+{IVPn(*ld9qyZAJ5pu=#W*i2q!9nedp|4dmCy$}kBb*ekD4_YL& z$i&cPxRibMfVQ8VyEs7lDCne$;U~mrD~-}a0=f%Gp7zg*Nm6j*ek0sC?tRLgFf%Cd z`w$trlUx&N1^-hn!)7*1tpHidkvOO`latvXqLDe?rQbq9%=_SNrd=jh1~YcbI13`y2Svh z)8>2z$!l^cD*fNHpT4yZ&jGAkW70NRibnN?Cv&895?`W@SwQ%ZRAGPIy7OR*PszXH zxlKuBtsq)$F^&Jk06#xm?msh(mpGFrZyuyISm=%TYW_$ku3&HHCCoNNh8~X)F}Z*p zDd|`Xi*-@&kG^m`Ld^tdxe$z=Cp#6t%I|)Q zAKSGJEohonaH2$$WeM8>@rIvBB7N9j*HuzJ&=0h2#{&{nkq3qwx?;CSpj7nR43;<+ zd0U2iF1xz_K<48PPS&MVnl4GAf*{lQ=`4(`rYEhtthZ&DDn5RmL! znN`TJr8e?q9obR1Pm;kzT(}AzN~iA3J9@!~4g$qc{Q3kHbaaOM%c%6o>9iKJ0fq(f zbm?k<1ehm?u|Q~DK+e$QnGidJ<-`<~TmhzuBVJ4Z)RK+wqu#GcvFIyn1Spl`T4|t5 z*A^&x1B?jru-RC#cbbrJTtk|8;&QaF<1L})J%fSv67fD{a6iuUBTvw7_@IOI*m|)B zTG_h{d?MJtjPz(X{ak+H3;CxM;kIc$O5l1!a7Q5Jm`E^!@hjsR#y4V!s@$#H)T%X`)Oa0j?Br>HQd&G@wX zQ{xHP;ZRN@Tum{Ko>NpqPIoDv-67xnWyo7v$#S$YCo6ls!u4<8<7Hj z8#L~^HOh}K1t+g|pO+JY<}jW*9l_q%xGZMnllX2twjG?)t#L6KyLIw(oRNu1 zJI*({=Wl&2gg*Atf{Q`kJexg8k3Ot>Y?DN9N>3~oE$WkgZYOJ@IOE|bd1YyqlB|{O zS4*$ome#}UiRF7_7pibHHVTRLN@H&yLu~vzihFC+bEUtL$j2JT_z)h=vs}b}x6m>6 zvArsv$Wk&xZ}?~yd?ZzFzrOBA{(16Bf2`HCsokTo(%^V=<%Oye>%LYt^6G+M<^;ab z%5^zvU+i|3S4^nSx2J*K$PBPdP*uX3uLW&g(Bafdoxh>Hjs{&NdYe?nIMy{=bPT!M z?G5Pcc~X+h0_uUCia2psu<}D9DP0+VrGy6}g*VzQHzMnZ>9^cH_>(zT1&G}?)#(M@ zZ@x3T`UdGv&E23_=O5u5VhVC!p)3qO{21RXBsY8W`1G=_IJ>|o6n@ol!ozpR%u7ll z(#RjeXAQg!@ZsmJY;hSL7WG=ucLvlykvzIr%5G-j8X@)UKLRV?Zh(|4wC4}VE>FR- z8Ry@5*Gv6Ht$(No#zh0^WlTjt-igB6+) zZ8Lkkzbuan(vWc=teyLXFInQGVujxcxY}U?Kc3~G>wuoCl|3E4KWwmrd7R*1jfGEx zYd=AiqFuy;)Lf+=>|yQO4Gu}RlLcF!I0~6wt9!S65KO*99K6AOj;c_0P%s`e8=-_U zEs{0wIQn-JB1fO&-`GY;^G;0Oyx)(muisnnH|f|x@Eb0}k3YW%EFkS|7UrIlsgj?P zhjJgPQILp(JYbqW8s)ZrXw4Iz4nY@c?EC~3MJh3$I`u=n0ireU7qCM<2z4bW_ozr| zMqad_Jg|cVICyCb2y=PR?sqa>6njdZZNgmgV4w+9eKn{P#E87%XpTwv%ouhO-ZmsC zXOflSYF|L)$qY|lh>enFoDwE)Xe7l%=0nxSd9xdIa;5GVt_YIi4CzxLPsqjM`94~o zM4;;by30(oPhAuIgiCBQeC?9+62eSUXSwW-e!5u@ZgbI^`HUnRxPDrY|HUz{;Z;aD zqjznZZ6w9p>3=@@vw(%fZ8)WInrKR%C#RdSZh*U0evpZ@P1dSaq0)ED(ND*W)TpPM zwT^}r0W!-AE;-NkLHbIZdPZ|JruU-ia1E2cTE*qt5W(8de`UIPeFRG!jtS;ixo)TE zP&o3sXG}^_Xpx*~&1CxrrenKf{^}+|j23=;rXw%mz~s;L?`b+=ut)tlv$2W)eY=ndDiZ@S zDS`Et2OY(y#9S63_F`ou7(Lb7x?Ci9QDl6Kh$`LY@ISy4qyV z+my!{S{CHe2t!X#b?Im=98a0MoRBsMU4;W(2GMqtY=IayTo{7Y(23$Hsjx>MnRBy# z7_j_BjB}SSxi&7-D<;}M49!G-VIl#QHSXLC?vFLpeEc!tE&wDt4COg9&?_+9I^hoS ze!XVE_IMdK8@Qie>VE{a<#^7 z@YY0VHjBi99hY^KQE$jLXOz?5Fy^TQ!A&6@V`vMj)hjkSxy`z6v41*h>@30e*6)-R z5sY>BU2~0GZIsP&T`u-4KA!arFv5 zBg7YK<{P7!Jk=X#q6ydue+dg z48b8M0fwW-W&1H~)+FC0qxWvq7A@QZ?%$#tv2CP}-ztkoT2KBg$34%_*h$YxtY8;;%e zTglYRat67d(49oSGP>Mm;mrswE8e)aX&6Ks9{mc%tU=bQ#k?6ls&JVimKP^xVAcOg z{+MK(>>H8nm(S2Q{K56|xROmUjJL@%lybtG%jp((V<$6IQ1}6>CX-3iW&MtLLp@f#lal{+Ta z>qYb>Y+I~@z@2QpM>tl@f|teES8-E&n`)1|zI?uD)Gf+Frvr_CfHw`96Aq_vmdSu54i^ zp1Owf92Iikccp20ShA7kgpD+3&cxqyU=s{IR5*0h+J^;qLooV=W5MNmxZx)Ad)qp! zlHnb{uN*+65?j+8zY%t(ZZ(;*Z`hjx_y|7j4t=B{RivE2ioQajQ|yTs%vPG;un=+W zOS8Xw!}WQZ{0+kJF`-}HK`htMkN0CaCZ>G6#3nmh#9}aO6THJ89WA*>&~#iK8f@Ex z;UNm7o{0V;kf!GT2{6kC=>5``sMd%Gh^GP^VmjM$$9-Ne@-J57|%{}Nyy zfC&}cQ(26zb)vS^749(z6enf;k`sowhXiO2 zxSzXYnc4U!BKg%BaT#Xg``gZ_gZ*!GNf|5u&6RIZ7#-}9g>a{NryZkSy32ky=95Xt zOX5k{klGjW!Jf>&8#F9E2JewX-1BlH7l$`G7EMOXkVY&cexZvGH60B}-aTe` zv4G(^Ej$%(wAZt^_O5_w4yw2_UUW}`)Ph0I*fmVh)ul(3hh$4SYb2+&I>Sh)G;NN=$Pe-H&}%N!!; z&LKS1!<=uc7sN^C-Q!8O=$1QB+Tv`hNtdrGdormsTpMZcQX-E^Tfcl22c zjn$VMg?gHQ)EaoUi&)5_7;J`h2MnAJwT0A1$`Ar9*HzTr*#h(g5Thq$YF6FmZp#@Q|0nF?5ov(CZhy$kH=rK=9A(9X+z~!bBFQpu;S;^lr&hwr z!+ys&9pPw4ZYo}K7N0M-1GoSbHWC;2R_0rK< zFe}_8nyQbzucZKnzAE<8kxGz;p|6FkBWsWzdKH=6mA6Wt$PVGzVpSKjt_}{ti0sq-V#(tD6q^kEN{dyL+h=Q3sog3}r0k zR5bDb7WcZ(EsVehOIklq-f~+~zmvZ1A(Okk#X>s8N*cPmc{&$@>DH3u_Vp-2Q@wK9X;z{ZXi+YnL&)rK74o)9fZgde;fGo2-Gs@s_8G8=Y#S2*bKE}bT5Sd3-JTzOFuPK#mR#*t~ka#Bu0mfG)19HD6yj-A; zusm9L`+K=}8c;k@nJeF&x%qa;2rrP$y@3q3t}~6^`klfSs^Jl9;?8X3?#`SHGn?)j z7@lt7APyeQ$IGbZowJ*@clbjS4b<7kSNhxe3?$3vhRXD#9YvzI-kP`L1j~)wm3mn< zag!F-s3#p)D5uGSeJjFiv+I$ymQX86917?rAMXIYVHD>CFws|-#3{+Fd+llo>akx7)d(-4M-*RgCY$b(O>tRT;6C7@51f0y5WXk?8z@dfF9pzc~sJqWq+CFAu2 zaHwOdyLLAB;0g^3!sqe!I~YLTP^&C$-iZX^+4kkjURop8ye*A5)}nm+@nO`^qGI|} zk2n3T6F#4nr5eEz*_Pip6A}!R-G7y@HoE%r6Zf;%wE%}QbM2@g-P8HWBFn2bwDE5I zN0$>1c{30pc5XFwt=6`_@p!Bj=yl=G9~uq zNoU^-oyWQ~ZQ@|NP;qwW^`-e{PtiwPf41)Zr@peMJFYce@Y$%t@!KVF_+8v7k(V7S z87?Pn!5Bxs!}IfN`;dfbuIDGO9lpUR<l<1EFww*TMuxfvL*hTYyS8Z?D z;CG-o!0dhmADv-!&|-BMopBtyba$Y!`dF@Gh&kL+D%}#|bUp9}xghbDbboD~9rK3a zYkGIJA>nXaVDfH0G>{7)Z}?}*I7+2UQ4kL`??dH4)_V<_nzP;!gL^WMJO zYD%r%M38C>{eFiiP$@$CwM}_HH|-oFGmFGyE0fA+9rpY6e|W`|w2;L_p!-<@VILLSf8BA|Q<#Fh@ViLI;ra z!Xn(d#O)^B$>5U8^S{O05B2Ng`kTD*e*t+3hW0i5&cv{VV}BdQo?eWvNPQG=VcfkA zAHqshJMxCAe zqz_kDFM=bkm*!>UeTUV{M$DCqUK}rjIPJIZ4-Vh;-iKJQ8RM)g5JuvJgJT@vw<3AJ zQR0NCk9^L1?JtZIw*d19n{b}hC-oL9qy1=$SQ&XqmpMKyGJ7CB$7i-oa^kvfj+46X zN44`gd@>$u3geRcF3ZEXG=#Xse7*y-6XVha_{*U-w?Fzrop##{Za&AhMR2s8OKG2X z`8<0z%EIx)POFoRaPV+E;ma3}C)V4BhH3lEcdHJfLn7=bwkkO1;7fCspYYny?*ppf zUAv{fpxz_M7BYaJu-i1=TKNEHQzg7Rr7Ld|m@w?0WM)Xb~S%$S1$k zdJ}NeONf)-eV{HMu`Kx3&?4Y&nD|euX+NXya$`wYCV9FioX8%Jk%EGH*#dlAgEVYF z)AaP)uPhQ@a{4sY?Jm?O*C{Ui5oCv-g|h3~PTDxM`%d`MY4EdvvQVGb{JyFOgCm@Ojd(aij1_2-1o#P}; zRqTEV_z1_#zYyn?BE+!@&Wpg6_9a0?yPwS9lnl0&Dfk2Xu^TuKZNz>hPoMO!TUL(H z?t)$RAx_4neiX(0lVki%C}%tmab5$TiZ{fGqFnzxr9e1_I3J@=&9nQ(0{=W+fO8Xk zp0>DyIw7AY3viw-z{&3k<>5SA;PdASa9)Ma=iywmb|-k~pXW0;Q5lK8 z=bv+sA+K%D7T~-MpU<=V`2zo(E5P}n0Ow=@&MO5tmkQd^sREzBT7dIHfzK}#`24j3 zoI7BL8?Z0?kg&b$eDXy&UFh@lJx!tAHJ`^2C$9nWe15?Ltm2krm>^=dU zeYi%7;k;9T^Sbr+|!d5XwFepJ(4s*zh{;y3H57>Fco< zkjeYku1rpu&+)uV>Fcp+@S^QAytaSDZ#qIorcj1n=<+h=2U!-%FhQq5x{Q06p-=F; zg|uzoQuZ5?d_VBaCV>rc9tX~Iz!$UM1V9G=KzIrH?1y~QpN!)?1)O{rbqwb?K(^=L zWLuxf!FdH``hM%sevEZDV58$kr)fLTP8T9&%qLE6B1IZ*44Iq{U<&Ow2fI@*k3N;P zpFqey)HVBJ`ZY^K`&|Ie0pNV$C#&IHZ+#G`GvjN@s42AH7S!jw#sDgWeBMRgy&OK* zBOguI$PnV(;I)SkCv8h!wqpxr??;)^3voUmkWC@Zhk&zgZE*?%zT5;AoML9UW^$d) zGQlZuEZYp6+i-vS2@r>Tvc9GeXO>TgvlloiziSV|3;E=Aho(?=^%a(fd~Pbh>Cbx$ zPREPVayTW2vU8189-ls3$mb)kFywP%0iT--WLJM6>JaA(1vojT)fDncf6=lKC-1f9 z$*%W$2wO#;oZrmDxiiCOIU6lloW~I>WL=5#B@`v{$^8z8vmgCK-xgdC{0%C#={dDt z^!-d5%|0DJO;)mFnd2qNHYd&Cq&EH2PvJK&7Q71Ky`DMT>$!?=C@&zkwsx;aI3Ov0 z_zf9dRAzASb5p66nr;)46-l5 z+dEbExdPb_cDU?C--|zFW$#VCQ5%mv&&oS9|33f#0RR7Z0c?~{NEC4t$Dgj2=KnwG zwwf(L|HNn|C81?$W_D(FWM+Qr%&fWyO+}^>NKr~bMqQ%t;?YZ8EAf(1hr}-Bx#-kE zC~%=J6?rlu=)Ipaj#Invc)P#%`F(%y_ul($2b4ep08Y=H-zY&}iq?)MrA60P1xI!* zSz@N`SUJgYWz(_pr4UEp<@)VE5%gtfZD_?eXr{s@RCR>6fW%A|Fhk@s9-xkqvu*n0r5myv!c^8bM%5=y2JwL%l zkK4MDDLcAmJG=SCV=EhHeYwN@9 zVlCXq*i_GqPF*FH2DCs$%qfa&)$x4BW-rgb@hB=%KpDB=diaVp$5v?yshGdvlNrD5~! zW|+s=qlLm6A!My+LH0v=TN}!&Zq6Ju_VVmLHQ)SMydD}uMWqGKpu(cQeCu5$z7A9xVyVsfrA}dDDLiVr8oz7cXy{0EAH;@?(Q7iU4NeUoB8LSNjBNd z&SaBZyV=}W5D*aMh>)Kp1cc@1_wBP*Gj#x2*xHb>^RlqBu#pKnSy-8nar3Z|vGKBU zaIkW)vGbBCOOeS~IGU1)npik~%Kqes`kxAD(f?Ht;4lAI|5tX4{~zrNdFrJfiLL3b zAFyZ=wb<9KS2JLl+`Zy`s9{p*lCn(Au19cq#m0%;JXXVP8F1vM*E{j;ge16rI-HCo zHj0U)YFuwb`0N(CaN#LGavilui97%#YBPEdO*of`&uz|8jW9NgA@t+2zxBk zI@Qx#Vy2OwBCpCW*Fqdivu$BfY(B7=cObdzFZA|~?33Q8QN@vLuw082LtZqVR)<(u z6s$1vgySYH(iY77rUce4@$&{#s>lD-54tjpFz%?1CZgm;&#7?%Q)Za`;Atxv`$yvzV~1oWJKqsII_jt=mG6QxM(!;9?(zDLvhyR}|9`?mAI@CtPD=9)0EdqQ;nkftfB zynw6nLA>)udwCQ3IQTP8qrrD20Q;(K=O2@Inw3R6(0PB%O8eb(Ta(q#r(E>08)sW+ zk>VAs9rz&}ak;7$=+iFx$l3t^QSf}(O7POL68?eznEw7Sxz*cx(%R|YDA8Vc!+4k8 zQMtvXtKV(u-r>LK=n3=i`W_MwPXp&>e*p-`HyLy}TX7@vE5^9{(xQl&4(Z|fSn7c> z^C7_doXR_5{F+Jp#_engGQ3bovj4v5s2+}dn7;8l*OIR^sN$#;r=_q|>o7h0cdnp# z5-_XqeNglry!^6Cmsk7Ex&002z0(duV;e@-ue8K|3lLrm0 z-)pFJ$)!UBqu*=sNv!=x*#Fl<3v}5-P2i5s|eD};>3VA zwPjMoNySj!-;LtyP3?r+gwi#KzY8u{UwXUP*tYV|ugR>qQhkb` zcGNWdtWEyA?q9N`h+QAUKYt$ARK|2v5IlHk>n=l^It`osO0uW*5QSk~spZay>fElo z&^LTh{YDPEUWwFMOS~F~xl5XjBRuw&L_qjG{-?{+LUEX_omu?aN9>~1sKW(g@Yk1^ zrBK|p^8q=hAd!sJ8y7U`%0q7~u;?+p@&V@+XV)M|%Y3rZ%klP2{Y9dO3O37l1C|>n zNaQsqMX!43m?+?9IZ7tDnjG6NLBOdN^q@{yW|=fW$p?w7Acx!0Mm2OJZxArENhD?;XXd=rB7;QW5L=?ijq7H0}zNo zwiteT(!uvO$i-;b#%qLGojS9~(Y<&?4v?BsnJYQ`Nwu-`v|@SqCAF{8PQl-0;i0RZ zx4QiIPBm$8=mzOwRd$GfKUUF&=Slg>KU1(Df}EnOE@m}l+zcpzxz>0bZ{CR@_0YEv zgBf3EUkq=rh~yi#WuoJ;wo}+cmq$qeZd$|qqSorO;nsGQ`QhOs&nvHx2{pYHsgnmd zp-aNX1zEB(2dxS&-`IWs#zAnR#k*;n{p8osaHJhjpC%sKPxcg6Vc%uMD@^slEX8!o)tZ20^OBgI=TgQR|DnBEG7O{$FYs(HdB(Y_!E*62cZYyg~;n{BK?oJo69!?+2};mC`VXYX2Wo{`oA{z zR>@y33=G7HN$JAld+MxIbssAl@0SKhe%HWf5|XQXxWzNXD{(6eWIBrxoUk|LEc zGxuZOh0n>Mt>+_OTgGsA`fN`cRYRc4jUBwZY@bWsR6Y?i2UA*FH*3RWepN`by4q%b zoq?s?G#@MpzkV+uR;SfZ zg~WH<<~G?|aTVt`+lpn+{vKU|OZZkW*tb&3)dV(in?t2*DKujUefEO_Z6f`c3>3sH z)ZT{g32h#C(R-z9B{557>K-ZW5)50JDzo zr2O58+LEe&mRVxtJ^|Ud2%B%Vn~Tz$$uNtq1daA&kVeb!O~bN`Gzaj!eWm5EY+D5M zym)+^*4lE6u$9Yve(sw_rMM&_J!nPZ8as-ejsYe{IGU*_^1FqsR8Qc+#h3Ud@P}6X zNs>@L51ZYT(V)esm@?e?9soYAc$)?-8~4no9a74&fKuVSfQkLoDB_z!Z_`U~;sf@m zFGFd0m}bi&u`XXu1Utg6uVx+OBlmm?24G{UrpsDzjIxFc4EGwe6j8gyt&6oVMJe*~ zDKwPOG`{r_R1xV*eJO^N>7ntS3of6m>x)hgl->IWDQzve_78H5k&}=MV*Idppf{H{ z+KGKLK)n=ZGY9mge?5s%O*MWI!DnlK$Qo|g7VQXqh-|AZbuh97d zpj*0ey9{pcc6iRUuO{nEzX~Mkr(jhzOK|mV$dpCNtCfUlE4I6WUZX$7&5(fV6>F83 z-R+`$CZH$V`1Vct!mD?k^7)&k`;kEOB}d>X>X_y^2ZhDhwj(`de5zt3cWF>6Afpr#1&C5tW7GFL(MUzrW@RGJq!V5s7C z!^Q<9^zP~7^;!z0#XVa)?~n8?)69i8I3xuQ%#+JdD*L{zhs)F4lB0&$D*9RSa!^- zsldH4H1-InXi7;Q6{4q*)%b&hVLGK*BbS=w$G;lK8(VY$01wZ0PHT5|N5fy*EX-&> zGVm28Qa9f=war-1He|7Bh{KX(S=nLL?G(>k-1>iLWR%_+4)eiRy%o_UQ6>ts5V=D<&wEY2qk};2|Dvn zBOZQ%MoA}EBz$Bspy^q?touSqfNPVJ0eE-1C?<~)9O#e}2tD<8E;i5aQVXLM8*W2f z(f`?HYQ&OMQJe;;@qxMI>}6*)`8YlO5VHus*4Moi^K$S4^klU!bY6}-g>>3|tUQw} zU-<4EKO}6CKoxw6R-2K=!@3#Qo?#KZ5mxk$JUCO%rd90X+IEh7 z;zj)_(2q*4?xorv1D$uGlD^g`5Iu6{YWJ3iy+01Ef1@XhxF`9Sba)Kkq;o8nl3`Fn zH$YL)hz+=`2N2y3t-rV7CLf%qASS16=_AgfF1nX)NJGxR{b|zP(XM7}1x0-^-rM1~ zvVY%aOz(Elr)MN3O_VQ?*5+c(S}n8T(VUVR|A2BqUAWhN;Su&J0jqB$wc*j15{}V| z(V%mYwJR-K0<9=qaQr=0L5VmNQ>p~oPgv54Oo^@-CGactyq`w*wx&4W&yhZ!gfz9J zGw|y214A)NJ%iMIu9~P4@o!bC|4-ESl^-{5A6ltC9?pGnUY=u7|9}@U*TdOWWh_SR ze8gH6jc~=#B0j{!)FS@Xi%^d8Z6{C-PRm}n6nY$xJMZV5Iiemvoa_o1 z=cV27N{CTqexvS?N4+@ExTc4x&v4_k4KYf}ozK-U{pCcbhOb2&t{SZN|GSv&%XU`R z&tytH?C{+re=mMFKxK2VLFCNA+=#ypl=A?e&|%QK9j+U;{-huQ&GvLqZz@jZ75tgQ z-!~j^JxUrpVfMya^)&wObZ~z*rziaTXkI*pO^H{sjq>XqYFniB^@tE+PVzLCB3dM9{{e^Bk;trUaG z*Na_^bks&I>Bcn6E21WXBN_A;A)YUC=>*9#`AD3<`_9jy2-Z`f8C@ zv~yY$$M^cK6TVq<(iF7b6Jk4q_;9UbN3XXpkIajis4=CppVl$R2C2^3{iVfWRjcQ? z?=&6%I))hMEnfqfiOSS1%#hbs!=eQkk%HVVuIC>~n%_|#ZTGAR?zL#4;}ODM_Pd+WQbAI!lX!ai7uxDx!cKDl zKcweB? z3c=1{oQwt8CZjp5h$1?q(WW``Bx^BNSfx^UWUsJVRwr3n&;af&XSDzd|MpMAZjd4yE6P%D*xcL7AWN~#o~bEW(9d) zJ@=*4XNpBD(<=Y04VUH7B!1U9T>IOq}xYF^kM`k zY&)UJ-TGv%H2#RH@HZFYzibODnDa~eovbv$$AvN%qm-qx%fOuwHMC>B&=!@1z*PbV z`x|cJnk=<4Nj^@FV*)&k_gWOr9hLP^e3`2*98nIkdjI{*(emGQd(}L+g_QM zh9Jt9)GbyTN6SLzfl4}Q1j#F*6q&`Yoo*I#ZjVnmUEdN1QO$23>IIuRzpj=0XKD7B z3}(g>rncvxgtMGuAih;r;x9k}Cii$S9zEiM4t;j5lzaCArvbF2;wyLYgD=*B(;?gV zTd>lHx>79tL9hqJxVQ4 zv*zPOW)Z#-)dk!|P4C$bS5(SSG;!L=Bz@c-9?A&j`~;oAy2R2l99D9i!aU9=-GH_K zu{Uu=BZ%Zp>Rln5N9WTm%A0W1Z7wikB5=ur@0n7_=J7LGJ6S8%nk1M9f-7qs;qvQp zY7S_uI>hQ1{*ja!mD5fM!bNB)BPOK!r;;bWv}={=I%qWE8>mA~$?=fJ#yI-RLsh82 zWUVl&hn1um!kVmB$rC2_?v1kb!=8$~-*TXf`X!blSDwC29l5P*|3lE0efl}XXdndYenaMi0NOL1c{q`2Fk z^cE5RW>leDrqN)F&MIIq1zu>{l3lE_^Zr{2Gge11uCF0DhD)*Fzl^L=c99VvormUR z|C92Tp4Oj!Hsn>Y=R=94C!!MoD zi0dF$$)W#B6TiBdG*uN|o2%q6Z(RE`%N9F;2^V){u|-+*t4T+HmNLd)N~Gywm%6;~ zgLhG92&i8jk9!}YV+d)bv8VssBQD|x5}`kmMw5mlX$*60`%Gp7q9}eT#2PWv6qwp< zec8;FA90QS=R`>{1x?3Nu@ESyRxTMg$z49d+?{-wF)3|#BPHNPJM?ptfgu2n2Re}( z=0(Z|p(BwV!!z6aaC!~HWa8&(WOLfz&@c=~rklBus^0M~L)#hcDH=QGXd~^23&4h} z=(SMTy7>q4*B4-r^}|%0i}QO=oR_|v%ZK`gV2zLd?mFeg`_8+dXB2ICkJTRRQKN5` zrpeht4ik$Wxe?XI5sZif#;YZZ;Q*Rlg+*|23ZEnyR|*>(BxgB3wIP9Tvm&|~tAQCq z4-({ovuNs>Z(1olCwyuvwjnG8D98|1vO_Ofm<;A|QOB56MZi+}i;8r@@xgZxLM;k5 zbJ~pK(k^|Zfm-B~qs^A`mQ}J${t1AGb~wk#D9ddsEs#VbRF|HV7{;FwM=X{>jCa;& zoXfp;SVc7T1fuPCfVHNszVMPXRGs0^W`zoq%I3W@DyRi6Z!u!Y>w1;zTYV4$h}035 z$yPzTVk27Hpl7X@F*w9Wm;(sYtJW$hcBz3uX;O>lEtR#H_fdEb5JW>h(TQMh|MRM>gZYf`@y+{ zoE0%7eU-W8fG)i_TmarYX*c@TZ~pM|Yf$l5TOa*^MxHq*n~OFum8$|^kAO8kp{g)qOb+f1SdKKfr}1%L>ewpuN2?uK`y_l_KQ#JIF>>pOq{ ziCVe%SU%L%PMoz61UDiDWX70Kj5+Ce*sz;Rb*so9W%q{%ve8xxys$vQ+*rt~|CWpI zjPbaZ*=kWx-L!EV!o+;wxmrj)Ign`%AFUnOwMJXaF+Xh{DxT5$*&XdzVW8}W$C;$1 zP(Rs-x{W1vjL(N}q(eN>_xwOUNewE*lDQLp88eXkapcRj&nzaO-p>IJM2s?{-l^B!sa5yNA z0XF!7XU^Py2`kuXwBc;?{&27BmvRHwdi3>PSCdpXx*_-Wj_iKijt)lQ($tf5Q2+(r zW+8NHLgdu&(rw^$@e-JiuLM3aHuAOBX1-x60KN70cHt(A!Ft=6TZWBft>3Gf{EHa( z@ZYODA(o~kepI9U!9c>AX8T(q32aOcRA_J3O2pXkf%aXc{w^zMhl1Vy$2Br>H`f;p z!!N2fPRcTPHmZi%21NR>V#NsqD2K=CxeTo1bzW*T(a&seQ;;vPG#Afur4o?uTmg=+ z9bc$GX9;kW67}E64N<K6YG)DYaOd9!zYjY{<}EysPo|7;OAsV#cFxugmxX9y_(!SKaR#An1imuDC ze#8@z>)G5S_uzZ`nv5Y8MztKRf%jKOJo6aO|4TSs|Cde^+Z4Ln;L+oEu=0;_c;w4^ z@C?Lpb&4*~neQJY83UoU5{f%tc)HM%`ajxdkP1-y>9AfQKiOYztW#(R($Ca-Z)B0PIzT!oZNX$ zbiT~%~WcdX1kNrB1bKKyPM|5-o$sV)J|cnzvYMg zgg+enz8+d_uCm?q|obU`Mz{La1aStZZ*G zK7R5EFAkU0zH5Xv^8C37)Gju@Zh$O>EA1IexrL?{<1;n%9)!YwdChTnKUj5yh;SPn z+KmwzxjxYMN(#Dq`@OQ=R>4~GqAXN7w^4wvbAhnmR}#(FIV^t6P1Ly z$+-nGMew(>NnVAK3Tnbzku|rMxnT5*b+yiTdJobcGqeLg+L%b|`Z@pL!_2q29Un@P$mt zJ& zC^{(07eD9j&hnB|U9@M4>{vB%O|xu|H%>ifj5O7#64;EI>KD%DBHi;EKOJ*;|Mix; z0-BLB)`4Z_6P=W@89zYw)t_+4WIN-Xg!qXy>Czi4zKxu1>H%LC$L|zL4=`-JxeO;%^Ffe~*_yj&u5xS$-}NIRw9DQ1wHPW+ zV%nBo;IDL@n2n+sl`_={Bhe~`aW|*mrl#?Xv+0|gD$W0RCnrKa^(uLZjQ#p_%7-;}js_4|! z{6s%-_Y-DS)!*)6zk2o5vwUupCpOe-Deu5aa#7)bj9fUapeDHB>k8p{h78zW;GoIS^Bv6h+0UB2; z!09{{Ub|i~i-2R=n|j^829cKwbS86Lon!#$p#$KYWhrb;!LWB24PTMc&4j_%F81wj z;h7%ZS=E!ohbi?E;Fd*ifleH%jZWLyAA6-zCZ?Y={UrbzYj$(teq;6hv zkcfTW{Dj1=4_)K)QH!A`o>HodF)EC5Rt@~I5I_x7S#nR&S?_FZx;LjH-Zv}4#KdJL zc+Ehp7p4NzG85ugKX9I@agq;d71Nl*qm$-_T8-E7<-$03yZ>RG%2i8r1wYL0>Ma@H z90%X!>QP#}`_1anCF8#1S&VM>ZmO5g7gYy3zBaIF$4CEGcG4AtW5ho|74&I6Xvc0D zD%38RdOSq2)hmM^cnC13c8ann&u5m|Hc5`rB*)er-3m&eNxKgSc7Ne?(3@-M2Ny6S zZKqqTGD1;0E1yVazrV1#d^ax*x+1TyIQL5R@J(xIlk^7h!F8{4Au9hA?;xPM9^0*- zDdD-AKX7ayhZk&YNnRN@#Ho7!ZW!)*^Jc(*D5J$EdLQTUn_`m8fJ7seg0D^p^T9l~ zksOvOpF;OWE6zz~b)cWs7)n`_cns28;rpqNj;(_(vtwa(^0WVbIaAhWvQ;pM&ne#S z(poDj_JdCdi-t{y3);fmVQUHy15qV_^qMFlRDv)=k-5p3ACumxA z(jhp+g*oD5hB)MZtVD#daH-$7a~%6|OBQA8{fluv+86pjSKqkdRJhf0+ewSz@8pf& z9WJbnea6ySRE~_a56wS$2;vkI2@7SFTjnn`eV|643pM@tDUCr#*Xo@h5nfxvzl2+B zRmu|Z%|G8%!b7jc3c95QSJxTbm`KH}zh1+RM3_zG#~5`}p{xh6X%)YV$kri|7r`Ls5#p1xrvyQ){eej|t6DlF?dU0X&T>gF+7 z;j-J*N7Uzpwg7~$T=UB~|5%rxmiu95yYrWzwL|(J-PpBEMOv)@ATz`lMC9*4LfPk* zM>Ek219&R5x>ik^oQBGr<+6{)-fC0B1P?=HJ|_*8)WqO!WtI$se?m?SrvoOjw%U|1 z!I}}ZLRa$cF_Btkz93{m*!ah`I=BpKn8y@nu&4JIYlm*`^C@sxg2QD*sUg1<+QF9R zm(0ikXbukc`DJcWC@|RugAa}+!pqoTl5AYsWIPv-1_&`-iG~C1JYILMBbg@!IWyr0 z+o4b^18wWQ`wS=5dTMSXmg$3EVy47oT;X746$kME)epMX3i8yRwNm2hw3fuT65jaE zPR%_SfVpJ?`$a|L!$l2IA$~1%$_tm~8JRoah4*pL#xR6G6JmJ|p~Ua_u5hH8DI6o!2_r6uuxyJL zP>3u!n9E)S8i9A=Dzbtyy?)wYny95~&4hM=G{pstu;iZV$Sq?hW`!l1npT$9Cc%ln z5C^ZZ6gZ9JaRfwlk3S&vG;dSqBG85&N>Anv(w{CHN>Dnz*C^(+{Am8BL_~&eLrkz~ zO%!SGy#5UsGOJSKGxKkc>ULd2+mN$k_(Z=KeBF>#O?&7YsbQfjVj59eU7lZ4&+EzJ zyF4GqQ62hTv_Gq}Tv=Dsl=Jj1oI{%OTP?Bf^GPP;P522u1kK_`Qwks+gE+X9E1%I{ z%>=UEuXZ6Pv!fIHJ2|UA7WO24Q-fuyi66-4)|}j8C3Dj=YLqf#%90~Ks(5f3yDvIN zIFM4~Idd+LKFP8JlK1ClCbjP4W6X_gnEzo)N9yMIo|ycjIcB)()wFy$*&(O;>@C^C z(qcL5jINl`*t=ou>KbCiq;u$GZ2wL{GPh?0Y;IyQ z&*ME*t}?N%g9haI6=vkerP~9ES!=tVuy6|kk)Md5Chinsdo`mcSG$H0D3uWGQJ`sQ zc}jNnoZ##?!nW2BGiwdX8RYbaFu_(|XlWtN^X-(#VNZa7UaWf$U*n%?V%`Ml&-fUmr+$lG+#M{&+egR<^#8aKmoMw0rKR=v%)_Ju=JcE*!duZddMqyzHb zI`{S~$>sE7EHHq(e2Dhh{Y!g9C3=IdjG2e+r@CO3ZZ}xKa!z)4J5d<@MVL zEN(kWQfg{+E34MBDaz-Yh1g1NA`;EJv)sKl4N?Emu!!4LjT!gXLCjSFail?|#_E6P zG&o@s>EB5=V`^+}*WtMJa{A3@MP4M4x&Rz$tA)WPI!}Fs(m{3s&Q^Sw zw~&22wrq!_Z~ajz8bIHRbREz9wkGcTGT-cL$+BGQv?BVtGcqd=JZRYtyT7^(`)xte z<0}CP>)n_C@H6M`g)4^P2cI$j*5$M zeqo|rx_=+oM*&kGK9W?Z8iCL}hdy$TaFgWWz_W;h&wC(f20*6L>I2+CV2y3fnHPaK z!H#EO8|+99v}Du20AMHNO(SlkPmz~8-HDIj%Y3~Ds@yF}LrAus9#(tP{e)W-=$DVB zhqX+AWR%-q-2QVxpt{(Y!x^hRA86~D{l0kbj_CPFlGEzw&PQc>q>7aH=!!yX$_L!a zxG7fgW`CajV9SG7=wV$3UOb*`@rirYa@E5>o2+M_&p#clXTSGJnSQYM0uzJYQX8O! zfLxL6rE7>F(?Ad3lL7oL9Uc%f6Q~U>I_!by?F75$D&3XjscrE*MKLE5Q``3;&X1M0 zcccp1^yp6YFU*_E`%G=zap23RzYofmS3pd62mX*P^USgH%G8p(A3y!vJ>xC$0f!&? zmDE(*L=*U{whr&=BSdSWw-Kj8=IoV3J@(^S($TmJ;DdO^yo$*`w%~NE3eBrs3`57a z*OnotE4oKdSYQLSDFyU4fTfFt(~PWrl}Q4_&Hg$n0Cz(wX{Szatc4|UcyureDPO$Z zs@G58Wp_lvRxUQ};}X~dI*4jONFx`%CfE?yFh6+MGv?cV zZ5xy_^M1!ayb9o}=JiSA{HYl@$%Q8_SWhwdNEO4LX~~phNdZ`dD!Rt#mCPEnt7rg_ ziu_PD(MNVa`2)t2t4A05hPCnwb4#U&JSQ=OlrVf-$9G*_`z09Ah_%&s*4l3#YmbVsl~Z?)@idAUo^Y~B7X~7T z<7<-af&{OP0ga5pr!Yk(-*#{0Aais;6~$jCnxQg9!qHwB0Op8xD7afxtXf1X|9(Yn z>A{o?fg=kRQ9q9`0hj&t6U6fjUXP>erb5me+T2l7YxEBa$%@Ej&v!cduLC`TfceN- z{8dH5J0r&&ju`TzLnq@jT_HnQ!o&;qoe#Si;Oykr>b0XkdTCw-3DZ^tq_vq97TV&a z{G&^i3LFE|0?VRs_U$V4#<~UK>E!LLVdJx=fj2MJBt&wucpOE0fr62j!oL$x%X_ks-psGqGHHqT$N z^utvTjB$?C;kcuT9-p=gFIcZkwdM!a3-e7@G^J=@&x-WOG)-gkO`!gcaBSO$-=3iP z<+sRW@=92k`512!MrB>}>7xdWNMMChddQZJm9{HYrY_Gexf#fF5^+S3X{g=VyP1Y3 zc)>XnFLNqAHq=xJy}FWaa3?lQ`{mMjn?pgNKUp|*rvmXItfZFZJ3ZpFe80k-^FX-Z z6TSB=07IBku#8u062f*m0zrau7$dy8mv>stwTMLmI?&TAjTcF?}+URFIO zTrN=F%uWi{+5-XL)&QgZi<0G!iP0&7{f0OZd(b1IwIe#9UU$$AgET`jbe7aE5U?Ng zU6{R(7a4eS>b*H4KE&{!^1YvdcJckiPirBbC}gZLlOZsla^n8HeZxFf``ek5TUY_X z)>?Mq5=Ms(v@a!*BYesi|us5fzRcSxlerD*TWpS2?D>U{Uxic}eK^+#(O!%2N!lI^PA z>S<<_4*xZ!->taQsuWR?02LWv`NKZ<5v5Lk2Kl1*xh?R4V!2Rr!kP6Uf>oHXqG-EYE%Ru?WR{gTFoRY)`;En%?mETw#Z*7#`;8NXAc-RjE z@%#1$4Ta0!kJ?9_pAI^a%V>}Lv{deJp(Px0=@vFvs^I|pDDd`$=D<(~n9YTL1-Vg) zB)BUf9aKwuLVc1#(^xxu&;U1Qb-Z(t%#5}kG;m(}f1tCM9wY#i@9}O_@FskTom^E& z|BL*T2{#6lIJh$HF2Ll3xer3mBpmmwGC;O>Xcr@yaOxU%5^n$ZN9zn46#V7u$N0g4B(+QC!!IZv)p z``(9A&xddTq22pWcF)z8*6n(uz0WfdY`h`q#B+L2HLOr}k*VrH08t*DPADj$pErO{ z)eabplrEe}=*MY$TFAB*QX@p))tpNs=RGH?JVZ+xJ+=#?91sL6yrXfWJY|Y|e@>Dv zqX&Vpc9&4IeBwCj2nNIZu$jV_+!n0P;jt;d;$amVC|v}1xbGa6YSlj z=e!02SU+tSA_TySUiK=7Q-`4yQp*76oc!JqQ1%6R$!2200CIZtUCVK1X%`nPzj|ep zj_o;B&K9ZM?=l#Q=Ij6+L+BsLZqkG^+ib>W&3*h%{bk=pGH-@xCfEhEu)Ozj^Gw!bjsSbZJX@U#ji?I(!pLI?9E%I+s3=behlEt8F$gA>a|m$llKDE5NZ zn&)K9rE@KF_13+|--7N5F_K^I2{Mdo+qZ*WnEQm?u)V8yI;L zSxWhfHXt>%%>Ofd&g$nze5|t0uDukIWhNuOpvYc#uU&po`AO{Nirz{KR32b#LG;A(S>F&(id zBQ7y>0^)S_Ug)qtX7j+I0Ftz?WEYkUNsj@**nTrRpo1U1JdMj10;ITeI^eV zvVopoou5$cYhwP&5883aD`OMdzY1ptBAXVSeGBs3sAXe9ePWscJBx;TVQ0>nXP=6| z0NVc|9CC%`yC1+NK>rzipZc*i;yEm z`ZhyB^m~~2Lq2@G_?}Ps(TtQt#%g2dOnIJxDT%?9G6WSBo}wIm_EJIzr#t~Ei|m4Z zI1NXJY{NC;Xx}0+Z`AD7*&6W%vf&!a(7Ttb9^TIlB0s}q-!`|Y$bJb;<a+^9GT-hB#LV1b#fqxoT>pND23ER_noBC260+#D7;=HeHma#* zDwK~t`4$AYz}h161>V>>bbMD11vNl`7oaltbU(=!2yj)u60Uq3B&$s5tFJ0=DV1F(greRJpV zPhf>?&j|ooLQdds?P=IE{MV5++|8@udlTI6M(>|s4Pt=fIrKk-=Q&l)*Z}yX}#H>6NzN2tg_7`y(Ki_Q8&3gNy^2b8PxH zmL4Ae6Z_oJ#a1tjC#j;{`cQ|@7Wyk2ed$C*+n=fFR>NKP;-D72fa)V%wt5m!TKQ4> z(mK(Me;TeS%AJx)$nkX$A0@VI?x{$u)Tr?ClfzL+sJe$@F4uCz9_F#VkEYi0i}e~c zT<+;jtQSekk=k-2*3f&^sOhE(*-IWvikGq9X-uomJu*zqO>f!y+D0>rnlj!=w$}3E z-^!B#04r$~?vcpy!{3X|(3eI^ybPDTQ_h(nf^CsyaWW5{m)CKqWkOvRelXg%AZMYa z{ThAN*f)ig(euiFgfs7^QPWN}gNJFBl&p)#Ijj}0vQg6;Gy2R>?fL5gq|4G;W*RX%VNTJye6EvHL0`we z`L;e@1A1#KRB*1aKQ&u!p3qmOdog8v>Fzw8aLY*eoX!)(24`xYV4Y27V>3+g0UNCo zzNJLp`Cp`wv3iKo4kEf{CTq#5&cz+tiDULp6EI(>O)_!g;&@a~tKHrd zxVa)f!*sE}kbSvXt|-Gaq?L8!yTGdd0P%{Kb;@Y84bvjH8OPsQ{|5ZeB%4_Tb|!)t zEDAefW@Y*EXlL~q+Yvml`0G6kv7C_6@#oafVLiWM^H-cK0Bzd7-w(5-2=W(Vn^wt! zWcX1#FVtFNu$SI`f0|T_?4_P1<;~9TbXJ$;B^PSB(xv$n4$%ahKKjHG?Gy#IZ0p>7 zN(oal>DYYgfoRe>zh8rjJLJ7O@{Z)xVlVK4R(%R}P=>ODzjvv1fHFd2^!7;9F~e9B z@mKHk1mMElYcBj$Hog#g3W|NB*l6D#s9UFgiA~D7NQXb0FlV!MtUm2kyLS^<#TxM@ ztl7LUT0WY*eM$>G&1}^_G+GufJ58aexk=met6yK;c#32?0}{l&(U|-<&UAqQnflGc zrT+<*_RQ+5@j4kz6>Vn-n2_CPUGS|HUb_0Cx6)-RjsGC@bmjr{+5-kwZfmyLoNbbB zY4(-7!k(+;x1)BQPhQ#7*(7<9)NJ;(! zz9ZU*H{`PhVyiOi`s^TY&cnrEIk#=m4qS^1DzO{rqhp{|{N8JS{Y~HPVJJH;OT_l# ziCvqche`SMob`NDWL&~Z;EUjOs&Rjis1E#6Ujx^XUaeyrCK;1&^AdrvC_E&4)# z8mlLZ$+uMtf=3Nv@Bz#6>roU=mn?1+%7=?xulUtwH2P~B|5iG}F?!0ihRhm|;+n@L-h6Qsos!0T zDZ3Wu%Q^BsMFQHzTs!0$P36HPMb_1=#>vi@$EM_@^v#qfp=Mz(V$>$q ze8D>uX~G*(sr-VBb+h--D^aRsCFI+zGVm4D$n>?A>0N@!CTfxr>oO(boU3y$LtRxP) zIMs$=v1!{tbsA`J;3$H(mEim&fdp zm3?pKIJToSX$oqFpm#Q{Bb}PRE-3KNfD%%DN_>9KNtOp01Jr+OE~#;AWJSK3+!O0{ zAZ_!vWk-zOT>%vyQCQ#3ab7~I-mtf={=6Y;dkbe4X4H47+R5d{>3*58^u^BX0eGcU z8;s3-x;T>Cb=oEFzo?|&L*Y#~p;BSF8zN#S*;#`FJ+D`>+jzKPn$W;l&v%lE*3cf? zt<^L--zRj6?0_VP&)Eji4TLWxkcXf^sPse|pGeHe%J>=6TioQqkzWsvnwD3b8|qwx zlC=)6HIk`)K3c)Vl0a0QG`|1{IM806mBrH+MMh5`bWb_jQOl2gSMmv25~DCyidJv~ zEQ6JCn|KF|*C3LS{1SOO|0I?Uj83TX^LYnQ?;!*r39g37m ztw-U@_`zElB%FC7uo?^~t`x{zrSp{~my6~?@liKHvfqGXRn7_PWc6sJ=mYjiZlLaO zc|v5WD+5NK%!Nw0d_gCSn)>N*6SYe^qzWit9FB}ZsNOh z;Z(}KRKpXlS2$Aku&n0c&1@Av;&XKnKpZ*nRkhm<8GxUX1%!cHeb#_2GKrm(9O6L% z3`|d@EC^?g@&Z0D{>cemCBBnapBbOfli4I$oUOJXJO@;c>nzmV$(#1L^w0T>3M>aPpnVc{mRh;JkzJP9C2RL5DaA z9{T4*1}7>b#cgCzm@@VmtQYh7i!89IiqD@bu=^!Nh<#|I3-E_4fSpBIXrt%IWBZJ? zqstkb&%IA2Fv4lS=&oG-1x8Q&=_<(2Y^2z-_$LCcvuxGfJbrW&h&F*Bc5vsg%V;yiYF>d>F zd7fydM9Lg5Hq$GU!#G^LEPUSxzfYkly!Sba`Q+h0#k|}Wl|9Z&71@=R?9*{RTNbC+ zTxWvG6U}6VvhT3pC}LqK`y6D4t90#pr|ku@-|2GMw-v~Kx65T8ERg-4mHj5x14yik zx4##)z3VroTzhx&T|=Co#h8_IhwY5>9HS9u^O)s$G1Zg5W-(GKHj8zQ1fRw_M{gRk z-%G{1IL<0|XCF6baW1#)BL%Ww?{eAq7RY|1%Vi%fke%xiy4mN43S^&ILfM6EvzX;# zkH;nso54x#X0fhlJpUZB^V*N?qFr%bxYGY-GAym^YwWcJxe2dj79e|iOe;Kx`m7S? zRchHUuk5P}WM7RrzHXL%!Mood+I@|coi^%5pTCrmUA&Qg{T#CI#rp^PF^AOJnAYKZ z40;#vt;@^nnMa?O>4UHxN!il2_e~gM31`~g<&3;6K=yPW7w4sUdv`b~dwN{Ae6nvW zkbO1glPUXBe7lf1J8k#>4*&rF|9Amxl;2AfQ546IuBPU1-PF`fg382bB_*Lnnwg!M z9hsTC&djQd&=@HyffS__WYkL(zW7wW)N3WaWYj~8J>@^pQxAfH3-wZvPeuftbDi07 zY8MV?_ny!F-t*($?SLYP0l>xOE4xMLi_zNAq=e|&s^G}3B}>e-9V;z4u53D1rWiUA zc(-%!PXv7lS{qv74VtO22$W;|#p;bXI?h)TuL>>`hh)hqfie8ueKz}%^eBla#q$Xy zHQ5p@DVZsS0Svrp`@V*bgN+`TM_f^`OjoIpGo&4-zq1LhkPAjl~AbVF0DSRK-tM8Ckx;yC&s4T%{k!O=vWW}{+?vSnAoZDf866R&8` zHpMuE|&Ou^23A&hR;hV zN&~#a(B}As5^5D``8Q*K;w*(%$YEAvK6NULxbV$zEDeWmM_~nH&sTF>gpf6(1r?5F zY;827x@mL7IKs2rj^6oMxMvzeMWqQYp~9M;z5ApB;3-;NNKwNf8qF|+iyO;(xiV}? zIa(NE1hqgHLT{(eTyI5BFOPhX8@0_%G0S_bMKa|@K)Z)me09$ zBnvditGtar>Bx!mKYYx;D1K`Uym0M&qAa_1&TnHA;*{z^%GHdNevG5_OYgUQ!Cntl yWz!Wk75{qDhO6j;%3qZ)AZm`yLp{CyeS;nRZEZe_Kk!vb@yoECG5!Jo0RR7y7MbDz diff --git a/CPLD/MAXII/db/RAM2GS.rtlv_sg_swap.cdb b/CPLD/MAXII/db/RAM2GS.rtlv_sg_swap.cdb index 69b3ae739a6fc0f9d9b00d84cc4ebf6d10731092..e90dd169bcabdcba3f25f106e713e6692d25d422 100644 GIT binary patch delta 481 zcmV<70UrLy2FM1Gb$_+ax>C@E3m3X_=SI+#qJoRsA}Q!XLTp+swn<4^!Qb-J!~t=r z=OnoqUK=S=x+q>aeeaz+=e{&~P17cTHDDHa%mr&6dyyOrp1R%c3Wy~kv4ZjiE*c@C zp1)v42+!C=;2rbg{{aQTx`^oz-{1&|Blhp$h)ECx`ykZ3pnrUMgDoL-NM9276FNf9 z;-{V^{yYq*lX$Q2rKtAO*8*&leZ9c;x4sr(pX}=y_Q~f7wJ*{aXT(}Kx1`MBus#6j zyP*I_Z5y}(7{7c{*aM8Z?X|TWJ0-jM2f!sIoE&dkk{m|mtZ@fm)n=pJsWlDTv8zVM zzV|y(IHTe;TYu2o?Vylhw#N%?x|PNqL-PHNT#eY6`0Bj`u(x zN~Gk*%FJWAS$UvwI$__5a4f;&fE#j;*1>qe<~t{eJg z>6rhqP^mQ73{n2OLMvv;mQnfidjjcCU~i41s%?-w<}R&PfpA)2xRoK delta 481 zcmV<70UrLy2FM1Gb$@N0b)~2a7cO+=&W)feMFkhNMOsi0LTp+swn<4^!T<3K#Q|}M z=On!uUK=S=x+q>a&3ot0@4hBEP17cT6<`K<%mmLI)*@LLJb9kC3}R78JVE&a7mW~6 z_g}CgglB9b@Q!%#|A2yEUBpxu-{1&|Blhp$h%5+#eGqD1P=CI>!IqFZq%Vp42^}GO z@l*E_e+~xJNxWD1QdE2CYaX`ozFuJaTVD&XkN5Qq`}lc6?Thrq8L<}5EiSXztPcSC zZYaQ3+Xk)x#xI}b_W-?axos`OPRVZm0dPqOC&$|sCx=lv4`T;l)kdS;sWo-WwyOHQ z;rN{>oL;e;Eq~~(c2LML+v9~coJ!-4p}7eq(3o|LOhPja!f@Q%nqN;sH3`*q$9z*oo?T;a4f;>Ujj2#9mB2S8Rh4Om&tZ3TvEc?7z}*XG7>a5ya6r8 zzHy9ZU!Bu#rMCNjtV2Im&OikJOD`Ouka%wG^A)fL9913a`GX6+P%ahACB0ZUFX^Sr z;xXT`P^mQ76j9z?T`Oiumr?ojJAvdUu(!rh)iy{TW0zK|KzCZFXNh4g1sa77dg6yF XSjlgcFslVh* diff --git a/CPLD/MAXII/db/RAM2GS.sta.qmsg b/CPLD/MAXII/db/RAM2GS.sta.qmsg index ff7cb7c..d0a14a4 100644 --- a/CPLD/MAXII/db/RAM2GS.sta.qmsg +++ b/CPLD/MAXII/db/RAM2GS.sta.qmsg @@ -1,25 +1,25 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691880868711 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691880868711 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Aug 12 18:54:28 2023 " "Processing started: Sat Aug 12 18:54:28 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691880868711 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1691880868711 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXII -c RAM2GS " "Command: quartus_sta RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1691880868711 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1691880868836 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1691880868961 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1691880868961 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880868992 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880868992 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1691880869039 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1691880869180 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1691880869211 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880869211 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880869211 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880869211 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880869211 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880869211 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880869211 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880869211 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1691880869211 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1691880869211 ""} -{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1691880869227 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1691880869227 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.919 -92.622 PHI2 " " -8.919 -92.622 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.036 -241.671 RCLK " " -8.036 -241.671 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.777 -2.512 nCRAS " " -0.777 -2.512 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880869227 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.785 " "Worst-case hold slack is -16.785" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.785 -16.785 DRCLK " " -16.785 -16.785 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.266 -16.266 ARCLK " " -16.266 -16.266 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.047 -2.078 RCLK " " -1.047 -2.078 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.276 -0.276 PHI2 " " -0.276 -0.276 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.031 -0.048 nCRAS " " -0.031 -0.048 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869227 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880869227 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691880869227 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691880869242 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869242 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869242 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869242 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869242 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869242 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869242 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869242 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880869242 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880869242 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1691880869305 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691880869320 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691880869320 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4676 " "Peak virtual memory: 4676 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691880869367 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Aug 12 18:54:29 2023 " "Processing ended: Sat Aug 12 18:54:29 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691880869367 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691880869367 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691880869367 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691880869367 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691903576561 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691903576561 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 01:12:56 2023 " "Processing started: Sun Aug 13 01:12:56 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691903576561 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1691903576561 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXII -c RAM2GS " "Command: quartus_sta RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1691903576561 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1691903576670 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1691903576795 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1691903576795 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903576826 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903576826 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1691903576857 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1691903576998 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1691903577029 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903577029 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903577029 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1691903577029 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1691903577045 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1691903577045 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1691903577045 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.970 -99.540 PHI2 " " -8.970 -99.540 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -7.571 -261.130 RCLK " " -7.571 -261.130 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.200 -7.385 nCRAS " " -1.200 -7.385 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903577061 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.289 " "Worst-case hold slack is -16.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.289 -16.289 ARCLK " " -16.289 -16.289 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.286 -16.286 DRCLK " " -16.286 -16.286 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.153 -1.956 PHI2 " " -1.153 -1.956 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.098 0.000 nCRAS " " 0.098 0.000 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.148 0.000 RCLK " " 1.148 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577061 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903577061 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691903577061 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691903577076 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903577092 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903577092 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1691903577154 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691903577185 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691903577185 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4676 " "Peak virtual memory: 4676 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691903577232 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 01:12:57 2023 " "Processing ended: Sun Aug 13 01:12:57 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691903577232 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691903577232 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691903577232 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691903577232 ""} diff --git a/CPLD/MAXII/db/RAM2GS.sta.rdb b/CPLD/MAXII/db/RAM2GS.sta.rdb index 6bf2d722d2e2c3976055abd0772d0fc38164d14b..cc4283f34897a437d7b6a1c09139b3d1c59cd486 100644 GIT binary patch literal 12936 zcmXwg1ymeO({=FR?!klm;_mK?yDskT3GNVrJ3$s%+?}8a?jCdl!6jJG{G0dr{Ejk>meDlT$_Tz=29>~+n!OfMLTZn_3gNs_)$HCc#nqPp6 znoEci0N@00aSKsvD^sgFc!Q|rY#h8{ZOu0Sqrm05w)>ize)EQGE1AF6)YS!xy>!o=`Jp@wj8dOcgo=|@84G>T)8AIm ze|VC54CM*w=exy>J>WFAd-sZbFwx&%(C1dlxeEy3TgMI>xZN$=h>M+ksi|`f-W(r4 zxZH7H-0T-aE!-3()|tq-rS1S^%l2A$2?~=W4=VWyG9i)oniW%$qm}co1N?fwmtG1y>VBH(#WUF;0P>6A*|`hh+Yf9PKu=PtIOq| zW`gnXeKwT8igtIn1m7y8!HJccOW^l^FcX{9z^F)zIC|f)bS4MgS+-x*ztAdVI@?)x zCpg3I#F-Y|pzsb{5PR(<-lQKxL@C{UWjcib$bkG^FAf^qHTWV~7Wt^(pq3Tn>LtAv zZmT(Wr311ON*6vTk@G9>y>K@rsA-adVg~#JubZ{(@bYsobX^(dDkbztFECJoOxfS2 zX|vz57nJX??7K;ph&A){3Y{l*p*%Y`G)lzNj*8cRUZ@XtRHn^Mj;PgYryAj8NGY@w zI#l3v3$dc>Xv(#N)xBYGF-Uh<)zE*sgSUqtg$G8t6o0 z9Qbl5mo;uJ2>b)3Dfi=|&zOI-N-!g?CExx@})zP4s@MFpu<*1F^!q?m{PIdUeOd8jS-YZyDRa4TyR6rz+d4%IBHTZWv1Ed84cs;nEr|*~==; zim;TP?%m06cF%oG;mZdX zV2k8=V9GVcuS;9rPGVdgdw(cK)8+-1qN=rL{4Z+;>sRTn+7X`PjfLb!~ps$b7AY9ZPgmsnp9 zN&m0iXGn4#2c$na^jtRWNUE#va$#mpz#~^D5j6`sMyWvKWPMxb(n}@jiMn!%s>ghX zR7dO?#ReWk7R^^&7C-}ATk7`?{hnNBA482(TD zTN%WFT9INsC5hGCJ0|znt#eAls{9|OV&Z-;5kZwq3hxVk0;5D#s#tT-6xjw)&PLE; zHnvq#Uu|5{2A{vmZjC>Ebs}o4rd{;j2qdb#-BVjmP{YEEATM?2V#q><`-$0w$)By- z8Op-1)RoLYCIT=*4*VRhs4OYh`&pqBazQieLMVVJz%Ss(Mx@VH#C7C7nvQBsB_VJo zZ^KY~co&ut8ReJsxiq(l_)LrE(@<2wuXawc{?fGyFl9hn@dAYpcoTJm`NtxNN~3PB zrFu+POGnx)x4vOP`8Ye{;;cXep!wYRTa0Y`sQYJLTEp*NH3zLel%aw3^4cWl*D*m^ zg4TTg>+$d&-q>C~k8G_rWY7T9P>YwO=%FozvKS*~0{Y!3a9v};?UhXJXQp*mSU{4M z6q=+Y{ZZqe=IY7y(bi)|UuCG0!K2BCo*A=#+3lsJrTck_eR>I^U~lW7>tjXbNe1hiSleEDdBIF&^J<)$WCcrT-}kj`>94;>QPv*vCXlTk%h%; z(6g_1}e`uDuU%^xs>qj(!SmN)LVkI>G3g|9nUY3It4I(Df z8@kSzESEWP51Vwlet_M3^2dGUr-Mv{BNCQA7lUUmB32 zgxEx-cL_l0Ut-bYJBt6Wpxo&An2s-wh3OGwHE7`#WQ`I%Ow4sF6EW!=57|g|@hDux z3!?YX4)_a=IXFLN0xG|MEknhxM#jZzjrf&tsk;6nKuc&-2Y`Jaxlr=P%67^BWGcRC zIInz{z}u6Jtt+dM;&P+&=Z(SLXIpUbJyx|<;SQZ}=Kl32b-M zR%%(nlRuAT*%MQ~e)ah-)M&xjoMGm;mJ?+tF3{_Y!Q}|tcFL>;XH7seW= zuf2C)Fpnb|1H!#yMl{}jxc3mQuUV;HcjdKn8|*og|F!+=({=jVm6s6l{GR3dX~Q3> z$0~unA7Ah>4^^Y`YxTsgrh`sEj+1n~*;_?9AX@MoAVHW&Qz3Vja`8s>Ima9j5L7V! zCP!Qj)cA=wjuVLxAa4BA;y-`gclmV(&2bb2mf|^7=^svZDBTzPk(j$eCW0p?T~nr~ zxs`NTgfV?Wg1duQ`?Qt>9%+i2t@-6va%v#ylVhUM8EE@*AbImGifa6W(eQa*p>i1~ z-`g*IELC9TqaFUD^kR-02)cLfWHuJkto?p7)vu&q0!wQ)VOZCGi_E;7IONA=J$8qE zLZ56V{d|u|=t3B0#R894YoI{X0wDK0tvM}2^`~xuZf|>wq_&854KBrfPJg{dpm@;v z?$cYFjd!WVcXf;zk80lRt<~&X(PkIUf60&Hh_MelX#Dv)7RzPA?;;Y0o{GRI1`D?I z*32e7hM_%KAuJcc7E|9^F5^_p7HX!cxW6T9g%;^OlwZrx))H-Ji#Ev=)KZA&OY!M< zQdVixPe!zD{Xz}j(D^#h80@uk^i|;K_YgFGI|UDuN#+}5PnDjwwIVHAGwWtort<2W z{o)u>04-7zubo~rKGGi4N_Ah?G^?s>m*LJD?`VqA$54ihs^OlRvtkQ0{qh%Ue8Ox{ zIDpn*V#bx$qmFM>^XEIT3}H65Ebnb%^7aE(i8J)`bu!37JRt`R74XIWgHya2bc|Ds z;_e#F9fWOei+p}nB^V|wJk*vU*4hiMla`s@?MNyj1T6DjtlKedRM+H)O#UtO zWw=fNtB?pe*0M*%GG?aWegdzlm&RI=R{fGyd}L8NqIpt}M-88F^iLtA^Vd^$=PHW` zou>FZ+@(bKbLfvO=An(LFkLKmYx%B7$THQ$X_NT(EP0DRid4!)PeZY-rnkp+^Tl+0 zJ3|UK21uWvURWt6!VDA2C_??c?!v{^2tM}8AV|I1&-s1B&KW)H`Gu?ufSsF`RL`>u zgTjj7IqxsL_#JPlPrDw0hwVURVg>IS>;ifJV!$MlbGZ}m?ZKsZ!KnzwpqMZlx8_yd zDBpB6WVLdL zk5M((z*X@(CunS$*#RuvG5>3scJTSUjx$iy_eygeYMdsQqA&;5y7A9%il{ieD()*R zF@H3ZEiL`AE6_dBcbFyaXfrr~C?X2HQMo{o>-TOW?`xR1DE~mtH{pJxhJCDD^QVKj%O&v=fAZ>23r5vj1Y#5E$^RU;(&H`JxfJ37ub zZu%W3QsFi*WIigYPX*3rYcgw4`r1O*WWXadMJD$2QW00Kh(A7bdd+AA$sWvapNpdQ z!?}J#yXea^0a5NUqjE)M4(Wv@vYF)kF?9l|)#-M1;~SWB38dZMcrG!&|sFc|Qm z>~up=vN+3^!OPd7x7bKE&B%CoVx381+on9*sLOlWhNUwxft1E>W=j3npHn0!(Y3Pi z2|XQ-?uZ@WB04tn2R7N=?3JkVtJ{=plq2b4j^T+TYK_(&zmyrZ{G`j!Q2xQED(BgV zy0uR*6*8x{orCkg8!Wi9E`lV6G6eigw2M$WpcdQ3e7tDq-+}`{8neb)^8=#IM}#AN`w$hPa6*I@Uw-p_Niq#772x)RXB46P+8d#Nh-7W*DB_- zpHff1T$8$5&{rx-=Q>1wQUo0i?RItI=q#&b0EIdP&*t?uru2lE>dh@PCZ zw#YNZ^Gn9&_>4Yol0zVl-$o}krM{CN&nhq~Y6TZl&J%CT{Pne$2znw@klxtz@D8JG zC`=w!i_afciMVuKEwB9(6fL1{<-~g`3*~k}lR*s0&N*3lRl}uldnk3N7Q*j^5TI63 z`MUa{Fch@U@whzB$0Y0+`S))W-64!4xU~0I)Ir43JKc|(tN#vg63vWm+7p~B45a(s zucY)uyr!Zxq?Th#&^a*9aQSDr{bGEE>w2BfPAgAZgXOMJWhxiiY zIyVb!q%2*)hZ=A*cCPbfgw!X|?^erdpJgeso5@>t^VQ?GSL5Y3zwAC?%w;Le!Jae} zTc;pk$Y7L&b)JNkx&i!=RZL%px$w%&Os_=PUa zxT~A#JoP!`rUex8LrT~A3lCKi!5n9o;y#b--ACIQa2ZrC!r*#$>6JyW4{9$@ezGv~ zA#+zS-Mce|w5yFJ{QOi*al5O(OrM2%NbC~anrKPXcGxtvKE*~jaR!@-)u$w?Qtk?j zm&Ut`=OJD9U32xhl zSH;C1B+xIZC9cycS`D}A{!MK`k{D2~ z>sZU^_RAD{Z|HnJ z9S*VKC^MM(F=Ha>OX^)~YUD-cvx*c+PO5YVvJ3sp7E~lh937ub+mDMO$LJf24Lkh@*gpm$jM`ydf&b{dN zsuk)IylXboHLf%yewcG1TiVH_a>)#qd>?}M)ZxY0m1WXRpf0^hG_Pwuwpn)qPOaQF zkcxk3JC((9h$;`xA^;M61g*B&o9-+DAy$sJevHdmlyVkqQ>7ldmb%JGJ_}#>Dj?;` z<+q4L@00?P?xL5TSQ zbLRDnOXcuD{V?oL={_xdrK>mtHpk^JACI0=SA68&Ij_)o5fwv2?h_B-T^AlK$WW3QbmSL_9|?PZbYvMx_#>g43fUxsNmZ8DOO^R-G%p>7eJv;vX??3npJ%m_9Q}Q<6T{e}~&pL#rxZ9QyUn~O}qPxzAQQ0pR4Y*bEK96GUx zyXcldh^=kYM;@(z|z3FY;KVv+-8| z0}U8QYf^2SvUue-zz6Zx7@Q2_;zh{acDyFf*46&6<|`Yfkt+$x$FLl8PVL%U7Av-S z49$MCQQXD)tg(*=0<6z0K>B^q_(O3hA*xhf`EA>kumn8!=b5>9qNM)3Y(-|gF#beC z*|S@xAXdWe2MPSe`ZJg{ry$sYSl`01Uu$t`AYQDOV`)F#B4k+z*6b%5gOSTAN3>lV z?}f4hNsacV{@EmAMqr`%T&XCYgusk-6OLE$P%NGl!BeRL-N86s5VVmpJ^^p_!I_O# zcvxsI@ba43BLDxopC1qIvmbb|bmWS^)fhVNM?CUk8P0--RVx)klVrLr+lTZ1O=MC8 zU8Om6=k4(dj7`luwwG1B+gmZ!rUcB5mE?m@VRgODX%BI@zvp)lH+79E+YVe0#~RBi z4n1)|)?p~MY#y{*VO>#i)>{PCVCE5qPP8zG+{vd_&wm_rAK|nYTl!f2o!6B&B2>zW zuRE>NkVWMeVP=&%qgfbbGmNF617@Bvxfs)Nf+Qhw@AhPUoPC3K3K6yeJ8WJ23Yz_O z?3nA*0ukbv;u$pA1M&{A5k?dt)lRtc-MuTA6f{UH+*ZOwasrE_*)v7DTsqfXY^w+|m(8H?%}B#wyps7J;qO|e{# zOGlJAeBw+$kXdY@_t0Vh)rm9(Ps##I<}x5O#f~~ z0!C4dDxgbs@kb}T+Sf8J@N3WkVTtZ>oE!O8qfQZmnO2!11*b(W@a@Fe+ZKn@?@s8@ zbV-PB%eXZbSm3Shkzs#6c)SHD@c2yg@ybv;ZXteiMlOHcoA)MYEjJ!wlFYB%3e;r~ zb5C7ierzWdTwAxfPutc;1hclA&{Z=lsc1wR~uVZf{reAo* zoQwBC-&E-7Mn-Jag`RL>gNer+B^n_!F{W$$HIe3=eN7E9&p59Fs8);0*m0PTitohv zxg`GnfqQnh#xhqSCJ&&;37@HZZ%{2>AfyJzK`sJ|4dH*^y60wGnB*(dNlHS%Z6!SS zP8j5PD6nXIP=j)C8b1QwWoZB6HP9+P&&n13F{@zJ8B+sRC1wluwEv&acz zD+cPDM*(liWrkh&;RtmD(Z+Ehm|eVOChttx%azrRqP{6ZR}NQzy_agt(4se2k9?21 zam0!Kc>o1y!kgojL;aOAiz0cWVN{FQJKprmXTH|YWLB<<-xN?kipH?}#40#^t#Jw8 zk-);cgM8I>D9p8TQCwk=XAeyR5(;O=YUdzbv$!7{hS4kIs+T_@g*;qDuocTmj*p1z zKzzU9d9Y;SyyR^gX)DRG--5@68KpvASb#kr(s12O1DhLEU!t)y(BcK|d=EFDbGJRm z@s^!N6)kffZ(Xl!HEGS_1{y#AxrOd$Zl27sjr9IKw13WY5CS1PSq1;4UR0d{q4iD= zS500F>W`wWDB6?qd25R;A%0hrO$C^w@S{|to&pEC^yYG=HB!w5+P z*>!BOxaqryb-J4=pj?}^%+~BXt6SOe@}{;E`#-EnCjG}eEbrCJci-<3LSy$vj3Rny z_J-3yINh%IKvp_$%z^ODq(J}9Dv}XlTj00RFuENcsOC9@UQyZ}Z_ME}*(?c|fE;@xNinh7M;ily$NH&<-bhoQ-C1mLtl#&8$lO9~ zCG!AmDeEWgY30Z~Gi2r9$;+>GJTrT>V$5DswGjg1NR7PmYIIR$eemAodJFABHV#p$Dp;@r+W z$;(l=#;MQfTWX*1Cf7Eo<($V(zV_JJvM$F7fs6o_E$C8zYcysZt2jc<^3weaki%a| zXNm#k=90$3A&4)A+r^`JsP^ZA^F`N~j^UWdAvH70jT(`Ib-8ogv`Pgn*0oGJM2>g3 z0>Rx5V#$(?T|7GJU&X7~rM)Q-G?2^VHgNbe;K_)FwC>WgHXH;Fz1yW^w<3Jn5q$p&m ztlx3aOr!SBWI2(bgnB=G?eme?GZ$g~O`0e|@Z)ez#xYQg*H$~zloqV9Hm})ty7GnF z*ax2@#3n4bZo(TbsguLG6l{B`^twg2{wj-bMEwaID?olY4I$71a|y z_4ZzY34IL3>thYg{#T~3b-r{-dP;fCMP}HAg{ec9%(jWFOT5tc6)hYokxS77P(`bn z+7cAmlFw_arbEoF(Y7Il_Fk@f6U%#mA}(P5On=WF5aXghiKkvfa*s&*;-eyfrd@+hVnb1hf%qAZjoEJ?WskT<)L_^?JZr z!SbOj=dzpqx}{OYjk~M)SZPJf$Yio38Xjqb*!w$EWV|URTvLH%cgWqW}M2lZRBT~ zMq^ky^-0PWb(>8W&(bpsSL{B zdo$jJocJd)!^afjGGVb|13^F%vh)~j#PhXRwI#hbG z_@pU~Fn$FhQBLX)xQ&!@prSqs4-QGqA2pUD*Nl^BR2B0g!+vy{^(PY>RV*ZA-g4OZ zs64}%S@p}EeoOmVQFCTDp1ksx`v~TuSI1}gTIC89GL)J1ZjNRapB%mNg4p<{CTo7XJJoq}vMCoVi_ubr$nMxHZwxF6J`?M}v-A$3G!t zv<`Hs+IJPVRP8HqpW{Gy*g2V`MSdT?)`Uk|d zOG(L<%R2!%2eCPD?_|XW2I&=aCQt#@hSc3@XnQ0`tNfsqWh4>CBXKS4&w>p{F=&kvV)t_Jeg_Tb!3QoO(YjYnQVbBNu~Z?RM=x|PeNWO}EcJI9JkbQNe3ah$ zBwV<_GKIx$?CzkxaeZ8eU&_4iqH;0H7}k0Lhh``<9yJ&LZl{{ml(_E$+Z@ef)W3io z$;`@*3C=fpE&Uj`(FKct>e()k&%lRq=?VQe_{_3W<4DacB1_jpi4OeVk$Tgb<_|9OJS-^Y?I0hj&HV_4KD3}BCy{{(Y4pX&@MK&KE; zd&C%~@n28YOKa8A_HCr#{}w$fXJ7`m>yHcUe|9nPeH6JoN-bb5s}?NA8_h}XY5un~ zj?*HAW{+zJX7;@ij{I-zw+KAoIDDLu`k7>61afiE6^VS7e+P#rEYT{$Gz@0Uj&>QA z{WmW|yn_U)_>?r`teRc02_^?~!;>|0u%ei~$!kFS#}!UWweQ3=LSVHrvJlEmPcoP7 zaka-$rbuI_*m=3ul%+Q>n9TP4N}Epo?*QS01v!T*hEY3$g#OzlF^FK^#Wh;R4rJFb zK#^|Ba3>Z~e;#FE4}xR1R91}M49-S?EsN?+tt+!UU=Nqr-)8+y8LmOvg?sw549<@u zE%#AX^L<>=8pEP%(Y;k$lLUt4E-EyXj^lWeFctWT`4fRsc9XB-L>u$TUg$e#cwi+T z?Cw?57+x%bnVYZe^}nf>)4~LM1NzYZZ)V3OYX=B22G_&>H^xra6!FX|E{~?`_bXDSK^LC_fVw$?uL9=|ws4b~jGTfg; zeE%$ANUwA@9`>%{PtJWX^r$+jlW~rdgho{%q8-Z31%mDYREbmMGx6C?0C(zCGtTh%`Zw# z8PF}>qAP#W<4-f$x!h82tay|(VAv)XeJp=)eD8=5Rj5mF@L=pfx+co$VW1%+9KfHYy3OciSCEsM@)0BiJ36yy7K+;ZV*L;^C z@h50=wiVQa0HsrY`jaOV-zqJB)qhcEJ2*V4zC}&(4(JWkCn+LRh<-Iky^A z9{;f@m5zjL^EY*kh^d||8f$ub*Q6JWDYZ!bXER+j2VB`DGUmwB&&Qew&`5t<<~uyP zsCn6Oxwb1>(I`usv~kTzZ-_NV;ht z{R-f0_>@!yRqI7uSfz3PP$D1)^KuXWMA>waWMZKni|;H{4G@JF@n!$Y>1i)nm3^Zt zvQQB3a0P25!3h)GA~Co1m=u${`dTxwN_hOqPL;Gf5L@^AM5(33>M5p(pZ8g?z)Lr` zs`=U$R@>m%naA(R{mG28i5$BfG!;Id!vs0c^oQwtuSd7}n7mJ#f`yag@?4l=Pw1SV(w0 zuLs`Y&Fw{cfkTl1VTCylz=^ru|kR`}gL|e_T0LvqkLQV6p{*p(K(PV#6 zqRlkn#wFNwW*#a3l2(}U{b^711@Kep7h)6_Yf_n{fhwwk64}^ks4BGiz0B0$1%2v! zH->(1GC7wOJf$jqtqnuAk}^4$qQ-beZc+_-7dweQJ~B$#(lN`DdyzStz+xqiE8^&0 zF|`obU!dnto8|H$J~ovo=m-8 z*@X3El?87ADksc{v*K7FsVe;bYbcMFF74qpL5M)iODHcF z=#g^~^l**$(jkt~r95z7V&?BJe&~ln{J8rfnF_rgsBI8PUdCdo#wvL#IJB5A|13g< zp#Uer*N4A}K6us9En)G=!`(@cBD?F66G{HXoNc+^h<2Hi4fljNEB3Y?CT^K9f#C%V zFJO2N!+RKF!w?&WDKJcdp#}^!U^oNA85pj>a0P|}Fcg4cI}F=l=m$f;tk@`WARGVa zXn`D2LTlQM^(S89gpnyNNKUN^=Yr>>m}YemL%fl?%%<@DmiOc41mcq^Om;!xFVirY z1q_6KgNb{NMSqiO!`}v&bikwqCOt6ur|W`An<^KdG6e$1YSD`)#;^nt#@%)n>YYR; zOkn5*V?>`o3?fF%LSG*J+p0Pp>XT!!+GgHB(&*7|!R5TkNrI7gkB&Ta_YywP5cW^C z`%m=;9a*GV)$8^g6dq!cV{u`~LPEM{#ibWeI^w(a4Da7FV)e3z*BC#r`oKs{5Yc!& z(Lj<|U>-eUarz8kL$>~vMZ>>Jbi&%$+h)M#T*pp?ZtRpWGB~G=?S51caC8Zh9?{@OxzAqbF!1*!(b#a7szXL*w5EdFZ4kUjt;h7 z6kEk)2G+j& zo$P*hW_D)xBxlYB>(i%C)kqNF^3x|<@S77{Ynr>bT01(BvGFmpF|(3=bGNoLBje^_ zC1d4dVP|JyXJz9fQ>&SF|10O%|HpeloccYb zQH?lnAj1Uz#c1)8P8H8EL&t%yYMVWL38X>{(P66JJ~!cQ%r`sPLb3I+vD7;}BDt^c z9GHKHx^FaFZeZF>`I02GN9wMdWRE_#_0nViBt)HeG2_j>6)_Q0@mf)7X=737Q~&+W z$5q^2(;q(({Lc84S6Fy zLe`BvJ4jJzxT(7r2|t5+s57Du&mj=3*MyOWX9+hk-D&&U$EH&(Fk5JeQ&jd z*^;#>>9LN+(l5A1k#0I@DdQPlSGfqBg+1{pqBA)~q7irjjg(Op9`P;_-Q5>G4pANP zrG_YYTi+C&^zBW?h(lZ%Gg1oj9zJwz#rk7S8!->Ivj)YQdy%)zX01^yt5v+^V#B5} zWJ8A+;(o1&-x~cJAD*(=m!b|jj%M-JYi>~-?(^qO-$3q6K8i5&+qumC!dD5k*QZ3p z!t{uM;3`{!cPBK7Jxi!0*-bb*=ETVi(`O-!2)ryhqyffPeu{5Tr)Q=-+8Zt3cHl(t zsjAu9U8^43{r-B&@q0~h2}wdi?yCb)$+^=P9xEF(O*G3D1=H}EQZbMcGlMk{C+rLn)LpWmOqG{j2Ilna}m z5yGX_)C8olNz9yCx#8j27uO8od9NlL<~R9lS*1OGfKYy$`1gY&!TL@1uC9^15?hr+OW*ZPJ+L)V>~ebZCo?d}Jyw^;dPlt)np;ie zdV>Q1jlSl9jNMYxtnp*V!hqJIv0F0m*1B`OO?Jyvk3~q^8DsV#w>7<~aqPYj^*~r$ z!>mfWK+i!(*;B~J0xh{;8+#~ zt&^KCUb!iqpydNa062xqnib-k+FV|xW(25oFpi`-eEdaa0HN#9mT)rvR$T$fLs6yv z4Y@Z7T|c{^QVM8j5q0qYE9f};^2?T_-bQflcFCK>{%+fSAsWR4CFG>YiM5m&g=qBT zmTT!d`yRK3U6LK40;k7r6Y?z;OyXSNm3K*uqSmHpzTU7Se2t@>oexuumtTMJDzO@Q zw%_Yq6K-P0ZP%)gAr8rg1}IJ!rKo0VNj*E+90i)T}Qy#jqD=Abf?6)=Tc`evb&qwr@gX-#G$Mxz+%m>ILJRNd;~3jAS-_KcOduY?ZHuR4e%MG zrwtiFFQFZS+vp&L^~8iJjj3R)k#{D8I-8NU9E!q4UF_5#snD^^7|Fxkz^J7uap}aKR#FFT_xI z#4mIN-;9wEUA1rFCCcb3kwcA?A7TDaaT#`pB+a*#Y)W?SD351nSM4~E^a$DFubt2? z=6vHmRnO~a6X`>JRNC-9962R8udf9!p8ApdHN90cj7m{Oj|6nUKJXNO>Q2yWWwlI-^zsaUp=k^cj z>qsR%^Z82%8ix$lhfu65)65aP71o!Ym6bH_87RfPm>;K7^g~EcQSI^&TrXR(5k=NQ zqR!m(M1xP2l1zlFm#5QYbP1nbShLf479EtOc`zGh%_ZvP z7wKh0`i4vWqWmYX3g{I{i$i5LcN7!mbXeW|=vyGr zGIcUGwMMqL&j-kaJdf7n@EYKlFT8}ojP~e~q!5=~Gkyh!msrBs@g08SV-O8-8)7Wb z3@uN6Zu{X+S~(fZ@`)`@J~=5x)i*Jc1QNjnSoqA5W+h>}h%@DyIz^esO*qxBXe4gA z!EJ^eb&5t;1Pvm@Ic2^^N=98Gtp&>`sfXKq?Pw+H3|H``7#qt4-*1^^9~~3tJP@s4 zwqcFz#9G7745?hht?A=9nOVJpcItCSdzKbUd*S-LzHzqU&?M%$nJ%xpkKMuN@JHZ~bU1!>pUsH7akh-m zOWnf9H;Z33!8%|c!aO1tSgnRM&&hHyWu;aA7$>OONOZX}AU(FW#i zcW0{E#OCR=x#WG;uc?!a@y57u>Ip#fPDDlAu}epT<%7~nej z;G#EKq-9M4fhPDXaPH+u=h#knM`OQwmJ+R@Xnd`Ui7G|1YvXuk5b6UL04^p1J5N*tpss^wDpMP{R!?_s%X zaTDtp%muX%I>CRh(4Cx^Hhskf;WmrMAL1+hiKz*cgW|M?+GWLUlpatDn3owNly~B1 z%9D|<#SwR{r@A0%5eHq_YOXT*K1>M}Y@g>9OLQ(l<@(-(Gt#W2DI3_(xzM4+7ZR?mF>_WEw$V)n#G*x4iOdbI_!9F!}?8@o}D z^|MHi;v|-B^|(QTPIuzV`eWx;S0Isr8hd6s+7@Z2?mbO&{kSj6?}DuoRcGm1o-we* zKnOuO?%H3&K}31K6L+`SB)IuU_s+s+Aq+^Y4Ad(0UhF91nI{?F>^Nw?`VGxIv_nwd0n zh(?*OnpLb_|X>5tK?p037Z>D$dNX)Ck{X#NUKiBUBC==-JWv#8kh9Rekc;8ZXIVC%wZc{ zF)jjn_B0vdk`(Jb((9LWs)%#T=2M1hh=mSPI9$)BPeBQ=f<_WIUl8}@?qnF^S7eWf zFefo`It>v8dx87KNJxHJM8{P*ETN(MLltd7JMXzf%r0?Mp}2m!EYIz03k)?Pt<8OZ zx~!6Z-~T5<$++OCsz5%fBj*ZH;1UtZK>N_-BL|;eNdDyl5$Ved<@#`3f4C$rqSio+ zfA3L?JC=~!mYC;@ig)ERp=r3AaRFH8E_zu1x7E<5Co$=i1O=%aZRfBdrr8eQu}NxC z`koVQ=qsiGniGr)}bqijf0(;;ZHD@&WLip6Mso}C`H1$s_5n%CZ zXoBC??Yd}NujVt5dZqqr$CLpj$t)K2NLkhK25_H!q;j<(2t6OY;ZapuM(>r(?}*eu zapP8zDFAXjtBY9b8?Su^QYCs{q}IuywBNWWwBBs!d|)Un4oE9y{*kxk+cKrSDSmbf z1oMkS{+?9fbuBG;rTvRya-|K9=ZkUW3J1DT?kvez5!7ZSaw* zBn#h;w!&$=3cq}2L083xdO?=mC1DHREPHY6oR%mRlu7}9o~ay(jHn0_^2K`FQH$CY zt#{&)`Wn@L7iq2bx=C@?vi54tdrcMtCIVk%Y1zfiSgt#1J*xY57{J@ zlO+x@0vBzxAGT-Wnr_tq&a-46fDk)RwFCWa({c=qC?t zU8vO*?yw)lR`f_ra;N_!(YAR)x-yb|di?AOlg6T#3B}QFCS!Q|x4Z5~vo4EK*%Bim z(Q>L#b`j%@27ll>X;v8x^G?YI*=`{JZ9RSxE@`^>{g%MtZ*Ga^hrD{)rg=iC-wALF zt+{REiH%-AK5wA4i6sZ_|up^400$r_~wN%6jMHX7H@)3l0& z>UK7%$QlN~*WwaO%nlQ$ellr{hV-)pDiyf>Q+}B2Mx86dteJ8iH{U$U=Rb7MbDRWj zIZ0PY`px$+rEPIvhCboam+I9yOV2Lf<6An!wF&Y^%B2AQBAmXoTgoW2-<(;Ni-xA}TA=?=fy(20^+ z_T4EL!!Rw?_)ZY;n?Bo{PF2RL zHfD6?-ovU~2b5;Y_+IRPKXK4;V+La58B^KjPl=>vDrs)db z4e8Q!t5c=4}th(7Sk{^TYjc1L@j+lZh_H*IqY$NQ@nXlrKZ8_&;ie3E8o;!Zn9iU;|-ZBPcERig_ z`K&n{2+H+MFzM-nO%cs4WIwKH_h(dxh5W54S%F7V14L$DaJO7*PnlP3-#JaE6K&pR zI9bJskdvLR=)MB{ikLVd3!qo|^n5WuMplD(k7$oktUYJ3YuVdmwnmgeWxICY8PlFm zs!M0pxzz;0=O>HXbj&#s>4|zciw1d{MGAfR6dK%;Ipz9fUg>w@eIm71~)~Kyb~!K zoJ}Ht1@VcxH{*)xmBdX7z? zNlbdk=G#Nt0}L>qheDgx7BmA0dj5@DR^Ycb;9AYd?{HrUb0>qW z?kpj!Qd5W)-~Q5RIP}=yL%L0F^ARHk1*&pi*@I0eaV5_-^4n~0v0`{Y_9XsF{IS7E~{(o%dv}y+M+05FP z-E|1t*v|9>$%AL8oAwn)GBI;k#_YMfBU_W;of#U^-aPo&MK|$%)_KLo;=U|18^cng zSGZ)7vY*dm8~n+N8iv82B~FQj4i!7Ag!{33((DdbG;bQ_%M0_N{xljhRulP3^Z=s4 zRg3D19&RtLsQb_=bZ@H;*bj^w_{tekL+|RXIo!78(svdXv^A@WS@T#{lg)biX)_wd zr4wcX9NM)H(=ej zdn5`K#@sCvKdY;CK{M>A&~EVMXKv@f*hkO?#bwlZW!34ls#J_$lK!(laO*?=KQ%ke z+^2)?L(#VOv8octLeGe_tMR*Mw!SI4xvd!h8C5FQM^{lL?gJ+iW&EK6FL!L9<~?o3 zzx8AwkqJ@F%Ms!3-LMSU8C*@;>S>e)*i9!-*3bpz+IdJI+?q8q(n3`OY={qf^7$Uw z-P|0hk8e8-ZA&C)7Y!lpc?k#+D(0Q@rCfDc0Om#^DpZizo-kl-RlGP@YXE{DAybz9 zslYMo>bcZNlo4wQ*dh3eG5VnX{5IKSttl0;4dv=7%`&d*NL{o^|LaF+fG2GF%1rBk zFZ_e)h7LojlFRhO{g10i617gZI$4kUC80WBfaAi@(KD(1&z86@?dLE1)v6NNQa}C580>Q5jvqZ5H5kSv#jqM2))$V7^Nd-q!p_A)L925fDSdM6*sd<&1X6S01`vn{=i89l)Fmm}5}Dv9su^4@6f3!I+k=z| z1hPCol~plWI#V6HHQ;91s4X1U%^a?T>)OQD&>Kv~i&isKs#a85gSgr3fE^X;q4p-l zvVVHX%fP(Co#SK!N6hF^YY;<$s0c&6LWL})^Yd!L9=jc9N0hq4mozRa)lc`kDp+s>QajC)s7O!%7ct@A?4j*lfp_wF=}t?0`M|p&I4iNQCQ14qUj6` zR`tR{=ie(Jx7nf^PPktgHVwtkJ z$QX|1x~dD+;0wH_Br`IIj;E!H&~Wy1?hnAZwX5P@`=p``aCk$5tOR{&4&J%SoMW#@ za*@NXHRJ_I_(IRy0Xc19q94_Ps_>_loP;PrQM2^fpf#q7S__`3d|v&kv1v%Y`O`Ic zPOhd1I1Ts;pfogt!eC@811d5z9K&ky?erH^{je&AN=MLL^@4s{R3_*6@ljc_*8_uY zc*SY0RJ=s%WE1&kG?*w%ahgDPw z5p%JxE)|tM_RHAlAsu&^+0fHDd__kVkuh|282&rmWZ(5AemT>tj>N0V{4&=sj(mF; zZmNsRruj2;%cnTb9@8(Qy{>Ct5~gCl-0@NtsAljoo#Kby4-_94mn%nRAk>6W_rQFG z`K#Vq!cFc(Yk!jRjjXJL8;Hc_O?KNO{5>_4Zy$Z%0<+R5IZHY{ohyPr{>JK5 zv1&m8j152IWhHQmGG(ZeD0Z2*)_Jzywu|MRgdO5C`zd;?o^JvtjI=%99OBLVG)wL%y|>lGY$*k!?(LktJY!$xemF!}k~*)x){zZs` zPj0L7TzmYSW{HOwbA=A!x_~jIMT>1N)6*gMGqM_d9xLMcC&tkuo==J{B%S(rzYy&6T`V=tJ>Z)BXcV>XZ~ zP*s0m0h9Rk<`MvO*~T+ms8^B#T4nC|f+jh~D;rT&S%~G@Q&aOf=9&$vmBLhd zlii&>HG#nXHbd_5Sj)77R`ivH(# zMo@l(?(clZLtFP(!a}Dle5t-hza$>SmcrPJGuBjIu1hZ5ENyaO$$*6k2{lDxCwq0= zMg3h9dm7xzBjN~2vf}h2tPuJ?<8&c@A2gcjO}k@*havz$ z^n)LDpSuX-{TI+tS(KVbGdPUGQ<`|J(u`_WF#8eD0^xpQVn3ML0n@Si+eRiP z-*W`6=wE$*$5Cfh^jR74WrBV_4OxxEOO3!MI%OPAlBH}S&Nu=)QB#8#ykEX>$}ujt z4905(PBlQ;YPBU^$6}2FwI{_6!oX|(?o8hVj$%Bjc^g$Kck70|q3dNq*Vz5(q6`Lm zko7*P9A42!!nchC(15kxRH=ZXdCHv>1SY+>C6pd;`usXARfRk8y zgBHGuQzns00w@GOZ??I?KBql$|4*ShVXP-%PD|`QqypEUM@fzBK5;~tsJW|c>O3*c z%xW(I3_>(U^nYS;OHXOBCo<=CvT*_+UVx2 z=X;EJRx(7@C)Te*V|i&ng_M0C4Rbxf7Yuz7Rr-v1TS!FJQGC@Ok3ZS?j6uUv`imln zV6#H$Zy4f~`d*3B^@~5l0M(2;{E2u_$U^C)_Xh0r;&|D{7mWRJ9>u{}ex!X;DEWV= z+3z4#ROSYXf2jVH_wg~!U|2tr|3F}YBMQ*784(OkO8=m~=^NUqo%rFA(Nfanh^l4% zZW6!zvj4G9tOmY}w0b)IUg&1g$=v_B?5K;V^wh1-ALf5kfAq#_B!KgS5mIV_%phPZ z9%ZN=Yy;r;xlt*0lZR%L1HylIa7u^Mhiv{9dHH7|Si6t4YI*<>YvMPoV#Uzq`tQP! z>HoB~vzqHu`SQ!JQ3YZZlDXr^ub~9}V+iCUTZ0H){=u(}P4v*^*U0|^5Xke5{9Jua z0JpmsgFJ8)!S|v=8{1(>|CpB1EYu2xc;)$^V*I$LDk=Wi^_C&2OOqO+!%JU$ve{xzX7OYv zjqW7H^uK7?jT;(8;~QOi5!%Q8`DF3JBE zUgR=)`c&EQLJ$W57$78nxub{i1((hJK!LxZa^-p%Co3>{o_4h|!-ZMRtXf?%YdZCi zWAwl^e~3<@I4 z>OvIrW?`AqCq3S#)xxWVSube{LE%7x;~jqV#fYu6(eKc}=J{%(%<^UFwW9_F7obuE z-p1FLI?0nJ+39TSZs;q}>n#}JpwIG)`ZF}Xn$1GC7!Jy;2Cfnc^F&C02wJmio71tE zTVff_mUQc36SwVDV<{ytO0&0|(lPjsT*(yno>MT{gO4&%?+x>UFvu*W_qNrtt@_-+ zaZLG+76%SYU)9TfYNuLwWTSHC4uD{2@w!FFJDnFPRG-pPIwwpi(k-O>)>7l7k$I}5 zQmIc|VctH^THcv(`kvnOc}J-hX+#A*>}ZfcoI%SEWYz|-*BF-OWl$Zy9g!X^tD4yw zC7|C$2I~8Q=vhX}$c!=F>dbA#{DyUA@3CZ6ATr;}U{rAtx__NL2Kq-l8OjcsurH5sQyR8HDo2JP!q?u)AYIx@k(jP;mfP zUs%8xgT1Le6=AZqAXzs_us{AYY?W;(#&k@kWiRI1^do1!!rFuoQF-LpgU%0*?yiEl z#0xlQihujR&(eldqagNf<2({^z5GnF!mAP!ya(p4(z~!>ERq-+ye@_Q{&#ssvrzas z7C8n?%#n;J94l)d2OSp=*~#8+qe13n-W?gN?6Fd-BA8Gt{&rQRX^z;zctY7d`w(D^g!#=c8Gr z_aN=ZLf28%_35D{bSRNkpG3^VGht#6rv06rvt4q$Tgnv;3$tJ(lr_X9T5K}f+ti*ts4sIz4e*s9itR&z`psEi>=Yz7j&6E&BxMj_ksc%BT z9oGl&_;c?2@D&r*z7MH;O$_xghI&+G#O-fGL7;O6XpMbNp=e`K+lJ?O3)TSIWGKq^6)8T`9SfEz&d)7}Xm2mEJ&y3O%V_QDaCBKqqQZ z?RCOF5WG^!Ubn^4{j%5E_Wv=H6-9H3nW%C}D}gQ*5F;n>tRcnLJ<{0<(z>M??fE=D{yP;wOA zvAX*Q(ujny7tLaS3UseP*2Udi32qrgHlfW8I7}(RbOaEXJj6jy3VW!63$K5LH$RG% zUg}uA;!V8Sb{P^OkZuJ^|$3e zSuBAbR*O+t%|7;txnkq-sNA^V-kYHRqeLMDM8LKHY`7oZ@mnyg04H|L&lG|*&8{FV zwNmUIeX9%hz?rv(`+X>|4Zi=WuLd z=&;ik$vILjsXOkQlq0h`Jx$3uOnu3uN)tjC_K9nPJSoY!Jk|YXa)E5(iqkQPxx6_^ z0MJp?9Wy=Rnd7(|b-1v_jPVkC$EqF$fHs?=FTz2snCDhpePE^Y-7Si?plfMFYG`9aO&mgOT!J~)2JLIvQ zli(bV@Xh!^V|;D(==YuR^VouB9loMNY%*t>MXsGerVNylb`!8uSTKojy69s6)u;^Z zLm|L_XYp~&?!hxkzKw1Jg^2$8R^sZ~7fDpxzsHwKIOc2}-&m$&vad(Z$eWZAf|Kk1 zLNf6|g%h&CP=&lcc9A`x0aR zSA)SKa*eij*jz`3{i*_r;|G=EB()3(OZcAsiUE#;Pew)%*WQrkg33uR$tb>LY_IEV>6bbL4}i| z(N$c6MMG(=T+^*0mSYWcX8EpWs-{r<XO@jlU8y3V5?G|my+R1sC>T>@WZ*`w0b>3mZ++lo z%Zep)TKIM=>e5$uq46Xf4n3f`p+B;fcFXM841E04fluPP^LM!E19K-Xp-jnV2~z)o zlO#2>IAq?js^+b#Y9lXXpECBPFd0!SY=ZesFuw`rg|)$?4<;~H7>pGLV}-%}!r=aYGK~J!|HgoEn_%1~7`F+= zZR$|qFn{^7Fw&Dc@#S-P^w2hWAqxa}mM(N?mL6CzPhV0I?n(bq8p3@ZxXuIDM&Q~g4Z&go$K)4`-kVW;&|@A<$Xly< z@Y@5J;=%MAOeJ6fmo5#NM~jEMMn34$Zj0w1etbe?#9(uyZLKJ;boU^t;Eyuu3P_ zAZ#deZ`oY?|I6~~>s6rz7Bvr5C_13{krAx6b?LBZ zzDYM}(8oA_H@<~AwPULGf+i6`Bt$9-WgG72XykxqQk=4QckIn@m4^>R_;eOOA^YhC zUXv)AuVrM^Bhgu~FW>pOJ7%PgGXeKZA!$!k+IYjq&rymMGfsx->ce}+9sb6b37W>j zKwA(eq8uT#oyxL33UL5Jl6E-WN!CVDrG&i%r_y_^!JzOEUj4HVyZ;4Obxz0}9Vc6l zL$gUEh_5j(6T&K5ssF{-1RnJWHCiRO4m^Ub6pBY<`BkQaP-op=G7dWPyAWi0_~ dAM|4cy1r}5U|*He_;rb1in2|XN!BStvXt!EW=cvJON3;ZkYt@?3o$MB zY?GasGM2G7W-w+u|D*T&`(K`G<}7ob=UzVd=f0o&T#oJ7v7_w3PVjTb4lnTUJotUx z&ClP%=bjW)`z-YAIVruaON*Pj{Zh;za8nGE(&nJWj$Wa zXB`wrPXFByP+(i#k+9SW#Ecx1ygQ{}ux7qYk|WNct;06cp(dtfLubdnyshB`v;EPp zo33qP2L-Zk1-43BH#iL7R z>N)wN9o_k@zG`O%d%6}pHQGpy?9#bCW!L$v&X2=fDR&I~+TRM_?`dC%ZSM`S_*c{7 z&lV$jh%T!_*;CeUVz2t53MW)$q2keapH9J>b*ro2VHXyslZU?l{gI@J{Y8^3 zW0Nd|X;!aE)^pjOIoTc&*`9RS9$VR-Vc8xn*`8Y2o?zLYO<4=222EiR$r;aDLH8*^ z_fA3gJi)obgSF2%=ot0S{z1J}cH)D$N{3dG6tw58Y>$O(Pmi{H@>0rpLj%b*>#AC& zp{7NmU}0EHermK>A?1N|6)7M*IU($Rd%fd`*KqKLV zyq!=V+_HY<`tIs!lyzuT_`b(M>xH+d{D_*x=Q@Jxci1U|R=%2hjGA2^e8FLOa8-2_ zG^(1#`#|d=r&hk9c%l0eXa4V!e@EKiUo}W5gO}dBW!+p%O9tHnj>X5O|66gw9$fMD z_PyNy-E*i7ak$V5+c^q5_0&3~Os&nsWAa?aLvL|+Cp8_aH6N@M9KNv2Dq6-K7|o2n za^#65S#%a?9dMj`g%b+Tsn}_U!U-kCgM*SZ2x>enY}($+*S;E-0V)@%LU~c`nB_K+ z^cYk=x|Pq;scss)Tqe+nqfo!sc`LdlRG!VyXuR&jcYC5PZ7wS9ggg^%{|H?ZIn*uc zLaO(T3Y=4ASIW+1;`e%D?P^5*p)Ns??3BHcav1+-*(q&MEFZI5i?G`1^#K!^~ zHrwomMJRi+bWPM+`P7tNjJaj?ouyDqlNN(1QA~#FZ%CU*k+4@-#*ssmsAr<`5AMJs z)E2)&Emm>T*C>0iX{uZ3tec<{dO#=OgiaG3`9K@FfG3y@``qPicZsL?lCF&((q{g& z1c3$ygJ@fK@8#*fA2n8{@j`mxRj%C4QrlSefxli5h!>hdXZ01{{_fH7#~gIHWt?OA z3HME$bd&GC7ce)6QvyC+Zzk%TqrE}@=%@s8FqVtBR?3}h5X3>>d?if0 zngkPkcUfSERYteMh6`KyR5UD}J^lTnEV{iH`N5#Dc4jKy6GSYi=Rx^)y}9SRd-6b8 z`AFw1sH&7Go|*;qWTo(ZoKWkN5D4gVz4I3T*6{d28;`nVy&i1HE#QB*?XW#%b*A>M ze9LMHbYtz?S?>Y0go|nG`}O>&!IV9&pv6^wRf~UUGP~XxFOlt+r4n*S4GfMfl@5qs zpISnHaz@N{lz>hHU6AYaR2(++<48qd`1nPl*xvwwTKIgL(G$w_-L>Xs=j4mgOz_G% zC??-k(khzdVfq8Mb8Bs%>*Yb%&XAjrao(bBql$i!Dk%!%{Pv*z`PyI>rdg(=HjXxd z8}n8X1$zWkyPF!kqVJVAeD!ynmi5>Ul3$6wk#NxbdeVhw&N{Ttr1%=*4Hn(9dRZ=6 zNqnt*cJ5gZD~sEF#Y668{X)d0Z=3QnDAy6kpE#k=xzyy|ZDNpTg6{PCx(^SA{{^q( z!+cE$Sv2TaPfApcn8lqUU&AaA82%DB6hZVFHsFLn+ujdobe63e*pABojjdh#9YKIS zd%7Lt+hgsCtSe;?$Yyz-e}nNa1poa1-`AlOuyQJHalVG<|5~vR^;BGMY32Fj>xAU> zd$Nn$p4mohyYt1vpzpvaC!G_xsI*|PcIxS0XWvN&l|LSTb7EV99?9;&Z{gu!C~pJ9 zKw#4zym$fRb+4%5ZP%+wrv3*7Q2oz#WlbW zEUfr;ibqR3$Wf*orx_jk=QAc{E!yifK9xzKv!v8N44kDz1&PX6fqoZ8Y5r<=|4X4D zi)In@w%KocEG$+>;(WjI55aPmy~4_lNK*D>te)Ulg60DWlu6GY=9g{(ar0L*7(FaY z4V=$4vKO9O3evci#ru0;h^0TRS&Z|I1X*e5T{8H&eL&bw5aF8Je*7GAOcfdG4h*7N z`Dh_}B}$zTFd$~##6N%@a_!kryOjkJmG?G*hq{k#69DFi^nOuLlEP1BfBIk-X6M0B zi|i6|ma77@gm5cg**OdF`h=QZ;GATEfrbV30?3u5L-w)%0d1RV*SDkde*^xnLe?Yb zUwu8FQ(hcFf=u4ZXHWT?V{t;DCcnDI`hp@rQU4B6`7TX4N~qmRg6$f}g-L}4eoRC60sOC@mWT-__U75`G zwXmzLpfZO+Lh=Ot^A7Z`lz(CRe70o^sO0TrD-ge-eXuW*l&E(WD}T+nZOLPYhCsys zx86?o&Sa1hKtaj^BR2Md7^2mkip%bnu{xKaZZ{p}FD0ig)t~mpSNsF4{{?7%5XfKV zO4Kju#>xIefx))?-5#4gw@%|T$V{Wxy%Wy6RRem<5F}aq(D==^?p6w|ds_gISoUCX z{VLy5qKDJ|CZzw;l2Fp}E7M;LzoaL0l~z8G*ZUr~^65W_c--sxWIOBg4H4Gtw{!Eh z;Fq1hZ%JPT-54hZW;*d<)EcPfq98$-HSjkX_=4!jAlIQrti|NP7(G=CrnKephteQ$ zwnG;j$ItwR$p89pD9`$WNR8~$^Glh6S)JSVCiMWt?BzWFSMX8O(8VtxzPH6rQ}hs( zzXOi46^ElBtNw8x75rbQ`#FC<1tRtT$YJcn{ps@mLrG7pEEpR9jjSdezDvGpyPQ7z zXW?L6ldP8e9OWWQN7wavQEomg;e34mE83ua1A5X|1|2-9tCA6_nR0dt6tr|TOb1-M z-Frkz8uX5u`}vXN^|=$UzeQZ*1*5R%b7KFUlwB~gS3Q6K4Om75dOpTgIeY)QvR&Z4 z*eB}IIPm%Twgo#5sr?A8dnCP$y#`(K`je_90}pxWvQyrQ7DgxOS2iYKv7AELp6aIk zyL;RZsTDVs%cy^l@sGGVOHb}PB%7gXqNbJR+N9j^(g-~2mx^qLkBM3> zctfS*=k?^Rav6HWZsQ2jLiJbX!u2UL$0;+rDKncXj?D+R;Kxs#YYGn=*8Kbp8h=M( zW#ILE@ye26i?{o;=1lAtU%F@pw7P9?=GgU$6!1hNnkilA1R} zdfN9{1jD>E@4xY~Ro<206yq!IHt}pz4ur*9AM7B?0(u(;TKzJ3|!|GC0{F+qB-uIUQw#|L_9g1TQou0D!cB#nKgNYN!!ES;til`?z$#HxcW%lax>GIa%`&X< z_sH`y3gaQ#xF$hbV7XoM{u1sJt7XD2&~&4t@$uJ*xFD|>e}@?Vuo!>i7_@swwo1!f zPjc5c*`7W@cf}&BT^41{b_LBD70q@P%@yvZyVgu(Gj{eoxJxND0>(_#zNf;zr^A$9 zz__MaS52~fO|o)idz>b}^~EZH;g{~(qvE@A)^|n0cLh99@?BB&l{n)oq3pXa9VU

    0T+s$r#tzfH1;!alYO_(SX zgVEFPdlSW7&#G?u+r`j9!L4Kb(J_^GI#_|SqG5SbOm&~DV1ephF4(rBApmPMQL{}e z9mk!l3Qez?J?rb;Em^fxZ}ZC$+{Ki{Nuf9E_9c{Q?P^PK+%?A%{7{B}j4Ko0)pq^x zqsq`Cr^K`*Nl<1bUvEGn39sCthn&)Lni?sV`Zdy|cHg@f0t*U13KL09x{DHZ^=<)A z6AbPj-|5a*<2J&oENiYgF>dd@SYfwS5^<)lUdh-nVW1)X?S0+;$V-&AIBMJ>O!2T! zYdG$(1d^cTc^=~sR^PhXO%CFfI!kVNHBN?wC#arg5F%b_oFEdlN}Y+n(?cWBY4T7- z=X@hdtqnVHABQ5jxSpeY3LU_$_{kH%5H_$!zIRv8<8`TcNTb$o@^L@VcnH_{v;kTd+ZMzwoI5vF zy}Gy_VrSJxT{}5QGq1)b_Aaj1ilY6`@mvBPI}2wU5B5qgtgBPBOx4gQ*(b%~RKOvcLQ@rin11ArlyNU>3mNaCe8LfLT#X!Rt&l&;{ z)%Ke|#DmJ&j$ySaSd~^lsuhcyq{}A){ZEG%iGBNpegOa7KIH3^$|I)p5=pv(OmqWK zC?keZ1@Hi5FlxUkO-4~G}o)rgcefI##8eSZ*zD#0bgmGJ+ zw>2L~S*(@r02N9tf{IA7+h0IAHc`8Y$l4)5=k*aEcslb{)TER1}Bl! z2khpqzK1JppaXtJ@rI^*i>RxQF*xV6c09e0yob9;0_C}1(Vsj<^f|!mxVf|+18SoH z6E31{X|SW6U}v=xDJc+Pf)Z1Mp>?Z4g7f#7z`ah8Y+)^38FJsY&7ZcQ@OmBK?b@8h zRMT|uI!h=^E|H`mM8oFL`ZKk|A?$SUF~ufy!%F%nL`vB0Yp_vp2XRBTm^O^T1Vr3w z?eov_dInnNXGvrHqK}addYia?L8N+TIAN& z-kQDhZz0^=dbX!}AJ6!ubQ+rXjD!$cOew6;*KYem{^gDv)3?m7wjTDs=YFvK8!VnQ zD9FaEc%TGW)cs>e^XFtYu={0Lwd%xpxNcBMr$dV0L@Zq?Uz;eHKNn%0jY|K}6#mNp zT-+m}f*3B@E@mV9OMO-GCnF-bpK^5{l81&my8vo0X^p4-ryqCWp*p^Xz&!?zYSiJXtmIU~E9J><5Q#nd5 z-&iF~4^NDsPO_ma3zu-o?oXAXTOk@Dg85{*S$xF=k#LUS{0yr*g~CZ>{6TESE?WRO z=0Gd;UJQ+}Xz!kUG3=Z`^Bw)aQ*AOAvj)-U32(f;>q59oK4@!+=yh)`lhoZHj%_9$d1;f66@{& znN@J3{Xhq|bduC2qRo03k47p49}-HZYx>;DtlBwk{N@aF_%f&G_8R z^WVc>cE$m}aR7w-qP}=Tzk?OwGv$AR76XEjrqB0Bdu0Eo?vN~qQ+_8bu)drqsLkB5 z_z*Io;frzeT%-GjJMw3NT-N!-|5+GveqpO@V5=?FV{=FAoE@%r`v!4fYnc}FU936y z-cmD2{>&nJ%3M;g64r@8?hRo;8daWS+44O=#8ZFMBs?M+4`qd)hSy9tMM^L?ck^1P zU-*FfdhEi&I{EqZoIn+E|8gQ2&5Hy$b#v2$qRgUvwGMNYsH8n)7J`PEWXpim62gx4Ev0?+& zR7of4RWMh)EyoYj2*p{Z?BLyi_#gE4Wb!47MT_yktH%b5QD-y%tR5QDqT~X)ENJLu zL)2U<)*U03xTRub-K&aQ-tyQzR;|H)_r0A0(U+@ea^TaS_*QXXOEP~>j^%T2i5SDJ z`y4|e3vH}(7Tc(sm*7Sj$CbXHV)WLCqYh6q)rTCo+sL*dT2MrJ84xedW6X(V6i(n(raiVkW`}@KZsw! zEd2{OUjkwKF^1bez^py)&yiIlu3TJ+>tx7j*5VTl)<<9k`ZwT1+sItUl8e(Xn_ zRJ8kTUIK|Lw3tYy;z#_oH$GV`uzpNUx3n>Q5G*Yt9}^q_0r^}pm#`e-I*1JP^^B<3 z#c;cLVW&69P*!g<7wgoGA7FXF*!W4N)C(FUdac3HH&x zg83!!Gh1*Cz}teOb&KPK$Z4ZS#?bJdWD_t8wy?JD`gtFoeFVa*7_e$n-QAHm5`hka zk9NlJ!cw+;#a!+y(7kc7wliej2tg4p2+-RJPVEFpqXrZcKpy^4^&7gjofbd9Ylg8! zoQB7~&{s70Vc%Gioe-N~I!aWH??QuUZ_0)lWS(fO9>E^YlXMBVg8cK&bR9)*<`tH( zqiwT}uax%)9MO)}&TiQxnTM}EM+exi(gRI})E17YzUY*!X-5tXrM{TqX-L1*dRm>%d zE-$un;IWtl5?zR{+Ha~KMLijy9m9*ks{$E~+qB0KOVk zT+V+w5LlaH^j?|$<6$S+LVX^y72@41umBLx0Z!3)Xy~0h;JPtj=2Eqv7DpNtV%ZFD z&X5(Ru^{!q4D??0*gX`-#%xI&X_YSM4L(P$CSR<4j)IOQ{~@Z*?Y%c8ntezJ{VQe2w24TUZeqPQ?(l4%sw4uiop8p=f)VC2Lqh^`3M%3{t|B? z1uyA*6hq%{EW=2&pe8Q!u6FzAi>9kX4d6>r*t&s&Yuu{PB z8@=RXjeHq+%q>hTsaJ3@A07r|-*H~ss#xwI2STK4)iK+QBvwS1t|ux6p^7Gait80Q zHUQx=F}rhXGh(E7yQBtLHH@f+32tqp#~^U!{KVojO#;a%r73sZy_X~KfWQdbnal;N zwrGdnz|$%~ZcH>kU4FK*i{Wt_R@)z3&So|JORWq;UItD;<2X-fLixS2YhfB3gE+|H9r8x2=1V9o z_et+IBi*)5=$O)P;tFx(sF;WvvE@u|84hK;=~KiRkESOVf?3X|htNz#3DMmZi5M9S z(#>lO3!!c4(qb&buo3Q%dhBhv)?d+JvTKy~4Idw5z8x|qlYk0}pRO}1F~~L*V62lA z&RYyiO-c*63{3h>+8sPB4X=@dpOvxXC57)nUXSz;Lw?Rel@u6SoC5vYsQ7^L&O~ z#P(R=5~$7P6%F-GX4$BQr$H?_->c>{HW5oik+>5X zOs#D0aXFe_#B7qZR{WFJ%KN$m$D;w!{6yIeym1AJ8LPp2@e?JPpDDK?uwQl~aaGNL z{TRN71G#JZ2|wpO`CVfNw-Vf)X$cKutykTB_6G0#gIb7ke)$b>FEO1goF%FC0xFcAq{sky2Jqjz5 zB4Yd@MK5+y8d~o;#iV=824+k1YI~T1BfX6RwSoHu@E%HZb4R?JVU^gns}ET3|%SdG{6B95PT;c z67h1~9eH(TID(JO;^rS-Ye)slc~K#H|6yd0lnMNVl+f}|Bv z^0`e40}K-Y-Y8|Rs+m`y)u#0=V>+|~6GvKv1r;q!71#mXN4$^JHfm7}C9|M|{A9EZ z-iFaLDlAj8n2;0~VSH`-%{-c~p3;CkQhO^{Km3z0SR|f>Vi*m_L_T21&)361!gPZN zVmx0JeVNgk3X(Yjt(Th1DgM*8!B%HrGMt`~Lb|M>W2zOH{)oF3i$8)I?E{g^nP;Dq z6O+jPNs>M(l3?5l^G0&W)@`V}V1~THHJ)bTx_Y53*VDY^X5cg<_+Pk@s#!Bpfb|Um zZxjW~_Pd6F`kI{PinrcjGbRCr(%&Z*{a7e}SY{)U6YjzdX0%;|8wFxM72`}Bg5{%o ziDYKk6q69C##@A11?EHCZxf z*RB`V?iJ1#lk33-th25y@S?q9uuFAjVb1>jm%@+B8`uisRlpLx{N=O66*Bw4R>(;h zl!ZJ^Y&d}*;CYCG`{erqf37+f;z;z4vf^Xn&CHBA=BKOR4rRHSL)*b>d=JgiizR)D z;msRyG{3bDHftGa>)X!*BMGaydwigNm*%!y0xFAtS#6%l96I;P9UU+l#XDOC4|4AB z2_ExF3EtO#k{e%v-MxW+VG967G^a?;pze_bX)KyCb%{5H;p&fRDJ{p52DlFOK4?wv zPo}(9YTqRuZ*)`j&1|&%v);Ms)$`LnIE&;PWWCFd>xVgn8*MFA!I&-oGjoKJ$;7

    J5t|#@Q!GNK&ip62QLB5GkdCSGGo-*}&c z6q9yyXn$KiVN<4+D#phhU>~h1a6-E2uGQ-LHz!s}gSF2OgcDwJ*UIs#Rg*E1zXixp zZRIauKaOC411lvtXwy38WaIA528%ljJbts`Xc|Fl0}WPj5A-;;lD*~<%~Xk)MR%u0 zgDqF&pQ6PJj6E1)KmX=(~cNevz6vZ|Ktfr`10Q=^WZZ3KP?5VLR6VS+E||6{N40j7&VzbiM>{ zJ`)W#*3t_w7dY6RS&$iqtJ~IbU=AI@R$Ls1WNSe&wHL8ccYmgBv{8FN12YlJD8gF+ zFN00{oCs-zk^uGBT8DXwtpFrKhlEuCs)16)BDU7XO>WQ`dVfV1;=hnJfWN8-jOO4j z#IT#h==bQ;MEP8-T&@5^7YZGF+(hsMgs7@dcodLeU@;s=oUg`V?eq0Q^_Z`~n|igvVV(D?QfLmCocUO& zO9P%W+o&@!TvOY`UaMiro;+sKab%sEZ3m@74N@Q|YPUUn~b)c^U-kKLr#s$)l@YU6~N9 zz%8ulP_6Czrg)Ny;Np1YvvG-LrWv?t5iy@8O!kIJvE*NaxlVq~ONY@a-AV*Yuz;VJ z0CMw3@;{P3HaW&rTyu>gaqc2f*TD0nL_W9pMNwuXcep@nf+1|Q5U~0OYXx?(h6O2<0}K(@@W#)~SQ3UWVg{8B9r&tuG#??; zx=HJbtM$XxNwnoc?GeocfyJ-=5LB3YlS{xRmQG6@0~){a=FDcP`p>L!3)%5Vg>pK^ zHjlU$+==e3vo0ViQz(|+%DXLaJh0TgorenhL6i(OwB|NwY)Ce@_2jH#rEUSI7`C5* zFHFgNAz8+{^QV?RY`u`qMHirLem-t)}-ySIikKhx8!@*~2psB2mpq{-$^fSVe2U z=)?zYG&I~#rhHPE3J93unAy_d)w~9Uqj(#(flD~f7{j#yOP9T9ZDKLYD6oiDp-DmS z=Met^(2QaDC}6``mFLkZfD&k+i*2AdG_C?=6V|%<1-A;B(1$h=-UzHGScIY6)tB+@ z#$#|RnGm9U#XWA4#+X?t*@Y3)%vwD+6rY|)Jj8{TR(IKax**bo7Un`~N29B$!V@ivPCz}=f6 zV9w~xO$?S!L^G12fH}cUv^G!s1qBar(#?hM#q>Vv8qe+v6Qq%aiR%YH<_IN{p2yIJ z(`lO;TkySLuLC99O8&Q%97IJ$f@viMf|aI)IWc^|+#fB^LB(>1PcJ>raf1ux_akK` z16BPncT^Id2TI<>m1m3)tCMOq){ll9$wz=46IBM@@U}0wE6+ab%i-DQMX1Ek3DGi) z^s57flVs@WT=F2-$CFFnMG2FlggLZc#Yv<<@T`c<6j3r8+Jp|EL^W+3(0{)5QRo$m z`zqoOTcEY=BQ6nQ9-rupTYZ`Ho0|h6&9f8%L`-GwKD6t;WoCO=ic2!x+GZdJ7% zkB02lNfgi5mG2=ha{Isz=x!FY-qIx;(Z$3y+V)P?YQdmQg_p2F8y9pCC-T`qbmHm& zJ7n@EC;L0IAERj0d&?VXesm&c98u~ccU!!b`Z$J3?)k{xuX*?urR>mV$K~fuFl%+dmf9Hh1GaVwb-@2xc8Hu& zsy}jBa-3$`NYn`A1$wh<)#>aLj8)L!xWlGhy-w?@>zt7XjMYr0mI(N84%QD?xy*6d zZs`|Hstyh^3wjd6jedH*+Wmm&4DKvOfMAfUS*6)r!=;bk5{#WKrpe>e#z;;&qRI!! zS~Xbn5}rDiZ!ABgHPPpAge#(xYc0<~=mXjIoP+K0VF$DY==zu3+pPqo9jGxf>Xmnp>SimJ9wwbkHn$R%h5&?a-ei`?6 zMHME(Mca`jJ9VUufE*ZBU6Q+bf|i*c)J$!OS)VU&CMs>tp}JU}+8pd2Fn|XKSpNWF zM<|jvP~aOf1+j*?CHuQSdk(~o7&CGJeqD@^cN)lBMe_yO@gS>ij-Yx?rB-2X9k`u3={58N9 zKp>jo)mWV!bkqml%h+{eTzPVP;sBFJG{EqdxYo98_!L#*YF&yD;1^h@Bq)e8zYR%Ez!^MK!qAJUz}SPlgg^-x>_QlXxjvKgJtizBD)r9F5uNPU=I_aYL#|!lv0~ek7>b!Wp7Y2azxW9ndV7k~QdmknJ|j+1&oYiDUA_ad=l)yRyNp$^ zstH8crD7I19t&GFc7L!cO9DSarvF(^e+FD@ZxzBF&j?*vRwi=%}ibvO{`&cbR~LH3W^0rH*E_heeyT$Pc~mj$VmZTEeUZq zVaupjTdUC73dl*VqvZ9E7@8zOOh*fg4%V-ED_$i#QK<5jAHyoI_doL{j`Sr$OdWSa6W5B>3v- zSUz2z6?~4S{T~n}gxJIE_TCFr!V?TGC%wJbqYwX(!%GE2jmgI*$};f#8x%1DKX_pG z?FN{zB>31iw!9{aQOx?6m{QfA&%7LvJ^O`ti@}$J4S`(@bd^sYU`)7AK^Jn4cfF@q;(&>|&by@@3^oKhvayE_4!RV@Of zU-#|TbYG>h`w#ZcRy2`aHjHemZUtP{(=d3$g16}9?V_WWqbbExR<{V9>G?|M+KS#) z`oFCBXfux-E$RG%Rt&HBa)LgC>Q0wdTq||BY++NK+nASF?DuCRw!$ja6!}ufrn%CS zgKViUPE06;V3&mhky}=7gkniXgP>wXc+rVfcRaz_UOfL?}^E9(v zf4qUtZmFL@US{VOlS?*?Yl33d?;=-t`CrqCwm4$G(wObw)8ZQ2_|1GJPHAz;2lqwp zjV|6GuVjoUi6lKO)}w#Ze5HyEMBW8#BZ?fyZMw>kzD1s4gFGs#r8L%buqnS2L9X8W zWAZvPnF&dk%?i1?<5;nV>7Dx~>*sohgYRk}{nBIzT`!BTS<2Qv40i7D9mZZOc=~j2 z?m!Q4T`(Ki_ZxM1SD~M0Te8y$b>+CqJko7xbIz-%^JC}l!F)(kd%g?vc??`lIeBl+ z&~PhJ$ck?3W~~>SxuT(+=+Ebw)M7sWP9;vH_aDtOSMIBOs$S?gCX*@La*bbbY|kmK zRVH6iaFyGgNZY6~tDVDXfvHt@8$L0;QWi9TBoRS89(wyqi!~=!PPY6?-LSZioP{?7mK`|loYbwA2j;HLJ^}T z_jY6cgF<5=YY_@95D^S4Bh=qon1e#A(dp(MiW2&AZ);XQ6dg93e>m!LQF`KEZ;K7{ z2JZziGjs4!O>U+5>kL&WZ zZB5#~!ld86em7EnyWX&iZr1==ojd7c8*E@!IzTKL_J zUBjDe`}nR3H_(6QMee;({_bLIb{=fp3N8KOtn!(e>u+9vS1`m6T9*v;LY%H$%Nr9` z=>9GzJ8tG-4$(Tk_S54J%EYm^d%Qr>QnSF|%!GusmFk9DiZI{i?6-!!Up`e1TwC;8 zgejPRC*In-msaH##_$@sybxDc!T+lE_zAN@ciHi-@yn&%|k<_Seg55iH%#6m;<6ANQ!ji+M1K6{c{_kz!%_R59KC`li|G{dI@yZMBUO;r8z zmwSUXtWs9U6SzbnRGhUMrrwj)co;qEe?YRYFZW}9?Ioo@p6_Cn-J3Rlr!QVZKHYm*ZvafpY&aI+kWDeqq zg~YMli|bC975*l(ks9pI4#OAA=**N0scq7walE((va~%iuiS`bR}My`@2U@??5l=k0rO$yudllIw>fqkF2A4e26LkT}-X4iRIg^G9AC&yF}?_}e>+?UjAa$I83;FQW&Vi}rOlq&N0X6~rO1%<4=K1a-) z3eJhhNcVMxS9tC0d6E>|U-k6sZ1~Yv)ycLu2jEe@H@^s%^oBPbzXkQyt-dKylPM=$ z?6PO}Lg?nM(?%bxVk58hDoDLbHT%P_*oCkxlPR4E54?Q48mo0-vg6eO?R=T1_Du$v z?~Z@FefxUVJq4zRlpVVMlv`x&vG8eh_{edw$fLH$Ubzqt(CT)*vTL_5mCun;hNm}Y zWFBami9dQe*x+`g-ve3RJaUlK*R>*6v4`R7bN#sA`IcUe!@*qn15K7QCYD!X*a2o& zV!W=jPoo{e&-0L;s*kPdykY1Gxyw%(OG>pT98XH}FQ8H!|7nyEDqgcR zSv~&3PjV*i@G4TdeXRD~t#aXKd0BT0k3~hjRyojOXY&pcxue=GwP73NR-Lil{&Baj z=cWF;B~EH0#Cfr_;q+ABCPlp%#IfBBS8BF1($h2Gb8F;ec0+^eLWZsrn~ z-XTjroRaAD5iarWbBysJnN+)&9L&5+x|5=D>sa>ry_dt}U-w2;c%2e%?$xm}={#k9 zrp5waIg#}9j*)8pt? z-0b+yTwyW#je|(T%Qo4bXEgH8REhD+i$uN8N>vtLK*vo;?mb0u$WrmKUkR zJ+^+=O3~Hc!58uK*LcrOv2aGxm5Lu{Nl};LzK2rWZ_6k@phqtWe7D-NES)YerCV1=S97U=6-}ex2yko4rXOuBnLA;Z<~Wz zp4ZC3EY1h#U{*a!c3mVr!gn_3cwQ}X>GcPun}#q9hA0BR&d^5SHyL{oCpPA1r|LCM^y}&kUNuVP<(yqDvM?;F3aPIuj0+nZ@2}He zo}b^HbN1J~PLA{JFHfydRzsmy2keFgI_MQ@%PM=G;38 zG#1Z|uO7-;T=dys2&3S+h4%SH)a01hAag|#m{ot^>C^)!i^}GR!OT_3u(4ixbcoK+ z{-ZeypHe!FbINDu<#U2I0}dgE*8<)YqC#1zg%SErc|Mkm3>vMTCx3SZ9Bse#F=QL;5|EIhbEt_y;;& z{Q~+YmuEf{)IE3=ub`51I2h$6t;cQe_YLQkI%D;Kh;W2EjdPF3)^;WgVu>x-;jh*+ zn#P{BVWH85kM$b+`(r*~RO#dNhA@l(LY@|2g^;HQ_#q1a1ehR(*B@v-_~fAncmmuL z2*Nr;2N4!hx5(YJ<7_H%H%s)g`{}A{WYrBDFWuCwhzJxy%G9drTM)$NMtK+)mok&hxN1*Gxee>cYv!KIeI|&+I`w!$EM0hD@1D(J5 zm;2bpHiW$Dbhazdea|cCM?O6`^<|cqG5q1d+~==;0v4RNo$>n{bQIn)Jv!e0NQrNg zYb44IzdFgjs@cGM($yB8gJW-*Yv($JAgzsYtqN|u|OD)gdFS>$04 zwM=I@Wh-C%ElgV{3e#b2O5=n2mn=+yL%pMe%T-fB!^Ed9eka%4D)Q0j)U^hE^KuQ{ zM%mE^P;K|%jD7gn6LOox6jk-vw~w7>o~~V{n7LiANes_2F*Pnet=XTPNzau_+V1K;U~)GpTzE!ot&Qd*Ykne&Y| z2K@kCN^r(cJ~|-c$jhlItDZmK(HRyM8 zboFUsNBHY#4Tczn_T$cG6!d-AFG!7Tn^$stx>19C{G*B8pC;y)UK4kY{IRbzHkM(F z?*Dw~^r%RRzpnwf){55CC?@f-D9yWwbPu#Fs z)Y~LYFRVN9Z}~+L;2&g$U+M3u@>fIYEF_bxjV4`%pbcdiT^8tv@}l|+1AC^4`}8`N zVEY~28<&PqB*<067E*`4Q}Xz@q6cV>72EOZr5A+oVS7B-m1e?Cee1K)O+(|dbj zI#sh5tG#Yr{?ttxHs`NDd=s;5|5Xf0JQ0!YK9QxuoGIP+>E394Pfukhfo&#}Ka1K5 zpHr+e=c}omw-8t_4=4H9YJ8qXjg6n}G8kU0zqgsaSBFq5aC>;>QBG3r3Co7oqhnt} z?7bBUa_f=b1p_8NOf`k-IV*+>9vlgrcpr2WAMKY+F8TM<&m_JRLk+r8VV95a`(;%K zU-xzTBujpLCv04EbTjSMxf8wS`xQnT_1N}=lDkUBN|@npyosr=aqbEZpCze_0bH*G z4^~P#>H^uRe`?)Q;@5M74k`Nhl;t-WL{!MGfAi?N-cDtW-R`@sr?2~9FUNVhf%BvL z3;6F=-R0lL`4c87!W^}$DPA2pmp}32(EJhMk7H&Ye3EyB{aFspxun^1SIpV#sr+c* zTD>?-zGwt&+BZWkLe_!HnMh^$qg(bwKlbs%azUj(dvu zR-we?@eN^b&$%N)9e2VqS(XBsiiHD+v|z4!6JKrZL|VksyTwq7XX^&z5vQR#-x-xM za`zBU)5ZTs)3?Vn{r&M*NJ2hc5EH>unzm2#U9$z^Vv+l(kGw@R*KDk0HU#4yYy<}Qi3Ztla(#@Nhk`@Q@Ae!u^A z_I~U<&N;8wInT>^pEJMS$(;Sh9U~i6pxg&A_DAj$72;*u{^IL?ZQ7zj~*PzwDXstl`LC9UF5Zhx~;q#cv>~mj1*ZkshjNHt5xo-npc|Zuv2;0&(h0G)v$$vi@U}g`~p*HzsANC zJ52vlpSlMo;Uc&YS^$+bw}=DQd;Q*o%|QS1)Ukv*^3uj!X`lxS?L6C4cq50}mXCQNM~{Pfc@*JwosD48Q+GBi~x{ zeN1CF-DtG?k>U;WH@;D31*n@Vbw9B+oVK1TIjX)Uq1Ube6pYjvGS2tvaUw^BsS5mI zpe6Bv6rrF7?)k`-0sv^}WWx?-o+O$!AE82F@OMX_9Lse<4B0Sjo|~yVGP-sMk+6T2 z_Hf1YI2#~7fVAI6(z<2wmM03fR^duU57UB~Z${+-s9K|Qc1s}ikJ)P(hDrzhC~dgx zsY1WfK9J$-+t>@2OX+?O=NrTplN0WP8r-QblIF1}7fph@;vdV`I#Sl1DtI0ICHN}; zf)v0Mb4tTq5Im0)GJcR?W->BChdg3z7I={4m0dRmE*pqx8kpsTCR$psK*WS*!342h zJWqx24|yJ25}K7#t@S+P5R1??R(Wks{x$h|&Omr>iLqqRw@Uk0U1Srp&BrmGSY^4i zgce2MA{fNIH*0P|D}3n}N{JhhS0B1?X8#y# z-zce9ACdd)O3dC%t(6YHwvf8tI77e*?DK&0MY30JsV>pqEuYCWk{U~av4!_t4}dhy+Yjf%c0@|W#`)6fH^P-56R>z2?pd$e<| z=lZqyltk^#=nVZ^a);Sn?Na>GzPt2@M+hFL81$skr&Eoidp7g!XRM`*WV(VuPVsE! zgr?@1j+*Vja9z=IJ=>_k=lYlCOUJOjv+tP#7$nF))A*Rl65;qGc30|245H(Lx`qa3 z-!+&HwETklYnW#Aeu#=}U>$a|l+aI?Fu32yeQBU1jM{9{#5@UXGOKT<2@elEvO|p2 zEu9o1QA{zW%qks>tOKKO?w+lPk%L6v+@Pv;c%j8-rwfKFV#1co+28hU`2n%1ghaU{ zfABxhF`aMX^WV0QQz~_Trpy~&tqkT2aJELZCdqi6|JI)U$f9S^_!NGb?{VYC|KdVZ z^+QGA#UM{PNdq~lf={V0wEXU?#PzRo0G3QeyHrW^o`tinK{I`6Y2Q00PeCVgU^1`UpJ^4 zYoCiTy?U+B8U8zo_}+fa()M^xXt>~=J(g4V2k6IZ%6#RNTkYfh$z!iiqX3U zBX1Z$t}zh8kR4$MBoX1Qmr2wtitliJJs5m)?P(TP7i#WeZ5$t7n0YzJ;uGtf#S=*Q z1Ul4VA!@%(-!!q>pI9Lq!RkSodpEiVJb^ESE7jk*%>3YTr$5HRWihnZmAIi(u>Hq) z!DF2~b_#9;EOsVHC4pPku%9yRKZhib&SVvsH&NTe_ za*b+_cHh4)4dsmf(1s~}w$an+8`icquUqy0B|=&VR7R~` z?kp95M6HqIY}lQ^xU5#Gpxa9W3Fk4_^ydc4ANtM}R2JmgNBH|bDXC8mFZ60&u_#iH zWvBJ2nIwGnx~D5;I-X?V0%eCoMWJh!%n#<8?9@8h>)U^wdhFJGoS&Sg`DsKuxg^Jx z_1Ix`r@p;eJRY;AxAPeH=baSqz{M}63PL57l>c-gCU4wxoP4ZlzbjLbC25j9j-^)_ z2=nPO)Kkf4a z(72N;-s{#8JwvS0U#{zGEtaptcgNn+~G`hF#~l_yAlwfo4_i}CBA6!(=yM_5eR(Xnu z<+5{B-h6iHO`2axk8nn3&EG{4XpUFay3xn_WGc(&&B%V4`p7QM6wk*2+<_4fmbnpO zxVGd0a77*44$Vl7A%+vby6#UcX}%;qhf&o5pED4tO|$D2Kr#mxOIraEq#NknKnlG9 zH2z!VnOBL<#1OqOzer(-aG1W^l+Tiehy`=fe7~`C@gTJ5%$GE9j%cujIN#gsi;uPA zF=c$ii+DGFr3aCucZqc~Ra+(`(`jgSd?Us!QuFdP%)XE;6$)DPL1gGcO-X*)H2?&&i8&&nRq;Anr7Z<`Km^0(UxV|bjw21gt zfw1qt(p#*q3+lZaTg46aGlz!sRsYTOochyu$_wOJKD+&c{7rw;qf6U3cS?EcZtR2K z|GJpWgympE-F~56LeGpeqzA%ap-ioz-Z*90vrdfi*Ssx-o|zM{8|m>p$V`LwPx~;R zL!=ifpn!59m_#qNvSNf80pd9j_97aW*DGAxxpdU4(9xPH46Y^ z=cu(2+QAyvTolr#uzyK$4P&lq*Ut!2x<`M7TP?V>o;6iUAAIEvn=sH9SZ%kyD7S$rh!WP^aA7Fsl3U>Q6CjbU`q0&iFsX!X6dKVfH11$P>!=-t=exNK+tv zUPwW-meW+fQ$t#a{>v(rD*HR?iaBd>R(@c44OjNdoK~%hDIH{WMg3zMwEA~>)nWrt zx`z51Y&Rzj@p$HoRg^nYo|xZwClWjLe2ZLHU#|??_;jzsr8A|(ca)JkVZwvE(u}p; z*!R9Gu3a4JH38_}%KeTu%Yq4$I%gk>;eH$@X5+jo`?eQtT;0tc6PLbxHr%;isDjGU z29)e?n>5`T|BdK%ZC zC+Oh(O~a~h_!9u=8i)U$CnQufMLpPG$9{7g1S|6QN@WrcxcK<#d7LcGlt6MUEyENx zD6f8a(A}dw9wVIy+de%m2h~UJ!ON_NZl?wNI6F#%mub%Xe{kg=1b=|b!LV#rRnqVv zx9k*d<>>IBESUcQgh?5WI#O2irbZUvusuL0r~NwG@;N@fn3R&o`akW+cddHsZ67HT z%1ZKDg2=CfG^CC&!#}{6l9xAX%BE`S%DLw6Z4=8=Mn3=Dm*B-tJe`kvq!8>bOg}RE zm3z^pz=rdgw{h)M-R%v@C#5Sw*_lAld6keOzqYCO2V}V-208dSqU*mk+25&Vm9=BT ze>)K4b&#Z$V|)tbfn=_UB+nqFU}6e5Ca;;frpBv zhD+2%$F4rd$O$`}#A5e{apQiRgod7|II`Zq2j$Wlr4?p-8>^zFdMWeO}oUP7#jmpA-aU!G(Tn9+gZ$O z;*Lg3@dH!h5g?%vuQ#_%z0YZ8jp+e>pI=7~`6h1Fig30)I?ycx8?W~_*l_SyRl{&` z7qp?N$_3leMzNtFex49XCy7w_roYzj#~xDcCRQ#iUt4jG__WsAWxj6_O}B= z_>lOXacvO03u4chFSbFb=XHH>S^$58_Qe&z-+?tR|Dy=Jg)O$ql6wA@?o|Do#V9)> z=lZvU_nNDr1~8;wTaBR4oXFj4p6CN8kPTpTU!5bW&L-Q(Wli{3>UqSs+{SleG(C=K z0^|Ut(M!Aq;Tb{w?V4$RbdLyy5f1W7a1?v09#~;&dC1C^UtT>Itb1wXGKJpVG`cXP zS~N!?_smPnd>cjtr@9@3dDZ2$zixj!d=E(%7%R2x{9?5!085W6k64!s$XAIlnF*)r zQkFMe%2l5gxSyd>JYP@Jt|>~0(su;t(7nyrfx>j(wXgbNsxd@ynPfIHu zf>}UFzY9nnzg{e=-DM%L_DXd7VoL!3>i^6z@O$U0MxRngTN4blb< z($b0bEfCSC4RkA(O$%mB)f5+>jggl8e z&31ApIpSFt`wpkg-sNn8UlA3b@DH!IYMibw5cyGNS`p+LHtUHw_tU3cP$9{UO0Kjmc7Z+{$o%!MOgT zDr^lq682Y0#DZ;mr0cI?(Fk;Ah8z3a_h~f1(){7Wyy5bX;F!Nspjx+}eSIqge&hZm(jq{jZzJ(&9pE_Y+RWiuwkgIg{@J|JtD3#SkKtv4=k_DWv&TkfQ56*n-QY9 z>3)k-xZRiA&VLw*d(8ervBYdme z;6_XDZAC9DrEcmQ8oc9m6Z3XBe|}E9ZMGNXVc$tzXxZZeGVU;aL+r3qo6o0`P3pU2 z6@yph$7YR|XpEw(Q9=viF8mUp>` zf>FGz!xK$4$*ueBPfzege6aLC7Y->kVO%2~Rt3g=6T_$vP`~6$4gL5hvfwG+{F?Bf zvmW1aJ4WX|0!xXLY4jrVp&rYXRvs-(w-~)385UI%c{DfbC`52>Dlq!s?o-@y%m65H zNOKDMSFqc*+-I})#Ru$rhQm|UCaz2!4nD#Bea|usUEf2zOi!LXb8=){+<9cCj3kEI zwyROfMZT%Q9jpU}cxj>-ifr`kdehpu_~4EdiW5 zk@6}$zP!nlexrSTX`^)Eja?K9z3`)|^8&Cbvt0bVsOk5$zb1QzKKokpOGPvI4?z>|V&bnbCxZJCq$A@TN2uFsges*++}+V{Czr2~?_a*Ot$w=;iO_-iDj#yV(cn<#!4ma~dL5!~T6T zTxBlXFnmqZ!4NJCHv}l{2y14^>+PI^j}s~s7DQFJ}#!yMnPdNOH0{t-47O<@GeaBuxIymg7|VJ|!O`9fluJpSRF~>?pIi*Pk5J!baxxZstnP8+z>nUAhd-H-mc~HNpI6Mu;BSWgq~iUu9F8kPa{7M4J)Fc&sZVz_4i(1NgIJu z?Z&*a-w&-O2aRh>NU@(5&q2q{G6C{8BNn45-+pFG_W_+HYhf}Y-#0Wt#^0&1Gwy!69#DIrN37ydjVEJ3XmQ%DGiY0D& zX)ZHG^Ri{PKXy4>h9<2e)sFf2`_|}~lWDE-;D$R=G{dhMbZQ`&$cs#Qgo=k2RfHk@ z$F^q>`@=fz)Qql;8Djl{)JH}uTl%8kne5H3I1%P%?cw@cZ54V^3gKJn1&xBz6n zqhmC9AyxTJ&B<8R3|jOH&c3u3*r~dp=QEkOb{hcN}*3rK|LtpC%GS0^ubk0lZ(R-DbGEhGRQh*3K|qTYb!G% z(%yXeELaC|uj&$C)gweIapowB>#QuNv=%-6zis%TD^)IO0{o%Tv@VWuC%1So5HyCZpc{) z6Ujk%;JKe*0MXS)G|^9^@L3qpp$L0n$ae_gX}AJK{$|*>5xVhp7OE~K@^Y|3#n{_C z>cjm4jWcDI#2%;>T9+&KFi(0E{mA+0ejUS1O+r}IL|U=dX*c`8huO7|AGl|kU^O@P zjj(rlz7or$2;-mH+I?nBz$yNU`UXQOcBy$r%vG!uyje&dCYn%e(*ZIIwm`36o0q6c zt`j6$jIP@6IE5=FT&-h05KCoCHKi@LH2AA8{Q0NysEien(jKBWo_A49YUlU+EkmI| zqn(t)W+ul>UfSNAh;o4ipxsx42|G)>!aL8lqdOwpUhF|lhhib6+qG#Mzuwp|hPr>p zf_b1O+`vpWIQ1&SGXbj?RvL+4nd1iile3{y6FW&0B+R{F8AEqqZwD7&T_$8f_2g{8 z{RcW~HWC~&7aD}HHd6*)#rig&^=R&e_iAU^VP&d8RuyBnF->E;N9;e8K7*bJ=>Qgt zEZZO9XU4VxgEvJ~zLt;7?c(|ko;fr(P$KE0oE97|s7?-?A2VbpWv;xemQ>k!`#FwEdJ_r&0@jX;!S2l`zw?S=AY)N;Z6Jg2X^12$Biz#4-C}|%yd-;@;c3T}YQHy!L6u5SuYbY-2JN+-4k>&+zs zhtd;EI!7Pzqo3~1S&KPyOl>XNc-aPAPF;&BIn|OTh)^Q5+c17=+ zU5DN;P91_MjMT?RR0TYR*m4uj{Da#{J(HSZuH`yHW#l)1YHSbbFC!%NRp(trukt;l zOh$@`ZBUbDl-&i~Hc8=u(GjQYRTcb7pWl%=hw{h=P{Afo*ilzaxis`@ja%5tL|-j^ zOFOkfqMAH+LC27d(4K1wA+ar*{LTPm3w&|+%h7ZZ{L=oq7jND!A5pYLBzHo)(OhT! z4u|X=$cZ3@G__QzdUAWniwXm=z;R;>P_{>=g7&_2X`I@ zK9i15!Ydtq`;N*xDkfY4BWeL+N+jqqgsu^lKZHdQDj!lg*4srL?tCihOVfQv} z%8%SLKF9)^G zIte<>4sTEcJg%$;AsWcS#)DC*G?xp4EIQ%R{56lC_l8B=bFYAN2b_%*$=tgPTl#X| zDZuv}*G%fZs6*2)xi(`FhX2}8`PVZB!@jqO{qAwawEIX?T8HJx-T33UqgoNgWi!IY z9ey)}x7&YAG=f87Lu>4MNjuBfiuegJ(tRL37emREC1Lu_R8_o-XJo~5O0O4WYBd(@ zav?tfU~~wuq$9z6tyKMgjE-oACc7N-ggJT8T|!^d{FamyluF1)+=6-SMiP9uVNt8* zw#v66lf{Nuzt%QJ8TCST9+K$5(gkCNb-G4Ivmd>$k8{{~ZC&96+7K;QZ&g8x#}@i@ z_5{JcT_)~3@_{en0ky7qXv4D!_DTI-KTCyLkEH*0p<(ZCDQhCn))p54#A4n{y8Pw+bAs>29}jb@Mwg$wA0>K!UA?1R|arjKN{< z0PuQmd%HM3Z>;>`Em2Y?a?jUEEU?Naq!w#i8`o&(n===Z{J`)_I86fxVD$mUvTPdg zj@aGXzT?HmiXRnG6bJ8*gaB{%%#MejF*)d`i;Ss3bf6P6F-wGP zy~||j5mV98N*|*Aww?YwFJ_fSL;b#MA}gmft;1fwI9%D%`^|~?+$-n~Q9JH6a#7?d zEr8cw{96h1p*kEe%pMHHoLZiUnlPVqNq0x)g8Dx24Uo0g^~)Li>r$hlpP=x&N4kce zx$JL3?nf^D+p`3x9_ad}95$+Y)Dhu)x_b|5Uh!CYRy!tdq)NB7E_woTnd59RPvp2t zo&%bW$Ug{+m)?LN*wvo#JW=f{C26(0U5>j+uAD|aEQr8|Ie&=ef zm$+)f2<^Vmz4ZH4^~>*o{?pvx`e4^F;aND zZ^qcUPD5i8_sHF0Uu$GXOoB&Zu<}|FZTGnbYFm;H>=D3Byzhpj;@89LGJnEED@Q?dGovIVL2%$SP2 z(`3QJiy7uuzy67&oBvn1eCP9Z`aPrTX(=HO-k+#`%ao^Oyz3eL_v1Nx?izL(QblqgTXpJu{gnLrQqocaMcB3Ud=gZBpI0 zFCwO|ty)z!V2y)&rP`!2Eb_lDdtOhKFh^I_7TQ7r$tlhwhQE=j%pKK(}|f<~Ngt z;!Li{qARkfS6YowiqGpScDqL6oTC@EI+#Da2?IT`dVy=XkALJv>XDYLH=q}rRh823 zIy-)_#oq(t-8j~bIi~Z0u5nC1m%Rbg)`iEZ{N)|P(0J0>P- zvcFClUp6`RUkc@Aeu#A|z2NMo4tUs#aU*lSWTZaUmhz>qQPp|X@ZpHd*6UC&9ntuA zAw8cizVP7JGJTUr9`=)`Owi^^eUQdex3HA(^o=~nb?BksP-tVQoH3!XU^R{WcynL6 zwE@~)b!3p~JJC!W{GEHU8GW>JV81#%sugb7+~6s_zD+W1!5)oRX+Z?zp{hdj8Hp=;G2q{nqHfKUD_TQ%9{>S z9T8?n|2 zpyFXPrbZ|tBSzDPB4U%M&EDPA-4ax&iF}VY6VHvOo}S+ubtt*iPDKu~#%9Yj5E{G1 z$;@-W8hEHXUdD6;E?kECk%q2pa>|+lNshzMW!kAYFU<@=rl=5q$-b6zK6g}{hX{s( zp48s9W!L$HD?Drn@A&YccND>1e`&8|-G_qupzn(TnTN(UbVF5o6Pu)qyLJ_iKt~Zf zR^VWmTr_d-zjieEFm}l5f3ni6u;{)

    K$d>{p2<4|A|EatXjp<+{$50c_>E8k#G9uHDH1Oy51x$36 z8v~EU@LdlaoQ&jvyGQrPk7|CV4!PPbko@h<(4>@4Bwzf4v%?|P)Yr7FoXA@cvv^IL zXo*};VjfpLXY&4@-1uPL8xr-u{E8+}l8otua)0E}nLm)SJ$-|&8C{G;RF}dzr2)uw z;#XJyPN4XP#ax|CLu+$*X%b{~z(nubarmD~Lp-Ddcz8>{OBl#r7Ov27a|>BZ2$Ac; z{jaQ*aYTB)X~%$2K1+MCR)6?V4oC2s=2Wk6vwj4@YIzR1`$K{0dJB>UjN+J3@yOiK zm(D%V&wLB-1KSTz1jwQbhC_9UZ?}|2!o;Ijx)~j_86=TL5`~#%i@(U{V9KA)= zS$R|ao5n*YqeR26RnM+)vqMZ2efM^-qs9I0A@zTeG_PP00~~gV zt8Qq)V#K)?tWve#LqzvRQb>t&H!vx|#=!-KWAu5$or^}DKItxlqR6*Ki8b|A?&d&a zddwqF+d;6UO7@UQJ4jW&iB06oK-)tO6WeljMr*gW;ccl08BFQPwmpxN+d|Y4A%}zO z#JS<8XGoUAZQHJ9q7?^^fZd|)M@7v%#m0`JO9M|oCdB2*f>F;Yx34C+glElH`w-S%YQlsIX z$7bmL0b<-|nxF&&*bBcTOhFd?F8g@HOqbsA6nYh-|9<0w=`XtmM4?aYkp{Gz*+JO- zu;;fU3SRW}D1D65vLW{|yp1orOLIIB)zkt)U5|ZzJyr__RfLtRBAdsMC*AESkDBKP zF5*%naPt(94DQpP?c$qj!Jw_wS6Z+aWAU3}syx+!=mo5$C$Z9u+nc>kKbnmBOtYo` ze!|V10_|*IJFeFtw(mn8pT+oWABjSnXwGrU^59uh1FL@TDR!W6vF@-lN;3gQ4c^B0 zZ!P8+xxSy_J(ge5pY&Ju+I9ZVpbYDH0v84(O^QWS6Ao2uwtQtDg>|^0>ndnSyX~gt zZl1r%@MF`{oLZ$3>6pCTy?*6^D`y)NjxFzQP|v-YD>xX|6@e5nyVlIE(jQDvBCk1E z;+&I*sLlM~+aYhji84ST-M+*6`Fa*sEv+N^Z9t)%El67%d!o zRE;z-roJIwFdO)j!fX7J%HIPnj86Q}Gv$PX(jNAG!m)ac6<$rC-9T+E0kdAD)aFBi zC{Tcd(^d{LrayELF-5&Zj8qb_X@TnYnv*Nfsos$)|46N;du)0HKhB^{QR67rHci_Z zC8qpFtzD*z4thV&P&5yHZkU$yF8y9E4*#>^wb^-h2`8b^b1&i?{H$O<{NVkC0nV%q z3G5s#D7~EDtD2fX$1xVRBBv$D_gq6rXl@og9EH_}m)fD3ESU#4o#$V1`t9Kub4sx+ z_zjw)ww0OJ=(H=dP5RfG^j79t6#pGWJ%Cz%Zl;plMc3Eb(nz4XWgyQW4=qb^{FW|* z3Qcv|zAJXUb|ndO6A%2-tQflomkZW3Kr^dCQtmVm{Hhy@NL_#UT>T@K7>{+oi#06r z$U_x&gB4AX0pq^*xktk%+OcGfWu?N;v=sZ0!R6zZvO~O$Kf?uue^r&%>qxri{#2eG zmezu=J(Buf`u#f5d8abwrfE;%*BT1O?F=-V5%Tyt+kx49K+H&fqD<>HNOoklVh zQt*Ad$Kt7H8%9ce`ps_XnGBn(hR*Lbb#Cdl9WiTE6a!9g!E56=;sCCQ!Ye#Cdq0ub zG4zG&nJa@5>n%Nf5hvleaA(nHnrWQX8-cb#L7VSCQ!g@ttA?S(N43#1#dy!a^R496+KowiE^d5UP9VbS|Mu)fwkO1xSz2=}NQY=FgDR%ub;hMb`K0s!HKi2#I0=!fnEASkWzVU^H?_#36tEv+cCnW9GTYQ7u% z4#LSg+joI0a|%0Ulo$?~OW1nm*yuYGu26*?TZAIc#VQR+<>oNd!M+qy{a`gb7a?oV zgXW^g#3qN>kC*x|QUGT;+i#5#Y*ibQzm+3FCIgRf@3a>GL_H0}c_7vgllk}SzlmL|U50xd>)nVB znhEMFVCLx#dmck$4AqWJ!I+d+Mh!0eeUTIBX(U^II>%xFWiM1PLv@g8P`X&;lV~!D z+nY2>d@R!-x|$x{qdb+SQHg3T+G&c_O?jq%Q>bv)DaNZyec8TmfD351SbwKyif7tInyN-$%x&yPvB;y{$WzwIIq_^-}|(O*ftQ-J8zB?(gn! z-EcmyHu2M3iLUm+)}7_fDW`HGVZ&|9FrE1qSKV3pcd9OA<*$V@CCFZ`uo(I|*`69# zmQb)~Cn2okfve4~((tIy)XA8)4v zE5LV4LMy#)$EEz~6DsC()$Gv2ygKjxqX!~XJhg><%9foud{@uZ{7OwW5c(RDPFv|r zlQ%)&q_RZ4sAa5#)pjWro!E{oIs|II9N-q`--J>V+!It#D$ z#cGUCKJCny$nqJ+%G)WVl7=%^{@TcoaxwB-8B-Ir0OKxFbBu{>gNZhLNgoz(d!yE~ zFdAgsQjC}}*nFObE`|$=HncRNtn>7}lNQ6y|EYW#EAtL`bN(Y!Wx{Nw~gis$XWj-ZBsKr(rrNK1!$&rkG)6XTy5&1;F{xZs0$*Dx%Js7#7$P?hST~Hy}bqDxAMNuPs)8ZCJaoo+mti z^nCGsMhX4i=+2}R{js|Yo`~txXG;PS(6B{uU1%)ux#gQST(fcG4JA!OL8_JZj?+)f z-Q6Ja(DN5~@z3f<;GEghI^j$dJA4Q$t)S!(xDq~xt9Mp2Qwxw)Vm|wg{-Cx37H99x z>H%W2g{0r!{WJXZW3QNOE!UsvQ$}*KSMD4fJY)$Ssuk4jdev1n`w^%muunc|+oXwZk^gMuJiwHq1EWqxhhCitcWsk6dgg+Y z*Q)x1+j)}nUalJAK#iQaZ3R&ckbhY^!}+c+WxnBerHyH}GX;YRP7KV9r%4bhc9O`& zQGx;C3K-xU@aL0+&H24ng5V2TDKT$FT52c<=P*l9=kxl)$bFRUApTR7L@9CZV1wFg zS~V=rDp>p1;@3uRfJAtmxCaSzPzRJ#>BokPHw?Vun9RMV+PHYi!@^kG_tVYz56(;ln`>yKe){odMu5`|$Wa=PTMG;yvcD-x=qmib0crkN-ThKizh%G*U64D` zJMH-Pb>b*ji!pxK_ogs?FL*uhDZ}1VZZDUiMvSxA#9CVAPxwDVgRHVa#f$+Kb>GCb` zX#ic#q{nIu@9j>h`7-`)F_^z3KX`MU-QYSFU@Y}aYRx|IZiHlab5j+NH-aK7K3^`G z9g}VRzr0Bd|8f_wHOw2g)rUh2D49&Qa&?)Dm+hwFxvJn`dJ?7b)ulzvX9LSDU9UDV zVw?OmL7$R=B=KIiJ2(q7YMCq_29SPO1stD|p4ER!+KQ|-)r zcje~)LX*GKF^G{jaRm36=O6*zrEQToQI0VCY@;Zu4Q*@(pzPfITRW$}DU@B>fm-P4 zrT#%WDBvLo0U9yOjrLd69Vm(jODeSTB(|;`Qt}#X89&!2TsD*~A5^xJekYsHU zumr)__C1w@SN^>j+Q)Q?x22a*Ic9|qjr$~IOzVr$6v6+)Lzb>C_-YtmlVNc874@mr zjr*f0aQ8x*RI|7IZSUJl?m3MXfsHf-YQH#ZNNMR9+JSihfg6f$kKC1MNF%?f-q-r&ZkZmVYv zckEvSWT<3St=h#rKfNKpznF7(pYR86L`GZR0`hQ_XjAAxI6VR-r47GpS3x{zJsIBC z#qgF#OP75p)WAOi?g#gYvi(pdJyD2+93uRjyGA^Uvf)(Yo2KQ{LIUB9ObEiPB;XUM%RAozI7Ygb7 zj?+}vG3&#(IkmWTKHv-?K%o_TwQ85+Q@52tK!00%TUNeUh1ZN0Shnf^=QYQ|{&Z9- zUb4TFlx#s8I#ogKv|-nL9pn7o1pZNW=BR}(3vA3n_e48r>==gtV=Z zWq#R8zBkXJhFO~MMH~caP@O{l9PaJO|Nenq`s>3wuhIJ-ckM}UGo^3tawP#4*^F`Q zvB_%{i7-t$sb|>$0jVkr+h}2{WW8vr%b2orygvbJR&{2JNQfMfMyZbXv3&&3Fn>=a z-6^5pO6p97aCmnL?Y!2#%N`gcJD%Yk>b7ixbrk<_FABPo}-%ly6A*G+#Wj@Wfp9aZJ6vfL8OZ6;nl$z{|uL$Bgjw-YRkv>`^SzSSwUwRfSYoaK83G#@mPCh;DeR{3cr4Hx!0s6+4BFM{YJaTO0_@`cfVVnr-UXTyK5GP2fbCWrDAKrG*3dlf= zUlw3@JeaWfq4TeF?uznIV8G4o06mFsc*O*zS`2z-a(cK{5;X^S+`$ofO8PNS#HxQN zP)}Ew+5H%wvyaWaM;ae)1)f86OI8{5Z4Ao^c`Xvqo2y4*P!!eo>aVv-p>f%wrh_d# zuJ(KNUeIYUpc-OaWkj_e0CI=xl+>Da-F;t^)pqy(Nxib_uJ_$YV83M;jSwn#xwfUmWNKB&3u0(9%@rb4a=yu3^42pl3>Np8#{j zz|w4mkSf#kRNl(l@w~kVQ1^?~nTN|jSNT%kNsDvAr#!Q z68yfS&3os}^0a5cC0y;{?n8_cMU7n*|A@Q|hB>qM`+X@dRk#^Yl@D6X9;=u9N99?? z`po?$_XFQ$&Fn{absGX@ckky7FPxL}yV_)S7JM__XBJ}hTVDNZ0<*Eq%FLyRMy;Xu?S~c^)Yc0`SXFi<8bLap0!mS4BC}kdYmnVT15RTL4Ncr{LyAegUgaz z%ZY5IsGi%530qW~p{29pk?H2Jqj2q(;y@ddj3=_7q4-BM0Fw3AoDEnofFK=2gaAj8E<`#=AataO7zhDE zP!W)>AXNw|y*KHSC@oS$4?Pqy^bkTwLI`|0&-Y&M|M%a{zH&|W%AT1ubKkRO4hp~! zzp8qg$JBC#ug)BT?V!YIjLzz=w~ZugUIx!Y(16ryzUp(;@!K>ljeyywmxqg8e`){2 zLNC>{Eknk4F%LFTuUWn@QM`of@{J2}g0o+iYB=yIzSUvU_D*3Du!U3gEOvs2|L&1; zi3SU{xD?|_`^S%?8rTYTo>uOZ{6*L`%nKC8zI0>DL*BGWsmirIc(w#t0p*3F%rj=I zeB}Ye$m7~2jSKdpLC!T&GWZkOW{39NM*|?umqP5S!lsn`a`41#;%-Z50rAGk)DJJp z(&06~FZ0&3I~;i~`QOd+1oO?5hr^>C!dqNc%zHiCwA)-QRMU6_spVZat7)hLc$!IC z-gpNNmy<{%pqt8%(`!Tl644nnQvqcCEOPF`(~Jw0-& zlIT;Ih5gK^OyII_ppA^!3dt3ENiixhj_FJG7s0&Cel#M%$^T5f^(9fVvXkYMMXd6! z%N%3*8VdDC{3E-MA%6U6Q&e)u`H{v;a8DR#xc%>~Yp6P(=`%_7w=)Lo3~j@A=lDSw z=U}nxQyxz4kd=ga-XB{W2k-;&>9)74@M{@HTAIo)zf)Z;V3W=)=%<)a5PYV58yiJ1 zProEhW6iKh39KBfdvFOHVF3@t={1{YOn!U}_H+$hE)4b?#~R}%SgA?I45u0d3c`y1OEY^j6VXS13I(VZY zH3L4q{6bC;mROx%dkbV_VF8WAEaWWNzYjO?+YjtZKOd$YksM!kOLo7|-N%;l>V#&JyOj zV6;*`;@?&g_WwaH+XD?~=8bO%rv=u&VX5sM(XJMDA-7fvnjy?7^zi~wjx!F^#_s;keUHp$GsY6S}TvCc)T$y|9_BWhL&`|{+F-MWcft2 zjU89uKS{Cj#EIk?y#KQcIBvms61X=4@FIKu^)_&Jh&|6mEZrRcgKYbMmVs(!_7nPz?ekR#P`g*vl}&kx%OFlFs5bYL7>JM(5UTYe8$D2e z;RdqU^-g1!+<)Lf?LEawjcd!PiDSbImuTXdJ_={+D97#*=YWa;>he-pAK4H$>Eu|o zIHuuYG)j?Fu&~-)&%Lu$;M4NTEQy7~&WTOdzc3mN@~I_mT0Aly=*my~#6aIpPg7LclbJ04w>Y`c0WO#8@HM-Pat@0f7W}lHml<|=#3j;Y6S(|Wbz#HL;e-^P=jsK2Y z&VHRgn5|_6aH%I7!TNu%wA=lTGzYUD!sXwbwyRp5tfVT+eeU$Ci?x#WTtKLW%i7-j z$q9SoWz5|hx@=9Hfm-2rUa)YB=95bf^$+YK7HefWaMQyYk{eayS{gr-cu7Wi@u~6n zNvFziL|^RJT6SuPb7y-7T}-1U{nSbSmU=``^309gsqG6anL>xBeiJ{Uw$9$?Wq~32 zUkHGYjw9?cPXlF@JlOJ%azO{XRQ#g$fB$e?@*f;LvCf>2l}~R$Rrf{SZrE;{wn|)7 zW!u_@2D~KA3RN_;1+!Kr*fy0!I`wPFMe)(2!+5cWhl|%DE-OZsX1T=^h<;4|0{n_%){_VNS z%-i9~=(t4VYubFStEJmMvk@J|Qw3_E+snlVUt6F2Z-0~&5zo!&|DWllsdbx@Q-0Y@ zThe)C;RCJ)sF~M=ia);3bYK9%R}HQ{S5nA}%#!X57FsPL1(9Bb-0y6<1e)Z$=&;W| z=0>xA1w_dhWCbREKHZ+V)OK2{A@V$np4wh=RnC)<19%!H1*MMOb*zypS%M8+l?z|# z<5to7OGD?!byk&&_$&MTQ{Jdpg3{s8E8t4z@+VG_!F!4pYUT3pG01YkvPfK-_- zNr!Atr*sa{PpbMM;YFK77Lgx7fw5wTsWhVq!W*J04RQb944pJqITE-x1tm7@UI<~) zkGfyhxp$ty@_wyp2)7matHPj>!BrgiYcCA)MpJi%IPoh2DCMQigJUBca(6IwX{_yG zQ)iQq`{>;>D1BvkDD@dT?f6kvpr0t+p{KCAMG77aW1+wnvv$Aa0~`_|i(|~DTc1w$ zO@6Q`9VSVDsd$M88HF)Qhfqus3PQTp`sWg$QP&P_{J!BYxbd41ev$d6+o?;m1>r-^ ze5Zn@LdLa9wO~{&*Hm2sf#XauDWKxtPaSn7oPTT03$jqOk<3$n2M)GPq51Xz+I_o~ z7xNx!T+78Ukp!#&%4hNH%+W_Je4uRh5kq_rOtf?vZmu2fvvHoxGatj19civG{Y1O{aWwJYzPrVR zqqngT2n$ftTNjX7GIDroQFqXB6k5UhB)%T%{|XrGifA{;tHFyS`#twd(MsVRUXICv zN{6P&`?8nx$e~9nolTrVMMOP?rZ2=p4t(M6G&6jCLoaWO#kVcWD~>Rk_KQ+Mh0RGl zD^B}z^P^X=(z1tYz^|1FXQ5-y(BF%6MYlgPm$+#|MGlobF!Q$DM9IZXR;Ae{?i}(e zVW?>?Wa_HZd1Q4)^TZ`V!1-76v**X9AeYWD+(DsJmQ+6-KBzL_dFm~1Q28N`eA zfp2ZvtY(V_)W5n50+aPWt_xcmV|E!838=8)gAat+zmN{}cHL-y=(`>=obV%`P(Ji) zSCbtd!$Pd~;S<&ZsH{as5{qvbln;3tO|+wF?ZQV3>EeUMbq+1mo*pX8@8~=QwXXGs zsCJO;)*A)FxnGR{`149q!qvs6dAwx1^u&>D~r*4H3wWAK-=0v*Z1*qVnTx zlk5kawe5dzI+$11L!v{W8Br`;(ol);D3I;bNdGVT=a^P5MTU{7usGQ z_-Vmva%WeZeL4eb4LFW8gfd=}Y8sZBZT~rUES%K;12#4D0wiOf3qt;kSD_-#2XWj%~s&ooEJoU$p&-xhQpj|W$6>!Au?L6)mLZZnAhuL z2)0r{ze3W;oSji^W#;*~r=-%jFZ7AmBJIi> z@i4c|+>7@9dX4)c*?+p9dv5B_$(#7C+sRLx=MGt!6;G;K76YFYpSXRRO5bo{$GQd` zhO4>S2RM|Newb}EjVT+nnUJ~~r`AuM_Msi~pf(-8KvBR;#CyF|J8#-C2kN9fnpltM zgy+>p6Hk5whig+s@$m^6I_ZuRT37>Zq=5eY!I3NHG+4z@`xzeZOZdbB}B9Tcxl?peVX8{cu-S z3YgsQ#%8aM$Ym!4$!z;pc0nVjN9$|1eT8FkeY~fh2K{Z%b2rJOxBG=hp0*wrq|b>^ zmQd;WE8ewPeb$n$Yequq4y7LkttO9j=J3AQ07)h;;koU7-iQuNMtvms4(^aKYtB_- zYV!S4qxBDK!9MkaPK{NRoLY~%>yX3$o=snL$XKZnY2aJguNpbsItVFh+@H(ot~oyx zuhh6d0NTPG`uS>FIvZnd)i>P8$u5_i%x4;7zD-R=v+g@M8$-@zSK2KCv|Trsy3$c$ z+O{0v>Y4^8H=mbkl*djRIGxx^lbNZ*l8(uTA1Xw-cvKJiIs-lQ=#Y4rj^b< zv#O0nRnEqEnuuBFBqvK6a|x=VX}Bz$cg{!9exfGm zTw_w1vrn>WBedFiliyd^v2)Tfa{B95#?&(YGXwWwO1&bwGJIxgv-eqzlXrR}?_ zFiCa!+I(&2w?C-3&t|moaOy&`wd(u1m&_`c80Q6D#D$DK+dL^GX_)L4O?a@Epbz~#K<2q;y{!IE&-)1l^n&K!It3t(C}sTS zvjq-hjz>V|pL%+we;+2)WsrxDffveZRSJH_%v|5krX`ms0r?VS(5`k$%Qax^?^IJja8}LUf(p#;I2|bS*OhDiY)dM#()M&B* z_XKe~JxMpZw61Vl|GdR&1|=(wJbHH-eg}&7&2cY3f7L5)C7)@jdaNSXR49$5jKK>o9G{r@ zt$r|s15ME;=@?dv+> zv)>#vaw7Q`z+%ytPLg|`;Kls-bOs|{=p^!2?XteF%@VZN^?q!p5#!D(PLUVMkT1Q` z85FxDaMz`I^~8yT-FWrBw(}(dxiz_6!FZ+z@wf9nD03&I0LKOu{p(qwY+5g}Di)!K zNjZ>}t_zcEl^8wB?kH~D!61I>2smIrhR0N2RMB$W(rub-PB~9MfttolqZ$SrT;#>)46&Ykba$gy-4O zER(kcv6;L8-|H}yb(;M~=(`H@{sDUopUcFr~cJ5_0hTvPw%3+3bAH6U1p^>>%@y)qY`5=uhr# zEh~Oizy5rN*tV~U`C0DSvbZJt4<6aN0a&F<`Rs?!1g#K;{u+a|NNMCqwrPEmW-Ub>gnxM`fKt7FJk^_{(`JlYkHQD&YpIIJHbocli{f= z7*Di`(=l!(zPF-%Jsb_*eA&2_UDAxRb~YwbY;!iJ%)?iGWw$B6CJlKNzl+yd6{93# zAP>`3qWfX?M_%7g7caCMu0SAeKAwLOHs)3032(1w7qc~qc35a?-^9Dnu0pv6K@syj z>t1}G>!sXz^U_Ck4C3aB!48#U-uLHMt7v2aLb z^It+h5MPljWge;g`s?D~z&Nw48KikG(?#51(#3G|)&lTu#6E9UYe{_`f={?eKNOPf zCHDpFaCxMoI24))+n0qpo8yyXVbISY>|7Cmp$m|V)ONTO5}>ZOTskTPU$T+MKHjr$ zX1U-A&M{w+?fVw?d5;>)HjL-klABWFZ>rpk_Pg7uEY)ww|M)KP%5}DWmGB7-ud0iS=#xIQs;1G4yoY3JG{kxNH2UPS`edWo;QIxq0x0Lsb+;fd} zzMl6(2OXfY+U5Z}6D?|Np~V(qfvo+djMnSON6Ad+KzDsKU`g1u(^nOW%~23NppXtJ z=Sd{NbiXh(>7B0$7xrpJF`}EnxHQ#E>SBaBh|u3}#D=`L*#9K;r_+EupaLQlWUoMC zJ-r^^w_gIi+1}hL_ET)%5zt*{S>55+#H{0SdDT1ckron_E*mD5tSMSZwi3h`gly4o zlLkOPT}fB45G|w>@EsSR?$cPk3VqK61JXEG2xxjlnNW>hNO~caJfLQ83T#uugO+R- zm-N#_;(_{H__0D}0ctZ#ZsNnfivFIg1M3`F7bUF?qj(Qg3U0l2`{<1^HV{2zG@xVc zYIUu1ZF*N~ZQKG`E+*2gXB@{KWMr2{><_iy3-7;<+C1*oy$c7U6Ny%#*5uG}B<`OJ z9fhQj8AjCsoVV7j!W`cqZ_9CSk$PP~WR~x?H|Ve81)aws9-jwblZ2Q*RX_@0h4PNz zvL86+Uj#_j&n!D^RqU|r_AE63@=E0B9PBwr|I0G$59>2G8GEpCE4k>Is$F5E_?6t1 z*AlyPN%;FBtGs}t@Yq$mbW5(QLR{`+dtn|d`)bnT_ZmrYS*BW)DGdK*Z^d5{=@D+c zYnyIKUbyn7iB{$BSQNUCN}{8WCrLvlY{}x!o|n6H6_B9d(|v z82rL~f)bgz_G^o{gy7CpZ`^PyJ1QGfeQ!1!OwrC=beE^hyObPbX8fKKeXseN^qtG5 zYOhX9cYHVbm%`!F2bp;`-lnn{k^{}&o{?4ed&iC6YAA` zbV{(cD|4=PkD7G=@%r6%NWL2&^XF!HZGKZB9{UEZRL_Nczgv;J6kCn%f`mj%qK?fI z8VVFG0eYS%tV5-JI@b0gJ~18Eq%H#4ivJ;a-%MEZdsu(mi+~)f;%sfbgKV*o2+kh| zGKvy5#gd(Ahwc!a2Uewa?os}lbJgX;WLCA7^0x|m9pH12F>b}f7_T4Y@d~`hT>X_U z9X@-#(R7ejRckkG9vZ#Mo~{<%hp|+1T+7{Wu^r{V7Rn}JSe?!@CQ7n&{?@)uFH_tw z9-I_BLga{3avkJoTKCa}UYZE8--_{hZ*cNGZK9tVbd$%XEaY^3cgT3dKE9(Mi1eP$ zmW*=9U740$zMtAC#*|7$C(`tpM-2GV=V+iZa$f%pLhbryg1w~n zYvj2*eC^qko@Sc>3yEuHM?4ryNQFM5i{>O9ayzvW%4kyvZE6=zezC0(wBaN5&K&(& z`v6Hv7ns&8~XG6}>ap&1(5mwz#2pbz*FHy6#<~Tm1QJZcZGgUJAyPCUEcKR%L)f2XGBA(p+dD`VQFxF1 zSG7$x+JIv0z}>ar+CZ8&Yv9J}ky3tBFo{bzjri8E*Mhmzca z?U>!SOc!DtirRX?qR=zydiKh3OPoFdIa&(&RJQ59GSV_ADya^VE1BT$c#r&R{+e<4W<;VUg^3K=_+XpF#=9>`lML}2ag2G&FhtWP88S^n|5!l+b zum+YwTU}J<25@=6ko=d?>Y=cRw-?j>Yh@EJ&j4};KfwEQQwQj$yEEsS*E+KrNjL+b zRd}gZrRioDz26BH+xVM^;}w0}&_JWXJwcB# zH!Du+ptt9@H!N49v(95E8kBJI1u`s(=&6SSTK%l|>LPoyVW+PoZ#*!*?pgQd&zBC% zhD0^3AYUueGy8amF!D>sGr*%2KCj#!#5&F1bIUjzmDF0Yr#T6Vda(Syi(X!1HKD49 zOWz3O5xi_&yyZCPu7uh75(g9(nfL&=I4s*VR-Ku?KCgxw0ayk-#Y|@We8y4j0N?1U z;rvtn4w6O$7gsKZ`BP|+=I6_R2Zo0z%e+|GfHGERP$K@3JuN>>Nt=H_zAq3o`uzu3 zI(pZ#K{Rd-F=FpfEUtG~T_vcD@pVRWOg(MLMVl!x=|#j%S;wZ%V7p|zI99YCZ0i%j z%!moZuAQI0@rKPa?T-z9`Qb05(xjd zKLWvyY8`->%u7l*&V!_4nwyVO`bWtFhi!fAbmR&2?3)PtvELf{H_^#YClo?r z2OPc6Ri_$ljJGwPE0<5hg_y$BwEmJd^tLf-l^9Oxv0IHc6C6|G*9$T$Mnzv_39kL4 z?w6nBk$CoZ)dL=`cW;SKQM0)8j1syy`Qw zG;{mV(W}=Xuc)yr&6_jRk(v~L_?Cy8AubDW92-TR<txYL{CrR1Vn`|y>#slfRCRXH6_XtHZ&)X+-IU^(wje`IFdI4Zo>*( z17UA9KP0iH>nfmfo7W7f5AM{XF}TI)!gJW#yD+FvC*-QoQ*-ok%g3O^uj1V$BC^q~ zj$_0|Vsew<@yQgkND`b|F%A!WCQ}Md)>j;- zFxzu(6lczl*$5Ga2Nkofy3*)%hS%}q%4o-CA8>=3@dA1?3Inj#L z#ZS*AGr@QFPkEK!?~#d;mGy!LYCcDJeFquGjljP~m#T9{6MscksylWymMLh*`L5s3 zpR3mk$YsSmp`WaPvkGIQ2V|Mn%}Hfmp)pU` z@_`sqrzFP`ID-r;o#NsCbLUmQw`c;Mt;$<40Ntt%V(lH(`Y0Px7c=?~7MHR&0AwY; zRYzL4B3o|O6|Th2Jn!Si*ztG!C?xbuTNjE6aOC?e>3a!DNmRvu8CM1iY6gEH1PA7n zB3phn@p~i1Wu+vNfD@!!JtdCc3IH?2fpj=7G%hxThcH*G)H85ek8oKkIq6F974Bmd zkIl{;ig;=I~=TarDqE_57WQ96{ z&HgwE|8=6-sdyjcvm#`r`B^I%PKXNaN_x$M9GeNud05;*xiwml6?+J@HJbrjMj8 z1V(h6WYCYA9wspYTCfosz32`CI`ubroqUq^8@x)!o9tB|(23&oRN4_W72ZZ#SnaQb z*`XJ*egju==-l7(+c-&6R!ce@#$+#pv?-eP;DmZT@5DLf47dC9Db~Y zBt%~XwQWfPoWNLeF;vj<C_776fE`^S`4xi1;UhV7wb{NWX(% z+BkyELGb*4L(ryuU=tYFbTW}p7wvGRsZk9MS$*ziA{OywGfTo2rawn(YvN5pos&rrSHje-QyTudb#Cqto;Y=VBKSk zAqq9YQ;c%clp7-+7aUdaEaI>xdsxFsA`rIoc=GabDRVbM9ylp)JUKQ2l!jfVw*OYs z^r?HU7OwHtG+Sc&xY4aGH}yDQn9$AcRP+`M!D77H-bRcTz%d1Ljm+S$V|&}guZHxT z-a}AtE2>+`_Rv|E9*@Y62!SJ ziLnX~yJ1R}*bJ~cV!ZB3ZuYIgkBQF)SxnA7j24!Z9W=34=*LMr#C^@}u*r zMkBNT7~`L^mjORsGCU4Oe@2qLpEtd{T5XjYH0BqfZO}(FoV;hWpS-^+C`s?hIL^H@ z(*9s@d*=YEN}<(TEW0tkyS2}6gd-!!*`emB)f6>5dOj~**zkC-x7AO(HA3D{wOPe3 zPc=5e9PqpRQpAHW?#a0wefAwp?;&5%v!iT)@;?++*E%g|hq6Z?x;Yg)m#z&QMG#CT z3C5G#THod+8yP65C~l{JCfvHs-<$ftpM1Kt^Q3_h0zDbp!A&#ow~2aE@mq*b^V;A|F^7aWsB*fmn5c5Pt*EIYTvxJ=O@MpLv zDANTe3w3->fIyEu2tLqbcY-|>;ZCrCBHS?Sn@yD`4ae9+jZI7inig^D)Txsb*y)cS zO$>O=crevq))bQTaLoqKgPS~-tn&|>6KarLf!r)cPK*5Cd`-WS3*H;eUV9M#S@+8R zQpbhZt;lOv*)H<(Jcxg0)(>syP1GBfcp5kq0vSxc9r^;F+d>Ts(x&mzGqxw5=VHzso(~I4`P`gS8I$&9Fqr4@$8QY^ z7Na);kb;4?r9R#XS(BFx5!MWcIX%4N46Qj8jZMpiRPyo=DbEhS>nYUcnq{CFy4@HCHDgTp8WKVe$5Kj>^!l>n~?=o9#chB-Vns>s$<$?qJ>tCE~6koPk8;&m}d7a)!9z zv-b31nT4lNF7G8k_@0r5!UJ+v`W-Wd}_@fx>{#8u+_9S3Ix8r75rKLAejMEHuZ{Z&yortn5AM%m1stW3PXs zv|hn%zm>nfSp~#}`up$){gajcJrU=lFW>>{@C%@H(B7{f>ni(LQ~s>bE$4%^f!F>D zS7L~{jTuG_vG4U$491_grT4K#|3003EA8%_!q~qLdPH-K@)e;u*u1<);3BP2Nt5?Nj*6jW8Ui{+=CnMbGUkFzvcJRoI2B8aVwa>j zz0%C>Pjeb)LWaeEs=Sl=m9x@_4RC$oLxAj@smjt45rqQKRpKrN`}YVNeE&1G{|`T@ B?1TUS literal 45189 zcmZ7d2UHW?7cLIleNhnwQIHZ*ih_axkxp!Y(xPHOq(lh4N|%->qO?$?BLM`YH)$d@ z79cd~y+sKnbb$m&o&VtP-utcfEoL#3%sKm^;G@ z;tB`$iSfKjb6vox{aAAm;bl+UKu7 zXRa$=JsIKOd&p3mASDMz#iu8?$i*;tcuG6aCfa~(sqW_wjSNHn;2S&@Q zh@zoZOB36ap>t2&rrc;>n9qu2KKBMJ|AG+%Ibv;A_Tnw{H%|+}74985W^mny3P% z*s+3_fnV-O#E5QQ+j=S5s2E6n`R!$u+8a8&gQtTS(P|1MKvz~-1EKilbl)W0VUsk_Yx%w0J&Vx?H+spB>!x8@)h3GuH ztAp#&#`WmnWVCTII=C`zT$v8eRU7B3gIm|et?S?*+JdWTPfS;qLQs{Y#~iqFZJe7n zE=(Kuh1<4-+jf=PR>8L6i;iJ-V7;4nx8iy3*0J(tntxd3-EQCJOYoRSfB0;f+*%Az zg(l%&O|?VsNV*9k=e1zi>_$w9xD|=gsi?spay3dsWx1olEr_Zj7g(9&#An-3tf|<_ z(Z&<5$MOC=!M^=2=}<wVo-ez&cDA=Ax|x1DAg}*fKHsWS@q40MP>H|x7c7qxzr<+W? z7XuDpVido33$);cYzJHSfcHzU&h`GUD?{i1b}jtB){g!^YjyE^{lWIalrMr>tX{>; zM$odELHPd}H)OBn3}{*Y>;LT>)@YbQs=DEttQ$J0*j;DEwldgTgJP@OqchdHJ)ZKm zA9O<VI91Q#-6BKKx~0Y3Gr7y`QH{~lvc3xib$J?;Alc15c{#lPP(Y{u#3Sqq2w zNx_$OrCyv+deQY0^zi;M#oL`1Io*tJ?ishM_Rn~e=yu02lBZZeqAIC{7o3e9f1Xn( zEqhwFnZaYJpx;~fMHGd*Pu`;d3ww1!v21WAW*zB$?)>H>t89JLQ_g6{8mWL?{=F?WuC2>CvILX0btXVVE6 zV@rznAa7oMSjlUZ>-st8b0m+f4enG+g}Kx&=ws6@+01BuiMGM=JnpRP`pE?BfI?h+ zNGk_>a_G4Z47+FIR1!FA!)Sx~;QM7g%D$uFlL3Pxyw$sOf( z;NKAh4%&7uJ|>bBlK_Iz>fbSYTn7Y-x&R0bf=Fkb+X45o$4GhozrKDWhnc&vL2ezg znLYUR_jgTO-Gq+~D()vJp45_^hxf{Yh>42OdFGKYv$T-?A2{4+>u28~q~PN71mh8` zGwyld(<|PjL(8ok{QkwCtZ^T~gZlEHz=XwO-R_vSUU58Z(wXoA%ku(jFubHwI_cMC zb*D95QeprcgNzC7+yg=I z<#g9?|A*y!B$0XfZ$NNN`OBSm++3{%eP_l86)ol!x=T~AqLDn~fmVjL4DrZZC9(S) z*%OMHhayg zhqaQv*3L(mtGx_{QC6hqouFSXjVGXKazfH02qq5lfMA>iYrQgYEU>FMM14E)$rTX+ zF!X#9R@0y>Wg*2TsYk#-q)6d5_Fz34xkr+=1~G{vG_bD-8*Ht<@Fdl<3$!9RRt3N!&|_pcviI|F&rK|7$97ukK?| zc5rpD+kpzV4n>PIRto2CfNlm45TvgH^yl?9x-Wt@6cYAO=_1_@E@kp@EV#1Tp-#%L z{14w1Z_nS9;Q{#{Q`@*FfF4>8S`}~G=n~CEids30LBi!3-IZDn`9D-Wpuc`Z(E8{9 zoa+EdsucT=q^S7EbnJq`Y`pakzoDv0zv_HmS(`85VsVCjkUX(&c}_M(^2HwNz&yZ& z>i@Om{valLD;9M|k{y+I>4cZ*d8BzB!}&slXxuC2a} z-1EUjd5|Yx{-qNHn4?6(jdQf!9^JQaDcfV-Mfnnt8!=E4HuX;@)~&T$QC1GVmx4jO zn6zF2r9NZ$($W9nM^I(_7^p9xv+StXG%WULxtBU@>)w6oXJ|7KOllwwE1V*E1|~$ zR3(E%kV?$r84o^iAy(2GZPGn=cw11e5Wxz0v+E zcH6S9>wl{q1sO*Qp0>ojYOn)W6wLg`)$jC~y?pS$1GgwY^%#e}W&0NwlhGvBeV}U5 zI3?ZRuQ@QV?)9asq$+FqrT@Hv8q)oFq;cAxwm~^gK@bwZi|73lI*a$_G;9}h8U$)r z3g?$#xvbZF6fBWDZ&l@r{_?*U0|Hi`sHO+C_DGs1-*$hQb|ebySf%eA^M3d^r=UHU zS=0J2Tq-#yptx7O`F*{u`RuNMV#kuLSa(j7mta&3%r)i_)mkh?MqBocwk$HyN?N8p z01;c8CA#JHV7?=^OF=B9NL!YeXjLlR9$*w(n=85%_`v4HgZYryu4qod>XuP+DQw#R zUp*JP5Nv2(e_In)daZqBK5$yL=n?TH!2{PrI;WTkDV=_Gbx%&FEe!;<#-3K*)@d98 z3re$shd8g>ASoK+ADpoU*T3cv`ovB~!7kF^_n{IaaN*uJKozLoW5(9AJ!Z^P!4whH zCGbx=6m-BsOxE=uxu*Y->x6S#;cmtayDK1ho)z7!s`m>5TN`k;IqXPi+7dD4RhSW!eYvDI z+xqZDMbdZU+Dstdi~ZcdO%}DWs>b^C)iANkpZ>s2Hs~`-hw$FRyNje~@Vz{{;sR=i zQ&AscC2f6NL$2MLZQGXYcIW01(48c!*~~OGw=b=4QCgN=98Wt5=>;tZ*4o64W9MmRcN& zGRyeynRkxJixt0Hy%ioaQJ0o6H>~aokNYxTp=@Ul>dSCE7PQrD8hii6aW3o_uD8QT z=k1KuAq((o#EM(|5?F^>l{&{fng`D-_a}TW^Q(+|3a%bqWxge0j}oF{(n7|w`N8tW zR%P4!*=BFlvp3gN9J~bW#~wNU9_2j{X}J?m(i>CQ**@r_(DO^I`u2_==nGH8=6?MzP;a<5=TdQ8}-$$|qr!o?!##Gb{7XB^g6xRC#PymRNVJ zSU0y=_l#Kg6S3~Jocj`2+b5sKD%CXC7B$z_H`nGh*Miq&&9(Su-;d3{pPPO2o68vn zccpp|;e%_`6SAF2R!(#LR`hr)!>ev9O%orz%-iqQFDtZFCBC31DSlDldghU6HGkPn z`kFC5v$?jZncsNk#AT5l!Vqb8&u1_d<67p7a3Cg~vL;(n5A4x0m%8E##AGljs7r3NOoti%wpo z5czn^E;46#r_v9m@sJNPDp#WVGB5?L@JON%K7WMRLO}0AvIV*y&Z%vUhEVCxc3<#p zJ;a6^y^V1I&Mg`ZFrSuTR9$ewBgT;#;rRNk5tn8dClsyAgi4zG%wS@7ZN=F&p)gH2 zBZ8FinvLgSEeO)#*zl3GUHEgRYY%(*z%~*}XChVE_#9TxPrT4y8O@eueWt|(4B9iu~ps0d-GKG3}P|wZ}cHR?E3rxm}X1S z5BM)+-E^#>e0F465m48TbS- zeFtMn!S_9ryR$m^P!7A(2jOaj^hNjab8nv$V^4%(5HrzzgcL|&hy4?m+w7z70lq1@ z_rT#k{5jSf*v^)l4m$;`?-Gv#C{N}+rhi=h-EWHLYx&gPHW(%hwDp|ry8R|O0}O~H z>j_{UwCiedq6F$)au%HZpS)JvNK^#p=(`sIr?Y3gj$#BUMyPsDCKw%+T?M9L8S*Z} z^&W$e|8nX(GKFTZdL4ZTT#8zAA@8P_s&{w?SX! z5C^<2*_Nh%Y(;PNxX?z*@5{4KzyV|82d4QQVbqg`LP6ZyY3a5b*@Z>@cwv^;dwWfU zQ4WS{weEoPD7LUv8}lDs;KIPat6$B`Nl1Xu8^Jc_x$FW`T#B*JlY~VjH0Bkm%32~~ zfK4=H5MkvQiAf5JADz)ZZyA8$uur$5+1%%JK*knN8d zE#v@1dydPc&4E_I@dql+vDm;0p|++9t3Z$@t^4n&}pS zyxDNkZG6E-QU^T2wNNJ#ZxE9b7D%4JX7g1`?fbQ2dW)SX-Wu{0)13eEmuJfex}b0} z9I5cC{Nyf(<^;BX6&&Fk)WP|k?*tl)PUAxsY!pGwtby!i_MBdnO5⪚C2)k{l#Wx zfW%g(WEb40S0AbjbwFPodCLs%yc92I{q9M(tTX7r@H5T!!b_l+;S+_ME2guq(S_HOfe z7QdUx$ze=YU4RH-NIZvW!gR?#HGw`q*}`4Oyn!A|Pi8Z-@!;x?)T+waRe|h0>I+8t z_pim0HP_i|mzrKTpUd76pI>0~K|;F%tKitER6dMPC7_6-k^W<1`(%o6d8PHMDu)?K z7F9hnU;}sZ<66wv+ua>eOx}AupO6$(y-o#fu*x{h$jG+OxNDcerzZJ_Xhp`n?fTTr zpIswH2jaRS>fAxEMuCDr@+Grs|!;5re7UBl2}LMiyu$*J9il={f=BZjK}+dGv_I?us94_hp|KpYctlg80n!9 zC>_mJ<0`PV6@kUq@?)TNK8S@Z7aRIcY_noK>m{}+`6s zn2s~RFHnae5;N;A4A7#_(E2DKU(aql)MQj0ojx@nAFGm*Vd(H9meJ$yniC?=rwt6~gOh(H(7l(@e_^s5W>nzn{)`peQH`x<%JQ*g#f z(W5(kO;BZ*tU#hgU^NG=Hk{c^{(TQn4(e8b+)PIawvzo2X>oO7<$&nUZ_eL;iuhPJ zEdY-h_G`gNI1u`1qtH}QfK}K(wPjL3Tecntu36$zwxhxKnL266UN6$&w(tLK^8Ay% zZnz5^PfKGc_`3nH%%h3!piMkg|(ClrvFfh6sMf+)!}Zo?pI-_VWu-nh!D z@4dqs+zoim#Ayqxw(N$1J^lhqG&h%Vs$GEUA&~Ez&AYavBR93?-CM!TX2gqe2=uFA;hjhHeG#ZyS(Mifp|O!A)Lo zOV2(qhEz(A@6)?Y-xMRjyt1(xKHYTby%=~;vcg5ze!EQHh zORmC>u0pc$M)Ja56BR^3UWKyj1c-lwG2{3Wt$>l!NGv&9!b_uY&E&HjthI5>K}I=)z3TgFnP>{z5)TmmE3#i}fbYJYa%8w7U(Sa?aC3ZK9dpVEYOg%{Q2^bgA zY;ioBy8E+8vcR94IhFFpGwmX1Ea-mqdh2iD2Li&ayAgXBGawNBLRzl7e^#W0J@QX_ zBqt!i4gA2a=kXDtb$(fxWwXc1S_~dVsB(UaMbG*o+lLoTwTJ>zNFjV_3Vnci-c+j< z=ij5tBYbIUI3r7p0f>Ze_t568_&D+#Mt`)WXKOGTzED%YB{fyVhHmKH4S+hh zHanf2zaAwco)_5kF%Ya+Z0ct6T1UcXF%I+{2}(8}ix*$ikv-`8j?3sb7{M4m09ack ztVz))bJU`Jjb?TYN7Py+V6d1Buz-=o7f^fN{Fd%yQ}=I|fr*qC?EsWtUS3k>yY?Hb zXb`&vEW&d-@c;#Xnu6!6_F0gx?2F#W^v6OjazV!x$}x;0hHK?lY=$y={1s~;xA~AGf%Trr=X5OUesxboG8_+ElOG4irtoA_$O;{@N)H<0Ww!hpk0rS#XJZ6bD0e!7zQ z^rkQvUbN7m*7Dy3a&Q<6<+D(e+@oP$h;h0Pj0Q6$qxgn)rDnWX=u-=v>{hv(a9zH< zB#Bl)*ld42Q@CT4_)d?|2X$B{E@dSGx@NFJ`4&^fO|c6$Eaf*Y{(YzW326`jdQ+;v0_906xj%E6Rd z6wtubqt`WnC^Mhx|l-@dUV(4p=S%i;NI2 zyNqk?>(;Xhn$g--T)&VbYTx9tAlVGVFDI457_i4%J_ni-KM;Lv(c@{f^%L`Xe5(mB z*_HJ>897Y25MED%ZD>EMfO{d7 zaItJNMCoD7gX`~RkpHVl7w};Fah?q|P1Eunzfp#|zNJfP_&Q>qHR|=K-dy>*8`_;` z1sU1ZT0DUAj1CSgG3mKweOCzu+s2CTiF%6=Kdqnkqab=djGYCN3bmJ7AFSa0CFn%gFsj)S zJJFnj0Squ)9e|P@1)Q$H`dtQrtQ)qyx<vjs1*& z=&q$FL(+Q5bMmx*>9=$Ra$0hRpE69Q9P=`j*>w+XStSMq1b?92q^zXpRNb(`aiQy; ztwt-296m9w8!-5+4~7W@$lVU=UZCcpw z)V7{j3O>R(WLocZSAT-gRY}h2*B6zA2y5CAgr<7yB^m zsdb`p#4c)GXs}L?S;9?70`s)3*iqM*y#MLV_5h|6{hBL|WQ&c;NQRVs+r7AZT@Ym5 zmgGXd!1uc6s@+7OEo_)i4Mdv#_d{&aE~Mir;x(|Qg@{q={n^*4%$s>54kmGUoi-%a zB_SC@jYgufVcaafK6+pN1puu-C@Ju1 z{`O-j1Q0%Sow$Q+e@^mdTtgf z@KtmR;SG5Ks;ndTGo5&}k&{fkOD00;R#||M278>0N(0fbn)DO~e={xD1wSqeYaxFL z+ZdW6Weiez)rhJ$StwD~B~2OqF60zdMjm~HM7%!k>NYN;X|UjvBqqIj&_)BMiG+8p zSN5S@U$E^AyCE&)3*%o3kGpg=u%)*d7BEc%Qd#}RGVm?QHtK5Grx>4Qao!nBg-!f12PXCkJ~`Cnma1T-R>3YkC?`@t&m zh#UZJQ<&amG}{rInMFIY#ogz34TlL(y35S&E$4IoE<@?IW)gk)g&a&^BoOy|Z#!oQ z_Lw&IifMYhl@2CC^)TkusAx(3blAnbgTg8DRsQM_D6Bhj_N7u}! z%vJ2SW5Je>qpVCC0XlwC5Z^-n6-J8SNr5QXo@~H@#S?E?2O(V@pI(Qr%OkqRR}j;a z@#;B13wz`jd;J;}y|{cIz0zb*5Alj_W*aZ8mOh76^E1ZyrsT`fIzq_2X~5&cNM6kx zEhOS4TSPNS8;9I2%7E!kVSKqZytt79VDP?#?QZ9vHyvaRZRBcEO?7_0L$B)e0L2?_Q%TF#}G z$g;u;Cx0$mcE9_%d_TH5pN+4PWDl|=E)~WX2t?B`2WEiu-MwuoHsqG85`CAog0ZZE zTLN;gz7j65VX3`|&9vx2mKsrXSfQ@TUGnC3mXKu?GK-adA;hR3_|S-)3l3&)HwQ}4 zkSVIIWT7zT4hq;3hHis?Ai zQ|>gq(t<=4f3jX&v;^ak!$iGd%a93nCYDU~sAD2Q4lI*r3~C?qCe!Sfg@a=Bz54YAzL?;%tP6{*%6;z3oH6y z(5AWx^@5F_^aVpcibX1a14K zZ>J<}hxG~heZ}OoVwRX=#IN7+ODw~K+&v29M#MzLyRV^C4QzKxo2yS*v~vUy$VY?? zoP^Sye*j}C5Ofx+HHT$MAv81K-4?7$Il65&B>TANFqc9(TQPm7Oz<1S$kKBun8mBq zwAxLl3v|o;`gwl)a1@W_ll4Po=OJ2^P3rMVAb#ew4josESULUbE zPfkbKsU1C+Js>t*GsObhddlm?mFiNwLqVQw6ED@~U8t;y;z8YT3+PQHWnWQLr{lnT zK0E{;LhO!7a`y0Z!4~qj=8a!hvGoayc%Oa@%5&`=fIP&C?#(#M;$y{>BZdG&6mu%7 zs}PIlQ@de-WW$5HM)bhihUR~pPMo2@8uM;y+TQQNNVo_1D6cl{J%$%7`>f)OUIw#k z&VZ5&q$uL61h;m2c1J@LLCG&<<_#ldjIJ1r++iZ-K7uu6;{8EPH~kjjzi4h->=JFa zuzz4V+Xsr4T*aT;Gq)qPZOMeU^8wfmNcKAQ?`}q_TjdGT&h1uLY zN5}wC-7}5_Qe%uGz@|q*-Npv&$0V49Q8N3InjE-Q*yka3=MFEATxRhN@cXWUg-3{w4 zPA6{8&Z$$5g5{5v#JKIr75FzkMiYnG=MLYc#QEJncjhxzlQEW_ubY`}2kAQ-0km6D z2lo=?xyS21%rLJh+g$}Qb_>}818y^;gsSEc+{B3bwdN zvG^K0Vv0SHZ>m0orSl7j9>C+j;oCQ4G*#G^z`H+0qg{n7psDwuDM)|QB|gaU>*|)C z^}@oC0>+4|m3w%j5n;Nf(s5>&sy4rhUO^JAf%DlJ21fEgVDxl2?$Xy(Ft0RqH)U!g zy{2mM&vxWZBUsdONcLUs<+2?F-j8fV%zXqtzF=P(hV(v5w6A|<2REX zbWu$s9UO-L4b?F%(DOLs4_}~aIXW$owN}-ZpRu=fw2?O*?R#oD8Whi!K=B>RnnF`> zD;=TaQY0B+fnTDp=O8);uf-^;vjG;3Q!T>)aW=p3Q`A6Q0=N&XftZ7_Xa#sWjX1zV zgGa94?Ip(2^2Qhw>udA7?DZ|;5GlL92a`9BukXP(>=L)ja76R_U9M^@W@kVcYoRfW zrbY$OSDhtE8M2RvQwuPI5rT30FlwiIlqD@7#uVu2wnxq5i|h$}Q zhwcm_R)J|$fOBw{JSe-i39?>ltN<@K3>J4Db`>wRl~l*>9iY)NnlL)maCnca98|hc zTZM%(k8(@51!<`o#+HMPxMq-qLuiW8;D;iJF?br@H=agwjFOS~qX^Z+YYQUb9n_RE zCA=)hf{`U@n1kUh_W?Y2bO1c`K5Fpofn@obT5W)-~6+q%!CC4U8$ zbT<`(9LdLDU^K8N^#wEX(leN!3f&4OQrSByt0NKHV6x@jPLcr1+}ZXgyJ0P4sVcpM z;~oQS840l+mnwZrILNdVSW3MF4IMOgq<`vaZ+19RyEq&2%vMcrU| zxrx#vPtK_6g`q&!?S2S4MAZbpnv1G3nqiU~Da*W{-MBz%?}lwfsJtf??j)_JKSfTq z?bf}8emw&21DaidHr4wx<37NM7n-aWVO*!Qu+nkKFe58=eaOwHuf4M-Kj&q%vF2Fvw>)SN-UNI#7a(|HPs zoPW`HR8@2Rez9v^DloX4A_>K#AC9RwR2T5jN5m;qc7EZGK|t(N7{zkxy4Whf4<-Wx zQ3}y&N>+*yqA&_;CMygg-u{Je%P2g@2!Z8Ne;9SdX4ch`zN@g3hlUn`(Vj3Wr$Fmj0WidB0QKBn8JFJTWy%_b6k$HKLW^zn3-Cl)) zyn~UZpaw08?_`ehkoIGDlEM~me5nnblfnKmcOq0$&3-~9aKHK%*bmsf_PMsSFbi$C znBfSIUx?wTz(5TND3uX1KD5CXm0wu&*=R10MRe%uxy0szI`3<~9=*BcQ(P*!eMu@H zGu2w`o$*|!8@jlaQs{2@*7wQV3}TKRw6%6IP8fN9wbtfPA~`pOQ<@q-F+un{Ax^Pc4Xl7^0Svc@WSi zb2$#hf+iMa+GW|G>DB2!ccP<03f-Q_3m4L>;a-F0h|;3gRVc4g(UJ}#Fv~$&vEkwf zf>vBuRAD5~j>@;g7X~i<;q^l#BhZG_oF8RB7@hgo4Ndceh!W7w#Q3Z(ZlLXI030fvcNw*PPhfQ%ecDocaaA zGh_6Ul3eYm*3AxV!7*Nhdtr{R7y${~PFQkJ41SCQN<>uvy*mhQMTZh0_|g8)&(C&* zABpD_-*Dvaf7Rdn`;5k$Q&){dKfM;y+aCD!Hh2>v@#*i;iYt&7XZgC3Q~JLyU+7Hr z!+klQcfbL6vg1ti)1YX~1*ahGxG%a7&sIHnVXl7i@_#?vHFE2_%^hw!^M@ZQUOl_t z`ssd+w($z@Cmy^9-}eU$o|L)#G;n(V37TnFLQqWA!-7t!RC?~nsivpb6By!$Sl10~ z&-6a8-^5!xX#SoS6f%(Tniw2RroZsi6`F81;6W{Q_CbgGoDKZI?fX8c*TWjU$kzo# zO~PQ))J>{X+IaHIIc9HTZiUT0~oLG29(C(`es`75rha*%R^Vt2X=Oi#1h9EnnoXA13HG=5ZEuDgWP zzee$STAD0o{JtWXYUars-yMGC3QEpT#Q5p!Xq96@zS#wv2z*C5hiKX0NAd^8oLyS# z@$dGreTj$ry=sGTE;R+V2}`OSUsK*cHk^IqR2VP$R*k1za`N^4X@k%^;p4JL2OUK} z?Yx;>cw3>(k$>4<-0FkrLcY#z%rntBQ*m3HxcHR?GWH-$t{3R~mOIZ>4|D-1l|Ay3ZWv zOTVpUrkU)emad)U<3B97@9erBJ|2F}WF|$&rawPm5GXe}p@ckU=Ko$&Ycn%qEO%cg zFNe%4rG1s(G?1hkjt<_0VhviiO>V{ckIUXR(|Zz@MZIGXTTyo8^tc=|S>#==&2WR5g|i3m!-U!#uGzES*>)Nu*X2IqBW4;VYr0~Z3 zaETP%2Uq$=B_$V;a$THCDH=ruJ$`tR$4)IunZDzmJJ=CZ1(>>1p?lj~%k^=<-uNrwcXvpsX8OX58LdE1!{aN`7{N zmPHaZc#?KYPNRe%_VmdUx#gWt0c2u`-swcC^O;(eV=f+kO~+?1sOyunV&t)BJf)xA zX%Xvae%dQv6MLA0(oUAsTN}vr=epPsRIxQCm4oH97p;=ghSmJmbMK7@4mZ zL=sLs>K$IZdFRDW(8p7iSqJh_~glXz5A5+ zcW$-zFayqTR)6>fsT=sl%{9S`C=LBcyBv(5x!HWzl3C(rOX0h8jUeCM0EAO*{D95l z1WDhMoElY%hm)mJK8mFL4J^MfxK)2lU9K*uF03QKDb({a?|j7CCuru?V}~!sb^cDK zZQIF-E??trkP`p2uPXoj$6@sH^Bv05*Q|Jaxu61Ow@lVJS}ajp*6S`-FDru zvCb6Px8=+m>=Ho}7v*`zk!jadbq*?GRUs`?sF58X{!3ixwGHIF1h?sj=%Wq3hjUAF z^xt#vSFDW$=67guNWXjNru)rZLtPRho2O@UZ!SCjM#58J4~W>Ow;#h14jiI6T?$i| zoI_*fL`3X5ySm_S!x7pKO$?PzWLoCLS6rOn%7171p{e8hm%2LloFAtAWnIv|nNtSz zO7WQIPzkfc1>PF{8s9DRr;jIbaeNrdiXpf~As-YXt`@!v$j1~twSJT9t<%~>KCyC5a@O)#U7bY-|Kl#sD~@0I z1=?YjF82$TyLSa;E+Z43)yCCYl$zdYxg}VI)4p$VmTI(#dtCTrG?trHd*S(Fhpz5} zlB~L9=aL`}blpYBCy~sEQOc*Jl$ks!jm+Z~&WYT0`!by$-|_qQScYA8!$pW*6w@-AA~9ClCd z5jD2w%&Joo$GOG0wl0&0@%n~?tE;y%^FOA_1(%-telqlm_M)APm5cC+AF$uo4v`Y> z1XO(5SLtN`z_{wuw8O^z&VjnVoq85%Me?<+rG0SH1Zyb+mJU;BB8n-{bEaJ@FFMfk_v1rWjZdd#nqhUMxXOz$) z)z@ZSwd)L05Zh!M@4ii~pw?57QA^3cX@~DoOdnP9S-Q!G73-9a(2Up+ZJEC|JI^!ymLZOP;tJM^klmXhy4U!u0~IfQ$~!KpTmW>b$0v3 z-kb{0`ZAZ6BAp%#rF2c={?oCO`SSCa-R6T-$AG3QlFt3}8!MA#f_hiZzd_!S%XKeU zSLVq|6^ZyBno;{=AMd;bSNH6l0IxT?HgJo$ULLbUqVbvc?;l{4r#(Ccg5vC};4{X3 zNxFBGS#b0DFkqq3nFuc|gMAg8J}WstUxP#SuFb&*d045$qb^r*5X2V_)P{RY2+C6OwR z2Yi(iT!jh(7Z!KY*}oT~(;YZ-WfSvAFAE=-=lmL|RyCU#$TRa=<%es%q!;J)_!bIP zcN~uzG^cnfz#$t?4k9!pULe*yKi$l%@hQlY@n@T*o6pnAc8Naz%57UnDt>)~;@*S# z1vHHeNT~u|07D&KMqw&2*BNS!9a}^S9`cvs(1Ym&(7gkWs(>@V5P=`{XE&#hH^wTH z4f`nBS)@A&Icm;3o}qaoes#fl5&m_b@*?~Svu`ErPakK<g$Wg(@(lDRWGXvEiQ7VoBvrf zF=xvH40kwjhpD7y);_?cwz|G3m~OsARj1-%TNDqt?O%!x9KT6%gyT0TCUE=~#UGCO zJIICwdISD9;UT_^Xn$}>TYq|R-ZOZS>fC+juX%K&T>?@yP=izXsqPnfFFbXl60kTz~mx!m?n2-aO5~SGj1%V12)# z@fg4!V-@SI(*o7fx<`ON%M4%e!`qB@Abo7WST${EK&o*Xk>0&X^YB-W&Qngx&Eic7 zk7`1krQp40v)$ics@~78Ihl#@v*WUYu62(@8P@I=ybsUx?=O%NA4w@G41Y-yiAlTFc}TDHTEtzQ zi{;h&v_4Ev^D(zcIJ3~GFK8mLvgcKvoN#R9xi}BEtImn{EzuiI^`o3-vR#Siiy7Z0f> z!O|)pPwn3RhLKZE!mFou7L6vvP2WL$ z{XK`v#3T|E;peTw-JQo$)Y@AkXbYP)fAA03Hr;9Rfb#Nrg^rBYln$Je>zrGvsfk{# z5nB{?iAe3@Xg(LWT#PhsBdT!9a?96#S^1P_MQWKfW#0r8+KHyMmGekjGpHm+j#hU# zQ|4c9!!l+8k*YPHVyYP~K4Ogj6oUtUoUu1F?KwE~pIW9f!!;KF*4_3OzMEduoDViH zxZ1t#DO}TDJrplD2WPqLZ{q5--hI?Y^nJ^Ww2QgYQEi>qAtoSWGcxCzhlyied#~cS z=lefqGy}YWag?6X&wsvm*!ua9J-B|B#9-B8MGvO4qnrCTpT5z3RC=vG{KD8vDCp{W zK*-4*a2*yn=wbWDERE+e*r9kbiQw$+T&EI)?*sIacO+iPD|=JFhJenQd9fj^c;4IE!*iw3xw<7{ z%xifYm@!VgD&uUt#!khT`qFmRWmF>PzYb<|fmWA~x|~Q;I8Sm9>8mP|xGX9l6X`9( z$5}rSool8RDl+!czRJJPZggsRB26sZ{-yKSmSF5q+%xM5@rBKR2TwGgflellPh3Os z&W%?P?tuN@Ev%T|Jnvm2s8{b-cdufj-)?8K?{huPZhYvjWNc)TX1!6=yD7W_>{7kJ zX@W)Ht*cS%74^c@SGm(Yj}zr<%PXrRZjaTQo|M;|E}J}07!BE#vB2BCZ1H&J0t+}* zfvY1|tO**A)JhGE_)LWb=sqaz!~YCqot{RMci1!O3qCIky;2ws?2S@uB67IZF62)F z=tBwjVXbXVeNo@92cQk$Pmw8YJwJ|RJ<%<_7H7;gmdE`vw$DS$d%E_t?C%FQW>$b` zZKN#S+C41=o1RGL8FPn%@Cz&Sis3&vHt(M1)lR-GSufptS>Uf|<;@CG-+%vFU#i^7 zs#7btj2cmWBM87bhdcfoqxrV4^V%z@If;&?ZPs0M&z=%$n2Kv?Ovvf;l@E%pl&LPfPxdhSHgvA!n9 z?fRTAM{n$|zV_(vTPvOL9PSCO3F!7g9+|`clJ=>JMbUg-m#OgAX}CV|takKk*&Z@|s0xu9#cTZ)wOvqIeKzx!yE}Yr4rthL6?|FO^pT)5&r+*yG%=o?E{fBl`4g3*vmTji@=*SYd2fVT2sg2ChsOg z^wjzxX5OC!#*llhUYK{4YXZxqV09lS&0$~Xtf_#v4cde;Uaef8%QN$Wg+9ntSy@wS z?^0ijiA-$7t?$$=YEm;{DOE|?#N|m!>*pb^p^O#f^0*Z{AC^DP>4YRu@7qgU zR$`7n)S45;PpeX#RMuL*5(;YMR)V?o!}xk>z`~tM&w5@^Hod$&$TiX#c%wFPrSahB z<<82*@eF#VnVj^OknK$GhU)!9h=#XN{+pebI?)~5MPG<~BOrb-cBPko$DDwk|qtBDc;qpX)?A z>aE+4FatPJnaHwCH|6oEh8y}f6-sqj!@>)7?_Mg8L%L$jAjozT=;r6Za`dz1VyP(n zPmFpQ33Ei%n=Ioj-{PhoFjc?o^rGy3Day@rc;Sfa(H>+g=_>zse~yMNRoJfetNrUL zR^GuS4E1pu+T^D)s7elXN5JpHPu_AUk}p1}*WKRrCVIot-9@-R;$FDBcaKKfaX{)| z;)jkkll}mT!us79$AZTFiQ(x(7(xDDTJX8wHX~Dq?Y4Te+8RQ;ems;|nyc%&yXm-Q zsu^n@hr(YfcN01PKbqb>p6U1hAAgl1Ii->id!q5R6

    kDk0}e&Zp$%P-4!fu{nesryPc1&cnv$xY^8pPw(&N_unqJdG5Nd$Kif| z+#lET`D_Xt+g9DYJs2bjgS-ut-WM5P!2NCDHMpioFS_2KlO+R;#Z4K>o&QZZz3F;Z z@D1Gm6<)RrcC-<3Bz0{S-}r>XfU$)kJ8ZcKzNBwIveiU~HYP)&V8 zJ4Zq3vxCo?9dd*jBgPAfR}1u|GWY*B9pC7{7l4~jfM?w?(ipVTr?`M>)WJL>dFcoq zX*zwJvi4xQhA7H+exGvp06(_|n5KCaK_aO_c6zUymX#K2n!Pm;%=COU9ZVoCZ2T2q zysJwjVi$Z|sH(qfMy9zaFR=n}k+0)WmOFS1S=o)0JI!+EPi%N*h^Y+m>$Calg4>a6 zmb@|?5z>6?!XkV}?WAS$oEO;|bV-F7A`qj#e zI_bEXu-gs^;e=RIxZCtQ`ilS^lI5Ggk3>#PV^7Tp`XB5r$V#kuwxQvqUh)#P!mw&? zqmj&|g7FpM4%T9fv{Qx3magup)zU02KKrq{cI5hn)Xn3`m1u^15)ub~QL!+^! zwA;4A!47{m=V;;L2`DWnedi$JQ{h!BmF0&I}|~@pZ_4@$q{Qn@ZX}uO}*kGO*u@ z%aQPNm+so*o6|goV&poE59+GGk3l@C2^;D=XEEr5Q>|jhr(2&F>(dLsvM#tauEdCZ zX6GXANi=*1RabM*5F=_5op7#-#2A6lpPtREJ_~68o5sdTbVGw9abw8sxEABz4`EBecjkAHOOhSs`_k+BD!MdwzhV(WZmETvTvhVcXj3eMatjCj-~HK zjWZ2Ip;wC4>Z$H|V^wDx>~~dEU0JFxU3Pc9zk!-QJJ}peGThBy626(KkKXbr1Kf=C zGx0LEC?pdZl$9z8V_?(tT9RH-d*y5#sOD@)KIu&Ca})S@)9U4ZZ`<9|cc7`W(l@UUf`9o}LgnzGvfm|C*V3npLly~QHvgLZS)?X9?J z^ZA%=wcQfp$3K21=7AlgzsQAD2|v~9Ndv}+;n-u$UtK8-Ifx6eH(;QN)Ct{!x`4AA z2Pu0!Y8+{{5iw-^w`bXCn+w@H=H0#HugQBC`)w16Y0@~W%#0>-+!X425?{aVZk&~% zIos0T%q5<9PH&+6#Ppq+wPQJVfHWKZenMeuWFhCCOhp9RI zFXGFquDD{WBVeSRz*Y;JwFc#WTY)A0^A09nM&*ya3(W*VUQOGW#XP&-&I|jTv`l9i5g^GVDi^OaS&B z%PFNIUmtn8cs4B6o~=2IJQL2AcJnP&%Qk7^-DP=hB50Eu-2v6$)V-O3UXtbut=vo% zUqaR-XS#!Hz+^+x(}+r+1h)XvjzDPB{P!)UFEqgoD2{r0r0_dWd%mY-WeV`qHnhR8 zBlmx;GoRZ>R-QAz-P9~68L)HGsJr&@()hSuAHGJjE^h4jkAR?y1Gk@n?9Nq~1dEMA zjSX?pmsHYC!)%{s*&37e<7Z3CQF722%dHtHDOCO{&zYjKKde~oJ!H@zil;&sMH;YX zp4>Bd_;#^lp$C_}lQ3+@+Z3z3pOP{Cx_CbNq4oagA9UEcGsMiVsB2pv$j4WE*3ws?E?wFo?*Yfx*D4$@#pTK*kQ#qyXE9#^JIPc7 zDn)5x=i(!{9k7i~X1~_`o?o4oD;~;pc+bRsuy1a8P#N|uQfy5kda9zOZgCZ^c*26(}|IvztFeU8azQ6C_y%I2@x z%Un%K@_kU%%ShBm!mP0*826Jy52z9O^od*=Bu>or6$f&UfuY%DruJ~p#fF6L8fT42Fu0i8>?wv)pWJ8>$OI=kNZVtH+I*uE)0fNf;$5w7A2k9fZ zr*BI@gkM)O6*FeWYhLdagov%j&NL_X8@J%owf720bPga;YtX;%?s|f0vM|~gsy4~` zkN0Qs1(G+Bc~Yt=WumYe?=a&vOR`#ImP+XkTnaDRx|_;qMA6Z~XPTN@$9iz3zi7RZ zFza`Lvgc_G?J47G=VK5R3So2D{$1SqrAFO%fekc@`f9Dw(yiqsLEUBr2V#R&z8Tz$ ztNH4?Koyua7794D2YA^=u&Jhn`A^f6(5ZWaBuQA^8x0Pa75^=l<`dHyGhw_r-Qux* zGbg5le?N#Fya5jUhc0#)6E&R76VJqv;P{`@peeNlTpL2?0?Q^6L4KrMGIR zvF7VT^X!~F7SDO+1}l2ME`E!$s^YkH!n0Rwr|3*8(-v^Y(sAY={~VLOhlobGuFo#U zsIB%r+P^y~iOIg#WQd)QUG0f{o>TBoU5$EOs;F)7#Fp;k-2c37%T*Js`vKxyP^-7r zz~RID6-e3%l(-o;2?J15dYZMWH}Zzd;^~~sE;j!(zE5t`qsp$cWA>}9huFVE<)B`hv_ua@Ta;g3^hA0Smi+AG%+ucYJwX$H!y zBgWhc1uJ){YSkeu9WJkWQayu?QT#W*X-*fFiC(6dE3nUyZ@}J8ea>?m?r}c zTa<@{lD|I`zvO06`T7_{ahq_|{P9-I?j@{hYv%E$q}HLPZ>-68rqt{*y3^_U#B~1s`hiR>qCCT^8)HBIcR|7O${&%qG#1s!CO$dTg1M@im2AWXCNW5 z%2Gy5)bhym!c&2ZTiQa}iuxML5SX2e2aw229k-2l0}@r_ws-7C7qm%T^SSG4Lz<6* zxYNgd@c=8)ImPxzj!O($e@d52{geXmcjOJD4fMOd3w+9khsd3~-cAO83_>mBW(wpa zyXW@1q)yuDD{gx9*ctVl zGxpfM&?fg<72At^WC7e>fD+^K4(0s@RK24Luw0km>~Sr#DXxdt2uo=|?cDoyk8&HV z!AbOz={ek)PF34*``iH>t2+pfybsGBhd-<&thT4#y9ApMaL@hD57>-@;4EpF%G>Ll0Mar^pMZ^+cC@^X=~S3)*tib3Cl7ojHD<9u4b{ce z)0}|(Mmq2{EF55X@$mxUKsvdt`2E~xIq@}&GdjS9_yLNrtl0l^IU$kf76aRP-NdJU z4(smF|Rx2^j#A3CEwsaEPs{QS`~6_5qDEU$MRZr=I%3a z+i<8fW;@p?&%YYA>$4UhyX&dXls@GAyA_8pGCgxa{GUpQ6EW=^(j=_jeC%o*;JgEi z9qV0C^<}Ur6}=UJ*wMrQu{20*+ZR=Q7kIe|)Pl;|RmQ-t&DJ<0j$Iz_-)iX7`6L+I z-Y+H-B(jBg_rZQgc&e^Ml3jFTiTT++HIQYmG^xX<^&v0t* zE^*x0_1Zi{zk^K=;RZ$D2T6WGBE5CUs#d?Fg1Uux24yDRe9BsaICVM)1-@TtumJ5_ zk!l)X+@y9MrNlHPG$`S&vnMLqJV`$X0-@Ydxd3dJh&#C4Pt*$gpTBX;u1wGRea#Kp z6Y%v+^5`Alx))H?RQ76WA#17~cQW<&bv5>dMmSkz8k5t za<{$Da(nAtiNKM(QH&+yCQy zzVmCKnenE5m~hiUx&$re-A)KvpXcs+<~Vd~iEs?A0)nC1{rU?;U{1 zFr(zU`TI#RwWb5(dL6xEs30P?!Zg7TMLpXYGnm@EU!_$G5Gq8g)HrIZaVenYoMmZ; z8->BQ)(RCYuTHQJZ}s-kPjbqfN+BcM)gY5?eC|u^fBfyQYt>B;HdSarm)J>%Ne4Sw zt=^!ERJr!3eTylg=yafXx&r9+_KWGQK>3&>Q}KK6c|pfPE9geCFHa$p){a`N^xg zH*L~m3ABY^X`F=)NFwki5vI5il71rRX$JeJ=Ub#e3blup$NSAP&8%YTJuRsY^`)-P z?pN81^H>hucg-Uo8!#pI>+Gb-L<#c)LQ3!5@vdGLLVG&xp8@qNzuED=nP%(g2aqgs zPKf>OhBQ+(oPVpI7Ud`SnAvE+?`yLa>fP7nvASSBbC&tyqw=Li+7qq9?wa9hg}uB% z3G7zL2r!kH_MU+1t~IG9-w?Z}eSYOJgCK7$&~g>+0Gj5Whm$vF=l zag3^t2KX=U3In-;l5{&{{FwZ%>mJ6ZeeVcKah(Mn6<9#btH?VHBnqGo3JeCy>ZiT zoF3nl?7O1fhYmkOqvJY%Fuek%w8^)rzX(UuyFZQ>a!>P0c%D*@s`A6sbLW)BnmmTD z54;@Nb3;81ir@0SCBuo z)-`$HrS{T`1r6}F{42gz=A~Wb;XNwwlNH!=y}t~vNHg@i0(D1}%3-^&n|eRC_h_em zaJpCQ`O5xkY+0I}$8Koy<~LT=1pC;lD^12%hx~k&ZR*x?p$E-ZilC=lc`H3W$}ea- z^p)Yw34h+S*3?Yac2^uk7NKi0&KaV!a!BcRChEA;-Yj(n%Ui;jWMchbpp+6{>ZguW z!n}u;rfzK=nRU6|gFL9%i`ctCdn#+UCbO8DgXITiA0MItS{6-QliA^lH`K}oJwEV{ ze?;^@Y@6*>1+~1S)wOsc_;boKKo*z59|`xUltSsEj+*=I{}+B4%>Q9*Wa3Gs2@xtS?;bF<(;M~i4>Ka6 zdoBHEcg?87SNSj6{k>e55YNIrjZvAH$)>^(4ljr4%2v2Bs#l8Au27vhL0VRTjsCaV z0}7W(9CnB*&;Hz-fyjnt52Xnf?1eE`(UHx5hfIrkdRk{j&!kBvFP|xD7CW;tIr`xF zGV2!eTRzix7tnqAC|*iAT;}kVgHI}wFl~&I%6ZvM6P#0E;!GG1MPBK$48~v2ieO)% zsa+NLw|{P90ryUS-3TCQ@Fk~{VHA;l-9wfJ+=QeuINySASje@7Tv*#UIG!-w5EgU{ zVg`$P>nHIT*H{?gEzufse7baIMgL4X;a%E5;>^l^kLa)f&BrWifN%+hY(qsf8d6Di z{sD8e2tnNfZ&Q)~$RGODetQbHCQbJ1JM0yB6boy#+S)!p3sikaYu(-zx#mmBVECnS zOfqLm}o04f!*B?<09UA-+UfvPjBaifH^R4egJ zt8Qh%&Nk4673f7^|1_A&cCs;89Dfe$$_o~H%yN@mj`?4nn+^};^YNS`=|?z-w~}}u zPSl)j)(v8~M#4<9Vi=Y4W1ZZm@i;==9jgDj^BJnbS8vOgW-lD<^WWoASQ-g0p}A?w zc`ODLKa zjizBI*tMS#-slOdn-71otmXEIRE160=s}=rD}K6laSd~y8yjE8qw4^unM-STb9`l0F4?obO-4hxk3{1<|}(hPE&z*Y#Bi z313@Nv4=^9B@7Ebps9C8d0u-XP`Mn_UE_j{&gQY)is)P`pDetrGm(u^;GYV7zSS`Y zNaM5{0NY_7MYveYT>BDrD28Nk6C`fB)TfFkJb2ohW@obI_%h{|l?VU$(_^T_JJim1 z8fV94@_Hrxc

    %;Unt zy%GK}PCzS!!g{uPhr5x8(?jnD52IuPIFlv=&~2Q1PW;Sw_SF;`FeUBvT+DloA7$t! zO<36X!*QVcj@v=aXxYv6>zbm%nyX|#tfY$2R;TWaZu|rG2?xa&gJIsez$2%T z5g&u(-xY=2@CTJn2g+bn*Ig24;*aO7=Z~NW3#pw`VuHF;26@b-ZHeo9(90NC`PmJ) zDAgaaL7eGEw$tM?6jM@1GuAH-g*Aw}ZjzEF3-1K6!I5hdrfKQ}g#%vN4RtDdIj&u~ z6=N}rQ->6GlJ()^l1eiC*N`I6hDx~>I4>Brq%samg-rv&uP-%)rHVsUE|%=Qiae3C z{%45Ad&UBo7%1#~tK|uU-s>^@Ha|7I&bKCIHCx_?>vbON&ja841blDkRMGxleb0LPR! zY|%#gNsE*jpF?<&VZ@y=s*Z&f8sAG2xkegx3na`yRbHt%F;l8HvglUL&IEMXW!2 zC&)I-GV=?y967tjpKC%S!XXS7Uj;>Ni8C}~2;}iEZ=NQePYuUW^Fe!~!1W)?j;k5v z>(%Zs&JihsC^c9xq{DEmMl-~a>_VHoh+2C!HnQ<;j?ZTmDDOH-DT@8D$=tX5?Gd3| zS{nMR=zi6K31@>;HQgoM2{0|s4!fW4Q_D^8DA2TVR|zj*J{)yE_@jx6p#;}u$sI9Y zZQj0F;j`;1f$DD9VNs?rGpR#7KGmaUH;lHzY6&fh%~(C4!qFhq2i+z5dtw|Z#{z-8 z+pN+&Kt{KyQ*vjQv%g3ae@yBWN!1;x_%Ue$hs$=fvd>xsO3XWbz>aS&xMrP}pyVAj zsYJeYGNkzW6XX>yuumgjx3yWdXfv{JE^&$ zAy=$vz@RDj54q9xTxa0DA1kaNu}xN##Rd7ApcY2!Jh1nB`j6IKTP%ZRsIVT0;!+;O z1hz<;!gLL6Z6%&Q#x9;%XBk1-zMAz zI7MP>N_%7W{$~Q^aoutFz=aKStmg{+IV&nLCWkVD>YY-o>ScrHQrEdg5xo5$ewGDk zi-ZA3rgnc)fZe&dB>pmx`_^%w@yu98U;~otpy$S_jQ3IE2LmiS6YOJ=0y-xkmub2H5}Z6KWk2eKf!CslG)j zIFU0tCrZ?*I*z%fboUUwWP$(wl;Dto}4iAY%Nrf?ag6Kqj=Ad%%l)l zp!`%RZ*CbvM6V#YcO?-#s;x{8T~<`gLG0{Hs*!4|*qJ6{AQLm+)IB^#@qBr3jMDcG z+!(p|qOz&lnRYtiE!le-IsZ|hW5H;Y&2Ne2F8Ph*_yqp%WvY|RrsVhwZnpIcSQF%Z z(_M9-U1pC!3EO@iVuz@n1LU@~qdB`n+S5TN*}x;47Y{Jk0_vt=61qCm4N}-9F*_a4U?7K>&d?%~~;uV`YGl>K|6B_pXT5=I?3yNP{>1 z5_Nv|M9wY`sM7v^_P%yjT1xbc+jMr0ml&WbKAO=x4@iW}Blr#gj&6qy*zcd?qU_yq z;iQ_mB!P97)TP{f<;N=yaKG2v3p4ya+3TkQ$9ZxJJ#r}Wd&E&KaL~_1-GLZWs&tn| zGE;A03eZfbuq9lNU=!_Q`f1%}nfCogm3KISbnM5G5amAPlS{DhkC`#1v>UyO;=vxH?p4 z+?o|Iqy1j8`2bC8s`pXl<+VkEbMgMZ3<0eH&*9V-P<-NxKZu!z(~Q>s-`mbZ2$dgw z$C@t!@bYM!bqc|zP&QHWG9jJ5okJm&m9bu(Tqb00?GX@8Zn*IXs`Z;8vKx6#O zs(wtt2Pd?Gk7wHdHeK(CjVol9SouNJ6S$jRg&Uv%msbl|eF9hg>jyLm%*(3@sagBMDyf29K+uDEQ+AmM zV1T6)g<1bs=8MH%lHS{su=X+MZi>uX%%Or z*nZFZlfLWCg#wyG&lU~JF}B?XWXC|Q%M#nrs5~cZ7bi-7oGH0p8mIoFVQVZ8UFR`XdT9yCQ{C4rIDFr+k04yL;YJ&ONsN?qT8ZIW)(hGoo@E&wHm zkEcv3buuUe^?#K0Peya5v(Q)uaS``%vhc9i7P`FDE!Lghza_Syo}D#eytN?9TMHfg z;*`wsl}St+6_`A4(ab>mCvurL2r5=*GAXt-(d+lvMM!IJJ8l>nh0|<)m})At*cry- z8!U`n@vN%oUb^^O;zFxR%D&DAknyFG5Ua*Hw>S@YP}JH}FrxS>F(?2_z8mPW;B2{A ze0usfV-|Rlt`YI9v!!oQG8LA1PPq|YT@%Nwbmc~t253P)Hy_hY()sZom);DyTiN?m zau5FM7-Z343KcD}z4g)YKyi2AXy4~K#vCN2Md|MAz`($#X&sf<%8tA4mivc%hFEQ6_PBydd}LKz!1cEd0y<9};L{CQ66@ z{7MOkyhE9uiJEs3Kk82E2p2*7Ey$4;?#Vhe!>reuUPeMZIu?01*5-N(KT{A`YIIp` zbD<_nxt+^94HuY2)VkhYSM)~bWC&`)%No12#Y?#UH!y?F`D5w<&OkZT^#epkksrW_ zttwu^?wrmD={C;0Z8CK>^I=-{tmWhboHAdict@a5i*I&kCenVi1!v!6?OFX#5wjB8 zfx5{7w)NTfNudW&ogu{o<(&F8>HAYw&O=H!Mz;hW;2c< z!+snDnsMafWeP)WeX>e7W7V#{*B`H68oh+lZ>}jHn^bnP-fY`(BinylIcln~voOz4 zb(7oh{N}dBsb7a_-En(OJT8%Pw-e`J`|#kefTqN4T!CJBFdN*uwyTj~b&U-3%zr+# zF>AmSL&=^Xxwl2B=n13iUk3C(6;ufs-~|WI=@(l<>-TSD>KQC4?Q5WS=cevu5nmvp z;eofyS6-VTx8|?xIWkQ=j%D%_M}=s1I0@C5zgKfeg)MFYD3Z*Hb|^;facxz7{(`_ zQdXu5CP>dQXE5|`B;<&rrbcsi&tS*a8Jr6}N65uG`O~0UQemqIy5R{tt9)i~r?HSE zM-Slm?|qqwYUy~3Mk7C=A_qDAyD+nNsZkJ8)iFF;1 ztCBk@)eO3aHv2CE8H&glphbPtq?gbxUF9IdHX|xEIzmE>2sh`BGCwM2nl^ESuUUXo zs|ATtj{{1|6=P^+ydc&WO@qS9C%V{^BIs|qCsD7j+%Ax+B6V7>?|l8WO-QO>a`~)X z?X!#FVwish^&a=0Cl!m!8YHBEu(A@%gbqKQ-bhjz+blHXsvrMGUM>^e`?CJejzM%H z@pO(b2p@u?;2rsJ4)c0Ox~VPn_FecL>XHA@Y};2*7Uf^J$_>|wneg_8u;TT(^qkuT zpI$QE1h~wqN?g<4&oa86@3q1wyxOiwXz~&FXBb^In;c?tT)gLaaSlylu_Vw>19DM3 zue$ia3Kagj3_qINa0YE08$7iWE`%c(SA?1EB_3YP$!9O`cH+c+GzOzk%5&VTy+(-g z->AY<7XRMc_~iFN%I$%PN*78h+2tRUJYKQA-|`YR+m;^|qHB8wp_LOG-+4i%*Yl)L zH!cmTf+Gbk&8yIBGABD}bS#=??)B1h4v6$4C*Qjs(4#4T;%7t`F3nj z+K=J;V&0;gn2FIuyfHmp;R8J80(+WJw!&{Z7OM67?KPike^{e4QGc(!jV(BBYWpNm_007XP+pwXPh27l(P~yg0J+lnAF(=K+;Wuhnju|T#~sf!cfr|f z)DZpkPwf6onVs;MM4{f(&qHKVn;+?w+h=?0K}nSLn5y`?Gn`(p1E6rL;US;Qdg;1> zAD`=LLZcY(Ok8N;jL$vnd~BFGv;hMx7!(G4WwYg8Xd;lUAOY3Oyvb06Me|5{v4t3q*u}mlZD+Z}BHY@c!h{jqagrD~t^M(I$}H-UooY1eVU9Z{dD@!7%Nx8;% zvKtcVSY2Gy+adgu{d((d1;?Po2j2-zvh!Vg@j?;K`$lZ@ccw+yj_wW!R|mF};s>NT zC$bBBhPMNfR;Xzzt|_n3Xl|pvV{O}#%4f}NKBLK3#Qd)yar?iX@`@h}T(t-~Hup)k%9R#Tji6#1l2pG^z;hLnUL-i{&>~5S86~nb=A@8X{Jg(Sel6~zW`Z-!wXU>wi8Wp(Df3swm zAk?BO7jpXMnN>pYnE5?TCzfSyK0THM*(P#9w=RgcMuKnC` zO6CR4^DxK%)9Gm68?{vlO^qg9#6~F$)banAaROz$SEx17u%_xQ?+tbYbdSto_Wsy| z&FxHr^!>*Jh5#^n6iP}Cdp7?Z)nH@@P*aX?z!o>N}A2azB$X8{5pPbE%ljxF;z;u8S1l`}#OCadA z{gg*HsGUe@yJilHg*In+)leO?AiYO$`-C9rKgD*3p_rDJOLX%bdu^v<>Ah|gn zD@FXM?2j0~{`|Rm*jmTdanB;HgoYbwYkG=TUVCHkYl&N)rQ%(@CiGf`)dtt0HPN5* zAK01pM2b)}27eqM>E{GG2#~Z`5p^5@(w0UefN7YzyYuR8KZTTrmF+^j$~|v&_;fvM z+rKvEuSrbZN+nKZvm4E?&*CeJi8)M#M1h$PN#oE1hh#c)=sEtiw%I98L=+4GIkmI^ z4D(5`C*NbNP3FzoehMm$Y1oBq$X_Xbg|m#baid`uN4Zw4aLY|kJ zT)Z`X-XR;Na&xx~^o`O8Fo%aTb~=r_i{lEUE`%993$cojtlBLQ4=|_yG>sO=o19)# zQl0fPR8Xj869=_5gf#mF>acgjr+Mx-nx(ZLEt)kFCQ3*1&?MGn`Vx;u+C+LFON(pY z#2moiD{*lGM0h{YXEuy;lpt`Q4!0g2syY8@yje_X`+*B?FLOPSeSJ(li>ZI%V4|Tb z^SzelnEK@MoEVrMlCr!K|}M}#Z6loe=BmH_Zu<$6qS>@n^8#>dR6@b*MId$J;x1uRwDopv?g za!)qjl*ZFmR~G@QF7q+bE`EL$1@_Rs_}mm6m>r0G=xX4h%Q`SD_o`2rV;Rsx-c!3m za*~uon{@ES@Wr5jZmN&?AI8n^Ch3Mi%t3c!OF>^6E$ocFten#+R>AH@Ac_%J;0MJ_ z6Wq;!p5^%iiJK(E!}0Dm{SwyPkVx9!HakOOansrRwQn zb^ZpL84>i*n5M_Wf$Z9owWU+rS>^8}gLa;&WiJK|^intCv^O#zbk=R?+PctTX4&+x zVniY79WSAM?h>5hu56zPz~w2>M&^CX@4b7LorV;{v&Bl6!V1dH;vU&P4E-fgRJ#2+ zPCue%84>A-zGnm?m3=*qGt43WGPZS6MEl2dz>bx5;`fTI8=jI^ZK{XsqJUd zEWVSe^YFiA8_nkrZ5bg2wA4VsucYYbDxJ{b(XE3`g`@Y+o!y;yBfnR#f7@VeG?it% z)e3l~w!0mrm7ww@phjuS10EKcI1k&O0z2P+A7rzorV@Igi^s;m&Urb*dP__tw20>p zbN#2XfBbOh4lf~L&hpi2Q8BN*A6J~L@kZvI(6qhqgFKSfxm&U<)`L(+iTGU5v=B}H zh=(Rk!tQlSiXd8LOx@0S!~4ObvtHNjbZPF5^6gzg?sLXAPjq|TZM53E^h|yUTxeYX z<8K^EQFHtN;>^XoEm=}ju80@ZavMnQA%|NBE+?Uq6}JjNFqvOq(5ba#W|VWJjly{U9urZ)ct$By0O1 z%~fbHLK*0L6j!e`0%Xc=f(lEIz$nx*;FTbD*=bID5NGCBlQ4XAqP9nfZIau;;}%gf z0z3K9>nyHIrqW?sd)n6tkYjD&fpER6`6gXH{8FQXfjLzy2EcT%^kmKi@K;ki8TMG_MKsFl!rUi$ojDuE1i z^x-!q6)h_6MtWRe7YY(RMZ)Zg&KUkF#KsckO%+|~a~lx;jRz%eDZIcNsq#psrbrjM zM3U)TAgX6jExRyXIdl?hywCZA*B)|A;erAy?C$xP^X^VQ&8EN0*nctjT0h7& zI>`U`a;xhQfc;wV7$4CMR1%sH`Rx4*rAkTaWU?yP(1*8wi1ZJ-d& zegtfh+WOV6Xm*G6i*XA$P z&Xq|^D6d+et4<@Xg*|-Tq*qg}Asy;%7dX#~m8_m$8##ma9w~ylS{GpkMB}a%{4o^4 z^l18FT`J*8^ygx5u+8-`BW5~MvtfIPmAl0Gw{f2v=l zP2vaqcK7mo3YTH?`dqffq|=2W?xU_NT?ccSw*t(0yuOA6aR5U>$zX6m;1_k*OD+m5 zm}T14jxL%F`YiTxt2DjLtO&Qa@uhsXIRz+TqDWMDpJG|MlO;pXXXYA9^Tt{$oWF}Z zMV~LL02EaMyuism;Y=3aZ52fp%>Mjt_NyrGjR%52`9DP3hgm%Jh@t_N4>OPEbknEb z(CUk{0Pt@izY_x-wIr&xZvt05FKb}btI*{%5Sf>r3Li|BISX2&eu5S9{Xcm_SK((( zyKQS>yXhk9=~FwN&q4)mz>2umuHSe%VDh<4XlbqG8RKS1s5~kw^;hT2n>?$PuHLpd z8U2Y_dqBKp>}uCu~aW{(Fw=G_Ym~AoDkQ^)KwlIn}1(tfTniDj?d%>nZ&WoInCMuZ1{+oj6KRP z_LGa}3DpO}vvPH#?_YG69DRK=booV=Ukx1ssD?PV?)9XbIR81hBmgly?tvGK;x`Z# zap>O1TAlru(SjmjVlj#DOAUXu(`)WTQcfn9jJs2c$&WV3*U(YQp`>V&_0b|Lkw!B? zf`tgWsv{y61ONx#eHKub)aNXKUPzg;fA%v$1N!ne5O=u3^!(#OWjJ+%cTS*LetN#z z&WJGb!;>KO*42JvD(O#MExq zq4hPbiM11UbCN6vXAZr9a9+aq)oa@&Cfk$%$2y^2UeKog@@?>W{?(|9AG74I;A z9UrwQTDAMrg0r$U+9?`U{q1+5IKZ~~Ez&N^`&^g1Y?pMfeI>miaKg{)E%6uR^tnu& zD|yo_q)h(W@>;13x|J^g3eHrb1j%W{5D6J&{nV*{`@XatwYStId5BuH($K`)xW$Yz z?-?=ss6<-ZQF-WIOdh)iN4GjfiCEN<^Y;?t;&H;&D)>0Q`Z1kVyB&sL=gu3 zb>%OoKFvXRK>c~t0lJ{jRF+;pdhZ)1w`k=vCprgt%Xnk3+`%oQnYcxhoj!v-WQdms3tD%Jxd`SpCZlIi!?dpZU~Qhq0%{1!Cqa zu1<|k-k#`q6Dbg3Skg`7Tf+ZodxlMcqTq^^o;A$uWPNQKMBqN6Fb1zW2BmzxMQ}uu zL36rtR8g?47q`zR{)gjM5-9sf%3Xsp=nwJ*$3WbE94}#7S@sp{TykEl=Gw>EOA_u0 zl6j=drbVuF%|0vZD|2tlz^^90zr#}g3N@OY!hPop%_mX6qt1pFC=^{~V-9|k+$Vu1KEW)8B|KUQVBSDt6v?V*mDc_jK?>l9wixuXAq!{j@* zV~hdvGPzpe^L?S}{27Sn=MVABCv)XpwVeOAB0IM2XjkH2)~^QOR)i_U59Mufa&N*Z zV#Qk=eZsvrHg?-MnbqS&`%Pta(+p~hx_ETnif*Ry5-6c;9ZTos36seXXZqYhR9U;4 zc=Bv46VwRLccYxtCn1&@RfQNe>JAxEpdfj#vc6iFkle;QFVV<isnOb}Gy%>R^EA_IiK)@d-2VsR+E3eyb!w+`p} zM?2xe=pF^M*n86+MCxo+m=Fv>>RoYF5CN}n!Q|?K$Wa?R31Uy0tU1KQ-0hTd!o~Jq zDWczwO8qn6aZ%PlnSNuf%nYYrHZ@>{8i#F=S{8i_^=SN0%I!-_CDcQy2_?uVt3T?xjxs^d;P_;fQ$lEREnyw-U0>1fs*MZC|TBP=YgT zW)PYe$}o+j$h-#h4c60*!XG9}QPZH3ICTJ5OT$e+MtYQ#N-LBl)iWBUe}E9&PutxH z#(Q>w6~A;|?RpL3tng1m!NKVEj;h27DnvwodPoONA4tt*#u8zUyN5AIY{r03^j<&R z2p3Yiay_gO`FQcniC)b&Wl4uUi^E%HEepCk-{wk(8Vm1Cq99sJ9bA8}bA!*ud>em? zy%%yhlwae=n3bXwG_2m@JkR;w<^9@<1wQOsGK|<>5wim zz#kuw)0NhL@j%<&@5TJ*arrLGTA$&mo1wp?JGvw0=&1*lNs2P+R}(fee+8V+y!>Jw zzEj=XEJ=?s?ZLXw48gwElNEvP)Qj0#w;8~Eg*zLsqZjt)Mc#5*eI8#cqvREE{0b~l z!bcN(Kh*t#4oBW+C%2W&d9iLZDK)$d^~Si_JC?rR>u5#KZkO@7C5=xM!uv25X{IXh z$*ZTvViz^+NGJRpIlzG%@Mq;!j7nowwofF)czoW0mHIdUtrW}2OD;6``6Lh+4N>_2 zI{Wf>wzlv8+fkKUw^dWAwAE6SP&HN1;i{R|*fumpQ6yB=oJ6%niPDxQ zBuWuA#u!62=7^AVBN)TQx_`XRHF@$oX~6;T*Hkb37+yZQZ*=I*vm* z<(@Mu<-jXpt!T0CS3Oy?q4y6LicnG%n%Z12{Qjl7uXJz0eNaBflgkuW-)3NvXC*J< zJUVt7yZdYN{*1b^L`5k&bD57_7egL00In=)F#r`a&0{J>g~V;+b}0#S^UXs?V<1HW zf?a#LD+NN)G+{cToRz$`eE(44N`YK4z`Pi`p7_Nv=ailnZdl;_`mQ$Z6Lv4}kNMW$ zz~v>5SFA8{O5LmOXy5fdYVk*xWG(;yK>}=oP_rL@R&_3-RFD#&z-?iz+l&>@X@eQb zYH;@$+I@Q2x9hK9=T#o6@HjN3nk_zzkfWSUY?#=4+Cxly;NP4(s*Q?YeI)5TD*Djl zblEeJzWxzUCsqT7`a~)B>4-96zQ7ah^&ys#w1@PyS!UVq;FO;myqjHkoM)P%)ee&e=E%@^&-!eFacR1{+gQrkq7(>jw8G!>r+{CHTS<+ZywS% zfzL=YL6&YTaXD?x+QL=fT(Ibm4ONSmG$~rWx}&8l>(nRR^=z43l-F-YKF{xP@C~_P z&l{$%LpzR22ch~mPSH;dAP9k9RTeXB)*cj;TWC(#`@TmwX)1dFZg|9him=ngU(y|v zo$vSi8~JLKeeVkLMOZ_=wza+|Z-x;f0F#h)=r`aq7VDHWuVrBp?Y=DtpqLz>SoQdU zS1n1id--#9Ijs7ep;x^bvpT%mp#K1N5>py`WLAJv{zU6-VSQ0Zks_gXxX*S(Vh%;a zhnC&WnNG~dlApjC@2=}&MnC(!;@qn3RH5sBt+KyVS`FPD_Yzh_X5pzUZ#O47v)%BC z_`toL&@SwG$_JC(*35{%nJ#0F%1eAce0w}15m)!F!g8wtIPrv8;IZ-bYe3#yXUnee zH$lnvyxA#1%E;XO6U4x)Hf7}=8(us?M_@VYd+y5Y>x+d$olQ^b&QRRjK6@E1@i6D) zftH)xCaBPu5+#A~5;)?W1O!_#y56iM&^(u9%)eFbL07ZSk5i_vyMqb8m`^JBhhFfe8EWMQ zMLNk$es%G+dKOyIe#`=gXhmEw<2j9)bMrUl(!8By#s2WDIiM}Crn%0$VAC|Z8eHx@ z35#FMuuH@6j9^|ErQcmKo{1x&x6bFZhthlH3;p4fY7*9PoVcC(pRdGszkb?<#;F*< zR-8(OgYbk1(*D z{1ru#T4Olxf7&?((K_$5?=Rmu)V2Q{Qwbr3>T7Ys%e#0Mo!@+}q+FfY$cM~vKY!Dtp1Ym7z6@y7ORldV*bHDXbSIY3nd?u9OTHP3EfbjEY8$+)z0r6(eby~sC;g`h-{)(HzD``{ll00d*1sUNt?vQ;H@S`uEVpo&<`W@}+12H& zFW(BiB4fI;9stx)-rl>D><@2$W0FaEyViUYk%Ruyhu;6X1=%iM#R>Ds{jz}f^+t6i zP!lKjksGi`A!W%xitx6GxsNOByF5HX?^SQH$L>izKQTw3N66Q3l4GYG>m*OA#Gd`{ zbLmgadiwQ$sY5FGD@q0lQ?;Fc+8%S^3Opq^*TrtE9_Gc$Iyv9TF!-O|^H-IPC*R+3 zrJv3`YZ%+wpW`9$Kct!iLy1RL7+=y@x3`p{w$Mc~lSn-9????!aJ40}|1u?MZuk$4 zUx^F-qYY32&s3h{ErvdJ$a*>zPC$jr5tf>Y=X7q3Wnn4?UV6jJ^#RIFuIXrX!R@~b z5!Qzm!2*%j%YO2d-@Q?7@5{QmRShzw^E?WF?L70)_*-T`UWF->H;#?S*^keh}DkxfJAND?JVXSH~njJWObSKwoy4E_J&1-7{x^B0qy=F?yM2F&)S z;BcQ0=J}S4owU}0)?GV!_2iwJ4qrS+xl?^qdNuU%Sk)XD^x|9&(fUw-#TQ_cJ)9SZ z&|W*tlKpGRB3Vgc^?F81&qgJ{4GFrmT3F0@$^#iU_nb6vpHTrCW%+dkTeGJ! zQfLuEAPwWEQ_X28C%e84 zj>$qMFGlQLx=Gr^b@(c6bKRD=*2`70C2+SDC_{`~FKyUm@2-uhOE{D+fX6bv=C3mq z72DaLaO2T0$#atoybudpJus}c8+oF=w)X*+K0uRH+bw@^z-hC%>R1wj=5eBuC))RD z!P5Tq)CRrwzy_5a{-2C*1zs-HvF*7?6pfG*KIlI@|HfIybN)T z=LPd>>-59Kp}1ElC;2G}{FDWQYjVZD91mA>BK>KbJG+I{bTbrKH-OAC_(E;gSJs_( zGUfPI8f|6mbmqH*phf!1qS0u^LH$;2loGR(aOs!dG$0jCugE{Be|R~hZ*P7;O&U7{ z5JUY-3J!A!A-1RN&O3iv)TYIol7h%qVGh^M=u$bpOAQmxGLc%pZ2{xqFbFA;?I-<_mQ0t}fgBZZ@4C`pF-rIb8Z>T*dsU-nsR1 z7e602r~p2QCL)d!+Hoafo$*A;yuAop^tj3Vppu0ZRPoPg150T%umO34`F5A`*}NeNFj1*A{>HuG7YueY8`+7S!;1U#*RL^H9cNd5Ie+Spr8T=_(`n7^ z%zROJj(JvP8Lg4#Q#YeSdx&g2MxpMZ*?pm@nYB8+oU3`qcr{RX=X*t&yhB9;wQy{= zkE2H^)Zjr zqTGWAwD6_PL79i`ZL=zw!pWM|{x~hn^VX4&7P8d?7{vTJNKU+Pk%bR7ZZoS0!eH$mdb`zf%lAOd z#p{`~m+YJCFTa@ z$W_)hEN{83D3H>LFD~Zr`b_g*wAgiyb<$ss?ifia9?UG80J-;kEgiq~Uq9VKr9MA3 zth6ZsVqv5y|1$yXwzsp_iYS!63M3J4Fi=#e0>^3$Ta}NGgt;y<-fm4_7~{oyxnWN|clO$o z#dlubg#H3|#ap0;Oh(a~HJ@Et$OmCtZVAzD%s$FUqW&@x z;3`ka=GxN*P~mqA~MYDTslh0qHu!!VI(A&1vG%t8UvFU%=%;vE zt8T5lTYLFH++#*P}e5Ro@2xM5zGY?er*CMjp>`w_RqA-5LD)zWb*`s!p9=zD7b8&$k@`bv;y!+U6RuO`bo~ZL zTuX#_d8s&hoY(095S!a(6%5Un_^R$d4Z>k?1G!*5tyL+_n@)ic?O&i-@wqo|K?I%uKrB zIWvZx2#6Gf#@=3+a#_~t)M123$2Do&H;{xvum&L66OTJ+J~xFDh*XckRaLTy>X4yY zNKh)oBEI%mNKiZ_!hEY=6#Q(f12Hc_f2z6WGP|GK{io!;lUCT(o;4BBY;%rEiOt44 zhJPFMTlD>?Mbb@ivdGZBw>cg+hF$~0`fxK()}38{Z$Pvru zfyqkXTsiN+akbHc?o+DJMkIe|UdKju{18wwbauipB%qfi5?=yGX?6-@kIk`R$KqiE z6}Ahhu*{J5W*^wNK>USVuFY86aoE4-Bx5Z|>I>82K};{B1KgHt=R4_D>9) zIt7Klm4RV`^mDs+Brc&9VlSA_OQ|@9gr$qln5dgNi>nnf@z%XQ=k77V9;nJ+W|G%G z?Z&uEpKeXx#U*U^j8u=O(RG-dQ#74DvcP*jb9vG&QoG*vpa`hWP!&qREFH~owD$Be z@G-3(pQvIc6xgVB0{@JXYwYWf580OZ8woU8>#khIROBQ0r(H8pv&jzx@ne5xH*H4S40Z zT#(YQ2GrI-)5;FT4BMx3t~P1JpzZb>AH6GlzTAr$9(tnxGuFQnQbzV91Wq4`i!VYC z%TNq$I@tFpVH+*gZ=w)3s9Bf@XjIZNHBRvuvChU)99;8czvhen1o_J{0U^;4YJkkU zKG?1+(hzD{*PmhsePm^3lV7nn5)xHLq;uNd)rE2{Q-D zsOQrge%&zTt8_9^?S6?U{mHyL(mo`LJj=NcqZ=Ct9+OvzC4my(l_j|=#XDuyaVBg? z>SzF(tr%1aXmja2CvU09xxdvKxGmzBVSg$uWNQ7V35+fXISnBFy4FYb6m?%QEmE@e z&j_t_rF%%`?J$ZVc}QR7IE_1ghDe0b?Wl1aO$~j=%?JIda3$J)^~j<~#9Irq=dg z=!Gw*U+Tpfj>z=f z=Nx1f*!Yo&MNmtRX|bu@^Mw__+@9cPudqFh)t|b}NY#&hp7fRKq~7x_ABc6FFNz_h ztxBrt)uDvThXPksRQ13BBZR$g|6t4?Jbw);HXn;TM_*NRk#pZAcIoLomxi#i{_ul@ zGK?1ilD#csZ4i_tgnY))*YX0XJ&#_A{fm(vTUO`+-7ofU5TCp2DIiIn*VFYMoOU7S z)9?37k!rd%(H|6of;1YxpZM9JS}+70A5$U!_p8sji`S%~f9-NA3vNU<8Kj+J|KQv! z`r{%)p)aYM%exi4=j$nzkap$M9bDWIJ6sSl60bswd5h>B5*>~2!Dt*qSSS^O=vu@; zFUUVW-NNxMWt&gyG^Ka{vW^K>A25TbS@m4&R>K#oyxIB=Qh3^3UGCJl_2G6h@FI7R zbyT`c2UWB`D`@$Bu~R~Ui~1u^8tPW-)p_r(&rV;iMEJ1QMM+i?y2Ya7uMCp)(|)}@ zUww=;ptSMYl1bM7awnc@#;Kwo?TL8;?v~-tN9)ZME$h>3FRxzD4@nZ)vY~(UQH4en zVpMEH<}}t6!3e@&jN6DL+-Q#k{G#P2mADcGQrmI#6qp+s4T*gJ%GBHgj*WvlmarT? z%de5#G@|x2=53-p;i_WIv5E6=BNzwNR>3)(xS1usZvn0 zOXl37Dswi3lu(nUd_T4;JC*z(!wO9IU3lU9dt6aE#~$+>69g~!#I(sR$<7K}7e`%3 zG00DG@z{+zAe>erj+6{3-o4j9XJFEYNS<*dHzbd)t zJyKAK_FB;TSwy321R6!~jyugK(X6Z$XuoDlcnfgza@Zv_ z)OX#;hn=h26I-sEbkSFy18LwoGdd#;XBU5ocE~YxYndL2tQY27V_TDXQ1CO<%578E zZJ6GDy=^1>$c@K8<>RxXj{4Pf>)fzZ50j>^4j&ex^?djC0d2YWJt_=I8kUKyG4v7N zs&27_Js`m`o`czfgZYdzhpEspiLVwAA$MhA6kI|(gC+MdI*1j{zO9zH__ zJT=)P#>=1u6rtt-C+xQhk#&iUfR|XCvp4LQJdO!Nn!?>Hc#Dzv7$uY@S)1i-1#V!F zCncRhrG-*^Ok#CTtm?hIwZ71aSSlvw;zVo`b<#);1XatRe;<<+8g)ps5*(E=vmD+| z3Op|!DZZ&Yk|c-IJMrfty+GV`XJ+;sTusoSS0!G(lBeoVVL}!?2zIC15x0HeFU8Ye zVjH(OL7d}>*rMlB@x;4*4C;7Na|$e&XRv1e3$mrpa$dMN3rJ&IJwl!I|9D^#e5Bo! z&8vzV{c?U2-Z#>eR)1rs9=swJ;m?=4cmbJw-B=yCvp2okI;|f&Qt36 zdyAb23Gl?dv@0Ez4v|rTKSV%|>m2f;1@oPFFnC>6@n94n6&nk7)Ep19W zXSs^tuT+x{qGh@zt7=e*R7LRj?~bhU;AA(E4{AMixlvdb6PM@M@#DjuIi7D5u*aww zZuYt_n6u2?%O|go8qVWB>5SgUokNd@T=YcQ&Gj58%-@5Wq6{Q+qdFS5DSF;j;$I3y z@Vh758%Y|PA1ohQ+TMdp|EP(G*=^Q$N%d757Vb2(s-oFSTI;R?RPSxMp*UZKIzQ1| z1`BwZ$0Oa%TpqfXK!iS(K1q$1RJ~+N_*jMez7-69)v$KCanN6G)%1C$XXfXN?kR+G`(K90BPL%OXajwyKRMz(3Jxi}8T5_TKu-<2|^W6YBeN zzl0jO8g&zC`HJtx~oT^SsnKuMOdJO_ViQTolo?sm~%F>WBg4?9ILWHUUBzsOQ{i_y@ zP%XI8w;|~Ug+>=x2F>Aq#h?}NKLEn`;-Fmxun~|& z)8M4xyOxUL<2X&}?JMN+yfjw&+=>mlX+#5&=dLu?<>-Z?@zrCGp)c|%VG+hZ?UP7M zRcJ?)R>7LIievftdR(&e94?&Fz*C2u?-q)Cn9lpPIo?tO7%MY3$U)voc$RmD;WIif^Ua3L1hLXhYQ^q6uA?N0K% zC#RRl>P>RKdZZnS4NYH_smZH=J~MBWTkXZPsMG7PrT)xQxMj1 z!O(WAT@`V>xEMpszzZN`My&JG_fs{HpybRvB?6eh^z#=#@TS$azV$9klih zTQ=n7-enK&5(;sKhi3b{2-L<*VudN~!C4`W`g!3uZs&yt!s<0^#sVHyJlScd{$sda z&#w>VgglUHn3ugFUSKP|QS>LR5V#HVbQ~W2TnTw@Cupp#`{pPm6PsF51EfWoPAHPC zB(P*39>#jGf^D3{DP$L;|$ZR&|}G(vY;~ZZn+crc<+@5MKo@-^N)Zfm~JZC`Q>P| zRqXRSU^0tguF|;A6X4#1#ae@;GV@@d^*XvdN`}YxXM4uMPT%cw%u8F40o#VyD6t3) zJr4S@6p+XAtIQGB3QtR=4R(a=u~q z?x3e-zhRF}wa0nL+L_6dKAy17*G4K=oxBUqR2m5^7_wUxn;4kfzJWwmblXX_E0{r1 zE(Mb<@OVSjcXB)XGmpD9@x@Sri>YlUk;FM&!oHDDkETdez3cYNaKSG|4L%E+!_EYH zJABwvqif&S881SUMR8o?WGgecx4X9!4@3Uxt`;vZr6rVc18odvZ!etldCUYU?Q{-$wPzUM-yxFqua;hcfTVOH}f2Am}1cFoQ!cPJ5 zpMDE5_I+g8?yUW~gnc!{!G!U_BRy{RWiB*_>zxo5M+yUW>5o$JIlptL41J?b(heIS z%NAqp$0zK|BMv%^4@Qx}cOc$`lHCRVgnbFl=D)@V3drD?%V8fO>qeV8oXtq%g9v2s z*UQ{X_~s_D`Z|6lLqvH0WY=bQ*XH+U!THaEBcG+y4krXyjL?{%^+IFZ-4*2Uv&l+$ zrq9d@!FBwt6K~l9@7@`rr&f`%|eQQ)NNigX5Z=BJls_^T+NmgSpeGo z#y|Sx1E*bC;61%vaFGg-T`=}tn~&KHll^X*u<)R3(~zIE*HzV;YQ9M|O`%%yOlYVG z+mzRFRdGErRuZK?1_|mKozN_Dzxjl&zirwLVY2t+*r?0fe~eN-Xl9c#W<*U=tecfc zk59+Xxp^P)z&#czzr0(#unc?dV3HpuOrGFQwe)?jgN@EQF-CMn9p z#TSA@CirCZRRWMm?6isR7eZKuS-sss;O)rDI>{+7k7y%U@Zo&$Sq)qAqbSqjj=RlWzAkd;x5f52@n3{!z;1N z&{23dW&0`2-QYmZe4iU?&=RV!Nh|w-2X=)`0l6AWLOB}0`~urtP&w*Fqf>d4LHBCk zOHLi#2~OMuW+Y{zf#8nb^qCZsksVCJ%lSI?sCoC_V$wJ3Vq@4+N}IMe-05fB3(<_U zllnepiZ(N1X0M`<;5+{gde8)o27q3C*OU2-7XWfk)^)ta8Tjdrevq&ae{RvZHmKg;dMnDR}^uA^U9 zZzeg0RjpVAIChp($o}V=^jthF!vOB98fdSKfeeGjxO&yvWb!@dc zBir&M71gY#Lvx{quEJxo0a}9-`aeme4L=?4x_L((@9KGV9lh%L>pB*d^G9@~D>&v8 z_!^G!gnkX@_5`<@qdNhq<_J#!E7s7kC}^nje0A9lc6xl5#r^BAzqs6>LrF>IMj{W+ zc4FKfc_5#qY}cU2qwe4TMU>w5%xLI~?dw7GO^O+zz|S(yS`|%Petx>I?QWSBjCI40K=TWcwZLWQ7)dTBv-c=Q2n=-U1?u zgQ}dx2gbi1R@T)_U_%wJWdQ-wQT#86o=z8@-W>b<0qhCX%s-LYb?+gl<#ldXdNkm? zK)A!vud@KrA{$~w@FB&&EVwFXa64PuzgCtAx>!u?Rldnxk%f0#8{EV~<7^z64>)HFE||$YOPuH&F6@I|*HNAyiA> zqr^u<@59wTo^w}^x80F@`Z+I_DnBUfmih9nXf9mlwe!0xrGnc+PuKxgjG)WE!H)c= zsNeH@!g~`;Id9LEqnu;}_|y&l>FR%RM7y&%c8#z3<oUk!CaZ2}C%*hg3!YhPkMY2%u zT-me0T+zP7PGIferM09t7-!=kptwmu`@c_2oQT(FYJ0OAW7Z;T)m`Qf%Q|l8fy=Cv{pGCMO(wB zn$*7kXqaF$!rulkv#G2||B#rzUsOT~wD)Zv4!{2N7 zpQ-(g|I`?Ht4$;)qWtSu{}0mXBNqO0EEa@5)w|xGZQyI? xrjVyMKbyYs_($TSb~`LC^= diff --git a/CPLD/MAXII/db/RAM2GS.tmw_info b/CPLD/MAXII/db/RAM2GS.tmw_info index 8d51757..610074f 100644 --- a/CPLD/MAXII/db/RAM2GS.tmw_info +++ b/CPLD/MAXII/db/RAM2GS.tmw_info @@ -1,3 +1,6 @@ -start_full_compilation:s -start_assembler:s-start_full_compilation -start_timing_analyzer:s-start_full_compilation +start_full_compilation:s:00:00:15 +start_analysis_synthesis:s:00:00:09-start_full_compilation +start_analysis_elaboration:s-start_full_compilation +start_fitter:s:00:00:03-start_full_compilation +start_assembler:s:00:00:01-start_full_compilation +start_timing_analyzer:s:00:00:02-start_full_compilation diff --git a/CPLD/MAXII/db/RAM2GS.vpr.ammdb b/CPLD/MAXII/db/RAM2GS.vpr.ammdb index 8c1983567ae6cc806a2d092e63b6585ed0c2d33a..64c0be88829514b29d1828cb250fbf4ce5e0f9ce 100644 GIT binary patch delta 522 zcmV+l0`>j&1pEY$M}OQ100000001@u00000002z@0000000000004Xf00000004La zyj49*13?ho#314q{s18nOaFmjWu+h%DyY@{0L8{$u(wk?3qjB#m0BpacJ|s?2^u5Z}i<019hLVR8HY9oFi_5C&efc!c?E6A_&!~I+SjPpFQ{_M~B8MpWz zsUNRwe8T=P|9=4eZp!(3g7;Ng?B^2jG1(v1gY9R;_hjBVeiC>p`^){Ye*%BM#Ph`Z zEdbA}2a^;eSs22jtIsSo2}&DfPzt*V1EH{1E+Y$h_s> zbm!VKZ)?C+-*@0TAJ+HY^0)ANj;1oi}wM}N!+00000001xo00000002z@0000000000004FZ00000004La z%vC*013?ho#2`i#tgM6x0ULWee}F=;F(5Wtq}A3kV5zni77A8=kU}tpKR^(%Pidj} z6HFA$t-EjUX5itn1Q86}ag#Um_RY@BZp9dLdu1D;8I1GcVLf|q;cdbY_vhrj9_)xQlspHI-U z4?fL@`PjZg{HHDAR}eogdjA?v={bd-3DLv#OzCSPzj8F5*Z*(!Ce=su<@LUzdJSQ( zOaFer?nwXMgMV)z^gksd(1M z{wY0ESWoA-4?PXpKbZD5x__&rKg7HI^Ld{pe(qP9|Gb`O;M0C*eFNai&w6LE-i_#G z{}kVE@mp740*JOXTV0W`3{}ey#Px*WLZvX%Q|NnRaVq#!q2w-4fIFM!V Mfe}bE0h37rGnv)~i~s-t diff --git a/CPLD/MAXII/db/prev_cmp_RAM2GS-MAXII.qmsg b/CPLD/MAXII/db/prev_cmp_RAM2GS-MAXII.qmsg index 364567c..c4ddaa7 100644 --- a/CPLD/MAXII/db/prev_cmp_RAM2GS-MAXII.qmsg +++ b/CPLD/MAXII/db/prev_cmp_RAM2GS-MAXII.qmsg @@ -1,113 +1,115 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691880810077 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691880810093 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Aug 12 18:53:29 2023 " "Processing started: Sat Aug 12 18:53:29 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691880810093 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880810093 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880810093 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1691880810414 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1691880810414 ""} -{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2GS-MAX.v(52) " "Verilog HDL warning at RAM2GS-MAX.v(52): extended using \"x\" or \"z\"" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1691880818509 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/onedrive/documents/github/ram2gs/cpld/ram2gs-max.v 1 1 " "Found 1 design units, including 1 entities, in source file /onedrive/documents/github/ram2gs/cpld/ram2gs-max.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2GS " "Found entity 1: RAM2GS" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691880818509 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880818509 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(73) " "Verilog HDL Declaration warning at UFM.v(73): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 73 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691880818540 ""} -{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(177) " "Verilog HDL Declaration warning at UFM.v(177): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 177 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691880818540 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_var " "Found entity 1: UFM_altufm_none_var" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691880818540 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 154 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691880818540 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880818540 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2GS " "Elaborating entity \"RAM2GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1691880818572 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM2GS-MAX.v(154) " "Verilog HDL assignment warning at RAM2GS-MAX.v(154): truncated value with size 32 to match size of target (2)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 154 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691880818572 "|RAM2GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM2GS-MAX.v(159) " "Verilog HDL assignment warning at RAM2GS-MAX.v(159): truncated value with size 32 to match size of target (18)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 159 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691880818572 "|RAM2GS"} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2GS-MAX.v(286) " "Verilog HDL assignment warning at RAM2GS-MAX.v(286): truncated value with size 32 to match size of target (4)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 286 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691880818572 "|RAM2GS"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "../RAM2GS-MAX.v" "UFM_inst" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 83 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691880818587 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_var UFM:UFM_inst\|UFM_altufm_none_var:UFM_altufm_none_var_component " "Elaborating entity \"UFM_altufm_none_var\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_var:UFM_altufm_none_var_component\"" { } { { "UFM.v" "UFM_altufm_none_var_component" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 205 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691880818587 ""} -{ "Warning" "WVRFX_VERI_DISPLAY_SYSTEM_CALL_WARNING" " Memory initialization file RAM2GS.mif is not found. This may result in inconsistent simulation results. UFM.v(145) " "Verilog HDL Display System Task warning at UFM.v(145): Memory initialization file RAM2GS.mif is not found. This may result in inconsistent simulation results." { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 145 0 0 } } } 0 10649 "Verilog HDL Display System Task warning at %2!s!: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880818603 "|RAM2GS|UFM:UFM_inst|UFM_altufm_none_var:UFM_altufm_none_var_component"} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880818887 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880818887 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880818887 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880818887 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880818887 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880818887 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880818887 ""} -{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 52 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691880818887 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "243 " "Implemented 243 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1691880818918 ""} { "Info" "ICUT_CUT_TM_OPINS" "29 " "Implemented 29 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1691880818918 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1691880818918 ""} { "Info" "ICUT_CUT_TM_LCELLS" "180 " "Implemented 180 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1691880818918 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Design Software" 0 -1 1691880818918 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1691880818918 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880818965 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 13 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 13 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4702 " "Peak virtual memory: 4702 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691880818996 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Aug 12 18:53:38 2023 " "Processing ended: Sat Aug 12 18:53:38 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691880818996 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:09 " "Elapsed time: 00:00:09" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691880818996 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:21 " "Total CPU time (on all processors): 00:00:21" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691880818996 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1691880818996 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1691880820181 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691880820196 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Aug 12 18:53:39 2023 " "Processing started: Sat Aug 12 18:53:39 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691880820196 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1691880820196 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_fit --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1691880820196 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1691880820306 ""} -{ "Info" "0" "" "Project = RAM2GS-MAXII" { } { } 0 0 "Project = RAM2GS-MAXII" 0 0 "Fitter" 0 0 1691880820306 ""} -{ "Info" "0" "" "Revision = RAM2GS" { } { } 0 0 "Revision = RAM2GS" 0 0 "Fitter" 0 0 1691880820306 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1691880820353 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1691880820353 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2GS EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1691880820353 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691880820384 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691880820384 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1691880820415 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1691880820415 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691880820525 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691880820525 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691880820525 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691880820525 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691880820525 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1691880820525 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1691880820571 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1691880820571 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1691880820571 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1691880820571 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880820571 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880820571 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880820571 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880820571 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880820571 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880820571 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880820571 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691880820571 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1691880820571 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691880820571 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691880820571 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691880820571 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 34 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691880820587 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 13 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691880820587 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691880820587 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { PHI2 } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 329 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691880820587 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 14 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691880820587 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691880820587 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCRAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 331 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691880820587 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691880820587 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~2 " "Destination \"comb~2\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691880820587 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 15 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691880820587 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691880820587 ""} -{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCCAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 330 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691880820587 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691880820587 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1691880820587 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1691880820603 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1691880820618 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1691880820618 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1691880820618 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1691880820618 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691880820650 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1691880820650 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1691880820728 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691880820837 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1691880820837 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1691880821181 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:00 " "Fitter placement operations ending: elapsed time is 00:00:00" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691880821181 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1691880821196 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1691880821306 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1691880821306 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1691880821446 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1691880821446 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691880821446 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.23 " "Total time spent on timing analysis during the Fitter is 0.23 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1691880821462 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691880821462 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1691880821493 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1691880821525 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5344 " "Peak virtual memory: 5344 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691880821540 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Aug 12 18:53:41 2023 " "Processing ended: Sat Aug 12 18:53:41 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691880821540 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691880821540 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691880821540 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1691880821540 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1691880822540 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691880822540 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Aug 12 18:53:42 2023 " "Processing started: Sat Aug 12 18:53:42 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691880822540 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1691880822540 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1691880822540 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1691880822775 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1691880822790 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1691880822790 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4661 " "Peak virtual memory: 4661 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691880822900 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Aug 12 18:53:42 2023 " "Processing ended: Sat Aug 12 18:53:42 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691880822900 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691880822900 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691880822900 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1691880822900 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1691880823493 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1691880824025 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691880824040 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Aug 12 18:53:43 2023 " "Processing started: Sat Aug 12 18:53:43 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691880824040 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1691880824040 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXII -c RAM2GS " "Command: quartus_sta RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1691880824040 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1691880824150 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1691880824290 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1691880824290 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880824321 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880824321 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1691880824353 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1691880824493 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1691880824525 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880824525 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880824525 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880824525 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880824525 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880824525 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880824525 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691880824525 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1691880824525 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1691880824525 ""} -{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1691880824540 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1691880824540 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824540 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824540 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824540 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824540 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.919 -92.622 PHI2 " " -8.919 -92.622 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824540 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.036 -241.671 RCLK " " -8.036 -241.671 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824540 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.777 -2.512 nCRAS " " -0.777 -2.512 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824540 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880824540 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.785 " "Worst-case hold slack is -16.785" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.785 -16.785 DRCLK " " -16.785 -16.785 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.266 -16.266 ARCLK " " -16.266 -16.266 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.047 -2.078 RCLK " " -1.047 -2.078 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.276 -0.276 PHI2 " " -0.276 -0.276 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.031 -0.048 nCRAS " " -0.031 -0.048 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880824556 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691880824556 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691880824556 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691880824556 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691880824556 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1691880824603 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691880824634 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691880824634 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4676 " "Peak virtual memory: 4676 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691880824681 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Aug 12 18:53:44 2023 " "Processing ended: Sat Aug 12 18:53:44 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691880824681 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691880824681 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691880824681 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691880824681 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 21 s " "Quartus Prime Full Compilation was successful. 0 errors, 21 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691880825306 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1691903436765 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691903436781 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 01:10:36 2023 " "Processing started: Sun Aug 13 01:10:36 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691903436781 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903436781 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903436781 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1691903437078 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1691903437078 ""} +{ "Warning" "WVRFX_L3_VERI_XZ_EXTEND_SIGNIFICANT" "RAM2GS-MAX.v(59) " "Verilog HDL warning at RAM2GS-MAX.v(59): extended using \"x\" or \"z\"" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 0 0 } } } 0 10273 "Verilog HDL warning at %1!s!: extended using \"x\" or \"z\"" 1 0 "Analysis & Synthesis" 0 -1 1691903445963 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/onedrive/documents/github/ram2gs/cpld/ram2gs-max.v 1 1 " "Found 1 design units, including 1 entities, in source file /onedrive/documents/github/ram2gs/cpld/ram2gs-max.v" { { "Info" "ISGN_ENTITY_NAME" "1 RAM2GS " "Found entity 1: RAM2GS" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691903445963 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903445963 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(73) " "Verilog HDL Declaration warning at UFM.v(73): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 73 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691903446010 ""} +{ "Warning" "WVRFX_L2_VERI_ID_IS_SV_KEYWORD" "program UFM.v(173) " "Verilog HDL Declaration warning at UFM.v(173): \"program\" is SystemVerilog-2005 keyword" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 173 0 0 } } } 0 10463 "Verilog HDL Declaration warning at %2!s!: \"%1!s!\" is SystemVerilog-2005 keyword" 1 0 "Analysis & Synthesis" 0 -1 1691903446010 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "ufm.v 2 2 " "Found 2 design units, including 2 entities, in source file ufm.v" { { "Info" "ISGN_ENTITY_NAME" "1 UFM_altufm_none_unv " "Found entity 1: UFM_altufm_none_unv" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691903446010 ""} { "Info" "ISGN_ENTITY_NAME" "2 UFM " "Found entity 2: UFM" { } { { "UFM.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 150 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1691903446010 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903446010 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "RAM2GS " "Elaborating entity \"RAM2GS\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1691903446041 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 2 RAM2GS-MAX.v(162) " "Verilog HDL assignment warning at RAM2GS-MAX.v(162): truncated value with size 32 to match size of target (2)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 162 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691903446041 "|RAM2GS"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 18 RAM2GS-MAX.v(167) " "Verilog HDL assignment warning at RAM2GS-MAX.v(167): truncated value with size 32 to match size of target (18)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 167 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691903446041 "|RAM2GS"} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 4 RAM2GS-MAX.v(294) " "Verilog HDL assignment warning at RAM2GS-MAX.v(294): truncated value with size 32 to match size of target (4)" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 294 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1691903446041 "|RAM2GS"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM UFM:UFM_inst " "Elaborating entity \"UFM\" for hierarchy \"UFM:UFM_inst\"" { } { { "../RAM2GS-MAX.v" "UFM_inst" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 90 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691903446072 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "UFM_altufm_none_unv UFM:UFM_inst\|UFM_altufm_none_unv:UFM_altufm_none_unv_component " "Elaborating entity \"UFM_altufm_none_unv\" for hierarchy \"UFM:UFM_inst\|UFM_altufm_none_unv:UFM_altufm_none_unv_component\"" { } { { "UFM.v" "UFM_altufm_none_unv_component" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v" 201 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1691903446088 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[0\] RD\[0\] " "Output pin \"Dout\[0\]\" driven by bidirectional pin \"RD\[0\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903446478 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[1\] RD\[1\] " "Output pin \"Dout\[1\]\" driven by bidirectional pin \"RD\[1\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903446478 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[2\] RD\[2\] " "Output pin \"Dout\[2\]\" driven by bidirectional pin \"RD\[2\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903446478 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[3\] RD\[3\] " "Output pin \"Dout\[3\]\" driven by bidirectional pin \"RD\[3\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903446478 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[4\] RD\[4\] " "Output pin \"Dout\[4\]\" driven by bidirectional pin \"RD\[4\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903446478 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[5\] RD\[5\] " "Output pin \"Dout\[5\]\" driven by bidirectional pin \"RD\[5\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903446478 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[6\] RD\[6\] " "Output pin \"Dout\[6\]\" driven by bidirectional pin \"RD\[6\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903446478 ""} +{ "Warning" "WATM_BUILD_TRI_TO_BIDIR_AND_OUTPUT_PIN" "Dout\[7\] RD\[7\] " "Output pin \"Dout\[7\]\" driven by bidirectional pin \"RD\[7\]\" cannot be tri-stated" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 26 -1 0 } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 59 -1 0 } } } 0 14632 "Output pin \"%1!s!\" driven by bidirectional pin \"%2!s!\" cannot be tri-stated" 0 0 "Analysis & Synthesis" 0 -1 1691903446478 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "247 " "Implemented 247 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "25 " "Implemented 25 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1691903446525 ""} { "Info" "ICUT_CUT_TM_OPINS" "30 " "Implemented 30 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1691903446525 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "8 " "Implemented 8 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Design Software" 0 -1 1691903446525 ""} { "Info" "ICUT_CUT_TM_LCELLS" "183 " "Implemented 183 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1691903446525 ""} { "Info" "ICUT_CUT_TM_UFMS" "1 " "Implemented 1 User Flash Memory blocks" { } { } 0 21070 "Implemented %1!d! User Flash Memory blocks" 0 0 "Design Software" 0 -1 1691903446525 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1691903446525 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903446572 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 12 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4700 " "Peak virtual memory: 4700 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691903446588 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 01:10:46 2023 " "Processing ended: Sun Aug 13 01:10:46 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691903446588 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:10 " "Elapsed time: 00:00:10" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691903446588 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:25 " "Total CPU time (on all processors): 00:00:25" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691903446588 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1691903446588 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1691903447931 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691903447947 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 01:10:47 2023 " "Processing started: Sun Aug 13 01:10:47 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691903447947 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1691903447947 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_fit --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1691903447947 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1691903448056 ""} +{ "Info" "0" "" "Project = RAM2GS-MAXII" { } { } 0 0 "Project = RAM2GS-MAXII" 0 0 "Fitter" 0 0 1691903448056 ""} +{ "Info" "0" "" "Revision = RAM2GS" { } { } 0 0 "Revision = RAM2GS" 0 0 "Fitter" 0 0 1691903448056 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1691903448103 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1691903448103 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "RAM2GS EPM240T100C5 " "Selected device EPM240T100C5 for design \"RAM2GS\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1691903448103 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691903448150 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1691903448150 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1691903448187 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1691903448193 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100I5 " "Device EPM240T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903448318 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM240T100A5 " "Device EPM240T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903448318 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100C5 " "Device EPM570T100C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903448318 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100I5 " "Device EPM570T100I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903448318 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T100A5 " "Device EPM570T100A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1691903448318 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1691903448318 ""} +{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "1 63 " "No exact pin location assignment(s) for 1 pins of 63 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1691903448334 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1691903448365 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1691903448365 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1691903448365 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1691903448365 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 6 clocks " "Found 6 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903448365 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903448365 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 ARCLK " " 1.000 ARCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903448365 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 DRCLK " " 1.000 DRCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903448365 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCCAS " " 1.000 nCCAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903448365 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 nCRAS " " 1.000 nCRAS" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903448365 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 PHI2 " " 1.000 PHI2" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903448365 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 RCLK " " 1.000 RCLK" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1691903448365 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1691903448365 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691903448365 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1691903448365 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691903448380 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "RCLK Global clock in PIN 12 " "Automatically promoted signal \"RCLK\" to use Global clock in PIN 12" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 40 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903448380 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "PHI2 Global clock " "Automatically promoted some destinations of signal \"PHI2\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "PHI2r " "Destination \"PHI2r\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 13 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903448380 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903448380 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "PHI2 " "Pin \"PHI2\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { PHI2 } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "PHI2" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 7 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 337 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691903448380 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCRAS Global clock " "Automatically promoted some destinations of signal \"nCRAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "LED~0 " "Destination \"LED~0\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 21 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903448380 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "RASr " "Destination \"RASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 14 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903448380 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903448380 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCRAS " "Pin \"nCRAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCRAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCRAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 339 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691903448380 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "nCCAS Global clock " "Automatically promoted some destinations of signal \"nCCAS\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CBR " "Destination \"CBR\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 17 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903448380 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "comb~0 " "Destination \"comb~0\" may be non-global or may not use global clock" { } { } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903448380 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "CASr " "Destination \"CASr\" may be non-global or may not use global clock" { } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 15 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1691903448380 ""} } { { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1691903448380 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "nCCAS " "Pin \"nCCAS\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/intelfpga_lite/19.1/quartus/bin64/pin_planner.ppl" { nCCAS } } } { "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/intelfpga_lite/19.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "nCCAS" } } } } { "../RAM2GS-MAX.v" "" { Text "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 0 { 0 ""} 0 338 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1691903448380 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1691903448380 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1691903448380 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1691903448396 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1691903448443 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1691903448443 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1691903448443 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1691903448443 ""} +{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "1 unused 3.3V 0 1 0 " "Number of I/O pins in group: 1 (unused VREF, 3.3V VCCIO, 0 input, 1 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1691903448459 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1691903448459 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1691903448459 ""} +{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use 3.3V 38 0 " "I/O bank number 1 does not use VREF pins and has 3.3V VCCIO pins. 38 total pin(s) used -- 0 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1691903448459 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use 3.3V 24 18 " "I/O bank number 2 does not use VREF pins and has 3.3V VCCIO pins. 24 total pin(s) used -- 18 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1691903448459 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1691903448459 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1691903448459 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903448490 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1691903448490 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1691903448584 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903448724 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1691903448724 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1691903449219 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903449219 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1691903449235 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "19 " "Router estimated average interconnect usage is 19% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "19 X0_Y0 X8_Y5 " "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5" { } { { "loc" "" { Generic "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/" { { 1 { 0 "Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5"} { { 12 { 0 ""} 0 0 9 6 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1691903449344 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1691903449344 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1691903449485 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1691903449485 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903449485 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.26 " "Total time spent on timing analysis during the Fitter is 0.26 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1691903449500 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1691903449500 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1691903449532 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg " "Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1691903449563 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5344 " "Peak virtual memory: 5344 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691903449578 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 01:10:49 2023 " "Processing ended: Sun Aug 13 01:10:49 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691903449578 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691903449578 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:03 " "Total CPU time (on all processors): 00:00:03" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691903449578 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1691903449578 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1691903450625 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691903450625 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 01:10:50 2023 " "Processing started: Sun Aug 13 01:10:50 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691903450625 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1691903450625 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS " "Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1691903450625 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1691903450891 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1691903450907 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1691903450922 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4662 " "Peak virtual memory: 4662 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691903451020 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 01:10:51 2023 " "Processing ended: Sun Aug 13 01:10:51 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691903451020 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691903451020 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691903451020 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1691903451020 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1691903451629 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1691903452426 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition " "Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1691903452426 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Aug 13 01:10:52 2023 " "Processing started: Sun Aug 13 01:10:52 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1691903452426 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1691903452426 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta RAM2GS-MAXII -c RAM2GS " "Command: quartus_sta RAM2GS-MAXII -c RAM2GS" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1691903452426 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1691903452582 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1691903452910 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "4 4 " "Parallel compilation is enabled and will use 4 of the 4 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1691903452910 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903452942 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903452942 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1691903452988 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1691903453145 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "RAM2GS.sdc " "Synopsys Design Constraints File file not found: 'RAM2GS.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1691903453176 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903453176 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCCAS nCCAS " "create_clock -period 1.000 -name nCCAS nCCAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903453176 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name nCRAS nCRAS " "create_clock -period 1.000 -name nCRAS nCRAS" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903453176 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name RCLK RCLK " "create_clock -period 1.000 -name RCLK RCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903453176 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name PHI2 PHI2 " "create_clock -period 1.000 -name PHI2 PHI2" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903453176 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name DRCLK DRCLK " "create_clock -period 1.000 -name DRCLK DRCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903453176 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ARCLK ARCLK " "create_clock -period 1.000 -name ARCLK ARCLK" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1691903453176 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1691903453176 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1691903453176 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1691903453191 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1691903453191 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -99.000 " "Worst-case setup slack is -99.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 ARCLK " " -99.000 -99.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -99.000 -99.000 DRCLK " " -99.000 -99.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.855 -98.476 PHI2 " " -8.855 -98.476 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -7.999 -266.939 RCLK " " -7.999 -266.939 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.973 -1.155 nCRAS " " -0.973 -1.155 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903453223 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold -16.789 " "Worst-case hold slack is -16.789" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.789 -16.789 DRCLK " " -16.789 -16.789 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -16.423 -16.423 ARCLK " " -16.423 -16.423 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.008 -2.101 PHI2 " " -1.008 -2.101 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -0.397 -1.578 nCRAS " " -0.397 -1.578 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.107 0.000 RCLK " " 1.107 0.000 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453223 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903453223 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691903453238 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1691903453238 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -29.500 " "Worst-case minimum pulse width slack is -29.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 ARCLK " " -29.500 -59.000 ARCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -29.500 -59.000 DRCLK " " -29.500 -59.000 DRCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 PHI2 " " -2.289 -2.289 PHI2 " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 RCLK " " -2.289 -2.289 RCLK " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCCAS " " -2.289 -2.289 nCCAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453254 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 nCRAS " " -2.289 -2.289 nCRAS " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1691903453254 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1691903453254 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1691903453301 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691903453332 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1691903453332 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4676 " "Peak virtual memory: 4676 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1691903453379 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Aug 13 01:10:53 2023 " "Processing ended: Sun Aug 13 01:10:53 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1691903453379 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1691903453379 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1691903453379 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691903453379 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 21 s " "Quartus Prime Full Compilation was successful. 0 errors, 21 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1691903454016 ""} diff --git a/CPLD/MAXII/greybox_tmp/cbx_args.txt b/CPLD/MAXII/greybox_tmp/cbx_args.txt index 1e60991..9dd62b1 100644 --- a/CPLD/MAXII/greybox_tmp/cbx_args.txt +++ b/CPLD/MAXII/greybox_tmp/cbx_args.txt @@ -1,6 +1,6 @@ ERASE_TIME=500000000 INTENDED_DEVICE_FAMILY="MAX II" -LPM_FILE=RAM2GS.mif +LPM_FILE=D:\OneDrive\Documents\GitHub\RAM2GS\CPLD\MAXII\RAM2GS.mif LPM_HINT=UNUSED LPM_TYPE=altufm_none OSC_FREQUENCY=180000 diff --git a/CPLD/MAXII/incremental_db/compiled_partitions/RAM2GS.root_partition.map.kpt b/CPLD/MAXII/incremental_db/compiled_partitions/RAM2GS.root_partition.map.kpt index 10ac0b4df58dce8fd377b4e25a30a0a15346821f..dbc6b27ce68d9190736f69f44a3a44adf4d2b632 100644 GIT binary patch literal 2656 zcmV-m3ZM0?4*>uG0001Zob8?6Zrer>h41?mgzg)0BvB**CxC4^t(&AtCA29J6owoz zsSt~DC^}7mqR(DZaxKMHdG?H0E*I!sqMy-ncF)f2%t!j@!*zZ!yY$lOB6-{yAHV2* zH+i&}USC{ZcD(YvPf50#FVn{zc_bb0(|JBlrn4`M(LOLbUjA|XX80RpasW*J`f?n-IQxz<8JLW+PmOX2 zOg^2w2`GahHYl7UBVh4lntpgAl*t%5@_3T<8H3^*SnN?2k#5$P&CQJ9pv)ri6!RmLL8P5@{Q8VCh?G<8 z=EvN2j+9d_A#T2VNIIkO>GNllMJSw;V{!}XA?X~`gEUYM=}07;=Vzm{A1RASIH!wQ zp`EjAx=JX!NIA#j$?x-bIb{=x=Ts&)my3CxCo{?}(oiu^+@9G-8Y*1+a`l#*syMkK%-&v~aqdJiVgqF# zX{fwtZsY7D`K%KoZf*uhKt+bYjdEa=zH!bRAoY}?k`v?r>1Q+^ou`yVBk7PAj-*rU zZ{y@69W@39(TH~d($IS5$!S6|DrcTM_zjSZPEW?v*%V2rP&zll00tm3SOg~e;Z|mV z^i!9rqs#|401Vc2?a*QgNU$a(jG$By`Ru z+(QEq3AaLrMuCVd8X+N-!{j3H5DDoin|ch9go?#^mQF9PC}9qvj853-Hbg37bB`fB z42@I=m!U}%BBveK6UJOUAw&{VY)B!}P_dL$9u1L@itsU_3>wIY+v7u+&#|@k5J~9O z_vbw-u!m++8q^bnOBNzn&Mt=;b2gEPieYk_M}*X~ z4sDz>BP5`6HjRx;3fi1SlS%?PNCO4Y#lFbsBt$lYkbp*fp)Z0*A+~uF8x-W|(`pQ( z5ZkqiVOjh18Py($k$To!JLgIypK=rC&H}Mf0OCApR7oHU`Y}8Q71P5>GB&8kRc`A$ z|6KzC>({=1>GlWmY?`h%Ai)kK@MlM1<=rw{B(sNR9$p?ik!j!n@r}YE+2{0;oE3n8 z_)yV2A|Sp&Qb{(VeUqTbIuyxT0SbtZgsngYaF>^51$-k$f}JBwNszPvq$Cu$0OTYT zya41RcwhjM5=vqK;u1760BH%nAuo`Zpkt#zR)X%I3#29J2D(6Af=;Rh0u#y>xST%ee(;AsGXe1#4N5C~Z)cmRQfg#ri=2wBiXfI!BAM*;)_778Xn zAYs8n0RjmNnhFqzSg5c7ftUrI??A{x*~J%#TJUXrfv|-}_mH(HZyn>eQ^;FryZHja z3$~pv5V@fH`2vv(zCI3_3%a8(Cdh@Nr7w`U;CuQ4p$kP*Um$nEcl8C57mBvNK=^|0 z>k9-g)>II$d`Mp?fB}K{1x*YH#4l)MKuqWhk{J-lUud8Kfdqzv8W0F!D6j#690tt| z2;?wSm0bv8(ByzX4r9YX@*0IO29FR3#4&h=Kp>65Lj(eO3=Ksf5X#uxyvR=#L^F6k zK_Huf0EIm!k*3!7tfI@`i!9vH3Dc5u*l-JbAce0#cK72SuJ=At;h*zw_q$?R&`Ub+-KcrSqg1>-CP6?muSke!08(>HQ}$Uu-B%2P+aujwrtS? z4{i%x4ta2J*r01x@nO?xNyTxCJb2)iV#$MTN~pIyo^qrJ zx5$D1VY#Ut2S#lYad=o%v*W=7_wJeliHmDc+H@=y7t_J8O>6MLQl+JIuuX-9*u{i8 zIxGXL*MpIZ$;_ZlDn2kbwX_emDUu9b%x3y+T7w5xIV@!}Epp)Sz`DZvy^FjgC2#F~ znrHdV^s3{fH;aq6%d1s+!FS)jbKA~u6d$@-q&owZ?ay!C+y3~2?;q`4f0fN|D~vuc zan#+puJWaQ3uW&zL5rkvUhX7TwX@!zl6w;Z*M`vucJ6{BhtRC2;;QA_jHlu%Ik(7D z_SaNYRn%{fr+QT_r-sz$#+s_6Jeu*;P`j)fR$tZOu^CSds#2x)c*?q?VmJI0Rvo6B zSy7eko%VQ2JD>GA%6B91Rra}?@l@sEvOS*aYgc}aDS|djY1UJf3|T{g&y6(|*+0re zZls-&^&COe(YN7!%6^j2*G^W$p0aAA6{)F-a`JQcW+PgTMS4ee8Il<^|_o>GV3 zhSg_3M-SY{Jp1-N)pKJ_MfP{}N<5`Ko(k;e=z$wKy1Lp}pQi?n#6J7`ROm)NrS6K< z=P7Z-Q})k&mAG7sJY|1J7dILXL;F>iz>O2KdP3{-RIJ{oD4^#d&hxJ@&)3w=1CVDQ z#`EtG&wXR}zI+egX*fsJy1qu8II{QJ_f+LQel7Bp{pzH+vG+#y;hoAGrrP5vb%>}j zO1ZI54eV#r12@)GhqMkn$hr%ZofRG=Ik1-c-r5m)KC0v_}FKEO{t$_`_NPOU%CB@zU7zl Oi`nIqZ~g{qoFT9|^CuG0001Zob6p}Z`(!|{oY?e=zAkxJxCF70{E4#w@sT$Xj33q7;?m< zLM+Lp=(GVA``b%OuBF&2&zx)RTn%==#d=%~@65TcbI2ThyeTgySJ8Yt%b&M~$FDly zjGoQLH-H9cOM*obyo0fTWKAD!)XIn46ilU>B`RB`<#dKaSFPC{4m7i~_ z)fD;ryvXP8@>cZgG%u=mZl1T=t1oPSC7MpE)wS-w^kKRz^WyGf)d%ZKdodrLj*i-^ zf3CmYzW@GCdmUi!Vm>X0`FQe~G1>)2hl}5j-zNWJO!k1uUw#-4UY&o#nC!c(vP-Qp z0VZFL-u5YjDK;paBL~3Z$$0+ptxzU2|n7&Swy&5T{gEjg0M3@mY6b# zaPww(M#4rAZt4Tn;Y=djT#VkvoJ9nipI*LtT`WEn;~8ZZfv4IZp$sDI%!hBzDT4?( zRWv{5!Z|`teT2CE?jY!#4Nt%Oma+(eb8<|Epbmo0F}+9!jgrFi4QT-T|3 zBliXYsLJQuDtib$t5tIQ(?jT~6B`G}9>UMr@a$qvS#*L9dEp2;ReT%fztLHv=a7wf z2Ot!!cAnfO1fzQAxi+nbV03yir0gLGRY>Pn*mvoGD1$Ee;Z9~B;io#8_4wdyF{e&u zAEBu7CU=DT2tzAe4mg`m;{z^Z^bve2OwQ{u2UpGXVIx#8m5cFx1fdJIJnlPyNVpT4 zI3*%-#DjoTFO$o_2?Ekfwwg&0gsOvdJ|ACQQ@~6hj853>mf$*$JC_M;hR#%tOX8A+ z$Zf~tgdx}cCvcv?2agnCs5(k2kERYl;zDxjkdV$OgRq`sC*vuC(CdF(bg0Chx=j^c z7ayqMS&DE}pLY(LsZ$!_l1AzAG5L?C$ z97;Lv5Di=g+Ty31Sr!DJGkzvFfHOIk6&WD(XvUEPgr8HE4$5FNbowndch3-b zR#BL8Wrna*$4jmo$Pjo|tK>Y1(6eg#$w_$zJE3ZOxS}I-n8r0m;H^JbO#AA!FJHR* zfwCCSmurT8i+%rhOJU{xqL}5A$7UX19zwE;bMxa*k?-IktjA!5>sA&p5b{Y<{d*nd!awL3=V5DH-W0%rv)k~bo{hH9fg9R7AT@%8ESzN3OYQyh$l#nTA+M_C#eOh zCloBTK=}ksQwtPOD0pgt@(G@(7AT*ng=hb%-XrCP2uL*lD^NzEV88_mDU=krKskkm z0~aW$P>|pPH5EJyE>KfJ(%=FW6*LbnSW>~JB`B!inQ(z(3MCaTP*73NR(Rw?O$AM{ z3)EC7ICg=;3I)k7P+Os6*#$~06g0a)kp<1Oi;KR3B-#ZkEEG(;K#2uUwF{J3&|JGf zd4-B>7pSnH>m8`DP;%n}brw81E>LHofhbg3)VELZ;nYQLq4cZ+-9^S!D7j!+a)Fu) zdXz0tZb9?p0_7GuqFkWpf@jJFiY^pXxwr@}NUmI<~alwYW3uuy_Q)A0f|7;7CM zk0z+Z;CXq0N(`Qu7pTPGnR$U)3=K6eP>iv@)sEjPCrt)fok3X!V*PiRbSU_6+~27K zxTpNbwRm7H2H3&;fBCWdAOCo>^8o;Vy?^(Ka$M%obUw-dc;1S)-dw%Cy28zD?50@! z@wxS)TIVDp6yPipGy)@!v+`FGJ>|D7-5M|9u30 zXzan4AH-h2o1fW7-i;RGpkb2Qdn##aClAL{iFQNx=c#^Er*Sx*5_?aHHvD_8d$hT9 zk7p{33Q@hGdn^&di0F;2pr@`Q3{Q3JbDxBf`=n`F?3+N z4D_YiW$v*?4N>^qk+L68C4mg`> z$FegFPo?$+dJ;y{r&i#?vlB**ZhxfWFuJ~;ed_CmQBEcHo>Je2>G@3AbA62u@H-q&W%iy@%OX5`${u~{ zhc$REJnaX0>Kj%)H^}xSdgEg<564qo`zgA*_Vw&3dk#x2An{yJ*?USI06d>5`))>^ zR6JJ+_MTF+L!NV=J>j5kJw1EMK29}01odz{rGALx{ya4ZWaMc-$W!xZp3js$`qb=@ z=X%QiOsQ{h@*L6ar!MLnoIF>a_Ok@_!-+l5Jnbt_b(8LyY`3ox;xOtd^VFAx5v2y^ zjuQ1_iadMDevYT!EYH=Hedbd?eCPkq<$kir&>kmg7&0gI6Jqw~DRtxHx$Cf(&Z%#( z@tmdXc{%lf#&evqucg(p7|&gYeVkI?4e`H`&mX>W_kV)cjwmlDS1-Q$69vlS9G(>r A1ONa4 diff --git a/CPLD/MAXII/output_files/RAM2GS.asm.rpt b/CPLD/MAXII/output_files/RAM2GS.asm.rpt index cc5b707..a80e1b1 100644 --- a/CPLD/MAXII/output_files/RAM2GS.asm.rpt +++ b/CPLD/MAXII/output_files/RAM2GS.asm.rpt @@ -1,5 +1,5 @@ Assembler report for RAM2GS -Sat Aug 12 18:54:27 2023 +Sun Aug 13 01:12:55 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sat Aug 12 18:54:27 2023 ; +; Assembler Status ; Successful - Sun Aug 13 01:12:55 2023 ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; ; Family ; MAX II ; @@ -67,8 +67,8 @@ https://fpgasoftware.intel.com/eula. +----------------+---------------------------------------------------------------------------------+ ; Option ; Setting ; +----------------+---------------------------------------------------------------------------------+ -; JTAG usercode ; 0x00172B88 ; -; Checksum ; 0x00172F80 ; +; JTAG usercode ; 0x00172FC9 ; +; Checksum ; 0x001732B9 ; +----------------+---------------------------------------------------------------------------------+ @@ -78,15 +78,15 @@ https://fpgasoftware.intel.com/eula. Info: ******************************************************************* Info: Running Quartus Prime Assembler Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Aug 12 18:54:27 2023 + Info: Processing started: Sun Aug 13 01:12:54 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off RAM2GS-MAXII -c RAM2GS Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115031): Writing out detailed assembly data for power analysis Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 1 warning - Info: Peak virtual memory: 4661 megabytes - Info: Processing ended: Sat Aug 12 18:54:27 2023 - Info: Elapsed time: 00:00:00 + Info: Peak virtual memory: 4662 megabytes + Info: Processing ended: Sun Aug 13 01:12:55 2023 + Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:00 diff --git a/CPLD/MAXII/output_files/RAM2GS.done b/CPLD/MAXII/output_files/RAM2GS.done index a5558c6..406fd9f 100644 --- a/CPLD/MAXII/output_files/RAM2GS.done +++ b/CPLD/MAXII/output_files/RAM2GS.done @@ -1 +1 @@ -Sat Aug 12 18:54:30 2023 +Sun Aug 13 01:12:57 2023 diff --git a/CPLD/MAXII/output_files/RAM2GS.fit.rpt b/CPLD/MAXII/output_files/RAM2GS.fit.rpt index 2dce144..aef6950 100644 --- a/CPLD/MAXII/output_files/RAM2GS.fit.rpt +++ b/CPLD/MAXII/output_files/RAM2GS.fit.rpt @@ -1,5 +1,5 @@ Fitter report for RAM2GS -Sat Aug 12 18:54:26 2023 +Sun Aug 13 01:12:53 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -59,15 +59,15 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Fitter Summary ; +-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Sat Aug 12 18:54:26 2023 ; +; Fitter Status ; Successful - Sun Aug 13 01:12:53 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 171 / 240 ( 71 % ) ; -; Total pins ; 62 / 80 ( 78 % ) ; +; Total logic elements ; 174 / 240 ( 73 % ) ; +; Total pins ; 63 / 80 ( 79 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +-----------------------+---------------------------------------------+ @@ -130,13 +130,13 @@ https://fpgasoftware.intel.com/eula. ; Number detected on machine ; 8 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.05 ; +; Average used ; 1.04 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 1.6% ; -; Processors 3-4 ; 1.5% ; +; Processor 2 ; 1.5% ; +; Processors 3-4 ; 1.4% ; +----------------------------+-------------+ @@ -151,31 +151,31 @@ The pin-out file can be found in D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/ +---------------------------------------------+-----------------------+ ; Resource ; Usage ; +---------------------------------------------+-----------------------+ -; Total logic elements ; 171 / 240 ( 71 % ) ; -; -- Combinational with no register ; 75 ; -; -- Register only ; 20 ; -; -- Combinational with a register ; 76 ; +; Total logic elements ; 174 / 240 ( 73 % ) ; +; -- Combinational with no register ; 76 ; +; -- Register only ; 21 ; +; -- Combinational with a register ; 77 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; ; -- 4 input functions ; 57 ; -; -- 3 input functions ; 42 ; -; -- 2 input functions ; 43 ; +; -- 3 input functions ; 47 ; +; -- 2 input functions ; 40 ; ; -- 1 input functions ; 8 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 155 ; +; -- normal mode ; 158 ; ; -- arithmetic mode ; 16 ; ; -- qfbk mode ; 7 ; ; -- register cascade mode ; 0 ; ; -- synchronous clear/load mode ; 23 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 96 / 240 ( 40 % ) ; -; Total LABs ; 21 / 24 ( 88 % ) ; +; Total registers ; 98 / 240 ( 41 % ) ; +; Total LABs ; 23 / 24 ( 96 % ) ; ; Logic elements in carry chains ; 17 ; ; Virtual pins ; 0 ; -; I/O pins ; 62 / 80 ( 78 % ) ; +; I/O pins ; 63 / 80 ( 79 % ) ; ; -- Clock pins ; 2 / 4 ( 50 % ) ; ; ; ; ; UFM blocks ; 1 / 1 ( 100 % ) ; @@ -186,12 +186,12 @@ The pin-out file can be found in D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/ ; Global signals ; 4 ; ; -- Global clocks ; 4 / 4 ( 100 % ) ; ; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 22.5% / 22.5% / 22.6% ; -; Peak interconnect usage (total/H/V) ; 22.5% / 22.5% / 22.6% ; -; Maximum fan-out ; 54 ; -; Highest non-global fan-out ; 40 ; -; Total fan-out ; 643 ; -; Average fan-out ; 2.75 ; +; Average interconnect usage (total/H/V) ; 22.7% / 24.7% / 20.6% ; +; Peak interconnect usage (total/H/V) ; 22.7% / 24.7% / 20.6% ; +; Maximum fan-out ; 55 ; +; Highest non-global fan-out ; 41 ; +; Total fan-out ; 660 ; +; Average fan-out ; 2.77 ; +---------------------------------------------+-----------------------+ @@ -203,13 +203,13 @@ The pin-out file can be found in D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/ ; CROW[0] ; 54 ; 2 ; 8 ; 1 ; 2 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; CROW[1] ; 55 ; 2 ; 8 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; Din[0] ; 42 ; 1 ; 5 ; 0 ; 0 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[1] ; 36 ; 1 ; 4 ; 0 ; 2 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[2] ; 35 ; 1 ; 3 ; 0 ; 0 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[3] ; 37 ; 1 ; 4 ; 0 ; 1 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[4] ; 39 ; 1 ; 5 ; 0 ; 3 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[5] ; 38 ; 1 ; 4 ; 0 ; 0 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[6] ; 41 ; 1 ; 5 ; 0 ; 1 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; Din[7] ; 40 ; 1 ; 5 ; 0 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[1] ; 36 ; 1 ; 4 ; 0 ; 2 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[2] ; 35 ; 1 ; 3 ; 0 ; 0 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[3] ; 37 ; 1 ; 4 ; 0 ; 1 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[4] ; 39 ; 1 ; 5 ; 0 ; 3 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[5] ; 38 ; 1 ; 4 ; 0 ; 0 ; 7 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[6] ; 41 ; 1 ; 5 ; 0 ; 1 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; Din[7] ; 40 ; 1 ; 5 ; 0 ; 2 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; MAin[0] ; 49 ; 1 ; 7 ; 0 ; 2 ; 5 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; MAin[1] ; 51 ; 1 ; 7 ; 0 ; 0 ; 6 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; MAin[2] ; 50 ; 1 ; 7 ; 0 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; @@ -220,10 +220,10 @@ The pin-out file can be found in D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/ ; MAin[7] ; 68 ; 2 ; 8 ; 3 ; 1 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; MAin[8] ; 73 ; 2 ; 8 ; 4 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; MAin[9] ; 74 ; 2 ; 8 ; 4 ; 0 ; 4 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; PHI2 ; 52 ; 2 ; 8 ; 1 ; 4 ; 21 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; RCLK ; 12 ; 1 ; 1 ; 3 ; 3 ; 54 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; PHI2 ; 52 ; 2 ; 8 ; 1 ; 4 ; 22 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; RCLK ; 12 ; 1 ; 1 ; 3 ; 3 ; 55 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nCCAS ; 53 ; 2 ; 8 ; 1 ; 3 ; 11 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; -; nCRAS ; 67 ; 2 ; 8 ; 3 ; 2 ; 15 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +; nCRAS ; 67 ; 2 ; 8 ; 3 ; 2 ; 16 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; ; nFWE ; 48 ; 1 ; 6 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVCMOS ; User ; no ; +---------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+----------------+ @@ -241,6 +241,7 @@ The pin-out file can be found in D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/ ; Dout[5] ; 28 ; 1 ; 2 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; Dout[6] ; 34 ; 1 ; 3 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; Dout[7] ; 43 ; 1 ; 6 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; +; LED ; 88 ; 2 ; 5 ; 5 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; ; RA[0] ; 18 ; 1 ; 1 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[10] ; 16 ; 1 ; 1 ; 2 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[11] ; 7 ; 1 ; 1 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; @@ -252,7 +253,7 @@ The pin-out file can be found in D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/ ; RA[6] ; 21 ; 1 ; 1 ; 1 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[7] ; 19 ; 1 ; 1 ; 1 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RA[8] ; 17 ; 1 ; 1 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; -; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; - ; - ; +; RA[9] ; 15 ; 1 ; 1 ; 2 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; - ; - ; ; RBA[0] ; 5 ; 1 ; 1 ; 4 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RBA[1] ; 14 ; 1 ; 1 ; 2 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; yes ; User ; 10 pF ; - ; - ; ; RCKE ; 8 ; 1 ; 1 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 4mA ; no ; User ; 10 pF ; - ; - ; @@ -270,14 +271,14 @@ The pin-out file can be found in D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/ +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; RD[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~2 ; - ; -; RD[2] ; 89 ; 2 ; 4 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[3] ; 99 ; 2 ; 2 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[4] ; 92 ; 2 ; 3 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[6] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; -; RD[7] ; 97 ; 2 ; 3 ; 5 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~2 ; - ; +; RD[0] ; 96 ; 2 ; 3 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~0 ; - ; +; RD[1] ; 90 ; 2 ; 4 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~0 ; - ; +; RD[2] ; 89 ; 2 ; 4 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~0 ; - ; +; RD[3] ; 99 ; 2 ; 2 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~0 ; - ; +; RD[4] ; 92 ; 2 ; 3 ; 5 ; 0 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~0 ; - ; +; RD[5] ; 91 ; 2 ; 4 ; 5 ; 2 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; yes ; User ; 10 pF ; comb~0 ; - ; +; RD[6] ; 95 ; 2 ; 3 ; 5 ; 1 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~0 ; - ; +; RD[7] ; 97 ; 2 ; 3 ; 5 ; 3 ; 1 ; 0 ; no ; no ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; 8mA ; no ; User ; 10 pF ; comb~0 ; - ; +-------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------------+-----------------+------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ @@ -287,7 +288,7 @@ The pin-out file can be found in D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/ ; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; +----------+-------------------+---------------+--------------+ ; 1 ; 38 / 38 ( 100 % ) ; 3.3V ; -- ; -; 2 ; 24 / 42 ( 57 % ) ; 3.3V ; -- ; +; 2 ; 25 / 42 ( 60 % ) ; 3.3V ; -- ; +----------+-------------------+---------------+--------------+ @@ -383,7 +384,7 @@ The pin-out file can be found in D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/ ; 85 ; 69 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; 86 ; 70 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; ; 87 ; 71 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 88 ; 72 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; +; 88 ; 72 ; 2 ; LED ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 89 ; 73 ; 2 ; RD[2] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; ; 90 ; 74 ; 2 ; RD[1] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; ; 91 ; 75 ; 2 ; RD[5] ; bidir ; 3.3-V LVCMOS ; ; Column I/O ; Y ; no ; Off ; @@ -421,9 +422,9 @@ Note: User assignments will override these defaults. The user specified values a +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2GS ; 171 (171) ; 96 ; 1 ; 62 ; 0 ; 75 (75) ; 20 (20) ; 76 (76) ; 17 (17) ; 7 (7) ; |RAM2GS ; RAM2GS ; work ; +; |RAM2GS ; 174 (174) ; 98 ; 1 ; 63 ; 0 ; 76 (76) ; 21 (21) ; 77 (77) ; 17 (17) ; 7 (7) ; |RAM2GS ; RAM2GS ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst ; UFM ; work ; -; |UFM_altufm_none_var:UFM_altufm_none_var_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_var:UFM_altufm_none_var_component ; UFM_altufm_none_var ; work ; +; |UFM_altufm_none_unv:UFM_altufm_none_unv_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component ; UFM_altufm_none_unv ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -441,6 +442,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Dout[5] ; Output ; -- ; ; Dout[6] ; Output ; -- ; ; Dout[7] ; Output ; -- ; +; LED ; Output ; -- ; ; RBA[0] ; Output ; -- ; ; RBA[1] ; Output ; -- ; ; RA[0] ; Output ; -- ; @@ -470,6 +472,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; RD[5] ; Bidir ; (0) ; ; RD[6] ; Bidir ; (0) ; ; RD[7] ; Bidir ; (0) ; +; nCRAS ; Input ; (0) ; ; MAin[0] ; Input ; (0) ; ; MAin[1] ; Input ; (0) ; ; MAin[2] ; Input ; (0) ; @@ -480,10 +483,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; MAin[7] ; Input ; (0) ; ; MAin[8] ; Input ; (0) ; ; MAin[9] ; Input ; (0) ; -; CROW[0] ; Input ; (1) ; -; nCRAS ; Input ; (0) ; -; CROW[1] ; Input ; (1) ; ; RCLK ; Input ; (0) ; +; nCCAS ; Input ; (0) ; +; CROW[0] ; Input ; (1) ; +; CROW[1] ; Input ; (1) ; ; PHI2 ; Input ; (0) ; ; Din[6] ; Input ; (1) ; ; nFWE ; Input ; (1) ; @@ -494,25 +497,25 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Din[2] ; Input ; (1) ; ; Din[3] ; Input ; (1) ; ; Din[5] ; Input ; (1) ; -; nCCAS ; Input ; (0) ; +---------+----------+---------------+ -+---------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ -; CmdDRDIn~0 ; LC_X5_Y3_N4 ; 4 ; Clock enable ; no ; -- ; -- ; -; CmdSubmitted~0 ; LC_X5_Y1_N0 ; 2 ; Clock enable ; no ; -- ; -- ; -; PHI2 ; PIN_52 ; 21 ; Clock ; yes ; Global Clock ; GCLK3 ; -; RCLK ; PIN_12 ; 54 ; Clock ; yes ; Global Clock ; GCLK0 ; -; Ready ; LC_X3_Y2_N5 ; 39 ; Sync. clear, Sync. load ; no ; -- ; -- ; -; always8~5 ; LC_X5_Y2_N9 ; 3 ; Clock enable ; no ; -- ; -- ; -; comb~2 ; LC_X4_Y4_N4 ; 8 ; Output enable ; no ; -- ; -- ; -; nCCAS ; PIN_53 ; 11 ; Clock ; yes ; Global Clock ; GCLK2 ; -; nCRAS ; PIN_67 ; 15 ; Clock ; yes ; Global Clock ; GCLK1 ; -+----------------+-------------+---------+-------------------------+--------+----------------------+------------------+ ++-----------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++------------+-------------+---------+-------------------------+--------+----------------------+------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; ++------------+-------------+---------+-------------------------+--------+----------------------+------------------+ +; CmdDRDIn~2 ; LC_X4_Y3_N9 ; 4 ; Clock enable ; no ; -- ; -- ; +; CmdLEDEN~1 ; LC_X3_Y1_N0 ; 3 ; Clock enable ; no ; -- ; -- ; +; DRDIn~1 ; LC_X3_Y1_N8 ; 2 ; Clock enable ; no ; -- ; -- ; +; PHI2 ; PIN_52 ; 22 ; Clock ; yes ; Global Clock ; GCLK3 ; +; RCLK ; PIN_12 ; 55 ; Clock ; yes ; Global Clock ; GCLK0 ; +; Ready ; LC_X5_Y3_N9 ; 40 ; Sync. clear, Sync. load ; no ; -- ; -- ; +; always8~7 ; LC_X5_Y2_N6 ; 3 ; Clock enable ; no ; -- ; -- ; +; comb~0 ; LC_X4_Y4_N4 ; 8 ; Output enable ; no ; -- ; -- ; +; nCCAS ; PIN_53 ; 11 ; Clock ; yes ; Global Clock ; GCLK2 ; +; nCRAS ; PIN_67 ; 16 ; Clock ; yes ; Global Clock ; GCLK1 ; ++------------+-------------+---------+-------------------------+--------+----------------------+------------------+ +----------------------------------------------------------------------+ @@ -520,10 +523,10 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+----------+---------+----------------------+------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; +-------+----------+---------+----------------------+------------------+ -; PHI2 ; PIN_52 ; 21 ; Global Clock ; GCLK3 ; -; RCLK ; PIN_12 ; 54 ; Global Clock ; GCLK0 ; +; PHI2 ; PIN_52 ; 22 ; Global Clock ; GCLK3 ; +; RCLK ; PIN_12 ; 55 ; Global Clock ; GCLK0 ; ; nCCAS ; PIN_53 ; 11 ; Global Clock ; GCLK2 ; -; nCRAS ; PIN_67 ; 15 ; Global Clock ; GCLK1 ; +; nCRAS ; PIN_67 ; 16 ; Global Clock ; GCLK1 ; +-------+----------+---------+----------------------+------------------+ @@ -532,62 +535,63 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------+--------------------+ ; Routing Resource Type ; Usage ; +-----------------------+--------------------+ -; C4s ; 142 / 784 ( 18 % ) ; -; Direct links ; 50 / 888 ( 6 % ) ; +; C4s ; 138 / 784 ( 18 % ) ; +; Direct links ; 43 / 888 ( 5 % ) ; ; Global clocks ; 4 / 4 ( 100 % ) ; ; LAB clocks ; 14 / 32 ( 44 % ) ; -; LUT chains ; 17 / 216 ( 8 % ) ; -; Local interconnects ; 263 / 888 ( 30 % ) ; -; R4s ; 127 / 704 ( 18 % ) ; +; LUT chains ; 16 / 216 ( 7 % ) ; +; Local interconnects ; 264 / 888 ( 30 % ) ; +; R4s ; 142 / 704 ( 20 % ) ; +-----------------------+--------------------+ +---------------------------------------------------------------------------+ ; LAB Logic Elements ; +--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 8.14) ; Number of LABs (Total = 21) ; +; Number of Logic Elements (Average = 7.57) ; Number of LABs (Total = 23) ; +--------------------------------------------+------------------------------+ ; 1 ; 2 ; -; 2 ; 1 ; -; 3 ; 0 ; -; 4 ; 0 ; +; 2 ; 2 ; +; 3 ; 1 ; +; 4 ; 1 ; ; 5 ; 0 ; -; 6 ; 2 ; +; 6 ; 1 ; ; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 14 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 13 ; +--------------------------------------------+------------------------------+ +-------------------------------------------------------------------+ ; LAB-wide Signals ; +------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 1.19) ; Number of LABs (Total = 21) ; +; LAB-wide Signals (Average = 1.22) ; Number of LABs (Total = 23) ; +------------------------------------+------------------------------+ -; 1 Clock ; 13 ; -; 1 Sync. clear ; 3 ; +; 1 Clock ; 16 ; +; 1 Clock enable ; 1 ; +; 1 Sync. clear ; 4 ; ; 1 Sync. load ; 1 ; -; 2 Clocks ; 8 ; +; 2 Clocks ; 6 ; +------------------------------------+------------------------------+ +----------------------------------------------------------------------------+ ; LAB Signals Sourced ; +---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 8.43) ; Number of LABs (Total = 21) ; +; Number of Signals Sourced (Average = 7.83) ; Number of LABs (Total = 23) ; +---------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 2 ; -; 2 ; 1 ; -; 3 ; 0 ; -; 4 ; 0 ; +; 2 ; 2 ; +; 3 ; 1 ; +; 4 ; 1 ; ; 5 ; 0 ; -; 6 ; 2 ; +; 6 ; 1 ; ; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 0 ; -; 10 ; 10 ; +; 8 ; 0 ; +; 9 ; 2 ; +; 10 ; 9 ; ; 11 ; 2 ; ; 12 ; 2 ; +---------------------------------------------+------------------------------+ @@ -596,50 +600,44 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------------------------------------------------------------------+ ; LAB Signals Sourced Out ; +-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 5.43) ; Number of LABs (Total = 21) ; +; Number of Signals Sourced Out (Average = 4.91) ; Number of LABs (Total = 23) ; +-------------------------------------------------+------------------------------+ ; 0 ; 0 ; ; 1 ; 2 ; -; 2 ; 2 ; -; 3 ; 4 ; -; 4 ; 0 ; -; 5 ; 2 ; -; 6 ; 3 ; -; 7 ; 2 ; -; 8 ; 3 ; -; 9 ; 0 ; -; 10 ; 3 ; +; 2 ; 4 ; +; 3 ; 3 ; +; 4 ; 2 ; +; 5 ; 1 ; +; 6 ; 2 ; +; 7 ; 5 ; +; 8 ; 2 ; +; 9 ; 2 ; +-------------------------------------------------+------------------------------+ -+-----------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 10.19) ; Number of LABs (Total = 21) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 4 ; -; 3 ; 1 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 2 ; -; 9 ; 0 ; -; 10 ; 3 ; -; 11 ; 0 ; -; 12 ; 3 ; -; 13 ; 3 ; -; 14 ; 2 ; -; 15 ; 1 ; -; 16 ; 0 ; -; 17 ; 0 ; -; 18 ; 1 ; -; 19 ; 0 ; -; 20 ; 0 ; -; 21 ; 1 ; -+----------------------------------------------+------------------------------+ ++----------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++---------------------------------------------+------------------------------+ +; Number of Distinct Inputs (Average = 9.09) ; Number of LABs (Total = 23) ; ++---------------------------------------------+------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 3 ; +; 3 ; 1 ; +; 4 ; 0 ; +; 5 ; 3 ; +; 6 ; 0 ; +; 7 ; 1 ; +; 8 ; 2 ; +; 9 ; 2 ; +; 10 ; 0 ; +; 11 ; 2 ; +; 12 ; 2 ; +; 13 ; 3 ; +; 14 ; 2 ; +; 15 ; 1 ; +; 16 ; 1 ; ++---------------------------------------------+------------------------------+ +-------------------------------------------------------------------------+ @@ -661,8 +659,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------+----------------------+-------------------+ ; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; +-----------------+----------------------+-------------------+ -; I/O ; RCLK ; 4.0 ; -; I/O ; nCRAS ; 3.0 ; +; I/O ; RCLK ; 3.1 ; +; I/O ; nCRAS ; 2.5 ; +-----------------+----------------------+-------------------+ Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. This will disable optimization of problematic paths and expose them for further analysis using the Timing Analyzer. @@ -673,9 +671,9 @@ This will disable optimization of problematic paths and expose them for further +-----------------+----------------------+-------------------+ ; Source Register ; Destination Register ; Delay Added in ns ; +-----------------+----------------------+-------------------+ -; nCCAS ; CBR ; 3.041 ; +; nCCAS ; CBR ; 2.469 ; ; PHI2 ; PHI2r ; 1.523 ; -; nCRAS ; RASr ; 1.214 ; +; nCRAS ; RASr ; 0.358 ; +-----------------+----------------------+-------------------+ Note: This table only shows the top 3 path(s) that have the largest delay added for hold. @@ -710,16 +708,17 @@ Info (332111): Found 6 clocks Info (332111): 1.000 PHI2 Info (332111): 1.000 RCLK Info (186079): Completed User Assigned Global Signals Promotion Operation -Info (186215): Automatically promoted signal "RCLK" to use Global clock in PIN 12 File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 34 +Info (186215): Automatically promoted signal "RCLK" to use Global clock in PIN 12 File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 40 Info (186216): Automatically promoted some destinations of signal "PHI2" to use Global clock File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 7 Info (186217): Destination "PHI2r" may be non-global or may not use global clock File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 13 Info (186228): Pin "PHI2" drives global clock, but is not placed in a dedicated clock pin position File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 7 Info (186216): Automatically promoted some destinations of signal "nCRAS" to use Global clock File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 10 + Info (186217): Destination "LED~0" may be non-global or may not use global clock File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 21 Info (186217): Destination "RASr" may be non-global or may not use global clock File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 14 Info (186228): Pin "nCRAS" drives global clock, but is not placed in a dedicated clock pin position File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 10 Info (186216): Automatically promoted some destinations of signal "nCCAS" to use Global clock File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 10 Info (186217): Destination "CBR" may be non-global or may not use global clock File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 17 - Info (186217): Destination "comb~2" may be non-global or may not use global clock + Info (186217): Destination "comb~0" may be non-global or may not use global clock Info (186217): Destination "CASr" may be non-global or may not use global clock File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 15 Info (186228): Pin "nCCAS" drives global clock, but is not placed in a dedicated clock pin position File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 10 Info (186079): Completed Auto Global Promotion Operation @@ -727,7 +726,7 @@ Info (176234): Starting register packing Info (186468): Started processing fast register assignments Info (186469): Finished processing fast register assignments Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:00 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. Info (170189): Fitter placement preparation operations beginning Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 @@ -735,8 +734,8 @@ Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 19% of the available device resources - Info (170196): Router estimated peak interconnect usage is 19% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 +Info (170195): Router estimated average interconnect usage is 18% of the available device resources + Info (170196): Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X0_Y0 to location X8_Y5 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 @@ -746,8 +745,8 @@ Warning (169174): The Reserve All Unused Pins setting has not been specified, an Info (144001): Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.fit.smsg Info: Quartus Prime Fitter was successful. 0 errors, 4 warnings Info: Peak virtual memory: 5346 megabytes - Info: Processing ended: Sat Aug 12 18:54:26 2023 - Info: Elapsed time: 00:00:02 + Info: Processing ended: Sun Aug 13 01:12:53 2023 + Info: Elapsed time: 00:00:01 Info: Total CPU time (on all processors): 00:00:02 diff --git a/CPLD/MAXII/output_files/RAM2GS.fit.summary b/CPLD/MAXII/output_files/RAM2GS.fit.summary index 8e1ecb6..d0ac43e 100644 --- a/CPLD/MAXII/output_files/RAM2GS.fit.summary +++ b/CPLD/MAXII/output_files/RAM2GS.fit.summary @@ -1,11 +1,11 @@ -Fitter Status : Successful - Sat Aug 12 18:54:26 2023 +Fitter Status : Successful - Sun Aug 13 01:12:53 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2GS Top-level Entity Name : RAM2GS Family : MAX II Device : EPM240T100C5 Timing Models : Final -Total logic elements : 171 / 240 ( 71 % ) -Total pins : 62 / 80 ( 78 % ) +Total logic elements : 174 / 240 ( 73 % ) +Total pins : 63 / 80 ( 79 % ) Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII/output_files/RAM2GS.flow.rpt b/CPLD/MAXII/output_files/RAM2GS.flow.rpt index 7aae449..d02ea55 100644 --- a/CPLD/MAXII/output_files/RAM2GS.flow.rpt +++ b/CPLD/MAXII/output_files/RAM2GS.flow.rpt @@ -1,5 +1,5 @@ Flow report for RAM2GS -Sat Aug 12 18:54:29 2023 +Sun Aug 13 01:12:57 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -41,15 +41,15 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------+ ; Flow Summary ; +-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Sat Aug 12 18:54:27 2023 ; +; Flow Status ; Successful - Sun Aug 13 01:12:55 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; ; Family ; MAX II ; ; Device ; EPM240T100C5 ; ; Timing Models ; Final ; -; Total logic elements ; 171 / 240 ( 71 % ) ; -; Total pins ; 62 / 80 ( 78 % ) ; +; Total logic elements ; 174 / 240 ( 73 % ) ; +; Total pins ; 63 / 80 ( 79 % ) ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +-----------------------+---------------------------------------------+ @@ -60,7 +60,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 08/12/2023 18:54:15 ; +; Start date & time ; 08/13/2023 01:12:42 ; ; Main task ; Compilation ; ; Revision Name ; RAM2GS ; +-------------------+---------------------+ @@ -71,7 +71,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------+---------------------------------+---------------+-------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +---------------------------------------+---------------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 207120313862967.169188085502776 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 207120313862967.169190356209448 ; -- ; -- ; -- ; ; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; ; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; ; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; @@ -85,11 +85,11 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:09 ; 1.0 ; 4700 MB ; 00:00:21 ; -; Fitter ; 00:00:02 ; 1.0 ; 5346 MB ; 00:00:02 ; -; Assembler ; 00:00:00 ; 1.0 ; 4661 MB ; 00:00:00 ; +; Analysis & Synthesis ; 00:00:09 ; 1.0 ; 4702 MB ; 00:00:22 ; +; Fitter ; 00:00:01 ; 1.0 ; 5346 MB ; 00:00:02 ; +; Assembler ; 00:00:01 ; 1.0 ; 4662 MB ; 00:00:00 ; ; Timing Analyzer ; 00:00:01 ; 1.0 ; 4676 MB ; 00:00:01 ; -; Total ; 00:00:12 ; -- ; -- ; 00:00:24 ; +; Total ; 00:00:12 ; -- ; -- ; 00:00:25 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/CPLD/MAXII/output_files/RAM2GS.map.rpt b/CPLD/MAXII/output_files/RAM2GS.map.rpt index 796fd17..58e6867 100644 --- a/CPLD/MAXII/output_files/RAM2GS.map.rpt +++ b/CPLD/MAXII/output_files/RAM2GS.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for RAM2GS -Sat Aug 12 18:54:23 2023 +Sun Aug 13 01:12:51 2023 Quartus Prime Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition @@ -46,13 +46,13 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sat Aug 12 18:54:23 2023 ; +; Analysis & Synthesis Status ; Successful - Sun Aug 13 01:12:51 2023 ; ; Quartus Prime Version ; 19.1.0 Build 670 09/22/2019 SJ Lite Edition ; ; Revision Name ; RAM2GS ; ; Top-level Entity Name ; RAM2GS ; ; Family ; MAX II ; -; Total logic elements ; 180 ; -; Total pins ; 62 ; +; Total logic elements ; 183 ; +; Total pins ; 63 ; ; Total virtual pins ; 0 ; ; UFM blocks ; 1 / 1 ( 100 % ) ; +-----------------------------+---------------------------------------------+ @@ -145,15 +145,15 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------------------+---------+ -; ../RAM2GS.mif ; yes ; User Memory Initialization File ; D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS.mif ; ; -; ../RAM2GS-MAX.v ; yes ; User Verilog HDL File ; D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v ; ; -; UFM.v ; yes ; User Wizard-Generated File ; D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v ; ; -+----------------------------------+-----------------+----------------------------------+-------------------------------------------------------+---------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------+---------+ +; ../RAM2GS.mif ; yes ; User Memory Initialization File ; D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/RAM2GS.mif ; ; +; ../RAM2GS-MAX.v ; yes ; User Verilog HDL File ; D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v ; ; +; UFM.v ; yes ; User Wizard-Generated File ; D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v ; ; ++----------------------------------+-----------------+----------------------------------+-----------------------------------------------------------+---------+ +-----------------------------------------------------+ @@ -161,34 +161,34 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------+ ; Resource ; Usage ; +---------------------------------------------+-------+ -; Total logic elements ; 180 ; -; -- Combinational with no register ; 84 ; -; -- Register only ; 29 ; -; -- Combinational with a register ; 67 ; +; Total logic elements ; 183 ; +; -- Combinational with no register ; 85 ; +; -- Register only ; 30 ; +; -- Combinational with a register ; 68 ; ; ; ; ; Logic element usage by number of LUT inputs ; ; ; -- 4 input functions ; 57 ; -; -- 3 input functions ; 42 ; -; -- 2 input functions ; 43 ; +; -- 3 input functions ; 47 ; +; -- 2 input functions ; 40 ; ; -- 1 input functions ; 8 ; ; -- 0 input functions ; 1 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 164 ; +; -- normal mode ; 167 ; ; -- arithmetic mode ; 16 ; ; -- qfbk mode ; 0 ; ; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 9 ; +; -- synchronous clear/load mode ; 10 ; ; -- asynchronous clear/load mode ; 0 ; ; ; ; -; Total registers ; 96 ; +; Total registers ; 98 ; ; Total logic cells in carry chains ; 17 ; -; I/O pins ; 62 ; +; I/O pins ; 63 ; ; UFM blocks ; 1 ; ; Maximum fan-out node ; RCLK ; -; Maximum fan-out ; 54 ; -; Total fan-out ; 644 ; -; Average fan-out ; 2.65 ; +; Maximum fan-out ; 55 ; +; Total fan-out ; 661 ; +; Average fan-out ; 2.68 ; +---------------------------------------------+-------+ @@ -197,9 +197,9 @@ https://fpgasoftware.intel.com/eula. +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+---------------------+--------------+ ; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+---------------------+--------------+ -; |RAM2GS ; 180 (180) ; 96 ; 1 ; 62 ; 0 ; 84 (84) ; 29 (29) ; 67 (67) ; 17 (17) ; 0 (0) ; |RAM2GS ; RAM2GS ; work ; +; |RAM2GS ; 183 (183) ; 98 ; 1 ; 63 ; 0 ; 85 (85) ; 30 (30) ; 68 (68) ; 17 (17) ; 0 (0) ; |RAM2GS ; RAM2GS ; work ; ; |UFM:UFM_inst| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst ; UFM ; work ; -; |UFM_altufm_none_var:UFM_altufm_none_var_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_var:UFM_altufm_none_var_component ; UFM_altufm_none_var ; work ; +; |UFM_altufm_none_unv:UFM_altufm_none_unv_component| ; 0 (0) ; 0 ; 1 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 (0) ; |RAM2GS|UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component ; UFM_altufm_none_unv ; work ; +-----------------------------------------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+------------------------------------------------------------------------+---------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -218,12 +218,12 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 96 ; +; Total registers ; 98 ; ; Number of registers using Synchronous Clear ; 6 ; -; Number of registers using Synchronous Load ; 3 ; +; Number of registers using Synchronous Load ; 4 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 8 ; +; Number of registers using Clock Enable ; 11 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -246,7 +246,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM2GS|S[0] ; +; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM2GS|S[1] ; +; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |RAM2GS|CmdLEDEN ; ; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |RAM2GS|C1Submitted ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------+ @@ -269,42 +270,41 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis Info: Version 19.1.0 Build 670 09/22/2019 SJ Lite Edition - Info: Processing started: Sat Aug 12 18:54:14 2023 + Info: Processing started: Sun Aug 13 01:12:42 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off RAM2GS-MAXII -c RAM2GS Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (20030): Parallel compilation is enabled and will use 4 of the 4 processors detected Info (12021): Found 1 design units, including 1 entities, in source file /onedrive/documents/github/ram2gs/cpld/ram2gs-max.v Info (12023): Found entity 1: RAM2GS File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 1 Info (12021): Found 2 design units, including 2 entities, in source file ufm.v - Info (12023): Found entity 1: UFM_altufm_none_var File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 47 - Info (12023): Found entity 2: UFM File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 154 + Info (12023): Found entity 1: UFM_altufm_none_unv File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 47 + Info (12023): Found entity 2: UFM File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 150 Info (12127): Elaborating entity "RAM2GS" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(154): truncated value with size 32 to match size of target (2) File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 154 -Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(159): truncated value with size 32 to match size of target (18) File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 159 -Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(286): truncated value with size 32 to match size of target (4) File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 286 -Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 83 -Info (12128): Elaborating entity "UFM_altufm_none_var" for hierarchy "UFM:UFM_inst|UFM_altufm_none_var:UFM_altufm_none_var_component" File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 205 -Warning (10649): Verilog HDL Display System Task warning at UFM.v(145): Memory initialization file RAM2GS.mif is not found. This may result in inconsistent simulation results. File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 145 -Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 21 -Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 21 -Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 21 -Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 21 -Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 21 -Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 21 -Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 21 -Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 21 -Info (21057): Implemented 243 device resources after synthesis - the final resource count might be different +Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(162): truncated value with size 32 to match size of target (2) File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 162 +Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(167): truncated value with size 32 to match size of target (18) File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 167 +Warning (10230): Verilog HDL assignment warning at RAM2GS-MAX.v(294): truncated value with size 32 to match size of target (4) File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 294 +Info (12128): Elaborating entity "UFM" for hierarchy "UFM:UFM_inst" File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 90 +Info (12128): Elaborating entity "UFM_altufm_none_unv" for hierarchy "UFM:UFM_inst|UFM_altufm_none_unv:UFM_altufm_none_unv_component" File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 201 +Warning (14632): Output pin "Dout[0]" driven by bidirectional pin "RD[0]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 26 +Warning (14632): Output pin "Dout[1]" driven by bidirectional pin "RD[1]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 26 +Warning (14632): Output pin "Dout[2]" driven by bidirectional pin "RD[2]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 26 +Warning (14632): Output pin "Dout[3]" driven by bidirectional pin "RD[3]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 26 +Warning (14632): Output pin "Dout[4]" driven by bidirectional pin "RD[4]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 26 +Warning (14632): Output pin "Dout[5]" driven by bidirectional pin "RD[5]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 26 +Warning (14632): Output pin "Dout[6]" driven by bidirectional pin "RD[6]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 26 +Warning (14632): Output pin "Dout[7]" driven by bidirectional pin "RD[7]" cannot be tri-stated File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 26 +Info (21057): Implemented 247 device resources after synthesis - the final resource count might be different Info (21058): Implemented 25 input pins - Info (21059): Implemented 29 output pins + Info (21059): Implemented 30 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 180 logic cells + Info (21061): Implemented 183 logic cells Info (21070): Implemented 1 User Flash Memory blocks Info (144001): Generated suppressed messages file D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/output_files/RAM2GS.map.smsg -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 13 warnings - Info: Peak virtual memory: 4700 megabytes - Info: Processing ended: Sat Aug 12 18:54:23 2023 +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 12 warnings + Info: Peak virtual memory: 4702 megabytes + Info: Processing ended: Sun Aug 13 01:12:51 2023 Info: Elapsed time: 00:00:09 - Info: Total CPU time (on all processors): 00:00:21 + Info: Total CPU time (on all processors): 00:00:22 +------------------------------------------+ diff --git a/CPLD/MAXII/output_files/RAM2GS.map.smsg b/CPLD/MAXII/output_files/RAM2GS.map.smsg index 65b2829..b2799f0 100644 --- a/CPLD/MAXII/output_files/RAM2GS.map.smsg +++ b/CPLD/MAXII/output_files/RAM2GS.map.smsg @@ -1,3 +1,3 @@ -Warning (10273): Verilog HDL warning at RAM2GS-MAX.v(52): extended using "x" or "z" File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 52 +Warning (10273): Verilog HDL warning at RAM2GS-MAX.v(59): extended using "x" or "z" File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/RAM2GS-MAX.v Line: 59 Warning (10463): Verilog HDL Declaration warning at UFM.v(73): "program" is SystemVerilog-2005 keyword File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 73 -Warning (10463): Verilog HDL Declaration warning at UFM.v(177): "program" is SystemVerilog-2005 keyword File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 177 +Warning (10463): Verilog HDL Declaration warning at UFM.v(173): "program" is SystemVerilog-2005 keyword File: D:/OneDrive/Documents/GitHub/RAM2GS/CPLD/MAXII/UFM.v Line: 173 diff --git a/CPLD/MAXII/output_files/RAM2GS.map.summary b/CPLD/MAXII/output_files/RAM2GS.map.summary index cc099da..e701717 100644 --- a/CPLD/MAXII/output_files/RAM2GS.map.summary +++ b/CPLD/MAXII/output_files/RAM2GS.map.summary @@ -1,9 +1,9 @@ -Analysis & Synthesis Status : Successful - Sat Aug 12 18:54:23 2023 +Analysis & Synthesis Status : Successful - Sun Aug 13 01:12:51 2023 Quartus Prime Version : 19.1.0 Build 670 09/22/2019 SJ Lite Edition Revision Name : RAM2GS Top-level Entity Name : RAM2GS Family : MAX II -Total logic elements : 180 -Total pins : 62 +Total logic elements : 183 +Total pins : 63 Total virtual pins : 0 UFM blocks : 1 / 1 ( 100 % ) diff --git a/CPLD/MAXII/output_files/RAM2GS.pin b/CPLD/MAXII/output_files/RAM2GS.pin index b0122be..f7e2045 100644 --- a/CPLD/MAXII/output_files/RAM2GS.pin +++ b/CPLD/MAXII/output_files/RAM2GS.pin @@ -150,7 +150,7 @@ GND* : 84 : : : GND* : 85 : : : : 2 : GND* : 86 : : : : 2 : GND* : 87 : : : : 2 : -GND* : 88 : : : : 2 : +LED : 88 : output : 3.3-V LVTTL : : 2 : Y RD[2] : 89 : bidir : 3.3-V LVCMOS : : 2 : Y RD[1] : 90 : bidir : 3.3-V LVCMOS : : 2 : Y RD[5] : 91 : bidir : 3.3-V LVCMOS : : 2 : Y diff --git a/CPLD/MAXII/output_files/RAM2GS.pof b/CPLD/MAXII/output_files/RAM2GS.pof index a55ec1ecd8c156382b17be975a5be290d0802eff..a95b1e8532232a02fe05e7c333df654096ed1d09 100644 GIT binary patch literal 7861 zcmeHMe{59Ol^%zLBnse-wrJC|ELNmVRaFTNZbB(Clie(lx(x}Nl%TdUqojB&HVN(m zW`fN4lCFx`0BkXz4shN46 zd2{dC@7(*wnAL8j{;PbH4BVxaZt^$KLYT!?9SbC3dssod;9jZ zZP~r^xo&*#?b-d*bI*0}ZhNwO_nw`-yV~wsfA4+wwzvI7-_B=uv^}t{y{&!ynzd`! ztZlz-PjGW<)GZOW%Jt4wtwlq_V$PFk1dJailwc)_U_#K zZ1;}XP0-=5+hfb_G(YgSx7j64L@GWsb%ID#_G{8qm>QoV(g#iy6l6y3lh7{o?A%>g z2d0EI%=bV~tGRIK;RaEf;&aTTzCK4)BNvuOKq(BULTdsY_*(VO*>#e3ltdoLl)e-g zyD1VDPj(&<4G`nO6Zn)?VT8xr*ajgoX@z8OEYVxx@ttgb* z4MrSdUPmeEFP4)k8vb4@C(4nIB7k2Mdd6R)&;B|_ zub*733&@d7VtwX=oI*`*m=1}GnDBbhu19j=X__V_DB-ypaX=plU_HjCg8+Do(?6dx z_=P#ID_QpF;yD%i;H?tU3Y-YlWHWzZy-M;N=RIG?zG4JET;Q)sR}*+S{z4eZC8?~% zAE{SJ`;=1C%72oG2!AJd#VQ86KA7AN-qihDd@>TK*;vk29hg zltbq$!RlSRv@mj4+7bA&z`pwO*-pPe#bZreP-VTM;h%d;)1_nOvkm`@iul*`mz(y} zpTDv2>fe2PSKpHPPaS%()`~2VZf(DcI$e_o-id$gG4Gq-=(+#j>YsV_myiqOp|$;= zfj9ZdJ#e>M>iOfZ-`~=prGGRZH@vMKmobhYWu!LTy}H!XKim0Jau?)lI%XjcP5;I( zkH3?eDvdwB92}hJNWGC69E2wv&)CeXPba-@@6oqLKge%zUOAU7W;-4l z&SrfRUv?6G4Lz5F2jCmBiO%pf{7+lFd&ShdLVuaM3{j5(IoNM24}}hT$?+XJGal8K zKSC;(HFDIQYt8`>YE(M=t=*6uS)n}qyGWC$N+0Wiz#M(U{hPpC8aa=!6R3QZwmT;O z{Te#pG5mJKd1U6jSii*Ul?Azv{uMN%iiGYs3;D<*9LFm#$GL;kAb;K$z^N+P{RdAZ zhu;F{o8&p{hOX@wmV+PhRh944Mg|-I1vxp+B+D~3q^-d?kMqoU8V*ezu-weaR|%r+ zGMb|o)i~z?n*csfaJK)>LB z6c4E`>%XESR7E`FeUsof`;Wt;LAfE$JM`-mKS}jeWu)X!#FfRr6Xu+!W{Rfn#NSNl z*26D$;tywe_IBc_21#`|lh2m*v~^y(hrmb^1>p^U_=1 zUisjUZ(I62~Co8TK*j6Q6DOjS7D#;L-xz{BYUVM_@9~tnxHwE@_63# zFTo*ER!O4#KE@FZJj0{CpLmXV;5{xG9Ab(b+j;d)IM)YTS|Bp95U)QT_no zd?~Jr?Y+D%NoP@q*b~O{LBHUq!O=*SYOoT@BIIlzn*xGMVHKPX4g`&+HR}eCxjAWkX$qekhxGoG;gxvv*>MMoVU$?N$ z)Hg(0Fn>TN$oDVy;~8*Pg*meQH(YsRnf}@+sltJqb<2t+=`eP1^oI7?~Anj1aI{k2Oh)KwMr*=2Z1*!Q*JLw!S_M-0EoZ)8W7QzW&kQI`Sw$@G_31V-Vl zXnDYoT2Tsq4&nX;u(@tVS5px<)EE2%&ix;9a-r`dFN%tY$02b&9;cnAUX9MWR0JMz zUoQt=9&5wQ&-nly+xbnssmJ+uZQg9YOnsbQ#8VA~t0Zueq?P3fRiYV{u#g;rHtd zZI#JBCrBfQ`|SQ0c~^ut??%6OMCr+I=j}dUXFs!>{JfN1xyg9NbFbNdh2w+mO)LL$ zD!Jpp^6o3o#||avZPbg25Bp`#r<-DLPA|Q&vAlb}*0MA~vt}K#;V3_wl5e`n9p_hd zHzxn#Wl8+LH28Gn-&^8Mci_Nz;3s2C4;y(D-`Ln_PqO4Z^2tK7C%z~1AICEjdph37 z`!n+2^NwEeyv@lKZ$0{GzGM8K{`IxuhU^n~e;T~uhySpLdqoITkAr^W_h&PI0{g{s z9s@qVHTDU|Y*UW<=c@I{Lv@u^Z;Ib%c=wpPZpH~-Y4JGs@EI9=1`j!}n>n7R(Ye2v zA9d%N`@oI#^njEL_XBvY^`G{kx!{-KM>oL_oTsQbT?9WLFOfY=joqkT5tVE3c_|Rl zVjjM?c+Q_cB}VWqlH2oy@0paZkW27~D)A%xK56=cGyWY{@keyXC*cqCMD~$8yAHeL z+I2aj**{ZX7}LNT-i8{`XZZZMGI9p%$RFQd8gW4$VlK0qa{8i_baD~Ci}6a*W~?Cy zyie`tzpW(jd4v7HzLH2G(`*OFSkZp|Bbus8++IWy{ROE=X_qb7`wQ##eoW&B_=3EI zI(sSDf7Z;QPZfSop+99&^{4hzO;oEiuNsF~ANQkhy+oRJo@RYHJw8uY^`NGV3|)S| zfj^25?+usZjc2v^e(<*s89$L9@r(Rd6{Ro#?AXR!p}pnugIo1YvDsTs>^%8h;4374 zp}Q2V|Lle18#^z=?s@*tA%A^-<*%MH@6R9B#aJn!_qW{ffq4A!`3^N-tLbdZ?8m=% z%gG|}h}Xz(y83~5@^QW73-cepqxxEGc5rsJzGCEKn@3a0(wE=M`1?{KHTYp>@ZZ<{ z%day|#{8b5M&1WU|7&LEr!U{K>8`szkN*2yNAcA0FMfLPHS#|6@)y`bGJ`_ z#_(DkQpfdg?;VWzJ&ob9abUf$c%COoYVLl6xBUnG0PhT79D{SoDWjh8v&}E`DUjM# zk)N1L8~-bH+BeSo!Chydd zJUh4QhReWl{O^s8PT~G@`14=9!~Fhb-JbVzr{0a^6S)6ocD?lCEl2LY>)i2i{{73T z^)a18%!vJzeD)@Tk z`uYzTrzDBB;S|RKtx)Fz7bE+}{^N7h0Px`@%(-6r@lLesRv+gxp&kW0NZ_})CcZh= zDZuAr>(AoR=7HB2>KOHVJ%6Nc@X$AX+T?AH*LbeC|NE~aPyeT%h(G?j__-eW7Hs-2 zlGQ?kR8NWf-7Uk}It|r2=YCfn{x|;k(8Avb8dm=M#?{QzN8|PR{r}3x9kFFMF8oEP ejsGI_(bpet1}K3e&mFUN=u>Xi)6ecqlN=lSne-K3uOU#=a0vQ8l=9$9G!}I3e zv%hoS8+#z}-}^^{;<>)(<99ypIp^MqANcOQu~@7jHs5}J2cHjad2G|>El;*SuxZ`; zHTc}PcGF|)*RR>s`p}w9Pp;dzp>^rX+m_z8r1k4t);;lf>+%&#T9>T6ec7_xmn~Vk zvh{o4ZoPlq<~6PNJicx-WMh95TL{Q|9{A3(e_HbWrAwB4<14XG#6Ahq>J6LMZGK|S z=;eU%_*Z;l!g+Gq5ONNMaynmvPNR9&A)oM!?`i#GFT^M5TR!;!0Um?l zQwP3?^h3EcQ4%tm1oj^6ME=#SMaxfxc!?mw59Lb!yYS}&=#QzSOi%{4EWSvDa)RZ2 z8OG@X-@DpADae}xuolQ80?ExxM<;s5zE z;~!u;uRidDqSfDD+29TMYDh~>#v^3#1)S6}}ldY`73%PGWh-AVWb|0?o@ z1D|O8!%csh_LGf2Xjpjmy#?jF)ztcDj@{k|eun6}{Jak)#*SRMuJL#O+_3PehEFcP zyXE5Qn~sSA@C(bEsz=u@f9A7aGff?hcP!0JU)){3e0i!le`=8N3wJhE^AnFh`sGJW z)6w~NEN#EC=;q~@t5fZlPNj2fpN58ZCA#zOaPMe&c}Jpms;8&eCQj=;J;*5dB7b4W zqs24BXYL=%zy9mC;k_@vI+AX?Z)ZAf@m-vm37#aaXd=0S@v6%BW};wR9pd|_gT#Iv zAfG~}G<6A+q_Vz%ez*2ruPC6`pQDFH75au`4jfmZUckq(!w=8b5_S^8M}8@X>eiaj z8C*XB@I_h&C6*UVE~8}}-?Mz+f$meuD^V^TaNh_+O0#}w-|>y&xrIFn6!k+nFftUK zAFLZmzMC-8_`!66QhpY)8Ps?Vt0sZ|@`R&&`q8+;o+z(9%r6z`|i`C>RMllYc?nQ1*(z@z4Qe+Su5$@qgtp+BHoETcc+>jCROJ&d{h8tQ|#dhnO^@q5O+8~QJ& z5l=d;CF4|VoMkyqx)jxiIGsQ>oYB(rjCX_FZ!%P#p3s>~{{ng7RjQ+TxmE#RZS{kq zTk`%hDNm5&sGPl@B+^a?(|V#Ri$5gz5C0yfz#SO0`knEMN3zd7+N<9_^t0~IEQmFq zy(LzD@b1b&bISIgv!!&z=wo~IjfY+Uzp44DhS<>uW0jjuHJB{yyJFdb;{4zA>xm{K)KbIkAVSWpzBFX+!Re?imsYD?^Bs$r`6-FZBhNy`g|kNVwbhEM)_ zw0rGciQdyE+>xKWa=N+wt-$)9Jahkn_b-eTR;_wz)d>3UH^1l^xpU8bUtal3t6$hn z<2VoGH3;z#Rgw~ZLE#c*d?LkjH-q1eFZ@n)G}ANtGd6xrdF&?xJwS3mvAm$!6F2tsl;#kAC7gMxO28fqu+Kwu2uHJQMh|MdIG~1Zho~EYl}LzC&-DynjM`g{tFa zQmM`>yOOuUVKZ#ytA=IjW5#F!k>si-g6!k1FWC%-iYV>Uxa?dzhe32_J)?o|C2-aChpDO zGqiK0yF0lQ_h0i3H?|$oCtmFe{U3Q^*Zaj{VdVNhcdzQWvv=Peua4|V-?x2FI<&6> z>f44mWt{VlTc*f!80VU^d`%^emkM59B`GOgQaB0J8{S$>2UBqNkQmC^DIEl5nWjv9 zJkrPcv79%lutHyIBe-5CE!`y(;)9aiD)1R>G?b#u+qLuObAdj7YHOa-xJN~_=hFk9 z`_XvtfD}e-ojmS0Olg-=*8dV^1mu+V)HM1$a7Zdcz!z4J_CBqkr)UfbDwA|vxQe{P6MTIu9Qjwfz5W$leh9;Z$?-SN44Oef8&uP5g7)9dl^3@#ZfqomW|Oy5mo8 zUew7&R$s^OZ_3Mm_+UM~KHAuLc1iPu-mLzVzo+Bd@i&cz@>7cYbeY&!?^bHje?}d|P}Ygr5oU zuExkMkc#lb?_vBt;+xS$8gfEZG!EP5mk9Y&+R2j2;#_T=P2wFANXswP?p0wt@L3=8 zS>ikfBR={9v;scxz6ISg?@X3T?Eyve19ZGUHHC6`H(5RGqg96UTH3u~dKo1#%ZGmo zbpYQ&91j!5?kQ(i2^{oEckdO*Zi=1#N)?la)b`WN*dw5*&2PCVid9smvg2%MK&hZE)lyaD{|eVX;)7nJay z!u;S;W<2};ob5m6OQy?Q$dBHi=*Qzk)1XCqSFND?rYrOp{4VITsd{;7HY&!odeiv- z74`9V3K5tTN&b?GQQqYeDV}GLS1dP;vp4AfNPiIP7whr&9^4(zKlj#jdsQIrc+0ci z;!iDy-Z{kg3#=u%`$&HKTR&S_t;h&FocX#|_&&8hJ|88qL-P_Y+ z-=EX+`R8|h`_|u{yRc#Ge6i!xz58B%wJ)8%?~mzpx)w(zu=T;`=3OL{V|v}YM)IEH z&w-CMy#H|MOoyL{3;DzQjd2_bm~J%Qip;n50Y3BuH^sEkYzM!F{R{p~y<~~&gY`5y z`2NBA;l6&wGcTmu^U);8C?XfM8F_KdKI~ucxsPF2lFrP?U#pKjcptXQ_;wD=!RL5t zd{L{HIdJB}uhHd<-ZlLne{8aleRJ3oBV_Fr4?#h#v#wzi4h6Fm{XSlo4< z-=DXQ6^q%lyZ2x^mrj3UU-bU8d1C+32X+3}_g|PFS+hq;)US;rlo!H~BF76PCzbIk z7{^#`yfgJN=-2WL`B08mo1eNp^o$YA=Wp{?F6>V=W1lKQSUV98<^lhI7q(lg|EumV z+{QI~5npbL3<2zc-uUYvTKU{&&^Jhlx!}2xz;rGRlz_I$Ee`J4tg#0yrUhB`* z`&oZ@g3aY8=4SkAy{ql=9N}9!tWV6h?(%4r^x8c4tKxS`h5D!4FU^HN7d_rT^Y;PV zCA0W*$$yw$i|^z0>ht^m%Ev9S>;HDPKP-JPdnZ zfbarr+`94+d;sgmZ|2?_S_uSF77)+LoS8Xy?wpx9|2y|yR>N^suT|BC`dZZob<|S} zt;=3rF-93^MlZCYC(7wP+8jKm+j^>H_6mBWX9|&)^)j`%gwD( z@D3HN5q-}hGPfSE$&6VF88ag{sjGFEkp*UyrN&KST|do9UJsny@SwU!hl<@lp-!=7 zQQRWOPMYJJle@_UU%%`R@5+v$hFf_4mdJ6jp>+lL!UW1#wiT?xewS0nImJtw<>GTqt<$*sp5Y3S23dL`8rj5~S%=B=x!}i61 zi9zMmFu4N0VtsUoKBQVr{}_5!$+4a9NYi&gm|cPoTEZ_QQ@o2?-zxCKD)T**=t33xPv~7N>0qP3JnLOa v-zs6Z=-sWsI}6Cw1HZgg-2d%Xc9iv=|Gy}!`WZA7cl&@UZ$Z~xlg9KvQUiB> literal 0 HcmV?d00001 diff --git a/CPLD/MAXV/UFM.v b/CPLD/MAXV/UFM.v index be7c3d0..b863c22 100644 --- a/CPLD/MAXV/UFM.v +++ b/CPLD/MAXV/UFM.v @@ -140,10 +140,6 @@ module UFM_altufm_none_38r ufm_osc = wire_maxii_ufm_block1_osc, ufm_oscena = oscena, ufm_program = program; - initial/*synthesis enable_verilog_initial_construct*/ - begin - $display("Warning: Memory initialization file RAM2GS.mif is not found. This may result in inconsistent simulation results."); - end endmodule //UFM_altufm_none_38r //VALID FILE diff --git a/CPLD/MAXV/db/RAM2GS.(0).cnf.cdb b/CPLD/MAXV/db/RAM2GS.(0).cnf.cdb index b818a9750fa9b6cd55cac5178a72a48413c146ac..aca770c8ce957a72db58b88934f86e7656180a16 100644 GIT binary patch literal 20609 zcmYg%1yCG8*DVs*_c_siaA?Yn|$Ts zX8p>_%fimi!p_RZ`&C8ytE`2S=~oF83#X6RW*V6PTtZR)KLu1T?0?Juv3`pG_1&OP z+A+$KG=TfAay4gtatDmV(@Z5gck~`se-qH%;FrIfhKvS95)kp@rKM~zet~pF5 zzj2`bCdaiX4p%pqVUWq!wH3$J$XEIOmr3pKzj8&0gQ7IiY}UvsHSY5Cz^bWsT$NT_ z{qt-3wNU4E+y3kIbNhL_LGKHC`g7)e`t^&?gyV$A+Wmcpun-&DW&DWGuSYZQz}g>V zd1ONDY?q;LUKU1LMKgzeAdS5TmXOr2FfxW{AIU+y&dZahEu1O`OW3!#7NO3^+eeL# zt0V-G_6-OVmiH^gCP;_sMFS7%yM7;z%yuIpZ*Ar=3aGHoeUVvVyCEpJ-sQp9;RktojS11@Vmuos2JQo{`JY^(JU-6b z4Qu_dhX@yf0Ab&Aix+55g=35jk5nHheXDojS5yS^+V@;b(0YyOwLG8vWP8Ld<&8Bo zS}^mZ?85bOM?G#+EY^kHVE&+^wi&z~64$2X?{BPs{uFYjfng^R+6qT=>lWAgP!9U1 z&UhD)mjpw8L$5k!;Z6#MXni=bxRdqbsQUy$eJ1CbC=vcHU{i^UoAJuB1{o?4fLsYaI{1MZJMglnAI?eN8V{Tr*;@(oJ;8Rbq==DVax zE$xjXtT{4WZ$*x?4F@*BB2VHFJ52thgA~BZ{mteuM@GpIRgpbFO@$FN zo5Vrm3n);WB=|n=#(N-4^at{NbvMg`Y^XUdyy=GvG$5-Lg~dEKRhOTLZZM%_%|t`r z!khCa5eud`hlwi&SL!(WSW`I>7yRO?4_eKlS8m|AgUh{M?&3*XuQ}JVO?+@%IW5gd z11R~S5bZZ0APk^Z9%2Ju zBlxfaw(_|kC9a95Nr&MkvIDSstt!I4BAKmyx!*WoUp@Il%hm&AH22Ixj|b5PpV8^s zygFGATT+$``pX;hzC|&ZkL*^9jyD0y@V>`R+j{rC-U^p8u6uClY=W)5Ev`$!XXY0z z8!c}CRzWdMC(K?qEeO@8kF3bO^RsbL=|!tjzn#9EZF~Y^}4-W=pLZ++0jK_)o4F$PO{Sz;_f zzxT&<-@+=w&9Af&Pq$Ipmd%c(9@Ur3Ta^X&MqmX!!$XRhLiIBz*_4C{3gFR~2-n74 zl0_MVj!rUWhPsfGGR0xF!km1Gp{5lrr(H7+#omEfxj<>vVpAtFWeg?96{gV z&g1uOFbC<3wN%<6BPm&AgRo7gvl9H4LAc;7xht_$otm+Nze;T!h%Ti5^_M334<2hL zP3V+rl0(DJqcMyLserxFHbso|7@05n6^P@Kn-vje&UWWW7?c||8(hx5u)#0c8wDO+ zx>zd>q;`04jqM04aNVZ|(5`xRwSpTHNH$3uS3$T!!K)f2f)mAjN;Qw_UqP!=pzm8o zM67!(&Mt#wRkoy*xfl{Ym(|YlPF4CEO$`2rux4-5iJ6bi8a$cgO(4&`t4H_i1}8yk zZ|j%GM_Y|Q2*S2Twzi$CHm^M^2fGnwC8{;Bf%8IXbd2LBrH8P1Ov@TU-+ofUprNsAKL1 zTqr_9$H&+Q{All%XjQ?EAHJ`ahlH;c+4ad+>8R+f_n1}S84S(##uvJ3xTQXP2Wr4o zi@v@jcVu0En}bEs6XtR)9iJJ6*q8#xjmNfcj0qoF?5*1BqO{k8L9*eHx--9|r)mOY zb>cMIT{@y!M`?o8wWK{b`3%!aE2rSf&HK7%t{YQU_hlD|2oKkQcBTlk7@%D zXq>~8rjNRcJ5Q#mzp)-zAMP+*e}j>}1%?3@0Bl#HF(;-WnREL!kZa`zB@F`gA!08R zU%V~%427Fr$CR5ez_I6j_z_+&Ezn(u7s7c{wO#JV!XytGT8jezI1e@;SnfSJgBV<= zU<`0-F5@%I5UuKC$;ZcNF64pvIiG%XOdZ1Q1YB~5>m9ir%Gjc6*e+M=k?OUxCKcLi zllm-Vw5fDo$?(0|K)SfGTQN7jxabicEKub z)zie;4eG0qFv$^ZM;UAqlWTr~fa5Q~%wCa^-JUMp#l%52MIfbGAhf7;xDWIlYK&j59{l|K3(kN;FRg4))VTetA}jy4cx{Nc z@A8{1=RYpR>qklMFfbPF(d2|*Bks6l8o4n4wG3F8cI)$n1FI!Frr}j(EB}YcW zjHA&Bh)!W>rS9vYZgKeIms3{qojie*lHg1W$fOTtpD^al#WuY}6#4Oz#Lz$QEG)$( z(7R6Uh%l0}#G)cnjZTuM9{fn&XHTw5ZC4X#o>$Yk+{YDUZdFieAIM|28Fw1|8^-AQ z+O@AZkyq?4^t+GxEvd7f4P~M?WQR?`lL$ZQYtS3_-K21Qq&HOa#$^6hC1l7+%^7qT zi=?s0E|?bEI;|5R0#O4UkAfhF%oC|-FeI4U&HNehf17p>{t^f`%~*x3r8BG?I?wH& z1#Ste-Ffbs#w@KM3ElSo1NQqUMDc(!hFJnrR~>d#55vHig!Xk2mT7k zmmM*HFu}OIQW$`AKAmXbK|j|^blGTrI#KDWh>LQN>Jj==xZ-!xHt{#vy7#;L0Y8ez z`Yck$$l>di#l`0BRfJV|PH@YarWE>@#~}7-?W?!|?M zLG<&=nr7}ajJn@>P@~>*i+H~d8aB31B@Mp2ksj1JZvWizJCoikuGDft1fXa3^6XgP zm$obR`&Qzw=Nh6fRxGlr7-%uAlElAM>^Zs+eT^^byqa!GTD5ZBXId;WiQ=eoNj02+ z&7tsgUYGKRkIY|)F8#UZ-Emhw$#R@{{mG7oTxcN9}jPFs895<*F1fUQjXM% zHB?rt@1qNs+qWBoc<#|@76qTm!wGvdy1irxFU{(~b)yk**pqq998NnTfU2wmOiew$CA!O+O8N~a31OKioY6RbT zXgur4@!$wfN%lN7n|Yt@HXtFR1R)(UoMIm2Jw1LBZcUuJ|8>ccPnnIe#&b69E-x%x zxlJpVtPe0dAPW^C#aet=;tH&ywKO&|cwuc!IAOHL##TVKB|W#r@xP0>Ct3=621$I! ziza!*NN{9&x>nyL^tbb*P5q2FEby$0bYQ=~OY>XD>icTeAG1N)+zNWP#FmWpCVbCIiz-PL`#;lsmUOM@c$t zK-2`9>uPm0X)mXnRxb>9DkJ9iMM*ZIOO&51+Me~cwMnnK(A1Vwrm?0tz~)6?Bv%6G zGCsrzEs!j`k$A>kS;eo2ez?C$+eS0=@pFjQpRaQ-+FEl)CgNnk{ROdC2g`4R-*t}OY-<_bj*m9t z!{%sxQDx^@-yO_`X>;r8?tj|G-xSd%vwQX%S>VPnBbx3Zl<>x>F$Mo?9%#q7m)$x{ ziTvF?Up!yfkH}>gZO5ipBlop?FGfK|taOv&O1ZaeaS+qqvOk)Dgq>RvP3*x$>=x-} zMI*NT<>f?aklkiG9`l59vCGIXB-^rDXN5X@uBzpg?BAr(5GP0Lb!#P=n|8hq@~i3` zgW_)gP|%utc(%~AOi|qLR>dVnI|~Nl7dv%~WSqI(-hPSCBS~DFHQa*ARAOql4xGEZ z2Nas}7`VY^V1XXd$8#3LbZ+Ch!8KZTHn{>J4pU4ITyD2e*&dT7wRmB2vz@2Nogy)h zuqP2$iEInG^$;w*rkT#Pb?oO1>Ko+QZ1aT^e3|AiB%iI6v(xQ{UotN0+&^cwy%{}X zBu#GTHWOyWZ85N-mU)+z*M-d56mWXY0&_N zsp=tT6P_);3d^vl!cq%;-JDTIoXhHLc{cNM4M;xQueYz+oh0JF@63*$Ho^S#d$V8J z{Tmg^Stgu)w$0MFGmdraeCb={vst>)gWu@sQrC2z@)J67G?jdnIHTNFpO`PX7D!V^2PD_C&GL>u6oPOhPfUE zGI!1nB&LdIKxfLtv%(n@q1f08RySm2Vm|s5!JQN-&n?}IA=zCgv%rYYAOUJQs6L~Y z$t;4C%rLkl!V6Q!lNNA=&C_B8=8)Q2f5(@;D@Lh@Z>}3Cia%yyG72O}(qk4gyhaOt zUqi{i!Wx>Z8f)qZgdi?NWL)kgp6L(u41a*E@6Uy#N_$v}mQ4?ArD8#KpArba5IS_n zSusQB2Rk#fpGx4L+#*hG`??TR*{Z0k;5Ry|BPu4Id==*Qko2_|zjHLN~rB_1r`jzTJma}6Whdk zg*bRBC$gg+7OLAMaPk1M)N#S+s$LT=FM(bWek^EtzQkMxjP$nE<(X zA!a0p5dTYR0^_LKZ$QRElXBG>(qfv#GiU9%Zn}O-;@RhXpG1bMQwLbeBeqR}(!0}C z7T`A$ain#i~f1F@ilET*@b9t$k%s~So?W&!kvkr ztb~1Oa@F2IZ|ow$TgkhkJ-Ti^X* z=}mG0(+;K>NtK)qJPi^ly~Di3ofI2*EAf`2n;Xz8I%z+6GU(=JA^<~DH2-{S#T1+y z0~JDk1BYBRPBD6)t_|1sFF`!RUKE`j8A)<6Lk$lGZ4*kO-$Jf035DqkRpX`SDd+O0 z+ignqv7M(-+St_>8p9w_m}V78I-st_4mk~;8o!RREHdQPG1{UcY?Cg9OcbLB;YW!>#%?%1@c zV%ccbZh5cchBZ>SlEc$gj%~2t9X%|!!lIU!8KSy&SEawc2Z0s{H#jtG@;-Ms^^o%i zK`K9ACS7vs-S`X0d;UG!9lXpOsCxuVxHAXDU}H#Y-#5Ei?1%IpmU%~e;O}`?CY^DO z2+j%cxSP`VH-s2wPVA>^jnB|L_OkBpTs@jmk-|S-H625g?`_%>21b4bH3&Y*V^*$T zx9u2HH!uN+#{jM67rOJP7y$ss$2I_&9X8zvVfWp~1L4AH4_@du9jy|z$ustc}8RZ|TKD=(~&l!`TK!}-5=xaQ7p%>7=Mp?dEQ^X?LEU%i|)a* zcg5jly8kZkiZ2p=X`eJVUheAkfibA(>UN$f#?Fbzt}gfQ69%_qE_XB%E(JhjM&++^ zxtxif%k3mS7|(Ch?V&7xGTdapJld> zT|JaUZT`At4l3N~Ux0_JxRWGx=_Q)*!^qk$%~l5glMe(=4=&9Y_S=T?*(C}<1ywgNQM2co) z$JL@Dt&2L<5GEbCwA#l+`fdEMtTWd){aXK$5os7{4Mbos2iS~cRAl0 z)$9P05ieKjvD^v?-+_TX1Yn=-2W8>tqt|=@y15lmOefGQdhp|+jv54&%ka_w^5W_X zQ&qzO+Yju~EX@i#_qO8^TWq)TAE}dT7fKotj=~zu2YL?+*RrOAJs3dyro}tgd_<$% z4f?N5QF?KWf+yDjK4uTt%FySMlo`|9kQa|J^X*r&x=SL)_YTe_AtfTP`jvI84+0IK z4I(J$I=mlllr!GhDmdt_bs9X=DfpRZEd$&-x?TGdi67u85_w-Sduenoh(OWtEC)xu zaqU6LwcZ zylM)Qw1baL53KDE_Y6IwS1@E?Vu=y^HUyyv!UKtPd$g#JH3Klca0%YZqw5T;t9)D8 zRN;|o*@c6W^M*J#Ci7Nb%tLt+I`$=k@sU}<@d`q>6(EzeZNrT36aVhb7}$4w^Ma#S>k@Bj z`yO^^{}mfpm?+o52U2wG(k`^hO?qBrKIB>WvT=bHp@?X_D>xX@*t!^+xlvw=c}vC8 zI*V)leWPCjb*Ht=+K$E4I7rjLPD!ZhxV?2`O_G-d@+rjgpxj@@S&{{Ua?UMxGqO#> zuc=+YOKQZc=SECBUN7qq z5J+-Imxh|T#ysb-NZC%uFXVSZBVM7v(z@>$zai^_E5mjyLhdoE!P!8n=5xL3S@t#H za?3PpZFJ)_TD5T+D#(<;!p3+tl=a1BsQuk@$3%S-09F5R1-?BUI#Zk)vouX-coTMsGl`T>)o4K?{(NI{N8d-2 zf8uvAV!e+Y_DRZ}4U;%19kKJzjxK-~gaG-rL z^zCt9I`w-yc$*7#jfA5ys00gj20Ntevo^qyicPa0l$t+Ml~RQWhbRexIit5_o8qP} zIo;1dSkHR$E^m+Zhwe3fkK=EKUoXjz43&3!>-q-J$cIfY=vfVBjEpu@{NaEY#+$uj ziYioV#FBH_=Zq(&27n?Z>QHix!jZmUi>+Kj-3FwsHm&bHRh#BWDs_HI35X%@4GtNE zRr}PYPh$-6vSaf`DqMhlIfBp*g2luiY8WifF=tcdJ zni1oaeDN|Y!p@RUk|Z%+%K2F{=YWyVWtN;0m5ZIYk0p|(V>U>({EI^2wfIZ58$Fs|I8I<7%Cl#vbYZLtyBr@PQtld#=PICbfq=!Vl+h*5&D0# zY2za?G?YEK+;5u!gs9C}M+mo#OBcMuox{Cb+!!{@xS`kPkNM3ZNx;G+F z)+$3^)-S5)A%37@YBJdCjxSe#{1ziUhXdc0P+)_7Gd{NvydRiecLgFy8u;PMv7;us zzX?a426XCS3=GUgqK#EGCugnU`ynmR5MXyLbEd3k_2gCrWnO~Kn8>KSsbu}8V3VmT zd4(LBP<)PbsoVUhKZ|snN@O+XI`k2wuH@oh3Jkih!l+6$r7^W7XHxXDg8+bdI2OEo zSQgl;Y$Eny+dQSgz}`^Z(i85Z(Yg^JbfJPXPx-2CAdKs>T-Kvhp$*@&JHduy;tqeI zi`#A5%0@cZrmB400NiwmBHsJXjgCtHnGpw%I!XKX(?MMK9uEMfP;lfknu?JUKq)vB zo?r~UYnRIEA4UCT8Nx(^$9eiz3s`JWE=1? zy0)g?xnJ>=Mz$U$?;ST7lzZ0IB%_HZ zw#PO-m+hJkux;qN{)n=cguJhay}) zcD**xR6}`Dpogbd4TId;34tZQnIu%7CE!nYh$=3xcc(~(cMWJkK?-zgU}}C z>yGCV&xn1+u-%?#DtO=S!lF%tBZNo-vT?({5CyzCfdOI zxS&Zk5P0wp+2VQR*Vdn3-cVU$~J@jcJ!PU=GS*xuh5+y=zMh!Ym$$`BI90sgfdSsrt%!BcD?7!QI z7-C#3=8ShSJU4XGl3t}bsK6MZ26Qz{pxl>sc6;z)e*#_Wq`$_Si-^OxD);=I;|teN z!<)z0Pe}O#Mz)G4?8tLb+3qCFng;O+E>LG5LUSHv;xppFnn39zc(;C@>UcMjHECkwQ+Y4EiNE^G2cxFvN-M#gw=Qizvs?=nY>w04dwI-Acm+4}L{Wn_?#pxWnDrf7H4YdL8bB|zRt@eiSYS!Je^&FN z&l#XiG)HdT7s9`}3eVW&%Tt+gC5ZP(8Rkqs)(o{qs=<1jLlM`lP%_4JFcmyq%A_ok zjgI2YDojM`MbIC0=PN$^(&ZPkC25cH&CfXdd*l-F@Podx6n*@;aUXlIz6F~|JjWJ1 zS9P?JUsMX5zzZGC*M_(;|1~rzHsA7HRMJc{W8x!@O82YxB1x}>45gnH*Xz3_kX>JG z>l|~CHDi5{BUmC0a~$P(phi%dCmgd9H!c+`7jru|v{dk#-s5dNtRP$n{ycb-oO2fs zz2HY>S5K5BGqE0?76v9gVLda@ zWxdpBpYAPnrdB4MVk=oQKChP=_Tb~M#``U_UMvwoFBibz&_E{uk4G4ID zS(C<&ek;8^ifgFNM4A8Oc;0qv^*t8;U!?2_|BZZX7|jbUYxjsuUJ+$V98Fg3#a$)P zX&~o!77ljes57W%;x&_upO*t574m^2-4XQ5J164B}aSZ7ZmWEkKlQq_nFfYY+U&EvWM5q6adY4Lo8uJvs z@LF^2xoFP8xc;(p^yjB6T0u{X`-!UukIu5N;yPuKP<|u>Dq_mNa(hs0OHVqwEL>@@ zN=I$>^v2BSy)0v3h$)3_|FPVYtANS8cqh(D(TlsAo_SxJzD6?zZ3FSmluyWlz~k}Z z`Zxfs!k=9GffZJET!%nq0sJ-Mvnr#|Sz@>G!Dn1fd>v-8H&ej3r-AA5S;ZDSKOzFq zgORxqq#RYnFGWN!9^=RG~~duL&4+cIjTE8+2FYAyauSCQMh;jp0&1dDB&WWCew` zOP@e497-jEIm25qWz<+8x{P2`Zv5zGAz@tk0Y?a%u5M>!0f%si>m`SRhj@D_U$(7g?&SV%1oq^pM-BW?>q=lIC!yBQfT!dUjZKzGwyu)7?f;qA=4UD zjKpqn0(2;|V3*n|4m%q{%M)H}CAt7fXujFw%P|ddJ-@Uk_5pMHOm^(vug;Wqb!jJh zkjW}Jjg7WdKYr~#hov^XuKnA%nO3#F7Bx0{LD@p4GMw5HY<|z1MqEt5o*N zlNs`ee27Y#`#J)a0;mce!zrJcxqjzTNe|pUkeTdF`Z@J(5i#sJ?AbH;*=|$DV(j~K zTnzR?=rP(g(mBgUpMDm)-g!WmZrn+M%KHh9vySbNVq`uQtQ!vpL0iRcL-*hpSiD`^ zg8kDF)MaFXtV2@~2-#0~CtsVk3cqK~F$&t@XHL6=_)jdng7eAFe8#BR99GvrQNp9v zhVD^X`9fZnWomvQ*{d2#jmh&1VGP}DbXq_Pxx`kq4}g4EJr=|%I=d%7ZbcP=_D-Y(<6 zdY$7A_RC$xTw(K9Je`6!|En0Z6Ws>*`TL>q%9_U7HU<*k5iAo#{Q)bKaJ)4jQyccN z25JM3Ka3rZ!2ooB0{d{2$dA_iQaGO=IG4_@ZaOHT&HzOc5zmc79!k%O)g?wOMsv`4=Cg^=P;T}&)H~VrgkOqG zQsPDg$0L(v!e#EVB0f5mKrwiNwo8NGhLFSKDmK3?y;}Vssg1%3Lupcs5>+ zM`%L!xAc-A;T6B!D=pA^W5y?$hwD=Pw_058fyyGy9&8R#MN&2a+4zxPru2{#X2>GU z|0MC@2<4oDtt~IAe92%sKO`>$Fx5qFd>g{qYt$GMrpU2@-&^o`%xA92&x___4l7G> zf5Jx{ZhDhweSRX?^`06(bjwxCu=HwG5u_w{C^SMc{2}1L@oP`jhqHEAA4eMYT_9#} zb^tbF4`WBbO?4er-aiaI09q%o-+8uw_RAL}spg(2@v7X5n8#cb?R7l^l%=<3jV+Z^e{Ye_24a-Q zgzmTL;x9e}*Cg6jQXA6rhAuhvJ`^{vcS;>w-777a!d1=`WPyE&B!Fd!K60!Cv z0&-)3Lb72UJ5pAr?)6cb8GiS(eIRF(bWY{`A|524^`}|{H!iOQ`BL2C*EX^x4nm4Y z5sSZ2>f9#sUqh9(dd=^eYJPdBEf-nA+3f2^2MazDEr6{itzB_i3~s#8JlsRYHg&3{ zv$$0()>jP8!MOYpdkM6_k};HpeCv^)C3@v0EN7D)6qVQf9kgumCm#fFXFzno(~j%p z)IA!W%ksv{s@Nac);QQkpHc}#detxAIvE;tf_a%dW=_uepfu#euK&ya4FK4PB?KdH zjo!N0EGQGp1V1RE55aHk0jx2os``JifVnUG)jUz^`?()Pl2V6fG=DC2!l}RmExPaW zD(m|6z$@mArjSARv8ge(01?`}!jq%}cn+ViAJyPb9 zjt-{5v(2OBE6%^7b~jev9qEu_{ zK3cooP(6`@5$V-40Q3K0n|!69h~>RmPr+_Sm0eEFbna_jP9e_ZgH`6c-E~~g4i|o| zEkZ{9R+1^g$meiPp^DX`{R>ZBgG{WL;Q-}fU|y;!VI$gotxm0RMwBzxU6?&;HP8Ph ztldV&F;OX8il+#q5j?6G!vV5AEx^0Edi-alnPz)^?D|w#hc86=@44`ptv!Fv`KtD| zU+}9Z&Aq}I%U{wRE^V{<;R^}N+V(bv-{*TStU}vt?l9DM^HrFDkIDD`-A|5FfJdTz zyLKlt*d1C!+EhY$P`_%_jx29~8>NAM_`j zoS6xyCdD zkBWeM>!|k^8L?X8UT5Z(>(rY4(FlGSlW}lsze!j?9IN=l>1`}o^;^ay|EnLUe#F_+ zlg>4(BMQ%v*&{LUvKNdDD|`&He7BH)lQw{I{Nn)M#;t*b{+O}5o}k+6jnem>TnN5% z(m}Y%r4u$+>fvw1CBk|pHxXr%#Gzc#p7n#a#l{^R#T4kyvK@>X30=X))Ru2Y(C}0j}T~v zE%m^8{ZM24P`l%}T+ABdR>_W~v&DDO2+6PTS12ixIk`cNjPJOd`^d$Pn9Btv^gD+x zZ&!`kxt|~W5q~O0f9r`pMP8hgj}2|n;QjfAxPu;n3U4#w1aCQk41J`M!6~X}n}N{z zl11^kojORpc|qN-%P1e6Wm1i~g7bz86<%%EUsG$(f2xIiCZHRvwTTJb73QcLpid02 zq*vLrF3B>oL*g$FUj1FF>7Fe?z@Sr35_ZpjWU*w?I74{L_z~j8aNdY=!uM%`{v2ZI zuFrvW?uv3U;KPx43-`Kncws}x-o(owAXaKIKwsKMR=&Ghmg1OLWt`#J_FaXyfTmNz zPEXa9?h914(ynKO?^6OI@uyIHF|q6i>_&_&>yWlG7$g=dpJEJnkOQy*n5XcDi0oDw zAtk1UF7=)uxqt2dFLxsVlCA)jk;f2<)SIAfsA(XcIO2Vy6Qqw{7L48~LOb~_{~@<1 zlPdX@53Viu7Fa=f+-i!dNId4a=QwX|_Nn<)g|nH1+ANgRBkU-YtEZgKDzt@(e&lX3 zW2WDZ+Ud-l4$e=k%*>2|@V2j-6BPF{UIf;?R{sufp5n?>kbQ%P}d&b2+B(V`C)n1;k zBMO`I^8%vJ(H+ytZi0*bWBk!!qrbP*ckJLN6xFl<)R5)AMY@HTSJkSRV#9OUOVxnt z@Po@&RRuDw@~ghSb+mBA{)`6F%HGHDcMQ!NA6Azy9(+PG4?kq+8VRh$P`bK znjE(k+~xi2XOe&N5|$AGY~GUpviFUxgx*le20dSx@K|2*LK{3~W5PLNc4?^tqVBo$ zu9o>9IPKzDr%Ld;)=K5Nqk!gB>aCy*#TwoG>_ZGGRuMXE#IWr9lLg$wH4NTj-}q zSdzJlZfx$(nhAuaZ_RJNgQ)ac81Kzd?ck4J58KBgoau?@9^mz43ME273wmT?;3vmE zGeKEvt3r-o0$p1hE4~t!t&#-(@PXb)kdQ8mx#wT&_1I|jk|p|S)OBVi^P2Y|Zzkb` zf|B7he5gCZW0-``Lezxtgr%iFD9zg#lzeOW@Nu17_WtKbtKUTF*ttUK4Q!|fjz~_* zUV`RCy)z#BorPhA8{7g(y)b8cokpC*r8P!@-TeX1L3{4i;~nJRD&|VNsyW}_Fh9BC zCSFcR@Q)=ttxZvJTkA0?2E$tMqb|U~yWjmx(lu27SyaJ#9-*$0+$-p&Jrgi-OX^g0 zsKv~NP`p}j0Br1N6qH4XJh2_0XuF#88--a6S%TIz;TY`t%5$``Qsh-G25?`Yr<;H8 zHBCGJx~zh0Sr}|S{U8gwScgT8vNb0?G!P;-?|+;1ej`k5gTU<2C<_Kw)%(}W!Q+>x z(Cyr?En_un5g3N(p`iU}ODC+5N0q0Mz$|5*T)HbSr~aK(H@%>S-~MeD=e*jB%_|az zUS$M@q9y~InjCXbt;Ftni#t&b6lV`%)0CM3-s_4=Eb@srk=5?-rdzT@!wxCd0nl8abW-s=hVw~Lf@KIXbe&0F3{1Dmm@70xD}lW8(#7S@S3hS%4IWB{eLS|4=t(U10sMiU0kamIoSv{%!z z35c#~+pSb@27J19Cg@S!VnQ(c^Pgz!Ib}8ZO8nabW}PDLTrP zLm$?aUi;bciEgCsHKnw4G$Knv(CYhZcxA=!?8G$GY|>37NWl=HXCjM5;HTa)tnu?L zZkZBwzbX$bJ{T#f1*X06BDskGrGSzVHm`VfW69>>5RuoF`IK`0NSc3r^oV6{HH^VCK_kgvm-J|!Pgo1PLe8ZQd6Q;!g7Ew{4dZq-u^new7kD* z^u0m$r!qX%+9tq$a371OqEuHg2Xl{ow^F$HKJ``zbe4O(|3M*1bX=V1UA4nYf0);3 z!N|3U%I*|Uk?a$PeHto1lvhh$F=vit7Crr(O2%N-FoVHE+1|vU(<}5OD^sFm7ZNn# zI1Omy_pje2leB8u3=!|S;IbAmgp7t2z?_yB0ZiF~p7Pymp| z9uJy!W2Zj$W4m@JN56UZL?~dX3O0qx;gVz+Y~YM>p`}Ax51b-+AN}cf=kr77Maii3YPa~qS6>Cm^9hHK(XyeD!DnOtWFa_C0Br&3W!V~H zWt-uzg%J~k74=g^U$tC1R49GQwb+s zY;B9Sdgkl8ifijLeV63VU}<-!wK;R{)G3sb4qsJ&*;2kfzN|?OjT-=k7F2-*x-S`z zNCrKpvTW`W6yOekGHt{eB?rYFngb2*KaMklc#N1lFkIw&W8AKdBzB!Dpb&1V2|!7X zt3A1l86F429dqmd`$F@LzuO+#hQ5bXsj;7G_pgHz<|tH%dBe$3k`z=V;`TbN)5GLx z7?*o`NXqmu+%CRadH#|0e2;xd&Ae=eK}YtR4uexRENTIM?}`V>N^Gsu+%0&NYdJBd zW=M_VWIiffQTL^}cpDS$eR!+QVtmW+!s=!AMWnaG<;ESK^q04SyBflpZ*wt&PwtFU ze$vcgN#m-ZQxrNn9g*$dkURTu0gzK*bKaZou3zI}v=h2Cf+LAxQ*<&UZ@_gGjxVm0 zt-RU+$NXpRf>XZRF+E1dyc%gic7>DBf#`nVfh@9()}-&N#XSkLQ|m}C7^-z7K*4qQ z)Ep!ELm0z96)^EE=;T$8fNESmnaV55NvD$+EowfAn92Z#~q@Rc)G*z@Y2Z`DidQ3JmC7p~cN0YM7 zv~(Ouha9IcvmW?b1%KGbWT=)N;*WYgG2GR?f4QR9bJ93a>ZXxna0|%~Li71U5ebT%A;jTSELR z$d1{(Th7qL_RA`cA2UM2`v)9b1-f)xwpF(xsW9GLiWji`|0zZ69<8$uI@f(`%fNj0 z;2Uw&ag2TJrn}nUCv&|~GPrX}KuE5zM@UwYC(WR*Uv`og{F1=^Nt;VJ28Kqa?C+P^ z^&6Tv@mGU1gMx(r6UGlY@C_#7YUho;&IJJ=6a7co>*ShglVHvk@k5P3E{c`&L5|V%c1$qDL*s1}KY-3I(OIJ-X%rtN zzP<10j4}sfo31)IFFIXe7<2DQ9%H$P4cb9tHYPQe7NS zF|TO%pxf%tE{9o3#D{w7$33*iDC(-cN%7WoL|p7a2fn<eU!eE=O zFjsrf;nj4jw1P1|Km)^cz$%Fyzb-J?lNHPb9$gxws;;>sKKIUBgU5D&^GWR1`YDfq z9D3_D>sn^bYOCa!2o~P{RZnqLP(#WQPdeuw|Br;KT(BTI&MS zXJi0#r6X^^?CQbW$sRECJ$Sp*5e8jz&#H^A8BIi$E--!U18=P7y3(2JsDnPd0dt}U zo#Q>^;hMUO4zLfp>jHy3%$8kYHuj)%vil!O<30rVuXNM_V`rOk7rn85Uau9#2L%x0$)pO!nm=3*_B zX18(|-nOfM*Lc8n2lwr`o`n7=_Tid{d&>s^P?C9-B4jAm{JAYU+uX`b=B-^VdC>Jb znNsee1Ci)_&3auhk9VYVOE6u>Hnzb}yU0V#>B<{^fbP1`Iod-9r$qxl?Luc#{TVY| zVDO!;x<=1k<28POjpmd|ql|@l!JJS>lSijR{Tdovaga%)j2~bw=@NwT8i2x|_A!T^ zk15y~Z=>wv0RvwrC=60NohkL>4I4%$g0;gS&jzQrQDDGN?Ce5^zU+NhayUgprQI~*uzvCV-W8qWz4mu}Au7>l5AAArXM*BTAbbx`! zl-lWRQ^&5pgO1sg>ta*pEPhA?J<=#+jdMl@xSZb&%V%mSMbmW9v(>XM_=)tgx)1Kcw=R*E#R@8(FYGHgCD%LvJM`Y zA@GA&q4tCyybrYw{+iQY1OJ1UqSnEW!2jUQsCDoghRZ*AJ!&01F&?|o*1-SZ9jV+q zieplpmA!utLcxZ&W}mMZLhK7=a2dwb$F+i zh9oA-UklGRzz53Sq-J{1m0t`0s(Pw&kQkI06ej90Alz$*-kcM0Qv9t=D26|PPbueP z(iq6Ve_dVUQY1i!@`(c6P~~gc*;F8S@W5~ znmYn@buAL3B&W{gNy@4JE!E}J%^IUoXPEkgH)7MZI(nT_CRO?WW%d4|c%#?b=UGNu z<}np-9N{Z{LDj{duj}}E%JM~^jXtjz`l#r0$Ole;7~sr*fU+_CpY(SN@I4P|$aepc$=eX|2x$*m;Xz_jNsp^ZT zCC2CFhghjzi+5FhKWx}U!?>#YB4fX*#ZOgxOwt_wocK0=%f9%<)gm)O{EAa>fN)vH zSDcU|CUhLr1|5j(DWx{-H{y;4eTn^fuHysl1Va``JhJOtC$+p|i6INTt-qc(_xvtq;|y4V!buEm*qz@T0`lMb(*4(B{AL2Fw~Ni}bSd z-AUCYEM=S*gwyl_XpYmj*-MJ(H?8b(dU}j=-{!w!m&Y6rHW!rYq^f403>*a%Y74qjo zY=wGEl(5m`+6cH6<{WCG!=_%buh-eQ&2+*sX(N@aJ=LVrxNWSn=mTS)u(7}~X(N@a zJ=LVrxa~(nXK|U@#qNF%XD;5R`E8qye0|V=wVAom=J&V;NK`l{Ta8~!#lC7RlK=88 z{h9Y~DYI7d^b9B0W0WJ#IN#ReOtPo7gyD>EeoYtIF^&`Ov7W!h}^BL+>Z@%knAjj4fTN|8c49q=NP(NVEN=gm+@eN120VOlzv)bV4rfn!Q#o=dr| zyi4U_Px->t_Tu+il_zl5w?AM1*92?QQG$15z;57;x=KCJN%^-ce@(spGv@k@Z;9ho zItL2O9LXf(l>VFd?c?E|B2n`THJN4+8|aR6#C~6Yu$Sq{^KDcHKL`41yFTD@uW}In z5#E1wQ|iDX>y^1HfmNWkMww@XbqKP}Ss_5(5l`r@DO>02^M`03sa|`1o=zbl5j>E} z9CEf%&o}^Ot%(y_uLzmxobv%ikOpi>1w49x?a(`K(*Os91XxlEXZ+&MT!ZsD`cim= zKO2>O>wu){qh?WH1PFydK9=k+cw$PWYR%HN1&stTj8fttdRIvxrBZD7=P#-%QVwn3)?ZNlg(BNI-azJ@%#)7)slnM%ZV-~%A{LHdx}jGw zfORSJl*2de%5^ln!jXdK1?&1*9r4b}_u>dYb$C@B(kA`omFDcfPHH}AiW}4)QD(fh z^`V`JbwioG;vJe?Zwv1kmEYCiKBJg{POhtbMCCp7Q~8eG6Z)YPMjg-J9}quRb=UIh6mg?Tqk=Ol9Nsd$E4PI9Xew$$C)c2su=LC0K=R8k`%pWULUM5 QubZg4ZX) z^{&oODYG`<`7-1}XFRJ1pXT|$tF{#ath3*PRCiV~VTY!y=AfgW({ZKrds}_cAM35_ z%9oUnDD&K1-ga5__!gh?S|(fYd??cZx;&=rX<~!J%IN=&j^JV@y`W4#+M&zmU$E09 z^}8uwxT!L2^oJ+o>#EA9d7_@T;Il$VPR z{)25V>IjeE`dCMN6`8gvfseR(MddR(!t+V}A&#Ppt14ql z+IK6nCNqBOj@uOb>gtEpVFUV*R*1$$ox_XeH7ZXlZoRMLZuL2>yrA+a9f?f~;t~FM z^#jkWo>Vodc2~Hz87@7s^ePaqbkoTqoZk^ zUstv8&!qB3Wo!Tr@LyCusQkX_;RV|woArVBbIP;I z=T#3M_$0o2SLMq(KCX;CKh+U<=ukc)eXO8P$BmpTOY}iT-?x?F8Gg~jq%t&D2nU(5 z#1Xsv{{R30|NnRaY*I^W6HydCZBpBsz8f`E(JriyQYC_77oj?jJIR#EOgb}>hJv>g zYg!6H(G~>@x)DW%F5S5HK{qO{O5JETF8u>OK{qb!s^Z4)43kbr5;%O5bH4kXbI<)U z)I`%nba>|ELKCnV(TDKzwzP$BnVv6&?Yos`YD4o<6KuZC&ydoWSTxfoRCh>px z#M*n7;Yf2`SEZL%d?5>_a1~c8RFZT9g}=)5a{zq+vpYt#9bL503Uc?ASL5e^EY=z0 zn9eRbkIbsIcI#m~(IZ4poZ$<%*h+IquCHu;{{oG#h&ah%QX3^f+IW(?0|-!-LL_PXdE(u=2;tvanYMpMg%A>3{%Agf$> z`SCKaiWrEocraOV+|U3$^b_T&KU*p8%MpKI%vW-3c%_$)q4;L@_!WLMURhk1i92-r zf_r~T-~8QpSku9kjD8x0(ChubRslix`WCm1B~`@}X_~`mO9#K*`1M-FzYuDzhwb@I9^R??4%5Wxe<^UjI90EpT@a`1fR4pN0k;jO__5J|>0RR8$ C?LUM7 literal 20161 zcmZsCWl)?!vn~V+p5U%QLtug6vbehwU~zZ1#Wgs=b%O+VcXwGFg1fsr+Fuid9&HqEY75-cHfIVr) zEYp+pUB9QdR}){Q=d`V5B`sq7479OG!EOE_GfHrDb$RL8pG5ztyk$qP^#LMw7V%YJ zNfD=!R_Ox`5vPF)?jJZrrOySUzrW|U$c%iq5uy+quM4Kiw;5@&>4tHU?Gusbizxl# zBEo0X2}!$dz2iGu_Yd3knK4ieAVW-~VDdBp(Fb zXllsGmrt(4sosb1>M6V;n?n8AX658xl^oxwd4c!0g`7Ak{zUV|xpD`rsRU zM4|SLg;?ZHL%9c*SmgJ=sTcZ$*uLAT7qS0Zeg9ggn4P9?i}o?wey|;d@2@&nPm6D7 z_8Z13=Z7y6?S<#W9*PTG7unhN8v>;3UDmH}sFca*k>0h>>%0cOlIs%?) zAI5{*x$nozyFwEE!}yp5ve$U|{AH_>5a$<}>? zuNLzO9lExuH=-!_pt@)41pX7nUJawVMAm`IegfV0_|(l zmEtR5Q@)wo-mW>P-|?=wzQ6%PVebDMx1(xq!5? z-kgYEdTnu9aKkWZ9jhnBwC!8T&F7xa>G7Y-3mZ}zbbv%UHA~?~-M?qFVg{Q63v|hd zXXTb?y@cTyUd!)2JKm^AuG$NGF#O!EuQT+0t-OO45ElAtPaeU2rizDo3qEAa*~oc- zmGmDC@!0jPx#H%V$O^yjJ!iGO__ojLTE{i?9&LhJQdN@wrUf zH89PKF5I60o5okAY|3ukj?<-$om^mYV+@Y^vcy=NanHWWyYY$s5HEcp3#mvwGJY`Q-_n{~>J zHmO~ za93r-ErSSwSxWaCx}}n8y6$4_Va3GWr8kPQPgEqFy!rkwanN|OyHe=OMjOzgV+{4S zYp%arR-{(C>3B<oOeBn zd~NATTLpgO2HLyD+s~OZK_FbfWck8=%$Gba;QOgsMq?0!tGcmqow@PM(C)_JQYJ}; z)1Iik4ZR8bs*~nXdxw1he`^}FYiatBnNG#rFP2e=zmH3)+~cmuxUHH`aEt}b%kAZ* z-HdYJfzs;-_ny)6nX~lXCUbh&1U{E7zx)MDxeZF%$~{+G3cgn_X%<7m_;z;qDr&;` za;;6igjN||IB>bzdJS)G{6$V49U*3a z;P+ZGv7{&&Ogjm)XKx5wT&=9dV<(R~F1sSoq0S_qA3|!M+Lh&&7c_9ra_uGjgf{T^ zphGQ`ST7V=_w{e)!7gY9)iIx8{_{DWi-(ZFn|e5^s;ZybZe)qKru`F^Upkv|S9ZZ# zAsaG3dnkLdFRxwwG6+7%Xcw!oIAJsx42Ybgn*t7tn{= zqT_d1zFO(W6Zg>-@Kno;`g-bD@*=7r^<*HJhjc~|Y8?z4u!MwcFmVCTU1DbNI-y_) zJOxFH9~V63 z2n#MnHt_edJ?##c?dwvXJUp*!2JP;Q zxxvmI4-4JPE%b$YDlClI=@By@j-JGx%-|v;e(u?tlvur4wWj;2hre5VT-E=WNkLpw zK`PpC1EGNZL;4m|5WJ2_CAp}CT%(%;2B{}XQ##pzIzf08wyFXvyBbXePr5X3OL@@p zxHrEq6b-#Jj#j47I035h&O)zPUY5pqnRlTbRz(4XDA|JdXn~svw4C?vx=sfwm-h2R zF5<3`n>b|6MK*RwTib#De zy1DW>+{F&VMWh&d)Y@AZE9jTBf*<}aD0OrgC*~m9yI2UD4uBbu#2)B*u;{5GAeSeg z+|EM|G6jD(T#1UMMa#iTN6?=A@O8j6J|btlxSiU&QD@d2d56C<;Zau7q3Gc|?*mt3 zL`$gLu#O}w-9{KD+DEcYFcB#TyL00`|5KlGe6llF@P*-}DM}fi_n-8u&95r}>G$pv z?Tja+v@&>M2XEtO8B{HV4)b-e>9HHGGsnLxv4T9{s;>=Z?Q2B&{`*(ZYr~(@80l3F zvs99XGupTQQ~Bo_V!YNfI}w{`K1*p;M|Q0*FivE-(a23MYF{L@kmg=BqW9#F3p}$? zevM&nM=0oDPQsiz6Za6lRZ5Nphn^7qc->Tt~$P$0b~r8jg@MaspinZ#*5{!}ePErvcoK+&^sVEAiq@&%*FILPG?hzmyL7Vrwq2ATDh3#3>tAAI5CI*?{M-g6gEf(lON9-7B6K# zmV8x_yzrv{jBVII5!_aEpp&(h7Ur^|U8Q!|hist<=^GVM+LUt^DP5KLzbyQD7W6Ld zf2f*Bnh~*#e1Z)}w`jMZeTOV+UknY+LL`2Cmom4(g!Vx4CU}Wox>s*Y^O? z&PJr78j-b2%9Sy9ZKL6$&rTu$t0s zayFpeAEWnV5lJ?MQoKy`wM}CD^1RC-%4v%O2~|qn5y>m2G}mq?Oc~*LuXqyvVA_z9 zh*a)dkatZnww!1rN4I_4G$9b5O}1|kow!y^OAI-ES5U7yfI};b?x{fkK2foC_L8lS zf~gkVA07xS=rj-6S`ioEX%{|tt%*xQBZ+%oMJ#<*2iqZ3w9mI$WSB2+FDl|wEe?xi zXo!=NmX_uX0#HEnRbA1v>@urH9G9q4EIKctcC{(5T0zXuO~^I4v5e{^Eko}gote|f zkbMejTbvWfBEQA=rbfK`GKNk!)di0Cy!^P8T$!WGo6`&n(0FRgmK1RPA#sPhJxPUP zsRgWmSPEEtjr4X=uU~YpDRDf=!Sq?PF7_3!&&*5LDyQqRg+7oMMJm#2K`7V=X3?Di ziYZ{WAI3aVPcC`?Tv-v4!guUa)bg6z{Z3z~EpZHHn`pYe%qE_@in{af1Z9DVBebMjWxG%(#%r2inlG8jXhE_+%Kc(oSWq;xm9*MTk zlhFKe%c-m0n8xGjEAnhN{>4hpG7&3Gub+tL9I-`NNsH{K9^}^)wUb+ZV!Yh!!j4Nf8rLPjw_JA;P*zjBhStRP!N;Skd{wYSKR<@b_I*p#i&w1M1+KA0W z$wZ^=3>r~Zf9aX_%j4$#MPm4sl zWsEHZtG8b`AAwEeYI^&p{N4T5|*CC^PDw?}%# ze3~qTSGp!_s|^G$&U_w{cjRSwcQN!*;tdb&3gnpODS3xNoehvxfOjK;ohIrE-#3Tn z9~&_ZU8Hy`M5bq%ujFUFP0fC9E>)sx*lwLAZ-eSmZc2Z!9Bjd4ntb;?F~fJwuWABP z&8s<{!9gmTf{*m0fd-8`!e(ziFRpU@nWan6IRxBFow0Ap?6M5mh3?t41U zLE#9GYa9~o9#Pf@TC@#YL18!wX`0(hd;{}`x$=b$8T37WxGxP(@B}N4Hm~X>Fb3bz zMZOjyt$Z_`|7q<4-K--);}f|fh|lI_n45{T9g?I?_GjP6*79D_l{}}cU&m_qHhlH0 zT(7(YX2(B^btvwFK6vYb0cWvlN+X-#wt?6YhPnT{+eZsxdN&^`qj1kUT~_h!u}GfZ zDjeL%P5GOOmREcqeTg2E!$7mH0_`}|Iqov|D0jsGelwcqa@-ZfZqjLR7Q0a`^)&5bIcK)zF=`5c2?@&Gxv+eGT{F;zKTnTM zxHKT653vs-UP~UVz1-{cdZgX11hMqGuHpg1mXkl8%(MgE+MpM!uKgtV`_13Ur_7vn zXN9LF*4!%3?XP`c?iaqTqCVd95UU=*GHD~UVH*ei%-)pmAu;w;3I7vlUfs(jy*nJ_vC;`{Nm=7G=n z1deF+{pPwqFKbywqG7>T?EVrPtZ6aRQXxpoVox$=%cuW0nWMM;pmnX`J{NqxYHZAY zulNpHXt(I}UIi*H^;Jh*B4p`JT0eevjYuyx5i4%4y-e8mmN*67C}y*0wczjSbX?-s z2M?|)ft0eRL_wYN4@@uDBA08FEAl5{crP(@p#6LJvy_}ORSEZwdvn9i1JU>i?L5 zc3|Y?{(G#wQRfQP<&#~*dR90DbNI93D$Q}qKfBfMZyLDA)*k6mofc{Q7<=32)UJF~ z@0rNnqqBTTRM`{9PyJ03^{nv|*0h$?No4|a`6@P}5a7zs2cz%!AEaBa+|&6XP9}e5 zM;rT{QW_LR1-AkF71CX)Fjr}z=kKu2%E zGr(Fx=zdnx#C47Cm1maxPR8F#-xUd#;bq!u(e_R-Xdd#WGpGOS=VJrjp`cIIvL8|; zX9q-__`P?#b3vSV{eEwie{DHt9Ysy}Cuhfb*4pwml9N$xw7ti*JoAQACb)Om;i+0|8UAoui8EYc!6&&A#JZVWh(u9}b_@p+d3BpQiaKUZ;5_S}?#eeK^mMJ@*1 zkrXb%@;8I3f;Mlwn#;US3~Z-Taw~HBABYc_Uf$sT8k2n2gFALaT-G=c*Sjw7!5-Pe z=2>a&ffrCY!!E#ITDsY?g=axZl1EgGeb_La*oLpcy!q8H%+k7lE$x6;Q>qmq`;4-f z*HLomobtr3Fexvn5!dZ;$p*7+dT}S}mLS~!>wJ38G~8iIqOScLC_07LXa2Is0|5Gs z{}|N)4-NT1*Kl!j!F~V>yS90Q1$~}f-%`m>G5K9?bI+(-hv2MB7~^%a;Tny$A*OJJ zpJb0v1w@k+)O1uwzo^w9v})+ACKuW>m!F9}C-XHqI>!wx75ozC?+=!@rFc|IGm&~I z4tpFwUO;_W5E11i;C@+Gs@M)#ze4L&uhjp6k0R)q`Kgb*f*pg5nR}>zW1%|O=>I(r zLlDOwOfvmZ?2fpLh2c^aLlJTI6OSj+;Fqp$xcYv2RNz{)9>sF}?qbF|2x&IY)_J-k z{w9TiI(&!tgbYY0PDKJ=l`n7Or@_4v19c_*-%V8<0KWV;@snd07jCQ!$!ZgU!+8J(GNt7${?6d% zq1grXJ4+l`f6UHHh6nv(!%k?q%*o(oK)#=7bBs@{QO?H1-%5hbiG>RTiHj>@%mb!3 zJlC8)aB8J|#ui6j~7{s+#n3!UF1QjZx3wcI+#|3V=ul447c37lDtl1uxJ)|M|Owqw2J zYSoo}&M;%HoMzI8D9k9KC;h1ohfmxk{NmS9>@gY&nu0fixOXNWJs^~pe(V^nf5

jO6QB zlo}O~iDpENoFD$*6)$wRFh=7r;bA$N?0dV8OI?3tVCZ4CfvTj(!4V5j;zh z5V}qIVQ{D;xHb5=Eq&;%B}I9{83%c?#+H$&S7B@I-=}}UXZQ8Thp(8Vo6PAG>j~w~ zrfo^eCid?mVE-Jad40ZhxQvES$CY_`eW30LoONZH6x2SAp+7w79gs-J;OPFN>i$M$ zSg@AF{pZSB>ObRozlg5vVR2}Ltb7ftu5C7x>Wwd(rlDlQbRT#DwiQqn9qiqp>o%;B zR#>x@QBg9qkArRuJ68e0M81thNzZpaZZ3uicb&3>XndMeeju6$Q3MJtq6HmOMXasc z-ROZ;PChuP(*lRcScJrk@M9eL`ms-}*KSNt9W~=nWUkTz3u2JI06F#`LIJIY_VrzL z;CQDy<3wLe-=K(ju>D8H~}>*eaBZOLEiK^XPtgvh&%u-Dd1B=8hy9%EG%%VJ9O`S8xmNII}{0Pg7pxHoSM zT+WX2zNDI=;dqoNjY1|DHXnKNPU`TTsRFjjuE5404C^HTJ-1zdc|C z;opN62En;Yow&cF4Bl)7ak3XvGvB7+=6X;>EdyRGh{8_jLT|#}+!!lI)0Pr_6+)ID z|B905;W_!E;|^tqJM2j`g8CL72tB}9w9RZ-n@jPm7%1W{pyNO}TwKgI(r;e(@aE_)$(l9=%Gi(3_cWdjO+sW*h7+-oJc2h`S zwAR-CT#4)0Q05bPLa3?ba14HL;^y?4uIeEW>g`!{5uvp^M)!l25IxJq8*GK(@@qmS z=(OGRu$=GA*WL(XR3etF>LkTRIKmfExIpMSkFi4v;@E+JK)&xeqL@z~WBXX}B^Hlx zG{|k)(Wh}jQ%uV(fNNIL{#mF}Pq7t#YcB=+z&aFQyyje9vzqGCz1Xl19Q&=wkG|fe zj_z_r`3#q$3XjFEb#diQI-rDJyblcK-b7>#7KkQKJ;bPH1eZdw-R;27qJ2P+0e*EM+KE>3O$n`_xkydB*Aqq2jKx0$w$y=V*(k1~ z8%CbMnuJB?uc({N+Cu8_(lcl3wt79c>ewWH+3Op6UNbUScnDVwPBe$*TNyPri&n}u zXCAMt$8zZ}}JKjlguBlW4!Pekg&cDk{y#2aos)Op=BE&<* zJV1d7p^Lkew@^*8-Ybz39BL1=0n>(Dtph$0WpnHHewrY-I;;OxP0j>C-{Fszf%kaU zVH_c((sk)_!7b0Bav2b>aISk5sAEX8Vf?W>bX$A!lRfD4Dz1C1kjWJg<&*7H0L%J6 z?0xfdX2J4zY}>ZAv2EK=w6SeIv2EM7xv_0~<4v+j_RXz(|AF`SZ`G+&)n}%As;ADG zIn({=ZY~u%;DQXYpoM@LnnVM@UDA<|&s9Fpuh}S#oXK8`U&|-^HxG#wOhQI>vr>%v zjIUCbM$=kn*fp0ny4ol`%9hU%K!TlMuJ_-L&HZ@R4)8moYdgwa9g@021SC7VRnY}UlUI<7jpLb^3 zs99bW`&*B~`~d17UyR&V+%afi=Rh||l;m_ll6eI;+_MT=Uq#uPgj+9e;#f?1O9}w=wq`K?#GH9cpiJ2R# zaaz1letyVi3R?G#2%=8$Bd_R(+h02fWzRWu_(bF?8)LnuGDiNRZm#`GLZ))k@?NCA z>C|T87EmyOWrG<;l{%HxT{88|B&EKL0?tY~WKuh5Jwdip(2j42npGQ7KTa~b zNTC0!tUuy<xOmT- z6RbTOu{y5^xEob{;h5x~wH}A0{pbxrXG(5t^kMWmmPPcJ8*-r~E*1*tSBZvxk5*6D z+UIQ9|J7?5#EsvLw_PUzLPy)=NnITosaN#?Amw~?KTn~a=(A%JbAPq&DTxLBY56!3 z*t8RcO}T@4!9ZgJ`}FjjRBtxrmAH!}s=AKM(pI?soG&h0fekMd;oMxjNW;#ddaB~) z>Y6Bo^^&^XOPOSsSqVR{+gHWsSN37lDa{G3nT^Dx)z8UpR=CqlP*ez4~Jk;Cj| z#cJ6RbhjrT{}8HmI1hTSY4mHVwpbf9*hD;II9!aJa+yl{JL>97w(iS0cv=P$GE!wd zkG$W9`&YAhJrl1F$#1tD3NP%*?%3*%t5a!RoOA-(Bd80FfeN@+BdUxk$RX4qlMLY( zXjk*UKV8lV7ASrNp)GCK?A7WxYp>9JC-n|i=Xm(Qk89k~NDO0oC_nR`v`HP;Fi2hr zYh>%H>v-@Yd|5*H4NA7rQj1rhHh6Cy)m#4-E2`9!y zrEf8iRH%n76prsZsI#`PP-M~5y=@e8AVT5;f_q9M!Orzi#O%m>@sC8}GgYfCAng~t zJti{YkMo>3CA6*~ABxbu{NFYoeO+WWl*zQsBR)n!>z+fnmBf`KhOkd?*FEHU)430= zIKuOe-FOAnha|&Wp`M61>`0RNPT$p(P>Xqj?9{fDiGOYru+!Q}=ADQYwegprWl14)^tPh`i> zOg@URw`sR?S0blM{K%XKT+#YoW>CB^56N!6Jz+xXEi;u~WM@$uCgh1G5g!odxK(|a z{fq%Lf~7W+#Y`g=@z?3MVaJ2ng=~C@II)N*ri4ucC9iC*(Sw7k%K3mWZfi4%Mwu0L zXmdpI?k21*+PmFYy)}yz3F;H88DDA6&Kf^oPf?ABg$yc!SmLe$ zws{vB02}yY=V-E}IMuVEC$ar~2X5#`SjMm0c2rXf&U;>S+)zDO)>P<$w0tcrBRhdR z(E;!Q);p92WJpyAd=_46X+|Y|1qNue$l`igng$Fug7Hxb(6NcTmk@~Yh^diXt#L=O zxZ*C|;wah#W4OAHUt<2a3kH>rKD2!j%0aX28O94XzfC}PP7H}o*o>L?N3*|nH?_DT z7BDyBrGSq<5qB!u-{7Mm?nmpg?hkW83h|6ns)}+CMF$4D>29m^fkY9E36lecxn#{T{zasfiw;0aSXTNztJYaP=9p@+3#){DV{6{K7&}(U`_=I(2k9% ziw*pEM~=8^oWL^qN+E}#F`uoYgDiL`8006q7nv!Ult42;n-^O8S1|IIxR}Tg&{$+P zu*Zm2V;!XjC|Uy%3UCOVyPv9fnsU?GCro@6@21ueetSKAGMdqK76H56M#;iDzi*ZJ zyG9vr{}O|#V67pcOgf6VukY4>5@j>+XM5of@G)r1pX!2nj=zoOVBqiCQmRe-Vn@5b zO87org8BdRC5t@zDN+`03hU{GsEIog_NV{!INo^2_?Wt5sFo0Iw!W}4cc{j6J{azT zGs?wn^^s(hkr1p?ssJ)7G+d{+4?+xsE#R;TDhR;B7Vsb+|NF32zh)>fWMv@@p(}haGT@U4vVr_KoleFxxUI|-dQ?DifEYi|}A9EsJ!DDJth%t^K^B*qp-6@z) zw>j;od;C`w-nkYmr#^P>>L)3SWryJa=~|Vpf-%A{Xh_B;#@>#EDTE@_Kc(k22CbN_ za5Z?}I~o@^kI|04QzMm%b3*@%)SpjP+`|KX=PMDgAG+xE(TjXAPed5JCkOd6quDamUXDRcI!ekhp$jpum zS}nlKd`0{*F{-+_PH3^TC@|Acmxro{OnjmU%O=1|ojc+HVO;M-RV(>-aqntt>-E*X zQcgitdcR&$thkVIbOqE7i3wwq=e2O&(vqXaAN{xwD`N@>|i}O9%3vojzFJq z{&Zhd1p7af`~R4}o00z~-Uyll4-b)$r-Qd(W-u(6=|9xX|Cqi=1ZJga1&Q|6>y6gBHU0uXumL|LEd+ynh+U35^Zc^q!pf0=FwK9v6}{?$$RKe{CTZw}7?r`i8a-2YA7|GjblAD`-1zhto) z8~J=+3Sa~vB8w+EaGlQ{9TtyvW5?NLbB(}?ptpnfI_X}Qysx-p`HodSy0;2Mw#U{n z9#62&68A=nZ=#aN`{6hHTl1hqa$gN502-1r5T`M;-);*qCT&J%r#e)P zuPz*Om*`LdQ(|rDOw+VUZXM|z1{ZA&YeGaFgYd}bOUp(Rf|Fm;Q4y0y7F%q6Jydbk zEv7Si%NWUj@C_VWo(Pm7(J_rTXppD;5P>1iU%$~F5)#*$`y6sm8Di$B9AKmQas5tS z9RQ@30MZ^XPBiDRH4pu98|ma#Ro5EA_7j6V^^`NAszI@H%T3YyesmV(_)B?z)s$x> zgtF~~ECBZA9oyvTZ46bAR|et1vdxM7*w31s3n?`sR0%jGW?WZ^qm)2cJ_epM>YD*-1F^ddJYdPIfpF^w!vTWT9>J0KtJ z&Y1rZRMC8!x>jd0KMPiIy?V>1Sxw!qL#t6<6TBXuIC`1Fun;I?fwH|w!CuYrL0V2MCwg+l#230QGE&8Bqv^;K{K6}L|$SNDYqW!ym)+v(9k_Cl3MOB zj?@8c#r}fUnyK^pq6DuSayr*v?nDG6A}xUQlV%MAUoiS)l!=L>QingIxdyxGMIPy+wR^BVDphB zp9J8pJm`C+Nt2>rC_f-^P(g7memiIwaOf*fs&Q&`0?d9VYlcqC9ktRkk4T5hcb=eg zlnpTA2VYP1!0V`Qeo{c~YSgQjAJ_h^PIX;+BZEHxOVli?DM`tki!Vpl*`+``%i9Fl z6e`FR{ti&J2+(h=KlkZu&mdTX;Se|25Tl`w+f{>{Rfen^-vOK0PdjpzXR50N3xLYV zj7awG?4H=|EMHgH8Gx(Lpn7~BP{R_GL0jM|DLxPWVY!yaXI^_*KB`e1w z{4_nyUOQR`S~~*%5U4PJJa=AKToYxJb17RiRx-(x9R-ye9re3%;0H|u-Tn={C-gEg z-7OOy`Tj0`;lRwYSuZgfeAR@$}te#>m` zGPQ@qFC0jKc+~(U98J|Er)1I4=gfN~H)9u!^CQx3dd06X7>-VzR?x$twz^}MqPtdNK)gIT47sx>eBH2=}Q8V`_N3{@fJIfG-mAX0Bsd%5Pr~K;b+6uO5xN zp1Rte*YvLoTIgY&jsnmnv6OwMK5?pk&ZJFtEki+b+6ZJ|d)2hq?$@%)dI@AIgKk?mD8|k@gxCHOirZ zw?$%)O%SwHJlX?vVbHQ+s+2oOgM$NXIx+cFeU;*hUoX4`rAhR_kz9ugi$4cGvN5F; zK|0ZWoz9_Ze{qMQl>g`_oSXv{u>{LgGpq3`Dq-35D$`5aW$9JvV5E+|oxe|OHdjYc z$h9d^95L(DfA>dY!0k(m(EixM?A(NQIoD0lpa^sf-k5k$4BZ$df7+WDE_!{4swk=w z`LIAvSPy+!=CsVl(^{u=&e~KnFxJC-;b6G z3alK;la&p}D}euHx3uqfqsHn7AYIV#3Jj{K7D~LfaAS|oRxuaHdH}gTBh7I_n0)z0 zU>04SAApf990Bi1n-Fj?(KT_H*whIrXBS^b!N+NbMmvDeN^Ub`o6Pj|k`;CQK~A0A zE9PXP8&5jktihMMi0pF+b*&W9yb1L1{&aW z9qau0^E69JnHk0y&}QXB+$#+Qi(=l$#wO`#lo2=RQ?O^`71#BH&#%Xip2bIgK1)iBBS7-R0>jsy^=)hc7ixMp3;Sug*PAuyapCYHM{E7&7hHSidmvjzogj+Ru*TQRKd|_N_3c zx25B*rR~Cx-^aQtEbz36cVHueh2sBE@Phz>6aVqK&iszYW|X$`T-Fmqcu^?Ih_pV% zA_u0R`@kZ`^-XNe8_fU9K%ccn7xn09Ro4G2HIH5wS;X=~mD_kJH|cy|7NX=kk`5Jz z3)N&%z*zgTWY{f5*_?w2+^{BVzNWT2OvxjR88Qek#u`J5VXF)HXVzj-JxODYfa6B< z?bVZ|-AsW)z1LtS4ijPP!ATyUwk3-a@h&0kz=^_2aKU8!bNYPvW?CZ8|M(-{-)pLB z@u6r%)GHe`qsSPDv9%Qww37PACws5RG%E)_EeYME%1aiYN{e;G;B5F$`W|2f`ovsC zB~+ptV`6zS@0pY<23V;J-`9;9%N591TK8a75wBFB37nLOWf$rtq_X&8T~)(p!Hv;% z%}>N&h<$bqk@2d4d4ETUuksMIjv5(bfyJ*vbG3mhT;2OdcXh3B#AywO;j1=?+xv#GktQGNrcQBju>YiuuAU1LKxY0S5(YAQ&*%fatA zl{paxXf*;13c4*6jdMu6IGtQ%+3s@>s{+3cmrZ<;oJzb=RoF(}>>1o-T8EpXRH@N@ zCOJXp#6b`lq8B*kt?N=4*)QT}Hc{wxnV@4NUsG#^1PIi!-;055PgVUGgDiOY^m9|F zKU0@X(P}dwr{$r?Vb4OSt;r`AwS!ihBiKL}7p) z&=sk%wB8V*Nqy8xr&gxV6eXaz0eC-KMNOHI~lEf=d}CN)~D2ce^T3w39N*4`a_NY;Xal z#upJ(qla88M#qW6F`AanA-6~C#;n_p0Xw8j<6L#VoH6@|e|#Oh51WjF`kn`{!N?&* zZV*5{RMs9*k|dw8yON;2LtVx!IB;DsYNQxdKU;I{voK&yBsTBYLognCRo2kvH3Guu z(6~BTd1J8?)Tl7Z4bXJuZW)aS7^kv%B*-R4U=Xke`oPYyN%(92wIcvu4XxDO zs;2aV;TnfBHPX<_S6I5xEkjY1seAzsXGfld!mNuQ@Q_GR1f!;uU8<27j~?G~OrpM% z!xhb^`wJQYh4h{M{7FsqqgDO(lJY+VZ6f$I0K}KhAq# z2`mCN!&C^7mB)1R`&YWe0VHj`{zn_>F8D1Dm)j?};XjSkn|9EeMlS20aK8E_4Crd3 z;y|q#a1INX`u@E%wVsXbNyyUv?UF>!t{i0j4%A@v5#?po+E}xN3CLzMRAkN~@8>SO zj<(STg&Z)B2>f+ZU23VNa!H0S^0Y~MK=9H)QoAtqMnVcy3H?hUf#bu;l)%1Xq;BjO zAW~Utu2l0@)h{>%!yB^p}6Co`|%Rw3$Q zUCol0m534NhglvzJri2E!^$r#94oBFD*|az`obYy@gAM$793>@(u>R$hm~vbg{l-& zc*b3!?B@N|P$k-jKe-tp|E#4cp(|#4stFTzYU0J(xY#y}(ueCe?bk`aWUZv50zBSV zM@IndYM~VcEV+CFB#c|2(W-je>L!Uz;w*e$cE7ryp>#*?-fh4Ut@PJOE!BzSXQ z2D@{dl=)QY^pAlOT0-8#bas0!ET|{yVYgYyA_2{$%NyM)25($9>KnvE1+1;P9~9Bj zbzKkiN%Kx9(OPj>R)Tml06Jtl0clY_`g0B5Sa*f^tD((Gr~J#N!Jv86BkXJHFkw?<7I7^yLHX(y4?96S36HR6c*Hz8Sitha^DGaY^f)*SpK%>&L0NTM7@xg zf+;H`07elwPdC@t=eY`mSj9#R+iZ+l-V|1Z1HPBA8Oh<8=m~XazOci5oZS45lfV`J zL(9aQN!2J}u8hJwj!rerd6E;-xe^2NamSKy5(Q8+HP}XD8=KSxbI#3xKUIyb`-$cHeI;NE?t^pPENC0W5ONX&B@w^PhtU6Ez$1jd2zC$ z3mf|E?;YwVi+2Y3ng&+>SGG}y*aCBcBl=}9Cux+QmM9iF8d06^pl&^dt>i@ZGf2O# zyiNH?!4fiXMv8Mkl%}mwlTH<;B{`d5=PdSz(T+){E!Phf{SSA zw&T=E_)oM9>`pZA++qrbYKjkH%a*2N864#)znu!EzfkAeq-B&~^qE!ksJDwI*)vz` z+&*{q2DuT_Tf>+sBFKgEVMCDByao~w7$cl`Wx|8FHFsJ2%)heP#`=?q33MLeBD_C!hzPXPC+<3w^LDPQ2 zO2I_?s+a_?7$ts*;a#r>Lx+XOMF_{X`wKTqOW~Y;De$IU}Wr40ZfvKM9}gX zr(ZfUz_IB4b_?V63pUmXv=1MC$|IkR#+ZTycD>sXCAO=Gux7)>Fbyh{+TwJnUKHw) zsEza3Z_wT;(Xj}^5yZI13YWp<$U*jr2B}n7AT<5Ko+za|bIgR34+QHC9p*#UJj2$4 zX-%hbL=G*sv!843>aE3BIvAsSJ^ zd3G!as-cSUKxs0b_EcaR6#x_Y4*ep)`ZHaJ1I>gzH-gUVNfdWx<-s}46h}oqIeZZ@ zy)4ai2eZ+X33jU>BP~Dcn`y%KUC;g`vw?BCKM>t?1z)l^TKZ+dK<4e^!0FIrZ!0K| zm1ev6D~d-AwFoJ{1PFn?PkQc~DKXQgsOE^@68NF97BRgeQ#Ho-S_a=x93?PS(tAakYAmI$F+Ffvgxq7C}`3Lgh2s*p{y z$jT8FC$lJQ)SvWvA#a};mhcaB0$a5F19r6}TUWc*u&%{9;Z$Q(ybaYU6PcB_0<61Y z;zQ7jvl~8C$%nOhok)n7nb@h@Un;qMz=0&fUd=kj!x`heqr6Sk8Ok#=H1yI)Be>h$ccimtk1lq919`~}zF$REW~ zu>uL=3F0x;33QJNk9_@QdfA2K;EV`kws}LzyamE$+Sc@&kn@D*mt70q8kRxNe1=PJIEzOnyJAIe z{lH=Jhu@4<3thK|RiWc0T5&{mAx1iQdq8iM%13o}APM2@?zbNFd1`67EODy%!TfsK zJIUlYK@#swn;J9*oNeUVW=QRR$sm^dJvNAu#0>KEG0yWbG_!B??9f)U zIVR9=e(HxZiDa*lTKx;bwRy_u&vA@OCV^?XF5IYWaUMl={b1RjTDUS`cxLm8wjr;; zC@1|B66yK0R+3X=JD5amJLS;ihPy~dq*Rx5RApCv6caLQ;Q^U2zQ0B;%7}KliwV7a z+e6_wAxpIJqyRQH#)CDr1j0M7@HaJ37t~14r2t!3^b9I1{^U0H7*tSPu zi~-)yqhacwGG~8CobMJ(r355`dhHsAtQ@D?Vz!$)@fid6zT;eRk&^ia871B*U~~&E zzpr=1SmIbw%YYEVYtBn^&9>GEJf%V>?hB5FU*#q#i!?+9N?S!%GweK&X@E?bQj@4 zIcR08C@`V=v6M^MMm^MX3-e_O=z^Ls0kPWANn@^|G}c)MyX;(Q*XhRzfpm?WmxaA5H`+7#xuuXUF1K~V(h~i){dO$?< z&!?jus=sGb+fy{i)h?juS0Ea^qnQ1w`t8R3C!Q$v|&wOgTvKx+$) z&<^QRQe|`yor-09|E1$VyhgSmw^p!(j3Hx5UQJS?(A%thaOv$aADsobH(yd$?CJ@q zArxD=Wi4JKIJEh?AGvUaYa~?amkg7O%Q4yV{H!uE=ZZ7mrYfcnWkvCpsUxp8g7d$_ z6Z^&oX(~MBLMjSYW-yacWyt7D8qC#F=c#Jm#PIdh2&!Eorhoy<$p3_DwCJ%8@o92J ztjinndEJkZ0#+xj#mfEde1MDQ7)H5tr(f?N`l+B8P+q<)sxASg<=HQuWS!I<(2}O5IY)y*X4lVqdD&L%jh;f7Ejw*$T7d6h}Xy&$t9sD=C zGf(VwRhe^I(nk|#jyl)#lLb}(K(!#u4TQmr#M#(|2^rWp;rRD#;b_!aV@-s`S5c|+ z2Co#uhw(iGyOo7^KSePj$rizwRt6-a0b@Cwi_McmC=P5*`E(y^c<^(V5ba5#3~g5Y z!BP-jUoEjxu@Vno40E8v;o4nP2Qd-g0=ng2EaOQ;e^yx2Isy0RoZO$EO+TiH3i=Ik z^oQY(%-x4#Dz%`GLrhI%%dKUV?CSFqTNHjx^0}^~gXcIKc{;RW4=l!9c{D zQ%&7P84T#!GM2~0LI2jD4;-Owtd;pII?%o_+gjDY(TY}1UcF@}H;R0(CiMiTuO0@3l9HiCA>A67o(($$vUKH}I_d${9yg?p`wiEaBefANH>R+ZX zJl2x_sJx!UYy_Ai(h$U>(TWw5GM>MT?v zgaDw~+d*-|X`oWl2b76P)WY*s{KJO<~OnSR{cdO zb%sm(2)vT!GD)Nd<40|3VH;(ceIpxoj24f;*uwqrllL#rGrS zO2DlP-Lz4uM+97SLhq6zN(al3YmjI~`;# zMS~PF9G59AC+A390bY=h`N@fuC>q7kkFt?Bbi&8@bd{=g_urcL9lsb^hkD!8fO3G~Tr zx-#yQ39hrsq(D?uE7wQ9F4BWqD^#i`h52Qv>-ah?&U_*yOKzw)asFb(kp@=&%>Lj3 zX+(QfuP@2|hvfB#{d+*!JV;nxIY+dISPRReV4oiRS57rPkt1ZQGaH5Hi|<*o+@G?2m40 znPaNN-o3y%$-mQS4Kr=QDgZ0u3U-rYzE6oJNA{{gUTvL7zRj2?%8{)hyS8iQ#I^0f zen5Enb{|M-CBCWxsNR8DG|(=fCV+{9&bT>pP`!tSgU%5TmLc3!>?|hj8|pq`*p9GK z{JIc#h2fxdnZqx%-`_M~{N@(@w{r3e!O}I1WXQ5*YAGD6QFWurcH@f?zK1jd$=~zr z@YUujdh4D4_+caz&zB1tXlCpH*XBK2d}FRwgS-WGmFmc^)%36=*o5fw^`k7pjwswx z$0&qbr;)@y4ZN)}xi$l&wd@OwGa*tSy$3=>0S(KM*G9l^?m*}L!E25E1u`aJ63D|I^cnGek$Ivfv zU%wcstx2t15D^VPbf(QeErwaK z25t^MC(*cq{BQ}zEYRh*L%4@ZK_tP%kmN}B51mMVX}u?r9+-8uDJ44dnqa&q2_Kk; z9>}ga4U}tex72uxGe8^cT$OwJhxp1%;*mhX&$WN^mnxsqOW|)QbMg*R@#M?_N2%R0 zSg~@JQ-z1YZP-?#X;$PRiB_Z_OyY-QD+TX+ z#|YdY609wa-0|3`@q$hQ>1Gt(#^nkF>HDlQ)Z$R*uQwui)RO(x~rg8}5=i$tr0Up0#O|X?ffqiEx7WnLYHo zB|VKr7#cW{{@~c#{^5BR>Er7!o2o1^X0vM;94o#<;qEj$&=`{k&P`>atLKc(&%Z8s z!y5~Pw+)Jm7l?^0+Qa{;=+Y3%lA9lXrj<<~J2<(5EbEcsq(e%67egpqEqEsJDYd+x zJo-tt2@}V0jWpJ)(3!L?{%=Hxfnu2LsD$>$Wg{F%g8K@*TbK*e*OuCwa72$!mgmyh ze8CN5Z$p~?YUA3ez)xdAC`7%0TA6LP)@818ZZC97I*5Qv(^@BLl&PJ$q?HE4N?Y!7je zdzDg0$DsVV3uh!B`cO;HVaeNfjNYMu#szaC6k`4NcilpGMXZ6?AV2OhkW8m7x4&gJ zbf==gH91CdhQytp&%MzOQxFQX&ht(FiA~PCdHsYfHcr23yRq`o#@a?4U_K%K$cP@W zO|GwlT3?qO+i}&JGxolPN!TfQL6~jFFn274=R2F+ZzUio{78q>);lo(WmX3vn2@Rn zY>l^vz{`TOX|&b(Niw(_cw8+ah5cLS@A6?A9Vn7WlZ`NN@-4LZRNN4G2xG8BX!_6} z_t;0|_=D4VoD7LEtbFWc@-_j4dHDQ}P+#+9GAVUkahwzme&$W?^GXz`VE!}ehKK}H zoUQnpiHA?V?Ic*#pu@i=YLXlHVeE4V<>Jb?ONOx-#^l!UV=wJ`ro%kGEjh`#Yd^zK zJAo6{pM%x$cm!JpGKAf#o>=4qAY8p=qleuHW+fxCi`Fj)H!SZAA?G!%)0C%>&_2=+ zap;fE5mWY_P8KhIJJcz4cRf|bK5na2EZ{zp&U-s%)4M7GG%DuX);rgVpA(r>;cN~E zsMmZf+qw_E^D)A^%%Ae01pIaw--sPSX<+AHq4Cr%)JG-9K`oZ~7xkz3WU zN3dv~^ANh7@b*P&`*(b$!jkiPo^vG2EYme_8AqBkiNW5d1ooHW~5{&jX%O^YNU- zI!LbR7_`3`1vvu@3G|xS7-26kE*4R1v+s+{!2@P>8|HDKi0=7na>cD;YX`mv6;vcqQMovRh@u<@#4L;Eax|nbd(Y+znua#8f(E}U_ z{35aHiXQz19HiHM&gMsN$5(2?7i8mwdm20+ddJxza>mx@aBN{BFzs5(I8=LA9b=WvuS7yci7xYv(v5Na@6sU;J+9$e_N? z1qC&T<4!tkVap1WO=trzWk(?RlY@0wCpOJ==Y8NtkG`!A_x%nxs``eIHH=qi2s6Qw zjqyl842fc68Jrq(xeuoTW5(sbp_u7y^tnk*f#L@|%lK2}2LjqESI>F;(RG2VOWp=0 z&s|Vd%OJjysaniiOqbXy2#mNdHg}syVkzdo5;g=k6v3e8)nvNmdTUTSeoWxN-Jh;a zfwdB{G+~b+;^a||7-h9!LlC*6_f&0nN4hFK^204}2$K%xl5HUa*Di)Qp)R4M1YA`F zN33ASZf!ND0H}l{46tlpA>QY8)A6>Y6#Mwfe_)+QZNEz|`>ExxbFNl4aXXJ%^U)x0 zOdnRoRNe*8XEO;a>@yY^?hJx-t-C3j<71pKHPBphx?+@4_(N==Ol4`M(dp4{f{Gc^d=*ARDO>>mEw$jC#Qn>2IegVvVT1W++M zNoNsj3r(@9{;SZ@8X8SfrQGeyd$MiM32XhvTgDtwC-%R-QWTQtj&dkH!2?umiDg=d zYMkXiu+b!!b=OU;zW0*VISbOy6diHM=&tmeIF!7l4M=@yh{yX zO-*U70LXWhhBB$Aj2kRJoEfujylAF-h#;=CLPcLV)vOlOa+5ip|zH|YlMX)l4aNB}Z2Fr@s z{!uTNQD7R}(uMY^v~eqRzR_S#U=V^bgxy6z_~LHk;=&zUnz!}ABcgMo{2&Cvg+J;g zn!yw2@Sda+qt!-2!j%EwWZ*d14Z=u<8DbjQdXq-NY9?r3r8-LVidegWhvzL|Y(4m> zV8~~B6L;b;$k~Q{_UMu=f7S|6|3lav&@Oj;#!AOl`nRuZ6Q!**Iy_NLj|Udd)a56-Nz>MO*2wQNcG3ju zDB6Xn&^C$Jj3Ax!^G`q4c&&dc<5W`6BOct2G7lp>zDn)k>koj6-6S>!joArPO~)`> zacwK@b5P^fFo2j!?e{+rJg$8?O{Xo5=yCcUKjA_9*C90W8j%r2Gr6&ceZ@+-$s*NI zJ>7qt9gmQ{0O9rpz~0IpS^Ps?{Hs|{wFZel1OnG^I?*!;-J(Hsu>jFPy1hzhJ(~dv z8!;ZF554h7gtU{5v7wluz&wq$!+xT|Gbly61R^JMOvWr&J-ZJHGIN4+au|~l#Uki3 zLnyNW8dQGg$uP2VS}Nx{LfB}XcBO4lmcb-#&WT)GYbpDtx;y2;f^?PP;Vj&5RcS}I zzLalNLm-F;zzTu?My)gs59^;vERXsNZ&G0@h@2Rcyoinn@US|DEGJuIV0pa5JfK#I z-y)f^8_ZkyQ1N$BY%pfRLNN`JAp^r9k5={rRY?~32>7m$;dUv^)zl_uvvfrB$*qE``-H)M#ExTH%#rXm9LfMUJx+B;NpFw2 zZkwmI3AbN=N1 z0R_j3PbLHA_FwRHATwS)6NXoR(Wr1uIY-hhV3fMe11p7(SbYa`;~-PGq?H;Oc$pJs zFtVr1dtfcPLCQ*BFf4Jm`mrPoLqO{1%Eh8e)4n}4*MNkF|HjFlo1}H;i01=qM?s4plmF|fqZF3V2@5fIn`RbhEa+Un$3jjYrctE- zBJl^A;j|C>6dLDU@zNXIY#kf`D;@Cpr%PM z?J9=xXJ*Lr)a)3n$|e>WBu-GT4?X)KEZKZdaJV8X`7WKU`VQkkuo6j-2DE`l{=ueiHof^ENpibM@w07iQ$|_!6MTr&_N9H=gsr`ZcSlwR~ z;rJh)k<)5`Z12X1#oqP{HNTcF=|F*fD<$SskYLw7+QtJ+#b4Fx_Ur1z1+*G3vfy4( zrZzb3j(ihl_&WYw~9 zQ$oE3A1u$Peg`+~y026i6Y46$5~&dcGFS%}%Lh04Wim8I4d#qqz--UoGT+WeYZbcG zMCrg|QmhPC-sru`$?cRuCq6=zUi=VkF=tIib?!AD-u~^hxpYB6A|Aasf-szC zw!Vcmg~_I@az@=AS|UlME|b}>M?bu8MpF%~oqzRBGxoQO^RiyT>H8Be2Tn-g+Bgd7 zU21ru?q41r`$FXs7o0QLrrH=IY2)@wAGzG|p@GswasGh6`p?v*4JxNC0=`7=Mrwmu|3RsymmMy*FPqf38Y^xA5n`qEkClK$k_jtvw` zpOt2jh3tIM!qP;qsc&s&SEsYsUMok>jJ?vSZ~k!4Yx6CB)M4A4%~b=YG>ks5Q&5|3 z^)VLCV>ZQs%;fmmJDJ=?+qYvt~SlCFqhD78V!NI3HkF-z%=ZUG1}C0y8QQ2uNS}W3=eCq zNB}OXj=U>ToU1U2c&Tr&3(8RDx229yos)?hL3Ki5RJ?4$SGe!-V7RP&a0{*5dexs6 z&lTxA`Bt~GSYz7^awGrGB~U`Rd}8|uE+$zRIi~pWW*MgpbJnq+Z1?>WD-PmFY>`}r zMJi(U6spbT@$P1pLf_q5qiTF%?8RHdwzl9CZn$_47o8hZl0%IK~dWrF9&pOQ<-Kb4`=TH2| zVDg(-h@k1neqxweL5}W;BC~}ndI`pI4I}*(@oZu3JCS2hpY(b|6;D!fmhkjM6E)X>OUCK{L zP+t08Sc7)FAe;PGYNk_EqCg?pHaMWy)<4+5nQ_g+-(~=polnk`eE(i!ak`FyDP6un!;0Cl z;ybLzD}te4v}UvQ_=P5=^$~M;2Tw1)rq7wjl;c44!si=eEYK&N#D}QF{Hi|>bpqh! z9R%DZVe9N&GGmo76$A=*VGjdkuDwHMw=g=kph~#D65|kCh;@$AG%BsEn&f?s=rWPJ zA@M%6O)x8YtqfE9>`2$AGd8n~9n72zl*`Ycp=FR?enB1Tg`u)LGDrW2_#rcb3a__iK`N&HgOO zX#kELvioILMKevbykH#lq;F5g(>AYpak9h{;--2*Zy9IA3;FCFoc0gSK{~=UE8cEQ z0+tX(SP|;z+diGR1_K@~?Z0X-L(viu^`l(8gXo!OiJpY~E9I~qYk}_kEL=hN3_-kw zm=W>)-zv{x6?<qC&ExMKD{`=`gD|gt$@r1%;WwR4Po7w zpNZ5z#MMo#$`}E5*mP~aYO_}0r=pd!`{89~Jau(RSIO=4l<|EDcvwYy-{uhBP1A_T z$L&MPEX1u&>ZLGp-+4K~HT>YcggT%vCNVOhEu#uo?dgJ~^Hp3WI~|ulO}_qdv?lbj zbr@$XA=LEU#VyD982VbbfIUwIRH#6>rQL6gcQfiuO?N2O@`GO92J>fZ>`)A`AsIBH zmQPraLagnRQdK`a{D3o;%f|lugi35m{^)(ohNZcO-dRiczf-7jA>PnhnUu3MlAAv( z%_j0XF15uw#vO+>|0P9)SSR5thHg33qYRKbnze10q3mraB#&pa(kt@Wc?*C;vJNKy zwp&$2L`WFC>k7DC!+hC5kGozaO&Kl?`v>8`671w7E~E0Ak;DK6IwwugXl&C}6^c^c zJt?Z~geoNfMzCIy<6-38l%4Dk;Eelywg}s4HncdRCuz;eQc^fh=`t%Y9+qN zB)_ezW@-CZ&v|N$!vlpL&UGRYyyHOmAqjQ%FhwA$U6N?Zbt9SMu*TKd(CXml*uyvV z0Kc9ZkPxYc&WRa)Stn5qb$N>I^a?3;u-K&B-`mAzz?c!(N1rE)4N-zAAr*}<$YAUs zB7%x(J~O^8P-zNkY^&NULC5ELRx)DED|dX7+aTd=kCkLois01=*M)>dHQaZ`G;vc zGzkP1RGU1ok`ffDQ1lfUfE6Ex&@b2evhFCNt_Fb36P(TV*zM2W^!GH2ORh5h8-1=R z00TWIG58Vz4?7=vK9ie0%o5@AwV5u8odQfcCW0@ow}pkLCDr%@v>*G}a$As^DP+Ud zE0S^Zeska`Zu`hN)oGZG_7CPx?al$tGtkHKk|%c>IfPzyt#^!VoM+9M^%2?#K@fyUfpH);&r&yC*o1{oVBBg&zSHT<))pkgrmmRw1QqM5a$Ob`tfT z9&z8)R*8(Nu+~cUlzjh`^tm1_MMHf(k7VE7!LFo5Se39XPZ{vWK(l&=A>p(iwU!Ak zJ59O<4+zq3Q^}{BEpF5g3& z2R``8`oeuUkG=Q9NtyN}4TG(VVbCwQR7&zJrdW8-Wje&MsnXWqXkZZ4k)AY0n2Pan zRcg=29A2vmsX+dLRS=Oz;TiMEn={|(2KIiyjh%EVSgDEN7rOcnr2KK(a<&k z&lrU6=Y8^(yaGqw_~~#d>ItVdTwa_cNFEsu?o;eIoWD5AJc78`4S8AQUGLt8S}&MC zJMd>`sY9zmBaQoN@NwuDnq-Arq2*qn>h9L!9hMJ$InbYc5+dRJR^X!)El0?_6Y#O5 z-}Pgdhep2P{k$CK*hT}z#w^8BofFm)&r5a}R{MK@53e~VJPPkH_|!3~l8_kbmpgj3 z{9)B!T&l`(V4^#{JVLqk6Fh8u(n{*RR~es>fwQ*QwR*+GpvLpe+E?%R8wk~uu5dfrG}`g9g`Nhss|U#MQ@E}^k6ko zcLxna1>P=dm*LS}Y(yf`G2>5PXy=id^^c4a6>|D^NKnBuA zY+&RL=nANFmDC=35+!A+8^>Zrhw{)uIj$t3R#SOI;cQOjRodj3Mj$+kI{WlYG^xpt zJFNdM8oZPvxII44qU4v+banTMBH{j@cmnl5MxD7r0*{0jz{tixHfa2AVIP=d^WZN2o1)I@kDv^l7Mbi0L~f={ws1T#K&SP3m|32C~3UTqhAGwFG0KcAg8a`E*ddhtZJGDX2m zTP}nxg8uSurdB-HXA5orZ}OB--PENbfyGUM0X?BkbtQ-C@`2poH6h0-rG;}S*txeZ zTKGqPp0akLFu^0ri7MMawE$F~xf2b!!8D7}R{!8|FmY03oJ9;k*^C;dp8HM!y>u|Q zZ*i;bj}PUPZr*LhEj8;~*m&=!&wcojjAA+51&-)Bk1$t%Td+7=_z+!4TtDD06mBx6iw=%2W+P#xiPWB`qeBo>B5hGe$)~Gfb8bvR-d&V6zhG9O*-2UL9H~!z=CPV+isaK1@V@Yt zP6A96BwC2%YiiTOgt*701SPKLN7L;wxlG>^udY&V21G+BtI^^ZC&~YElS zmf8R~mKUHu{B5WvbB`O~AXBcSfH>ALMs1|Y`Vzc)O!`QPFz3qN(Ew@nru#uE(he&S0K@&EKy8m`xvfS1L$euP2>l0Y9^sV z=sW3)WCVgq!w=GTjHrF-%6_4C)wmI|gxOQOjfEWiKC69D1t#{A0w#lDd10`|H-Ahv zXZJp%V4X(ZsRQ-YAK5BfJP#C6;)X^Mzs)k?^HA#niUE%Y6n6_nLaeMgUns2)^vX;) z%!FYQ2q8fu55-gxjH;>}7oM=E&`Gi0hKmgEWtt1UB@kUz`4t5RapBEs&g^Ak*Vb+G z5(sjvP2Ilyrl_N(aBy~0s#5GHd7F4?*(mS?cm71OZy8)rH`FTV~v?K{BFt$83j?8IKf;%S(qxY!zc2{sG{Frrq+x)>@nv4x{UQJnxu6AB?7Y1gA~^>reXn;oP02X zjE&@IlQ1~Ygz`|^Lo^C=sdlO~ptxEp4%tNX;#2w^W$`;5E@2oWx3gm`?sw87T)U~k zn!I{ArHM3VGrpC-R8NyzA{gwi%cL;Bbhg}B${G-f@KUGOC#Uz>G(UgBKi8m_iKWjR zdKVn#MYZ`bv!c3{sHupbv)Z9vaHE!(+z74d)F?Q#6SFE0=(L7oIu1%o#h-i?TkSSo z{SoPbV7QDQir(IQ!^&v;_6K%s2PGUPA&4Wub}iE!>i^3qnyf69Vxa*6>me8 zTJ%ZAjWroFX{MprTH~>#ie9c{^5#sjm;}h&U#y(b>-lswggQB ziEOn;mN<%0dkl-xMqCyzfi(e&^GM}6A_YGaYzwH=Bs17h}@#HDXAx?u> zZC_w3f|>>6wO3Fr9B(*5LdgfUukAIVF~+^u#tAerrj;hqwF%PnL7oV?1@}AlU4j;d z$*Et0c`{iH3UZ$)?0PZ*PlKIvLyW$>U`*=n0a)*19lc^L!> zym>!kz$^2@Qt#YiepyT3elU{4%`m9OB+SGfE92`AA9JIqK#^igFsJU4LNeZ{*R}WoAX@Ir!BBm%e|r zOKvBiW~saPuMFI&M7TGo`bD&5v?Tv6rL-30r_dIe79iCl#6y#1fX`4XLY>Qg#CyzML>g+(ixWQc5cX54Db<;_ZscpgLoX24k!GJ2 zbnu5i`k~-G>p@-TcOdneoVQD-YK`xNWA+6y@7nz+xXq*8p~x z><=UD-E+4pRS=!&coOx$On?_&E$CN3%{<)5=Rh9tklRhng>0Ry{R7>A_-2P#ju2mH zFao?sA3~r7CfadgA_5(Q8dHgs4^t@oOpeCUC5{Z6b9fOccqhl+pn6 zCbEw=wm}%9EG`hH-z5^BF7a#Hmu~3Z)KhtgevpKK&OZFJ*%ny`??75hy}dO;rTreQ zx6wg`tHv}fEUmGL{fzm_NN;Ytp554DV<@DW###(4&(B&Bit(Q$_V!sOmUfBzU-Q4p zK`~@j(|D4F=h^GkTP&^|%N9J@pHJqp;ziH%@3RSlFNRaZP#m8Z-BML`{r&_f~i`JY+Q#1gtB&ZP)Y! zhLEyb9E!OFO3mL^6MM|(2EyL}^muEG9p3Zzc1m(#PJ+=YiRsy?b^0#aB%Uz<+PtTt z#2iK!HBce*Q6!twH7Xm^!NI@LV5kQio)7?4o;nJXd^wr@ItI!Ud~29c%pK$zSb899Wi- zQ~shPODu^^_Cs3-)9Ul>mK+d{z+5nffV<)S!_Bp>O|!o6Ed4oj%&#W-1>^Wvd{nY8IW#f(K%e~| z+w^5bd3l~$SDqEj&ji+g0-)h)qvTLfTyc!ihgW%ME0jQzZuQsZ>cHV0%O9d#CgMfeZ3D#Q?V5BDPP$v7qR$G_vSiS1(ucRC|aaxF*H z*}ZvJjbnH1c3RCE*_%FETV+$|J||B!5*YngwwTtUECuu*NHTh;IqGkMSHu;`EB<37*4e#JEKq8Ay3`Ia)IgY2f8^gc+_3<{FeW^~(Wt%6 zP^4xl{qtNH_!y#PwmiGxk{(I~Qi1O;&#wrPBs6`0+=6hRahQO7onmx_U^ffHF#=kKdo zeo>1(6zN2+D|*)d25i`hzSOHab`RNNnO^$4LAUT}q~Y39dSzEG8bJ%|nZ>-yq(h9S zD+}wR7vNCQDs#H!ReD>R|85=lKZvcM821v$-IqXtXeeFfJzpcvG$@W4n0gt?jEdoi6k zS=vZe;cryoZ0SSGu|R{gz8JxBG<(;K5_EGuk#Y8yrYPlRcpu$$4Dz&1ek1=*QxWw2 z+{z^AFEiSx+%X4|+hHJ1J9@{tj?YPxzgVQSCzu~YBDm+uvif9P#ePh&rN^b{#0Y?e9{-sRE<#%n%(MAIna_mgMg(ES;%uJ zo#~AgK6Ec!wvyd=r_G8ZQWpCaOK~sQWItuTfe{;}SDOR8TA;kzYrj2vTzWA<`>88l_Vr5`@2mc?N7Q#A&rQ*hd73E7C|Bw zEfd1JjpPK&pZ6`=B2i-z4-uPq0+3m;whTiuqRSzJ^vlis=-^Ui+$?}(5eb|_?)><goC2TBa zTK_F@cwB>jVK}%`UKEjSVruwPY5aIF0;JKcQ7VQed7Dnp%r&F%PlzQfe*FS-Gv`Bzd`UzE<$pbIQPnx0m$$j8h_Y46#oljoz8 z7g@B_(W<7t8J|>4h4D$yi?o#YYHeL1Do-w1#Y0{*t4`%d(Q^*moP7yB1}QGGyIbt04&FoL)_F9e!> z+AIRR%wR!gZoVw6(=#mnr;X2Cody#Nz#OUSCOs+n`{$x&Bj@505GA7$(xjzl=PF0G zrD2b~^Fn^1N7`*o<#FHUp(@!A$qFXuhjdxL?kR^o#w*;%QROrEjU1jKCuZ{MbUSSx zxA0MB@TghDsSyQTmcTZA<`qXXdFM-($8c{rZF|;iLOxAIGGhQLS?(*b zs5mLEsJJ%P|BSQ8b^WS;SPe0fJB8XT~|hK-epZ$-fZzj#C7(<8?45VSlPv|Vqh4^j)@w0l9MZk>)% zrbUY1m$3Sv74iJ)iaua^vG1I<=yh2v09wRH@AW~OFe%1i1dI2`GHR+n{S^MyJcFnc zW>d884Z<>VO-A7K65B!>dOSO%F6%H3ISzUG-`@*+*YH9#6>a6YQ6AA{+(@vGhFj|J zm#qL)TSJ2Y{Hs&WmZF>C!FH~2iVV>%y^d~!@RO7;h?07L@WxjzMbOglURlUnxC#=| zB(JWVs|`Tq(;FOS5D{iW&Bk3&M`*d4PIc=5J!DB9amBI;Rrt!hv^1@4ETWe8T^O5# zIh~^M;+j6y)^}<#&*)2(b!j1QGV*{mC?HKl)YqM*AmNCb(zN>~d1{5V^Cg`l>8@wA zwXpNYvUg`rC&i#=EiX6CV|@pEu9Bvqj3Hy`?KFa8k78(^tZ=XELv zJ&ND$w++a0riC?AKOk|qH9=dXcxJSgCGRkcWd($?-|taR4r#6k>>4#8&{3d;PhN0r zT@1^^fJSvgW3#C?dxfJdA4$5}NzVRh+hqBfY0w6gFETP1mZy<2?4;jg zSer32{=BSnynI_@wf0fLFSrvLQrJUl#NaPde3taOg;73eikzgL>`!a*JbAK=`kjr3 z?>^(41!CzcfcD*(`ts>60{0OvG_WZ-|0K$J{)yKztbhD z&gQ~z*gTHD=Y2lNlqpZ`=S)7AHxQ`)KJmB^)q0R(v`L<#)N{(nDcv&5L;7I7IF;>L z!5z)6JD#rO(wzwI#X+0UP{SR^gPN%D3Kv@2IH#xgqkmVAIoLvXe@h^As%8&?6B4lt zF13m|(7taN!uvOQ&^vUc7KB?>8I91*YhT0ONM}|I)PQ7k3h}H+YK3 zi;eRw;@oNU)d;aCr9M zjcn`8MKD@Z&{k398eZd~l5EVK18ugoepOxPvVj1e9fm9PqsPGVWne1?D6M;x&`{@hHO9*8E9{`qooE9u$QWm7t9aO(= zJzfcQ<-B*-C40ml)4(nQcRdfu?KFM+QI>!++Ibld--D=Sx#fhTPwVX01_>rb9{ei5CUP^zAD>|7<}e_pdWR5U?_b4|uTIv+y}tJ2 zDD~~h@{gX;la{&yT?UuW^RuFeYV_g?5`FfhCgJ&Yow-I`K9mv8IJS=Fi@TgZ8}UuA zSi1C%d;lZ+CgZW>k7|Bzq=#+FiX!;^grCP{4VbB)8$Ut;TbwXE{--_anxn%e z&w)0_&)uoUNn-Me1eo;ZYIa|A%PJobeh>WL2PZ7c(RS@e%6O{|k_);NIi3~mmcztI z8%J87pZ}JrE>jXrOg@&Bru*}tHV{O}pPl65Lu>BixG>skR5k4Jn0F}ho7Jw0fLU@p zb^89+VjFytE{`j@1?y?voKtb@?3ibf#%Jz-zIwCpJ)d3}E>M^b_(SE_FP8q)E`CqB z1!&s391zz*NA+x~5Ybngr%=fmG3c}{fl?0|V14?-xc|_Wb4F7tzs{^bwzf{EuI#PwZo+ZXy%hlXjHgfE~FfXTw zP)NtHHzVExg$FB$$6LKq*X-@a<;6g@f!=3fT4%pQNPyM->lwinNrEn$sn0N9GO!8+ zIDkjw69)lC%ui;mZDYE8PA)MNO>mg=i^jSS>7lO_A3w7RL`M=u1{q$03l@D>3Du&z5Z9o+xaunNi{HrR8?~14HUgVO%PJQ0yDoHT=QoUXe}Q~w z{AfcItd4AN-Lw3nH@E=i=pMHc$wRIn5C%VcO@0<8aI)x_cv+>Lx!6b4qid=C(f9Lc z38mI>F+(jjZB50+8@8U#ecDP!KDgai;ODTA#u$IZ@_>@r)FUH7Vq(-Bx4DS)%s$lQ z`Cf&cNpv!MdbUze1xds9Z?VZ8-!$m}kl~ohGM>dIC&AeCpsUaspB}8JqONgvwE>Ib zveGTTuRh6X(9BrSpQip^G2MCKRDz#sD}y~BYD>_|zn0BQ@8{SwTb0R#pWWHB@E0>= z_74`Zyxv^H#6Y4wGyvMFFOUel?E4*1l~NxnzLf$Ts*X?-ND>L=E@F-*rPK9(%eA@u zze-&N$9!n9r2{*5@>LWzl`|Gr$}!+i{BHPdH2W`;M+V4CQ%D{4fk~AfeXS|lYY!zx zq@PEpQOqP&-U^s}(kV0pxeQnD_HS9-$px!FgzQ#s{XxCBjNAktmLAlN>Yh{L&vzA(As*~yeN8JyU@N}F+<0ZO)fOd4MepIjv*sro#NcqQ2Bx@0jIkx(h<(RUdu zfy&rZ_t2oRIlP#+M)H_7+vZAon=|E-yD|IpU`ma&S)GbDD_Nnc|TH@Wb0Ho0X5_s}GLLEUZy3``50J1!r z`Wo;G-I-%#QOH-iUBP-6v3i8xP%+y?M-VON%|+Kp*9dz1(QVZ2(AM7Rsc4ZJ)qGCQyPpcIiYdEaOWnUd_Vd!(w2$P(ue+&e7tP8d4V)XY z%itz1Ho_b_C>dPbGaD0d>9GM0?k7a`)p>j2-fMe@=1TOhG=Q&ir^*LUyX)VU((=pg zMz5ToNZcl^di9%_kDI1K)azNz$B^aiyXum=IT@)7y2sYDm6ULVK`8X8)MjTG>buZC zRQ7ChBG{R<&X>Q%dryvmZN>py;v_?<)O(Y~)2Zgpw7&@Il|dN0{BLQ3yoQ95*|65z zENG_tnU|iLm^)Sin9WX>lbPdmy0SPG23An!|^=&RhVIqnZY z1?}iT7Ft_p=yVwHDW0(cLF}r-d7c{RwM`?$O5jOUj-PP^Xtq58_ zmFR9V%GnuM4@$|v4zW!Kjs)L~io1d>n#PJ@;ytt^ERw#b*MK(zK$O+xAY7{&L!L$V zdPgxk2<4)(C7Kgobo0VXkKY+;53Rdc+3tT64p93gwJm=W+7f@kOJ8foX=>cH=N?+K zZ{SJ3sv=vkFOT7v`XDh0_9KBSi2XApNrIk zg`W{!gcY-k$%UqRjCU`Pl-#zC&Q3>A2W_Z|Ne;oP`Zo~~Fn%oJ$Uj3KK(74~GB~no zri$)tKR0eH9$Q?hBDT_sU#mSlyW;CV-#Fb=s5t_=mIT7zXjh)d z2Rlfouhk2YB{05P(KBY$lW@iBRsHg?RIFlt z!%k#byL9G{`Q|jEvyQ)a{T;JTb9!_yTYWLkFR_%wJl>Dw3wqS7)A;$u9^fiQ?w`{w z*`xI5{I{z@v`5;7vBBv#*%>;5)v1p?`SmnkrE2|x+XY9%`eng3=!FkF`r1I1bUme? z3OK)c^KA77(xOfrTtCo1dVhH#%bqgnc{oYq%5cAF)@PFw;3n{Ul~jF9*HK&^ zi!zRS$nVC%i$KeGhS!nw;!mKVpmEIAZ36Adb~vT#{!Mhs zESV@fO9e`pb(C1Q{!xfzdM^Hfgm600?b}lc4=<42!Z9Nn%ku^EDc{>}$DM7A_=5J~ zA#{ECmd|9lo8+hB&pN-ttCf{b;Cqc1^|^Vh69921N80*kLI*DE2_uy+g)bAZQg zLf*{CpMNO365i{fSx=1MkCWVn=lxkw-;*bgzYu}&G??F|`2XEoLwf=(G~VGaFZnr1 zvatZWFs(Z`?IIKr6wzC1o7)c?2WTc|C_fRz-hU`4JKc-U;jmd|xsOevki?W*qry(s~hEjxccv}g+ zql-F>`q|TMCqnngKe>R(n4LMKksg#55#&9dl@e42O)dt=t~i@S=fsj(EC=TS-gcuC zjs(}5HCe97M#2ynu_I?V@+pLy4&n+GZvh#N@y^OMg53l;9+S|Rnn=Cq>=6+l(W&9b z%zC+;DM|M35?R)A(yOW7TOPY=>MuSAFA<9X_O3;1s!bE>uO4k4?10(kFt4|u6Rf*6 z*|3#BY?volPY2@}M{OSg?&-k5Ku`+m)sgrsm|W@c^!wl?Ik=uRjv-+xL(XvWZmzku zpQnptlG&qbcxde4$a2HSwH@yHRObnlU~@vk!kmEik>zIy*JhOO@8@n8v!%4s?aj5^ z4JvVo*F^0EErq@^f|FHGDojm&O->g0QA&;zM6 zvkm=fcR3l6Hk|9jh{`k1?%^&}x5yT`z4=E}Ke3lj9mDLL``=Bt{WKOS3Sqh#kbuNZ zZ7CyR%)ATTsq!lyqj{N_rA`Xrk?9QT z#HtOH5{J@C5qfRJ*5{xc%W3JlzRgB8`Cne_|KO^`Hvex{?f?7re@M6kiTD>o=JO=d zcbQFuH80mfDWBe{F>z}&XB^-cceQo|@~DISEZZq4#udr~x+ir-n(48m1$SVmv}b6{ zKm4nhySby=>a~DZLS}x1Uo{;83~TKf&A-Tgk6jL42-aU~!a2tZjZIRM#%KIyNCo^D zDJmhNjIidccel)JU@`&5Cv`j&mm(KQ+p*Ax3wS_JkJLJGo$B_K>dVV(ujt%-oIV>5 zVHc(k@){~E#_9Te9yx<)(luU56Onftz+V>?P@k-Xs0oNcl`{mU)U*HFZB;2`3uhSt ztFgVBd{sk^nObNt@*EU&ndQ^k+fB5pzGM$dFEir#M1Bc=D2{nc+9GVk&ude!`@=pb zuqR-Yu&YP7RLRxiRrmN(F1_xDX3Q!>ZTj3Ox{N}yBsfxSUD9Cq^)oXj05EFs!8UgSiB{cI%j*`U zbQ4_)S5b^fY#k%Bbp%VPOFE2E&GbvV)Y|K!vkFxqg)iUQCv)>nI;~b*_5_?ViDi@i zNiJRUtB2!_|E|RsmpGKXf40P#iOABSA8a^VN0Y`*bODdGJ?}0*X=V zzg<1q>xm?XUkO*K6~~cU;J6ci(Q8N2&c(g9tD*T5zMA-jdN@vs3!RjIBjg{|j~)n2 zCOxdHPRyV|1V~r7vx5uu51d&cZNFeQK(3^%(Rx~4!G#C?2Y6QB0cc6f0$z;gQsuLX zvsNCJ{ONQH28e;M+nypJ(K?=c0wE!D=!q^sqC}Tcmr?!eY4@^QIS5M0Ydz6om}K^1 zz?;CQ_`IHaB2_M{sj7bji!O%6r5e2Ck*@lO)%hP_Q6K^UG>9O>V>#`~A^)Xg70pts zx6Az91oV@kMEX4}8}r1-W-`!^N%tw`Jw+!wI%|eV%vXQ7Q7jZ6bEtI$#i^7Ap_sLb zxTD%vmLFamyG{Kac~U-2aM*l}Z0}*a^L`7K|9&=LZz*Yk6>&wFu9GD+@ZR{GJf0H6=?U>3J`uhqPa#Q}I%svfCa0YJ${ut8OFlK|g?ssT;YSSFB&pbN zcVKL$b8$S8vmRSaQ#gnwoLx>pk=~rugAbmcciFJjmGGId&!fQrYQiskN>K8agy;lT zbMCdZS%b(q2sD{lxr8OAaDeN@L~I`S>G5~ZN<9FUJCj;k35S_vy2IV!?lekFA;SsT zV$}dmOhLC-FSKvigbQNYUv23+1%Up7NAn94TBEjeTLjrU`PI+mIb-MLx z>h{wxxr+4}TKak_2%Ku}ni%ZLpDl8+4YNAVw8@xFz5pwIY=<^#0FTv>uDzvuh54$9 zDM!#$CwP^)k=bjd$VTl+H(lv2*b6lU?;L8+m*HS71OwtzJRa|fJKiIva3pcf4SfCN z%W9$T%U7|@rTP=5M ziBIz>GT;Xz!DwJ%)ZVq!bB&j^VYcSjS*6d4E;GOe(rjtLufDHkp7DfL>av7btMJ-n z0We96o+~2Bep+7x4i+!W7B|}8YnEp(zI`v!v6UkpIX@>EdoW0kZgk^t)f#k8$AEqI z_L{0<|5ZJ7y#>C%0Db{+%?cx03IT3E4H3)#+udf5$WsdR@rybL{4+VV=zT+i3CiI( zw_)#*J#q%WeCTlx)`WhrdL+4Uy~pZGn~oRcoVj^tPaRAf8A7J$`Pqq<5X?TbATB>* zkMqbD4#YChF{a%G`PzdY_$>4pu>kI;M^UsFBqI@hc zHe@dmm3b1pP9G}q3dVGLQ1*MY_)kpC?~X<~?OPOnubCQMeb;DeID3@wg1xHIk~3mj z(YX>DtQ9+A_AyAn+TK&TH%jEvp&YJ`aJI$Vh3j{;f{-X)3W{x3vPOOcYo6}Nq&4CQb}O( zW5;(}?+0kEdNl{@t*Z^4Jzl*6gc2g!d8*p)&cbFJ@ZdO&ZZa}R8lSP#biRI;`F?yx z>il?j;xmwnO9M;}vzFV%lq{T?QSKguyLNZzY61?~S3o*)bP!9|fYie?b1nnk!_D@4 z(vt~i!yyHh4Ml?PVk`$P(69Gq9esEz`XJ|j8UvPy*N&oCAJW4V(kcG`qDtleO(7C? z>$REX*j?s>b{_6;-oPWjiPlH)yEVQEub);k-kN$Te}4)JQ}au`ZTt;iqmyZD8gDd$ zgjBu9&MgP>(F_kUmZ>~HW*GS%PLLfe1@Zw9x{d&WvoTlUZk5g&n8mtA)t=bK>=W7W zHz1O6A)gD~&Acp18EziB*S8!zmmY!w=h!Jiu})tUr?W2w0?ZaY)Pa2Z0p1;XM7BK$ zf$G@^5J})KnRpD{cnte=R`4GTu5x4GAE%}JHlE3E*q zhYs^qp1aPz{H8q!|7-nfiCOm(6YHtX9%&bZ>E6Vzc-b2C4+jvn3MeMQ9Ul|=Tp?F1 zbhFlQ2R6Q*c+JEf?qj6KV0PxqPWp$PtxJdD!&OF&F%kkSBVP(4|A5E-A9$}JKqBKU zBnd0qj&B~z^LVIWa&wRmYB@D|hJ7P}G>fm7<3e7H)Zqv@;OU{|`6%QMRy-#Y@JAz0 zpSZ_iv*L4X$i%@)7Wc(o1&;g7(aIfv8Rb~t{=dHc4C7p(o7QIL(Z0LdpBdiEi;p!v z71K0;cnoV}H{8cq@9#jsY(2y|qCMMJM*2&!12}Msc>VS?e+KBfrS|3L>ilc%mfmmd zXtneydx>}Q$LZUa3$FO&o3;N@)p-ClwRL@8MMb2ksDPAEE+QgDP-+MwDpe3sk!A!$ zKuQcq4J3LI1D6shQWS_vQ|TS4(yIx*B=i8G1xSE|5R$y{KF|Bk_swtiIeX5mnYGT$ zIcKl`nZ5VACz&89Mrge!ISAVM8A1Jm;(X5pKS44KJGC=BFrYYb_{gD{eH0qPz1<>h#00-o9&K(`e!cm3m|R2n18JkFGsDik zQfL!{seHK+`D5;U{HH#h8UC7XOVJDu_2KIY0e*v_K5uvha%Zo7kjR@t@C3mh{8A!S zHbEqIk_HT>6zvOpSR1s9J;4C}KW#M-5W987nsWl+IO#;iTIe-*EW^D&lQyudMFrwB zNE;m`(Z^iUl#U3-&`uJ@A?22*SN1MWl?w8`4+TUQVL~4K0He==E z8~q=u`LH-hCzkZEY39_h9#B5dpXonmzWn~e+UD=P836x2$9k}$orMXYxt_&O8D;tJ z>N4tP&W}*A5d61GiW`;jyAshDF0wn(;0_*}P$5K677_#{ z@9#D`_nj01tU(Ko5(qa@D*mbLO$C21)?Ssl;rL=*cpK6`gq8ls zLZXh?OG9j#D|3JHCN{vHdx)s+|GpcCV@5eRh`9}ZbC~qJ(ef5=)*j{_q+FEa)fpP= z-Dog*E?`w{uAU#=x5yYXTMY3{acI=o8PxWQjTcjmmTBkHntdTY^S8n|&EJQ=pPR8T zadRORmanf&w<5^e35N_CItiX~jOC?er7}**sUKGa_$r-pfS}>g1YZUIw z`8oaHmZj7aJNU?WrN0!s9<+JiO=5It#8xSJW!z5>xj3)9%|K;cbYwYWGOgM4hU=ea_xRK|^h-*JgL9a{1GvHOL3Ynl{I`lo}tO+U7^ZF&JQ z!Tfu=g~fIoTWd=?>GcW_iKu88*O|_$u_p;B`@8rcm)+?~}n2;1Hh4TG59NihR-}87gE2d|u#$hTTa%JrV{Y@wOgCHrk|DEpVcl6h! z(HfFtFX{Nia6G5<$J(otyYZ@x4*iVS;^wuW{S;<6Q+CtnMK3cvfQNKOLUs@{r*#%| z#9^3$0nhh;&dB1dqADevpbAn2S9{ZjQc_)v^nLw`d>Ta?r81%+t8k0)GCr}E+y6xR2-4ZKc{?(bdgUcEDZg$m~fA5V52!3gLSPMUTP#+UI zSN(`F+F9x_Y3}~k;b`F^CVGi*IA29gZDgWTsdV(6R!Vj5ccraoq#k{@=wTD|fd5NG z8J9~SyuGM}@k^Kp#(|?EBwza}g31(Pw6DVh8V`)F02wzo@=Q!8T_iqJTR$uc(7Oh* z0!Ab@>be?|8=?=!YBakXjZo3KzqHP3rF&TUg6gfH7 zz@91jQoGG(5+>E6|0wQL)<&hV(CWk)QnRlEqF{v8LUm$%>x1wF->_ZYarRriLG_@n zB(D!GXj1IWw(-rSu_);KWJkJISFDqC;?+C;1QC}^Vb_SOhg(=Oi*q!Ms4SwkbEwsm zP-5VIcs7!SHwJwcrJ+nD_ohx6ocWu9&AIY+zEQ{JDKT&L%FhfUT``Zb6e{p zC|)(`uFkQyH5p|r?s*fI7l3)9%;z;{v$;d-mWP{U`G{pi;?6AbO%b>+8maDby2@Dm z9n4`rT6eP7MUnVJw%9RK_|bJsc?ePXN>a77*audyOW05ix~m&AkzMvaySn4e;W;cp)!5{If42SBw{Jd*x)EDCc4>ktLqT{jd zoBzP)MVjNndxo&2?zn7$&;R1CqVpOgxPNF)`buSE9Nc`Tc08|PJ4f) zIty84{x8?PCF9_YxxIIt@ASpY=}1%;ovgpN5z59+<1RWjMeIE8e+MqW{w;@O+=ua< z&UDnpJ$4Xg1%CQjH%2MZ@Dfxo8e48g4PG5v)&|G7PNwc26E2A2dS=;1Dvb~hK!}eH zl5^V@_uXU)lwQ5T5Es)1>u-vWCXjz=YVk_cXNL$o(fwyn4EgaN?`kO0t1oD&_{R7` zX@;0p8~qIZ6~K#6CoL_QR$P(9oe7JHB4&!;gXK=v zPZ-Ip3VUv>knI1rPsZxx8A~iXe^mQmuNwYN7UFlFe`bn|+X_1D-b2UbBD{;Jx6-7Vdtl4;%W2iXBw|2lr{$ z!n_4uGIXlGzkqrR1rZWOalV+PeCqUB3a2{d2GkuJdOOXKIeM0P9R7V;t3B4<=4@Ia zVeNw)!u>{)Us@oW@5r-vCpWXw2KEYj@pOZunm`R)cWdeZ=F3bivU6ZU}+YCj-0F=useosnuv z^pOBm$leB3_-XTD-$+8iHmHhWMp z&t9CWufiuHB}vtr6QH4cQg9_U$UU3oj`>RUY=i{fx9mNkJk@vsX?lk zw{9H`GYT8@>}hy62fPWyxADTR2`Gj*skAwX;Z=!=?X|kQj`-P{^y&pc$}R2HtmoP{ zB5NLg5>#y}ZBi9m0)eU~mq)q#6xaiR^C_y66P3rHBBN+`kx?_5=@zKS#^NY7YH7Xt z60tBy`8$27K)lIOY4OtLRCJu^_MCW4?B>*DVxpB_r?2M{u_k>o?cwEUXDhc*g5+1O zrlC*eH$R14u8_reQ3fS;N*u zXUy&iuEjoJy=Pxad7$~8{Z04_O3{AksyYP~a$4goNiM87TzA{R|12rz)#NQ*ol3_p z;RW6+mTyThQ2E7(a_~2!Z$`@~h0d|tb%_CaV%7Ih*;PlG)g^LW!aHlalBwi$p4}6> zCl-(WA?UNfs`ZY1$3i+F;PEe`t1f!;d&q6obmSb6?=Rz_eSxt;k)gfDKzaMi_CmK9 z$y6nhJ+8U};xTg{^_sgzd9)DUUel3Le4|kcT;sn!VlQk~Cj@M#hhm6+peAv)=pQGY z-)9f^@b*OO%yD5_ACz$?guMNiHuA3+qPK#|C6x;)!W(?9owASQIz45n!bH)R1Uk>k z6F34BSRSOm6Y_U_rW@s1{frA2Z+7beSlRO z25@i~9TyIq&YRZqoKM;c$(xL7fzN`w)YuB-B2wA1n= zvQ?wXNqH0d^3a{~WWtUiL_x?K<*#nHX=e)&02U_+!gYICw79An^lo9Op4$E~vMl^h z%vjDKkuj||Y6YBW7s+&UF*gD=A@?IWbge{G1+k7WAl|#+Oru}hCoWmyi-4}|KX}(M zQ>gd6Ck!R>^qyaJY%8wt1!`9OIB~iwew&1#tyk_%+%9FW9MMG*G8=^Sb#>tZ@w9d3 z-h`-JCQ}n0@FL0$(2lkE2km%;9a|3-e+9pwFD9nXm9fwFilDBwUGQ|s`yx5F8z@ZC zvH?X#!79q9U6hd1*o~<=^+<;UNsY+{UT>S6c2;ePiy2<4tCN;4>sI!BN*=o7-!5C(jPW4ooJ_4=ZtS<>BsP-9U6`1p_F2os?3@B@C1BNAdi2g+BY+S)bMF+O$IV^7I@5Ib z>~4y^HJ7acQ^4#U_4xjY-DCe@@k=6C64Kj7QXpnVSmV*b`5tWHoadV-Q}Vev!?@MT z1?@XEk+k%j5s~U?T*ON4I@ zO-aZuM8~i%`MsC~-$yy}hfcBb_2rNy_x4zu(Q7&$Fr zU@A}}u64Z4R|52ExNr9x8lMU|zzM=c@4G*8&~@iNo|)|Mi|07wPp&!So~oP}b! zbf-pcr&jM&PxQzFf>ephD!d9fU82}7yC5+;zgI+{#FbA>mx?=^lP0X=su5)B7M&1A z(O7gQ_%$tfFRQGEx_sNt5YNFFA(xkR&{P>Oc-(c>gm`js45SCZn-JKg+j8w3{dAY^ zf&@jNqhPOJf9b#{C)9db{z4JFwx?4qNjFKi#bVetNtgVQl`P6_y>X92|Fns>XpaOD zMsO&*nr`OvV>7Bg%jGL>K>}0#5OhZlGNu6;O3qWVw9l*pdI$H3)|Q|9R{pL0@`tED zj)J*0&W+`2<7-_*UH&-u*9n)BjPq#V$FavH)sf&zh6+BMbhyEQ z>13`D*3D|>FvppV%YME2{86dWRrqGA+sRt(W>n-G>EpSrE{C+~1ssLi{Wyi7EgE|n29iD;xl(t z=xGO&nR{sjS&HA<*7lX$=$PIm6qAPMJ^h&gWX$N{f$%ERgY{54WMNo08>Yn3t&_4- z?bGF&^KQg;tny{@`qw?to+02&)KF|R+VSyx+R{oMOy45#dDN?Y^X;7>rlv)p9jCt@ zvzG=AOov41pdDnUI?3hM1UGmv=g?}lpkQ#`C`<(fmfX4%%-z|vVcT3~n6aRJ_nSfb z;zD?8P19%{`gdI?&OA2UuW47(V`o}WkWd#$P0tq@2MY&O{BBNFm*Wcn4aUW^*5YCu z#;coj56)LOZAgT;nTXu9vRr2zRjOfgH_pRyjv4g!z(TI2lO-OtArD$v^FgmEU+gGD z-RnXG+Sd%=5M`SFiyF6$7o697GWOY%$4-q;%}>c*et<~H56M?^Tq@bWJPJ`qqdg75 znvDaIpdD9)3+=I6mX5VQu*~xc+(vAs@Gkwv>f396IB|z@?BBvI*$PTNT?ik#l$U8# zi4KpEN2I2<1*1V2BFSTf%UR4KKSfIORdEU)OR-NctsADy@I%Lao&foEyLnr6P7Mc2 z)7{}S9ZvADrs&qC-FayVP*G!%_wx_z7u6M^c&!VyCk${!N|s!7L;5%BUTZhb258G0 zXMjfVn2Y1_3!*Lpr_3)|AAS2+IMMAJvLEoE_(s)-+CZJekjQ|ObVJf1T&acRJ^0J? zL;(1a#_=5Zmw#zCck1CDR{6ts@Pn{o|0+~J*6u~UDcy;W&h_zZO1UBvM&YK*7F%}# zEK=?@B_)e;Qs>T{XB~ap+(h!Sb&lmjCcr^Ap%Uy-KKMK%lO>IKuipi5`1H7EW)7bl zJ7o>O_g@YjCa%rm0$pmTQ{*GGJ2m7>>)ac$FX0yuDm?V?gZOH67og)M*oNjQaqq|A&zcdff2P|4&CnVR@>1Q$PF*^ClyL zBSD36DivV&rl%+iyuM+4fCNbg=U=hrJ~mL4K;{i=mFC?PVJkZm zz2x%Vza%L;Lk;Kk>!dhIOG+N7F8fxk=KL#SCsui9aHX7=;Px$ikYbU(QLs1BE|-~M zFEhn})()9v54pLe7;}fk=%DY*WPo(l;Y$RHRl1MYL0{)>v`40}u|wfZ=TFK(Eg}K7 zltQyTSkJBj>Pt}PvIJU9fr&=ciT*R#zq+cbLEF8pEcimO@xfXOH@v0XfLv@w#8^Ar zk~B{|LlzH~y0|fAdzepNV1b*;+w&$lg$pC_*!JW*;FE`qTf7te(IeHTACWV^Pv3Ve z*1?Yv_t%{jNf~#)t~?ma$53~Fu67U2ziMPzIR^Pg0rg}3KZ3T0zGpsYSl9n_Ay3Te zD=;`|;0s)5_AU1PnUx2Y0vI3zap=$?HaqBWOp>noS*x?}u#|*6;DVf><2{3cTMxdT z)o9&tOfA{&a(%~t-{H!YDg%>yC!%R^U|+}QN08FuI{-Sm!2I4Ug6qvEKw6R z;3~waety+zalYj!Trc$b>e?9@J-I{6N1>hfa?c6h?vIh*Zo^*lDX@yrJr=cgzfIii zyy5Azdcf^3ej&cKf2lN`yjGGgGUDRQ9on%y-_j5W4;je}^Sbv#OFgHcv{8+D{H}Oo zv&*~oRNpIY2{@7SUMU8gg7$8s|3qbg%T-B~tea|ON{gDk_+;KWc`s%jHZ;(&9sr_fQxzq5DsH0q@NmIXrlv}z5bC2f=O5e&x+=Koepx#h{ z>-fVLcdva^Fr9jIOH-nQsz#;Y-YN8(i!fnHe2T7XvJLLpYn&)NKRammc~y r_Un^(7j9P$B@VSi%zMj6+z~SN`g)k8a8*acob2cLo2+Ah9`64EAK-SJ literal 75185 zcmV)5K*_%p000233jqKC0001M0BZm=00011WpZ4R5Ha9RJ zFgY(WGA}YPF*zVpN+3*WbY&n#WNCD1Z*KO+3jhEB007b=0RR91008C%0000000000 z006Ni0RR91004La%)NDd6xa7ZJX?Z06xUL0Cy=wayHi@EKyi0>hXeuvLV)1G-GaM& zk>Xz5t+-19%yZu7=FV)=e!jndpW&6u?wq;D&$&8x;~9qGO2oyJSX>wZW$>4qKjGg0 zpZ}hMUAbIby7(V1l$PauR(HJ1ALJSJ{CBS>|5q(<%-(psKYKr;@c$dL!XMj$AfAf0wGRqNu1VTHd9)?=8E+scOX%u0D%46o;zrSFbxhUV4l4y8lf+D?I8Mbw^={ zBefJBMN!?4kXj0=YJHJA>a%*@8-uru>Pdx7aju^9=0RarR8-x#!pR5g2irpu%boW^ zH=cY}oX0lsjKZuWRd~Gbcxy>%RXwSws5^=u#ix=emS9%AeGt0p$pk|EjoeY6l|+gk zbw}Y*+$xC_|DU{SDT?aOHwyusG)ihjgu1UVrUEzP~#j}#`ncPtrlqQvo-lejUvcCGPs4I!QpH%!SZKzU9 zgZ`=daW_Fl^!Z=ThY%2EpIZ3XqD zDiw8wN6D+|syoV;(s*&AI9J$|u9O}Wwp8-uec?wvqr5b}-1p7~-cY4C%gV?;fOZ0Z z9{$4>NBw7)srb`WR(W6kA3jr%|E928hFOXOe80n=zejy9%HInoHUe4};SZVk&**}p z#+K85`(7oXjd%LEe~GXx+%saMxP^)j8YQD5osxNWmiuC!<{JPQ`?upjmyl6alrv!)u6}<{O3w@e?EP=e~$`}GR(5L zi>oqoWt0VLgA-Sygo8YxrAQ=Ye&ny0>c1 zD?l(GE)2i#sc7%fQ9d3pioS2z zDV$f*oTmkAT_E?hER`wqsU^`le*)H~Lb^;7iuhd{TSUN-38Oea`~z1^4-}enc+34S zQA#}lDoH_G>%7xi%lxc4Um3~I`7zYBb9f|e+v@9?cze5PyF-0;HrGn1WqQ4ZG;{Ae z8nAh*nO1vCL*SJwVbT*cJ6}Q%oT-rZwI{4lBMVq_hZdq&xyM8oEC<)L5Gh8pkRpCz z?UYH9X_HKYlfFlx1%pZMX~AZJb9NcSrA;zv+%xkZEm#j*?%d@*Q_XT&Jm+5onwvG} z=NYf-7#G%K|6r=Vcqdi%?`66)_(yQn6ezO$Or|)-c8QXLTCgCvSv!`_HM&zy8rNWE zbiq*BI0dbJSysfa-(_X7v|2C$mxo7b!C?~cJsJMcoQAl?wFdeGZEIVd zkCZ!SX3vVJX$XxteTiDpX!EKD{|pp)AZB2R5Sq4OzX%rF2e`bohDsw%z-(Q100wyikBRDA`7Q6+!sVxTF>e7O>Ddv^9 z%CaL10b^I>SL#_mk7xAytY%wnlKtNp@37eX%$`HPQ1``ih4T;%7Q`bW_?ft~b5gwF zF%nlXgx6ODaI2f9-@&NF<<^`Z1?N5pV9hyvt*3hisdjuto9XtZu!-?t|t>=l$qi4@D&x!~I&sB=n+<@yPd*$+7jGP-w{HrYQ$JY#YtS03gt`8S zWEAdU-RREyKZ|aq;4@$8ZO`gv z2}Zsa$SVMENl-|#a2Q>jrjY;1yw(Bo-TY^~;y-I(>)}Fs}Q%KMJNPz}3q_p-F@m(BR)5w>+U+rF~k>go) zo#@_{R%9;}9eENsGBXfh{QW~kG6D+<@;|h%{moS^G|;w55dH%pF0KXes+Z7>j*_~? z;`x@+;XfE$b%nS5cshaSW`km$Ay0OgowZU0g%kq|ZYE0ck?JODVWwiGu7XmXYwL`u z^eOp6;k;V{BcL9X+7e9nMv-&IW_nZa8%?Ow)NIGKSEF`ISmPC3CS&I*l%<5Kjho`? zvytw<@HgXRlPUCTJ_X**?jRP+gom2*)2=>u4NuKn#XX+g>&@5Fonc5Y%k8Ry)U$Ev zJW3z>kRl#+Gn;AJ&-f8*18D7@JDP?ZSIGHkrL|Rvrh{PM>-y1@_o4!SWM$QbU;$9- z5&qz6FybT~Y&nx24=!smwg7SDN1R)XBQScezdFhgKF>aup)$Y){ zK&ZP~e8z|C4LJWDoFsZ&hn7ywLwTE4Gn+H#g1iEgv5G_Z+*y0j+V+16^3*y?&NI`l z>A<6F|9E+qIaRBrCqj_B7M81bj|G%>_6y4XG{m$t^2?~$6||H03#BEjSlr<6N-ci) zFb#J~P6(y%4;A=OoG8IYLt&Zm%#e%=Xk7Of6q3&HU8*zFpTLFguB+;AKX?+qGCg;4 zhM4=bNwUC}?;zdypxHFHOKhRdIa7w3Mj(H%2-1~FGMnO%0t5GVFxQl!u7Ui)s=H}6 zB@I+yH@l)(Y%gjfvAQ0n`9xR8WcIABHOf4$<^Bk+T%eu3S{S7r_ePY8C=lcUuw@}6 z^+e%e^xIn{_ac^C{Jbz*)@8!awcPbTC-Th%ZQv?{ob{Gz8)Ygb@T{v`%#$$Fbn~S) z$r(Izp8s4^TTi3<`>s>}F#%?8t(H#kwU%Ii(X(;XtnedYO{Y|4o5etpb3h1{@2$Wi z<44K8ie)m|pwP4z-Dqm&`WO|01;FDf6k#EQ=$H4ZHdBqYd4fJE14jg<_svsVbXSH0M8~kwkagy0UXDb=Y}QIQQqfVv^r{Smb?Z ztrG26Lyzi@p+sdTx>M=YlM5n;#bV^8^ZiO|ySu5=n;~Wzow)LX$E85Nc;Q%DG~+D& zm|?EDQY*Cqp4-k)WBT4XWR$oredLl%Qm!0aTFHRoMOI&`a3e-n@*ETI_3V(28FXYDHqNABaSNEGeTLP7C5t;_ctHDo5?iibs1!=1NcSGND3*&-DXB;Fvz;9aBZte$-gMQe?rg2^exPmtaEARGND_O z56`TgHFH|)Hn{UIIh6-{0meLdbK}dqsYggcPrOxK&7ZVE zQ-JCD%!!p{axfhae`$1XWAa407LvyLQajn-c#NB!Wfg+A?%#j406wyJz@u zcU#SQVx;>&(U88o*@FT-r78UId^5RDd;8FS{|@Be?#-qO@$ajFrjM{=7$)$pL5zJU z>PMeKV+=GQp>+khC30F3zlamc6&q+*ObxQRQRogCTK`^=j5GSBTmK%Lznb~9E4Bw$ zEr9%Xhfh?fW@b;b6dTMIS}-IRqa@_`^l&{5t9^&|eQ#`D*1qOufU6Axc;J~4^r6TF z(b`qrK=)v-6cy<7Nkqd>zQHL{a7B4x0AUH$q1Ki;+v$Vm}Nn)UPpB}F03!|h7k?Zq;&!zG{3_^aaDq!2m7#Y{EOzIptY0fRKay-Zq4~wrtOMAdtE(oI28-MP8EaS zI)i%;v{swZxFUY_@qF%^+4FhXO!Jyn>JgAV$Ca&!P1|VU>J$>+?8n^vaHZl1kXm5> z@n4JR>cThzMv@is+`ua6G;%?ofp>SieCxI{aq_}GN z)o*Pm{rJA3R5+7lMXqcZ#gIXC__uBQhkLAcrboa#3_(8Ya#?DAJPWPO+{L`9)xy&; zhKpUsvWk`;LtXvWi9r*v8s+){YFPu#=4qXi_ICKMvA;YRva*O@|CVa(-$EPoDrmpu zh_elvDm2I)@hQq;+=luaNiYt5zbiKFZhVmntUqH)L<46xuITy=xvxdSm9*-o&lIuV z@NKKJLk48zkN8%uRUFT$<=kJ(z0C>W{y@GP#g2&!sOG@?l>ADdd6Eq(z5DrKtrx5s zaBD6F7JMyAh0;Vbz-`E~TCeQqgZ!I>?rS@?Z*jJlmA1ZdhL%Q$K z;d2Z3I<501FlY{>h*V=s(!HEnsl==KW|M!1V9Ir&G;P{-I+}Tec|p5kGv*v=*D%}- zLTKNH7s5Gci!%iR;)_tx?lA3#3hwdR9WZb=WZo!6PzhSMHv|3t-QPT`Sqo>btmn|$ z$2Ak_?U-ZY4)J_|l&{4$?evwi)0^u5HDe+Vb|0DB??TBE!kQi5O&G7zpN{X+MfbSB zXm^l`Qp}B$k<8K`DN%=mW&zFleOT-q7zk^-fpT|$NlV&vH+QjGqy@gk)^HIh^b8nH zQ|`SK+8N_UMM)W(wyqs;Y_*w8CoVrErR$*Zz_p$d{qmL25j~*2O@0Ax--sSSt_KG;O%U08Kjs zWZDbl+8s*Y+~l}};N1kBCysr9Ui|)1+#!yS+>a`Lg=XUqD@egLCWy}MbniT6t;pur z`BixV+u7kW@bXyD$quz9taX3W?y#2CV*SF5x|V%OMKf2tBeT+0^QCfqp0@+%S=cVt z%7$xc$MECi_5l7x3;!;r4q?emPA~#J67N5v{dKs9hFC<&=}?ZCB+jpeRg_ zK)$?*+GVp&qU8e>IGY|>97VYJR98p#2jprrjZY@=3G3c8AQUc^eih z$gZkP!RlJFIVE^HiB=?jW+u?Aq4~59LM;)U?@+?H={#7oDCQG|d=_e-2H5wc@fr4pF<07{3gRJ3r>JNo|o zwrOgm{()AeB9NzPwV&RVi0|p;>Mubg-8r6rxts^%m50T z^p_xqx5(%nLupqtXic{z3BQNCi|NKslT&TPMI&Y#cqM=yYR;v zNzc>N8b5k4r@2jgs>52nrh_S4eKqY!Oj{Dy256*?cUv;5$!K>Ut<;)8z81RK+VeN+ za>h+xk8d`gaoZ_)Kf{mY(=Y4KQMSsT=z(t?bAzs38v{+bnvCd5t=G?#fkz1zMXqgZ zECoLjkdNAKUlQG0qMqXaCUVasco+$|oAwq5tecuaSx>vSM{1Hb{I9~v@_h++3zPe*ulGW+k~6)tyDDZ{DFe$A_DJS*g;scmY4J$c5Em z-5iQFL?sVsLaZWF4o&gQFN@|-_bzto@s|kQ3%BV9Qo1u3ZSw9m9Jv$>nlwQd+7dKe zeX%>1?%h`dSE;~X z@3zTl_PjTg_W2GoKc0_%)%bfIb*cJ{at4lbCJtwV>6|F?wwp}u8|NtF-4OGg&Wde; z{2Gd+>6TKN@+!U=cTD7Sb1kK1brkrgcu`5SBKn}bL>*upXupn11U{g`JtNFf+FN#` zCpQ(GcMbkT$GiD@avwk9^jjS6Ki=F<10F`w!BL~kv^;Yl;ol0*^aqNG8JAG=x@xG1 zsFwV(2J32~A7vl92`5Q{{6RI`lzp?JXm7mcy7`wM1JWatLY%EE!5Id#S13 zZ(LjAy9{K?i>{Au4HAD{?Ovc~{zt-Xk|AcswQNhZ3&fcDF9p1ZAosL56=`mWn)%Pg zIAt*g8O+FsLMN_>xa-_@16>1pxdjVMDA<@rEh<2Hp4Bk1fFjpSd}x4TUC(BeHt9@C zu=RnNS?AQZ2!r_yb~QWximX@}q^a@vsLHC0_`*>VhkLo}E5?|$h|655%rs#n4_nSD zPyJJKz77cftWD}!PPYCMC*H0_PI zUb_P|?E=ux2Y}m@8A}U>R214jY1%TYBRc9iq&THZ8Dk{*2o>EZJe)knoK&>4YTEJP zX56)KW@A%r`N1r#-GM3df)-DByZToNrr*9*rTSY+n|*e$rjsmMSw4(*`DnVLFnXltmYI2yA; zw?@#;fvOFCrdJ)Lzb11xnziEvdzp5R>mTs=616L452fFB@1XD{!_D}d9i%H6$f0cT z0-a^teH=nLE2t^%lq`<~Fwgq&LMjUFsd;;^b0^WA1K?Iy|0S*H=J@CMk5dh~N9DMdoDMVxgRr zTxsBNnziDaPq=N1lGz?ycv)KlC`wNhh&tBtVt|J)X}jOQCNHuw=1D}qATUcX|j zY1^BZO9KoSCn96xUqO^G_Ii=~BFh~Ia(CoEqZ+HlDA~i8(x+10xtVOS2*`Z^UI-Ps zH0P1|U%N-^bdSo$252qW=P`6?pi1|57gH5ELLZ@!@HnP zL=%)r?DdlFP*_?>rv)1j>x4TfnqXYlFiMB^q?LC|iPkQ$VDTYX6)^ps-NALRVIeAA zdxn@~25apT1T(>ObtqLMM+0qZZ6auIht|9s=zEMjfvvT;Xve%8=%xJpMb5xIIG^VpM%$(x5#&F!No3f<^A7$-LKK>{ttm}0s6v~ed5BlU?BL0@10_(G6i&+Gs;Ch6nW;rX#wRzzhD&3J+k$GG) z5Deow0lbg!>FB=CR6U=sCsxnHW;vY|Ya-M4W(ro99TekJ&ZRp!UWmP4@kT94Ts+gt zw)EjBUeT(*9!%35SGnSPtvk%a?v}`Y#nQZa#x(=fHVk(7u~u6SXL! zYD3cuGz^aX0Am$s7fLmco=2RK+H3;_ZiHv<@;6tZlbfhRtaAcpUexfs1n`!EujQCC zm+o#-wbA~dp1N}2zut2E+B&Dy| z8}2Y1$U`#(kd;+UB(34F7K?piDlUH@-?V-PT`GHqMz|+C8}njA2CUp0+Y8U7UH@F9 z3d#M=#M;-k1H!u-?>1#93%PlIjr&9RG-k_ITD>xXC+^~8zMVAfJwUz-5!!TBYER(D zQl3j4)|)QvsW}Xa({W)9 zSb2cPB~oB8BUb5&VZme+M^de(o~sn{BfNn{l**4qU7GXML6gm_nzi?wjKRENY%LT{ z!|T5iUyGk4sy4QZSS#6L#)uCqDMuCs{`SW5--9sV!IZzjJz;;tO!VBZb+vG*%^OS= z=jkyd;a*QbbTG440lUDOK&b~oTYF39Z(GdN%$j86VBmeoxLnoWY^Rx9vx-^}B_cQK z8NHBtUbuEr=d3?P*V@qz~2lQTcfW-X$U? zfw#C`fs@(E*VB>5Z>i0cNoE0En{%sWWQ9TdZCyo0-m9$`;9LTD4u_XgZ-(xxY47i9 zqm~{1cR9vf`@wmABHtq&3( zjDO-6oN>8$3l_XzK%g=F=fXxUBbzyeZrxS2ujpZFK{l4P)0^`IY5y|6YR-A>k}U?| zixxOfryHd5u)TC@ZWfdyso&~OZ8COdlG+8a2{K}FV3$mcfj8RDVKX33jmRq940c(q0Nqo~}$M>q880???^%YHRmfG|Db$v5T zx1mz|9e3ZY%9R>Z=7>2o`@lgbGnIhW(nGL35BJee9aNM+6XI+9s)6Ogjzc5z?S3ke zC5N531aC%|_Do&eXv6Z`2&QdRqdZej(+D|pMfqWft^fU2EH zd&^9?Vn?`4mK5o!E@vlHD8@I+B6+ljE6#vYb+6}Qj!o`R-RnW4q73@FXKS+j3R2LS zK4veDF>#0Ap%GyqXX^1Z(Yzzb?ZhPzDUuuupq!HwxXizoQ#A4+*AAJ%(ZWD#kIKD| zHivzod7+)1g+B+mHJN8j#;WzutlC=|LgYGVXZsGn;g|HMWx^Uf@eMc*@!=@pl}Fy$ zpDBdSWKp%J@WP)Yt_*f|XgBCMEuQq5j33D?t67@?irPd-uL(#RWk;ZgIa@owVPp+ft2Bn4boq0jpqkd`+%SyW{;A<4^{RudwHXFOE zm)vW$TJXk=<&gnaK61{QCd`~Sx~$;=eAPIvguqrxfoqATP=U0`4l|fwSD9c7je#(Xf*hU_J z1}y^~^#(uIw0Q{XZybn8+qPH~jTp6Ut#L0mz-WZNjW_b^T5AJL}SH@LIb8oxIj z;Hn&xbK6skKw*QKm1xNCXwI*I=`t~q>*QdB!3&FmKX2OWG;5`ia;M;FZ}2G18c!(m zi`6K+!C;7Q8AewA!xt+W*iQGE;Y`@{9}R4?wg@PE_%E7Zw#BYN`%{^AG_BdSj{)!2 zn6&NMb@@Tpmj9VNw&TIPF~h)49iCr5X)H^c&iCmtOzWjfJu~KTBiYd2^T$b?BRfxu#4VG}J z0GIJbZX0wIyam&5(X>`aYppQkK8a@7yY^|VwY><=T`>*%Qo94DQe7Eh(#ChWZo%?l zkueO4T~5+>cn;c=ks|c$945#!=|N9X zz_wblpotv~{%S9sPJb*{U<`6)R3m}D8r8MjgBr|m&(v~z;Hh!BaE)3~n-)&?CG50p zqzAeDjucM{a$)62HiX)3T_J*9;2WY;hc#_bZ0d(#V$j#{;h(@-oYZY7VfqGA8_TOw zyE0dI-G=a*wSHf!xQpt}1FwHu)@k_TgrAC1uG;CT+qMPHjs<>~$sc36SE*$h!8=J=&S|b!`#s2uApY zGX&Gr=W2@E9y)Ad5nNRuSme22THRIcmE^+*`~~^1u;mU2rqvR9A^_Vom7mu{MLtp? zz<4%sENyA6*1sd+L%24e;t3SD%8a4x%he_ltrep-S5(H&*=H>M!khC@DYsTCzrr5n z$U44vU%29)s@wN5Fi9Jr$p5l0jb2ikFhowTCrk%GsdIp0*o>a^w3nJ+%fPc1;!Hn6 zsUTgvJNrDI+d-6g7pQytC90Ybbh-Fa-Sa5krw`>W)6n3Nd)<8v~V*Z zx32xN_XtTn&geZWLh$065NgabRp0|YVz*_+9Y$g8KOaJQ+AA=WD$`KE+FIK>Zyar& zr8Xpn@eG;J-ehY{3wGtw5tIAAF|S9f_Rzy?KAzj{3!A=rX3%*kTgCpA^Jld?roA?a zfD?gp?dNzCD!;a9b}02dIM;7NtqLDy`fJ)D$mi+#8DnA136!Mgd8!`V$gH9>Qvk4+ zK@<;LHJq9xRGU96)y7sG8O8EOjsRB$ zFrZ^B;LJMr3>{-Hp@HOF7-z?~rLF4<6ZS$UW^a+q)B}(ZsG-k*!8F59<Mo{A7>Yd!Z43>nw6JFTOTjzySRdm)v zmw~JVK{4{ps$@8s>Qo z#vGt)84S9jWpjGCaRz-Gm&ezRlH@EB-bUhGy|MFnvd?Vm!Ls33cI17>7}6GK!r)O0 z*G-_AdDPtfSzbmehbgcNh%p1Puy1@OPwFCnc!qqe;cQ=>1kOz#e$emUL^?4-P0lCg ztSEwAV1O}=eaode51aYbX{i2yL9t+Cz3351Tf;JXj9XRBq&j&dV$#-DpqRV4GX)h_ z0i1xD5uG1ofa^5m*1q_3vGTYC}F?SXH z_V~HD;wK&7#gUZ0L2Fgp4W`J(DkHCE_f|0m6^|5;~#h-kGpPB+Mr%$ zEUgxtHQ>4c3ir!|(D+1;B|`J)?aCdI7=8u?P5bcJU1kt7Beb2O#g3g6+m+B0JaxOt zFF?h-5*1xd4dO)5loGv71d;SxoQHC}45le_9tmw`NFg5!N7)Fil}bF0O0862R*SM& z3Raj=0fmk+pRHX@jybjM2MNyA5{&-7bPSc<88t!9cg58)!(d&+$2z`a=|+Z$LYu=t z1@LkP8091*N1Y^w8wFS!!ucjR$~4#;xAkQ^Q#&xd9EEO)mb~$pPjzm=*dMzcEu$vy zwMh9rh*Go*H0`W788Qt4(nHn8Qi~&-sOW}^rai@d4z%OL;ZmL1LJ1bfmCTe-XC`4L zFB~rJ${w_}sPgj*dTp)@ARLGOmZp8W?NO%%J^+ZI_-Du|nz=23=g9tsW)?>7bPg1S z@X_>qx?1@2*Ug+jq|nHBH=Hl;6G?V>0fX`Yfs54J=t;sKJb0FUPpvBQpvT`BiPSj1 z@-}LlNrC_EOGd7mTj|02CyB7bZUBPd@*-Ps@3#<{0qG;#}s<`JCVLo*MN2uiU zyU=Gp6umA4P{k7}pWpcR(!8$i-(iONUB|aWdwuwH+CMtBur`|2BJu5jb!{9Dvyf}Y z2!lO2Mo~~9)yrkAs4kULdsg95)Tf*RW3V7yDqp*=sKcO1WL>9$zGU|m>t*Xpe}t>1 z27b@($E)(tNH`a+H$U~GPmdLtA*#PN1&IM8V*7pI#`_kyS7@7jM9%5}tyOB)f_(bt zqZAoq`!>`%?}dtPq@G=&Un%Pnw`X&@sgiocnWmuP-|*&BpB7Mp8*1oahrv>?HV>@L zaWiCfM!w`un2AoXwEo-@1sELa0Y*^lMReeds*R)|;m>nte69sh zBp9`r_Eb|A^I->-_B##qGat2eYjA5oxciyzdDK9~mMA(mZA0~Y6y(lmH8bVE^O`xk z8}&PONOB9SQZ?@zAk!)!*B0CVWws1k#1$i%N236W$&bQl!=T+#8+XNGe5QuKl?|b? zsp)ij(_@!_!5Pwqx>~^}V$TnwI89Y;`z;ND&x23s%rt$_I`;u>P>q03#+lxV_Rq;r zk@-(JFXi#Jxd5XaIIrnCMXmG4mqiKEsb?s-;>C4#hqfchwL+yHBy3SCuRrknMCjXS zxi@E?Afs5Zn1PD((JAVb$3-71jue+|6n@{B6u^`y*m$nNP9$TDhb$D$C{F`{*HXo?y^7J0_ z#8fklw)Y~Cy$#*WIy#rK6j#o~l~qI441)0pz&S$cPPwusyP+DU`g27W`gB&C3SB7d zjoM)fSCo#)aOTS5{Wng>WW}6!Zu|5X?1NE;FUKd(wCz%f(yUlw^6pJ_@sdBkItJF_ zY+XmG>c5wBEwJ55Qcn|z%jqP<777f(BrEm-Z9ZPg5$w++3*5`KNhU$5Yf&7i z*@ChL<)bqpcbqqwyQ5+q6hF}ons79+XXf+aPPZmXeE#pq?I~1!p&F)wUm2!4;0X<; z(u@}hT#gt1B)6PL8^wLa0DI!F_T?$=E4*kS73I|ud4FJ7hlKR`(Pdh;;*7aNBi$k& zAoul6%jw2}PgJmE5>w|6qZV2?9$xT#Z~DrV<3n%il_{%POXs~`K|29xyTd9{r$V9h z^?iPGyk1=2gxcKqAJE_SUSeIQGemr~7Me)UFEJvpo^C z=yGmPuW_rKV=uG>d`MI3wX`weZ8|a}(6pn(0p}_ZK-U&-k+pYqtSZCyFmRIdBp-C7UlQbMLb5rXl47)x!=RG~K+dYMIaM^rdCi1vGOs`1qK zs~SQ7!@NoxdJKM)06NySGmjZ#-qHS$idnOGv4{@^95{u(`t6LqvzAX!veav{qaudW zf`=-34Aaw&kqEC@a5#;8b>c6k>M%hjq?NkNiBbJ?Y|rcM={*<5G%>qt&Ly<@^#aRi zWDFozJJpt4VS@_isW6!09=5Xp)-3G-cf3fxfvXoYZQY04H@TOE@)}t^i{3sreRUkj zc_%XYux-yLn%O#&CtaN8W)@9*4ah!$bVo*nP@(i{TvAnU<7^7DSwUv=<_}bTLT1m7 zfZpccdSgAGBfz6M*k#P=$#i+U8fe? z@@QXOJK=?#r~HjoRU^rqn8(xWa0};Eh^VLdzrgQ9*i{)Mfz=1$na6k9IKp{Z@DVJ#>8D{ z6l*h2C3AoRS7%YAfu4%~S{)R+zt(0?J7;9E6U6btgE1!i5c)Nt8en5vi`X06sIXq* zEQnw>mS=+1wI5AV7ZiFnHt3IyrY~;b+5?4EL6kgRyoHJmh@i?n*O;HRpAUmfEm3rf zx0D_ndo3OChFb0skZC@OPaTKS=0nQQcd=lO)`X0X(0&V!yQgU60+FKJKRsw%w$jwD z*?qHvc17N>8P($oO>&D;{*uOn-RrTrOC_V44jey9hDH8k$lX+Rg1PIUhHDOTt<*42 zJ?RWe=U>3Q@)+Ry#|WgBexs!m%y*-@(14HOFm*a)1A|QVR5glC1!E;dk(g(xu9uxB zwUcX;@M#Ks^co5+cixV>-HW2horG(<&iQvH*O_88VapQdu#iH4yye=~^xUiINzT8i zV%y>7N3HV{fC&3z4$Y_2ne85z>Pjw#OsT<|mV0$FKUtF$xuu2UekUK0nzIe9zxju7 zZoiv~NlPmWuxrjS4y~X$=Y>?m7>U|?99rMV=sQDOY&t}4faLbJUJ_5ye3d*n?Z=)1 z6Q-s3jb~$1PF9?^X081XZ>e;1m7C9PP*-9!v5Wa(ei^zAW$06gJ{~D!^3spG-XWbf zg(JHb*Q0uT=3e?u;f_*yzL^gpM)7IRMoJX_4Sj7IY`$itiZk6tUK1%ZHd#!KW2-q2 zEw+&fc>jTPm9{UUkRbKGf1+s9F-hUB8abw0KQMAN-~xwl;I8c&`%~en%2S{406WI4 zg%<2iqslVC7S^KV zBjn3kaEI_U3n<&BX9DJ9PE?FFhe4l#HSb*mMfrKjsS9DyRJOB6al*KjpWB?-etsj) zA2KT`Q(z7_^z;ZCn?l9658r;Nn9~m&?-NK5CMa+|trk8$KEOx~!L(YisbIENi*)<4 z1B2dF@aL&&Vlm6y^LZ)vWUVz1l$sXaQMycVD!Mqmv?ZzZ5cw>$)*jL&U)GPTjem-_fFsdbWrP=> zY37&&ZxgrI;lC3ie@08B-zHwaYMc4e#}PQ{Q{1&%snE7()N6Qad9TKaPpUlnH58GgovBam z@eb{@;EQ)sTP|x!b!vXvO+j7eo8xq1s}5VrdsLB;6RG^6iv&lG&`r%02vrqbg(}?! zQrk|ddFQRO$|MiLbOspRJAe!702Yn>tH3Bt49cwr&)uWYT3c6ShnwGrQ&&eIZ-^pr zen(ndvlxvky}_)m!4BTp#%fBR=OQIZ^T_P2bshw~6Ht^X%fATGEtzN1<&nrwXM@x`1NqZS{oihU;6E(P@|iT5`K-q|rhx7brZV5`#ycq%f8 zJtKB$N<3ap^lpsPm@(i6c*K%#wbqJ>0(BB4^mJYat;0ffGwea(# zOFB{8i)!L{xfM!om>qXUnpF>zi%BK6s z4frWncW{ug(kLoYRtKgfPX{(vBG;;j!S_!LmtKN&|XPQG-Ml3XQ zGID8&c+HRB;bL5_`r|jz@mXp%)*j9Ao?AYw6BHtT*-ZXCk_F4!V8%J9`yPrT(>77y zdS>#~XrXOeEsZ@|j~1m5C$do;!Fteh#D*owfjRm+~b0X<} z9guh8n6YE*yZ02g>^Lk4Ev!rxOk?ZBnBo?LZh}?A_^cpL4+Rcmv>r+vo)84)C6XL75epr=^v*lmc=-cFgH{AYl*IA=sI5yUu!dC2zQv zm^(E11(UVLiM?mdqAGjN(Bwr=OuVQs*Bx$rU42n|e1n^GBp{Oq-8mc_DQ(F(TP-`s z1k(AXswL{lRr!cu!{9jr{(S@R7xR*&&cH^z(0)!oqKmg3+ayNT(0eUi`3(Nbz#ATb{3dUe*HxITAA=} zl01ZVMR2~8RpaViY7B?JntqGq8)EV$K%Sl%u-^VXmg3e?z81>VL~DF{QdAgdt(hxE zxfkdl+siV!_QB}ymi$V+in=Lj!#gIAdR6F@XPK;WFuFPSF5OL-*0Xm1B{NdTm?KW! z$J;3BkS~OpgJIg&9Pr%tgXxdhYPiO0UMhea+7_!5ww>bL`9jra^fRw(cc^2xk3l)c z(!|4ROaz%FGvOR3t32$IZ|YbIN}!t9wR%#c1(@CoyZo7C2L)$~ps7u&NJAB4RMTQW zf2y8P&A#JhHVK1jz{}hEqCCnGL^a9VfLHo-UJ!u18fZSI!I``Z4;><#0%OolVun!m zJgO~;zN8^|%kf_5%@x&lWh712F54tOqzs{WnN)4Obt3k*p>~uYw+z(T!2#=GYMuN` z{%cfIqQY!ik?<_7IOp>1f&M(MT5yzp(9Qcj5!B;TE>Fi2!RC2w@Ac4mFm$u^#4Ku2 zS~V8`CT%oKIh#s1Q{b+QS9CrCc-Q_~xZq728I~w!LDTr}sGf z;`*ZQB`A(npN-n6mbGl81!>3OCW{T1{UV6_Ae91%pA{P>z6z81wr*+B(BD? z4llu|n4do`Bq;hPV0eRGVE4Z*yB(z2`GQp9$OGjRi3&rkaDL3eiA6keK&!Py05fBD zP~AGsh4!x9X8cZh&KzG1sOOr(><9-he zr@j9TCak2a_xobD8b!KtdC+Y@Cfb5~2QO2vRN2ORtt76fY}tkk$ra4~lfI0E}>$tBM2@ zKj4}xzBv8N-=tc~%2OPc7zVy7ZC~N6mBdDgXpq+v3pzTN%$)XRbfV~vRhJ2OLytwJ z!#|Dm)k*3kXM0X<#I=|_-{7!QIN5m;jH{-(f`Jpi7ie4%6zH23(15E`{Ft%Mkq@^6 zH*j+y|MH;Tv&HvX*ROt;^tj;U6})mN7G0-a@c`DpkvCc?qj`|TnLizhsOt8G%ZvNa zVJoF=%Syh`5!KY>kz|g(k%jj{BEUSmM)7I$0QR<~Rwwz;fZR1^)h?!d%mFmK;Nk(j z^Kp-t@k+>@Ny?_CnoQ-=Id~6quqIAbsKT?WmE!i_q}zus$>6D`y(L)%JY#92TOyJ?2mmlny#OI z_pwDVkt6+VSiQR6aqnm4-9+GKkp18#i|-Uynr9ug2jj|+3`A^YpnmBe8A@93oqP@P zCkjSGE7>q}pF3!A_nyl~sPN3&!)#~j>a+-xiNpP9D$j@qf5T9N`osi`$b*oPU|C(^DdG{{)UM~y#1V>Fa6Nm5U*H1wvDjK%72!YB!_ zIv^NG=YyGd`*u+pInZRLHPao1Gx{+gm7S7r&E6#kxP2p9_@?du!i5<_|prK`A2(VSD$bG-P6ppl75Wc^D{%3T@mo$m^&2* z^?ekZS)XG%dQrsT({(X+$*#>>h|DqzE^#8?4-oMnN&^4Alc!nJk%f50G}4Hk@`~58)ienNfsqQfIEuto(WP2a35=0UhV^8rlZ0 zJpgsFql|4{$3j6q85s+s;M(En_5{^0Hkw4m%gDnXbQHMMjSvS(w&`os2_`o?6%4zB z9LnDNS#@jW>3I>YnEPAIMtKOcTfJwQnP!uH?%O_fXhMq*)28oRxD80=YW*LI;Tz^7 zd#I5UV z%P~oj_V5~N{Js29CPLKLDMVHh$v!&SG6Kr-$X6B1RiFe{3_b#^f}GVei!nX552K5M zN&xBqlmHjX#?58$MUtp5l<4V_d0o{#^I?LJEp3>itw*;qJr6*jN+r>!s6@1W%io!K za`;#P`V@&Us2DeFlE;PKDrU*0e#&6EFndf1diG*b)>aS^yUL)UsZ?kEr70H9R}O`b zfRPi87CHS~bm$21$-_w9==jS+sbHqbHoo%9sOydI3f%fZl7ka4wC1FL^DXggW6sVO zrYFrKV_?=4h;>S=_95 zQ?O~BCCsDsSZX6qE;8-D9G~)Pohg~F&Wwr=;_mTEB|Hqc4B2^`m$OIy{4zzm-fP~)#~x{ zR4(pI)gDd}1ub_vME8^&%+^<^lV{r7_VfF)hk-ej4%cOv%A@(IAB^w#cY=`VYpLFF zOZeh&vGi!ZW9AeU_|qwr{MKf^*gQq8rl;@hqHl|vW=iQ_u;`uq37&h8U{cT7n^L6H4%BJ^$ucOH6 z62+_w+J2HJ00S6z^p19Yd&Hf0miZ$(xU3q(vF-`73}&lZe+4aCpihRP7;%lb3884l zH(1YJcE|RqRg+zJS*9RTi!llG_k4(-&c~6})w=I}x7_7IRw!R}>w{Lx;r{2+;4(hj zh!6b1VVY<0ecU2lnEwjpo^5#$7f1A^^>fvd{E)y(rfb&Xo>vTMAf{jxbFK>YFE0KT ze@_Yu&n`lN%&|8C>^6i3cAnK;u8}IJJDHoUoSIyjYgv`M5uB_S$`s4f%_IxcBYjnr zY+BF>53Me7o`^tz>dtT8NbKN>!5qZ%YRUk~Wn^;is%N4y zN3pNi%ergI?r@8^K()B^BNgAD9pZWAi_zo6PKd4IO#ZVZYrocFY!v?>g(v$m_{Koa zBF$j;ZM7)v$#}I!!@P9jEvNZSWy2tyQA4g@Q)b+zxEzSvp*tTOd_SgE|5~MqDs$x$OJ2vdj9O$(#w~#9hYwrt#u4+;iH>*gS0 zmL%M<$9sH-3BRdLIi9+fuH|EDxa*AJEn1eI>@P6XWc;2-Nq{i2-k2lCvs8{TQ3$8g zu#^AEsUH{QH+{&{c-aQtV$n9F=R-CeI(2P&!oNAL@vu`_(D3Ckq`^cppUHh%Cixy!GmPy}BIUgTiyvBTpj4E$x2cg{oi5a&> z`SARje!Ebiy0TFN#n5YY?K=}*ck)5o{##Unb{fcF*$y2^=ujwi^?V{m%w8ck6&@UY zu?Y4^9#dvZ3;v8k{Sx9-FrT}{n?VPxW8wvqSP(blMtD%VcxC~ z!$W0#x?)&QEIZg}W^}*!p!NdS4Qi30!O`Q$e8%;^{w& z^*a`FPbgW<11~dOCDx~96OB8MWGU%yi@#A6+fjyqqv<1oM>IU!WC=@aHkyeQgpHa* zLP5uQHb-gB4rnRwb_k`e2(L_3?mb~fe|9t^NS6&_WI#Qc|15lC(q)rDof^Zi?L6!a zAggwjdPDSLD&TMK*h@!cmjP4s&j!321mEm9!IuHRhXz#h9d=&BVCPv{`0OC1O~^|> zx5__RX#MDH?tEqL`|a?-Dt|{(jHHAB65YeanA zUt@*Sd^x_*0N$c@>~gnurN50>nTMYZ$7V$pHb%_LX^+`~x12zaV!DuWc`gkC-t>*V zm-MOGiJLtI`G4T07OyE!HIKI-imih24|U8Y4F9sT{5vmj!9dOi>y$r1y3j=hvEd*? zX>64EFp|m2D4A;E%};=T>58cAokEpWzgmfFFW)_)*dG1OBh5|-r}RDF|*ogx0Vnz;h8H%v#5<|&3=`CGVq@nV;{ZK5y(2a}dM{!9~z zrj=>wqU<3iR>{J&AE9t@8xX1K4Ov0Qf5{EDln5{Gr%u(v-SvW^u>w~zM_Sp#=2%>e zwobHRfwH|H`E*NYiGckPqsyx;c;2@LfxA^{|1o~b&e=Ei2I|R<5L5M>D8C==_)a29 zdlR|6i{sXzZT;{RzxmKMpM4LX94CM222% zq%}2mh2m2VY#DnmE?lnD0dt0HE?b4&llzZy@H^XHddQb^=)9|;!5W97+Lbj4S3^WA zC+^=}E74r7YF077!{s|jH{10;PWrH$9E?dQaUnD3f`zKm{=>a_d4gRW`g=Z(qIw75 z*{*49?#OluUK7pDtPW-*S^W$8r)iYJX{JonL4ZtZEG#cfGU^q6amBEj@x!7D(5UdC*Nb-i?x-xIybxO2P)x+>Gb3x=(~F;&_uD(?9bN7ff3udKugAK!oTPPda80IhTMOz$5h1usP3I)KcJ%7P$aU6Hcp(k^RN zJ0nAh8ylO0Oxc_6`A@3uJapfdZ0@Foa@zbnY(wEXl)#e1>7&d?MLAGr@w&xqZh1QG z{fTS}N=1xLhxh0rrY4AjDXPK(iWIG5jxxIt1W8QT(k20O4J9tjz*%!P{^71}9JeN8Am`|aaZtP~&+wTkF|Vng zjDhc0^hQpsODKdg>AW-`O|*zypJiOXO|uQHmRJ;=And5V+jD zp8q9zx&NPd()vJCH{s|o{9p^$%HyE(^&RxJtK;{ge^tfnHo?1Nu4Kh#c!(GgOwhlo z5_a*%AmAPMiOArC;Z~PdyVB({Yk@XJrY$_xU9kkSp9s|~>FspBpI?ot`>+Hvo6Gy@ zs1IPeaHdg!>&DOEKF%60C44|gc#y``ij#eS!+2f{=5Z56_Wn8=xH!ybp=rZh^y}?( zjilEbGWx4B7md8w<;OMRqk3T+Yv^zI_8aW;y`cU%!uQh{OQtxW&dkfA#t-^o%!TTf3Mh__X2Z^s zA_t^TQOV2bwXcIo8G<)xsi(AqT4?0fIB3DK_m+iz#y<^pQ-(56O8%4NXoGkb$1<9EFch>GC+R~DZ7f{61R z6^F9n8;vu1>J9q}?g;LVmamJ+pYW@iI-V0@?s==n6$Qvm8-tgFp2*-EWE^_R)@8W2 z0Xkv=?kC^6vzual>m{fDR^MUbuH;J%ofvE(HUikbrt+ZgvWwID^r*RiFJwrDeDTWK zvU{@jSX~<*+?^@g0FhEocG52TU+kDNN+&?hOcM&3Hqdak9&Z;xT$2oYPiA@WvY?4r zT8-YbU8gKpyVt|~tLt`~x{b80d%cQDMbM->sIY>kWwtP4nznDa+M>XMiQ%9d_FyOi zN;Rx0`c58y14iYRVcdQZkQmavq`}a}2{PCTYbm_bo^E)-`fP}Fnqq%7%t3%yBf3^K zgt$OPwtX$>jFtgc5Huh?;-EYH=~FM;29ZyRcQ^KYN#n!L18qAchVVIh!F3R1YM*Oy zVHueN+i$y+g@f$0u}gjbLL6*wqnnf!aa3^8f}Fwe!}dK~I!FagB5CSu#O@|dwi?Ne zb6P)??_4tJ@krk%YrnpAV7k3|KsQ9Q`-hu6qLfE(;5p;oGBACQu&0UfvX^B>!u;n2 za>n4qYPIO*8dWX@aUk2kFDXkUEE-Y6dE+N_tS^X3=h*S%eIX^l$LItVu=*a z(0uR4#ZPf^i3ok{P#s--btK|hM~IRgXo(d6B)VEEDSRCM;+P_bteHY8>c+y#n5-Ix z#xX%cF_otv$!y4pg(`Wwoaz1@NE?=;?%S(_Vff+dExFEj?+)mA>5;+?saX1>>AhJ$ z<`(>B%O-b7I;~oc!t~FD#3|Wu6vSc6U==i4QEnp!;du`ptA3qkx*SrzGKp8j5wIz} zYE7&gVtJj0;5e)bnZJbMXXR!NdjI;nKF_#gq`IjD(bd7Iv93+48Yz_6;`(_+q(=cD50f4uXB4-Qsg#zV{#!{33 zp+&yT{dq=Q^oxy1{|5=B%a6Tgt^TP=xxA)=(sco@yFcA&H_FeD^wR1tw%`A1>xySoD-lHb(tSKE{_hH##le5oGfW+6OH0e2 zQuO0b2?7NlRl3Ti8g*ACo?mupKibidf9k~qM zmzW7335oY>m7wkNowZ|?rjq8E^HCb6kIVy`NDq#EanMFp`8gFqxon^2-NGg1NZ@k?!4+to5)`Qyr`L=>nBeMN+KNqo74$qNw>Quqx)~jj zJ9)^@HB zF-P`XyNMfpal#%Ipt__tFwF2yxO^n+{6XO^Sfj1IR8VIn^d`Y~B?*E26-P>~TDyaZ z`;vjP?C0U0_UM9N_Ne%4Hyz5Gsudj3oEN&^Gz~>ddA+FuPn&1c(wW zFw65wr94M%Xz6?-08%_h=}39_Yp}1HyuV$fHWJr?-s!QRH&u(V)g$rsixO0_^|qD~ zoP5Sbbqr+#|KuD3vzn>(q%GZ@+igQK(7}|r@l+=Z*{OFSO=9VIGJ9^;^*iCk3Lw~c z-latGL~nZ%Rr(24Q2J3>s21ptR<^oIq4E;NhcR4mscNOs@o7=EE+iw7TiH|;|0;hH ze>CrAV{PINTKkc*<6r zo$74civLTj8^0@YIyO_zr7Is5-n0QPPGo6Z?=NQr`VimJbpV^oW_^-SS8Qb5ossq- z;=JVOaw018tPWP}a8N7u7-VX!RA4COt8a+$595p)K!XRAdT+@91F6% zAKXz6;m*Oz5tddAN(@^>tT&%{09K&%!?hiirN(dl4bu|oTkid)?y!?Dh=?xFRyGV* zL*a1=PCJw^3A%|o^v z`{w$v-We>q39i|-$hSO(OROhr329h%t4ql(0D6hErwA|9&jq&$_Lh_`9j+InisRK= z@Z7Hk$WPB<;=BgpRYna@Kg&*>YBIWgX`($%M+=dcBrshc@}PZX| zF34+fo4`XO<%DXUgpiNw6lHD@w}+@h;9TQv14?aXQmm)M=UAeKy0*5rrcdhJB3;k5 z-vIuN3j{ECA3sv;vfWW)IFl3sgC^C0nnwhQS;x|$u7Hg1Jw-E}KT<;|{yd|YI>$r($>CmVb6xUQRY(3H{5Fe;uX-G|f9y(pbc^@`$;Z2*q z{DL>Nq2bn@KvB!t2n#&G9$*zlCSFFZ!0DY0Hi-L#;>h>DMlKq%cBI1hST?*}cRbaQ ze1Cj(U8EEoXR(d<{CS>f9L&$`dPucxUV)7zGIUM_fyQ;5>afzDSdgH`T(kW4RA~B` zEE7qg#QEPW6EE_)NGdqi_skU zDS|0pcChdl{U@})ItD!SQeAaEuZG3@C1Sv}nR?Ch#P+NC?-be>GanOxP*2eXOm$rC zLiN4eR<`QK4s;w@H~)=xMDnZirjf?Qq^IAZ*_E5~W^3qs5OciL2wuiUPp9~y&mFoA z-|H-5V}?yKP5{LT3O7ikQF}_uhg+x&sm|2)%QGdXf}O0s2S4K-?#MiG0(sFhuZ-b* zW3rj*Gzq=yo49v6c%w$=IKHLDgWlA3dj9K|OTXx5?)P1K)zdmxfUe1n!mzFiXhe1Y zzR)*)=4+1o1PaA?s(1tQKtWTM(wU_?FTgx>%SQygb4Fzd?~qUo5jY^sDEsu?aOTcYbjTIrH9;@!H^Bq_1PY7y^7g0!Vk;lT2{R3^0iPE} z^_2MJXD))*Cs>T?LR+&}h;g+q{d0g=)zuUp-v(X#x-0p4R|5hk48XrvpU&g70V*kb zZ{53CohaA(cQjvAp#Dew*HZxCMYC*ey^QGH&_QG_do#$xU|>mg#M9;NuOjGj%NVu5 zIU*5hu{rFUXL7)K&cr^}ewl$zou*agrEwu<_MK}NAjNGdt>&@zxW$>ko%P`TZezWI zP7>i@{880HfZGXfA+hH;$@GFV)>!Va*}{eL>symC>ynDD8AC)(j(C-4603o)7GAwi z|5sg2lXZ(E(tX3?=2l~N?xt8Z_mE%)mPEGZmIgs2qX_z{Gm!mdf*B^F69LU;W6=(R z{36lKab=uNePJCqDSR<0W;L|dl6K-gCPAcJn-i?~K5@i;^$)V<&2j+?B^Pi!I3(x0 z#nN2bZYkf{AG|@)+esjffNeH0_(Nis)Rj!P_L-`VN;{Th(pb61b~d%jq))v@_s?=s zIn?~18M6eHvROoSR=dW%cbVMB`Fji>Ybbt4&vyNy5y?KL)qY!`hG-lgLZy=Kv&0X3 z`HIJudmN6b6h#M-`-TQXg7a9%gRXgqJR+T&uS}<=zcN40gubH~wu~h5cwq)(S%A5k zkF-$?cb-eJ`+TBa9IOhaGd(1}g5U(l0p_z)eOQKd>PV+JELF|`?ceiT>MD+l-JPu| zHt0RtaX)T4l6!_*Tpv1;uX0z9zA(YI-kSml)rv>JyJEKb>z|FF zv<23townLL7GLJ7a5Q8jA`-yHxhadaVx5Xyd})wxdT{p$Wt0JnF9mok?0?~&wiQWs z_&2Yba3K>Y-iHSva=OMlP2WMt@l5Hnrj|*xUC!F4QdraMB0zrW1>c$H+#!oW`;JJX`Nm* zoZmv){czc)jz7;#x^i3IyhU7VR42-iUso(|&NKxdKf$=@Ro>gx@@ognmt7GWR}dFX z1@F|jwmeU@o^&hWb^5-n>b_WWIuy+!K1VzAV37xj?pb$a;&2GM-rE@;>!f#NKyPNn zT6U}-q&^$SyxfvYEQ>I$P!5h5?m4V3iMl;fSfp?prlj~0x+a315{-c_pYWK)CUg(M zDoDB32t_%(5CKs(C29OA0)-=kJ(q&c3npbor?LGGZQQ_o8`f7L-}@L&*tU-dCU?$2 z_q2opzpq}Tk`1Z;9~5Mj6Ha~3o}u%0zda!ju{lOJRn8$C9;c2Ksd7M$pZF5Q7X~Xa&EDQrHXB+~_YNqnmn48o^?!m!T?(=_Dqcrt zkYo&I2J*W*7J3wZD@?0qYW zzFx+(g+yc=CTwKIrD2;TGe#UHjOD>~C54oyvF05OqQG_ieq=n+CoaLBg6Q>&6~+vC zm~cXSRz5S>(7325Dq6(%yBZ9;JM2ox|Hl5e8_s{bO;AqCvmHZG(`Ny28~-)?t`-p_ z@ZXqQa_Rpwr2O0jWyt>x`G0fypAGi^(I$HSnq43hQ+61aohj@*ccP7G)Zp9ig^&IoS5>xOvoinX+s44W& zqQNGU;^|&8wK2Ab8{I=fCM3S|cXf8^3YHmRf(468YbKmhS|*g!2U6^kbfo^E;HmUX z^h>>}1AHFSh%|Lnt|PTKTqfRY)=0}S>jY}2{vl89t#1}6vym*Qp0y{4TG!!&jgy2| zPa%-WcEpd#qvDMg6Te1vCmImrJ2C50t1a4~K^O}MR+|HCDf}DSsQ`=!NX)ck=z>e! z2Z|~Z72{aRYIILLxQ3+Rk4C8bRr59D@onT(h2h}iKm-orRm$Q0vzqZY#Xp3ic#MY* zsFc5;p@fK;h|`G~$JpSgvPnH7(cf}|*N2+6xuO`NcXjz2^1IvI^Zq14Ow&B>Zpyo_ zzNl133l9Y!{E>emy=35`W!UaE)KyLK^(leuv&J+RP?b~+Xo;l+7%bVsP)>*qdDNhc zje#7jUxK2wpQliniLlxx<26!UUsKb6dK2T<%)~W;{RwnqWDxPzQbF@0;}Vc|+_<16 zP(P+@*fG&>O418=*tmP(lUaemy@gUSDN4i2(o#%&FRFZ3Vtt24TrRG@@TDJ{lH+I9 zJ_I*@7NpX2i*s=akk|1J28>uRohd0RKxlM9B%O+Bkn|gg)o?j3+P?E`FSI$vM z)}3VzD-}<2?a7>wdqG?Xu#tGe`Ej_{uVMbEk;I0SqI}B&SPD8__@KW%4VBhO88nUo zBAcy;{5*c%svj@VOqS(8h(IeD=U2WzyiW^YNGP#9e@RU&L&S1zzQ?lSUpfPK$&~HP zl)rp=iSmkjt~G4ytHq(xyu>~{sGaww%ESqSl=UbU5rVOPwldc#u>ONMkCXOYK>Za? z7|Xw>z4Knv#0aq{s!Op-fgVGnma_2Fg`pgL+-Ci~URvLrY4R=RzVPIBlA6Yr@I!XTX|pUB@8| zc-2H7868kZVtYdic@r(+C>JZ~euDxKOYr1LUk9ysaaf^u+F+=^>}br5kC+a!W$~@_ zRzogi`CJ6IvVShgm7o1k-~rp{tAz|D5K}l|9!=8K^cG0smwbvHt}*7uiz4V|Ko$_7 ziAL?iP}cai2!q;iIRvY7+|gi4ddPYBaqv2)^YaJm&*fq%Q`j}N43Xu$Wj&)e=xw}R}Zf#LSE|b*-QP9Cr`<3rxm0Ox9iIPMT&SZ9blmC z(S23er|^Oe%#1$xjBRw0y~0eM7`IK022?OhSCmZCU<70;$O2>RLt-ag=c*RizJ5{SA{zq~|XU*-)wZ#j@*(ddW=K zuDi@y^p;@~%s8J?%k6cjAe`uypQVH-L;2xw{|zw3PjOgX1|&VBarT2F2m#V1Tk6co zHD+>7yBFw&{5d2Lg1a|q2f(4NFo_&it}sNWu9CGUH`+z~J|4c1jQUtiJF2&93E$Y9 z@f^pGhiq?MTrNxUP-lAyL;oaJ_knW%XAZE-+iN${VppHX#i3Gh?rmipjTSA;?^%0Ld2msxgV`LncQnX;|fW=+tLEWj^-qPC~!no^kHwP^( zbXaBf&!Wdj4K0b_C7eOu)Q)o=s{L73%mFc1M`Uz&`W_aKUr_w#*l5}b#w(y?J1kE< z2Q*l1`y0lv;6#c2$V3k7YjZHALU{x#O$t~g1K|~2e$&F0?-o(CvTbt8IK0rFY%`{laE6||-}9cHT~!(;M0={M0o36$wE?4T z!Us8Rkps)*3%`l~Kov@t{3aH&abd|&0-078W}svQ`%UvFm-aS{ zh8-WTFNwU_Hn+GgrKxRDyzV$ZYLD_zA54smb9pR2LbF>yM*rOkC>_qyifVl^U^PZK zT_kb!OAQiQPX9jDVWS`5bf1ti)c8RH-$;74NCb)LE2f!NF+VgBJ`V|PA%93?8~-;_ z&bJKVc=|dGvi=ZjAeT!J^Wd3S48OCT89a8;#7;L;@=q!#2_j9e*O_$3@k5V+$IKET z5ET}xsx5VesH(8usF)0$HSerFvdAa3HBf<&G$DXp`sf>p{y?H%k>IUuw3%Z0}rsx44XM+nuO z61@XSU>`^xtLP|P^Cc`{vfiW>@LMLJ>qplg*b^jQV)7??Du+6-%)?0^ymt{}sf?ix z;ehGq2^Z5h#822XuVF)DT-GZrxHEG^HL&~l#M-e`x7(4ka&PGgrERbpwVhX)!%7#k zb$J(r#c|w!)Lp7_wy{_t^TY)+?|(_N6|>Lmp!8oE;8bMF$1kh2QhuDRvC2#iT4W~w zt2V^}*oaSbuPOA?o8NQsCOc8`D;+5P0;dEZ$P@V>Lt8$S9y^ASS)Bb-7YQ2jDbE^8 zD-%Z12NP|H8({oP;sn*1Kx?Meds+7^p~2I|B!>+*t4v#O;3y$Sg)Kon z$!vQ!?M(wFH2c!vz`xq_P6?8%FF4}~DsPd0VDZ?k0K53={9fhA+p_bzp~7c2FCbV3 zaXa3-{?rpK4ymWm&5xn{PJg!;mv>x8{~Q{YRBK3Fgf#sDP)(*8T99b}OvFuH8oV(x zZ$QZ!uYA7<6UQ=$NM@gloYM_BPG1rxXi)q~Nr4}*q zOJJRh7ApaxQD?ZHFmO2){Q9%VbQ8+BQnTynV2gdhRth+Ln zpG3M08G)D!F~Mb?_7M15!MHZSQ?Fn?2r9oLZE^;1VY1V+QvN4!{FV^+I)k8FPgqZC zZ7u4dT3FbBFSc^Nhft_1;=pXxCm)g^LNiDvNuQy_5SWCG-`5SPp%PAati3h zq~a7LND>!gb{|0$chBglm@tk>Jj|xX1oxXE#nm__ItUm2n+vOhZoGvGVizYQa7m&N z;VN}^|5%$XNZsf{Xdnt~^jwJUGZ(+d4~~{izac}8CD#4D66m4$_Zbx2m_m1_I&^& z?$nqKGHCpbM0*a7ks82IHe?*o{>9UvnJEU=y}BBJ%dnAch?cObo#sp%!_#eezSgB{ z^*e>^=ftnNFd7F`3W931HDr~1Pm$235Xq>(-`Bw2l<$Y}D&3)(#@~L#!|Nev#iP*{ zDfSWc8HSt8_j`|wU4!(Ueb4QF!H#G_kk@{NxPM{m7|7$RWIpVLg~?NLA<0UcSFRJj za}>VIMzNwGx{8Es3hCUAI8ICO3?+VTQG|0+@9>FLlai-LP-G|hq8LeW5>S1I84$o& zpfYrz{{Bwksy&fFNY=Q@4}m4Lpd62$u~4{)XZW)tw?HJ*h%+V@f)kzy#ERaClQ?!6 zkx!ZO;ww2aH4&1G0BAxAvav2piol>riEOspkRb(IC96_bo^8SyJ;2aNFDh-5NnfZR ze*aSsXU?i2c+HE*I!!3UND`PNKBt>Pvzy>%W@0_rHA7xLk-L_L510VNP(x}XN|XPh zfc_r)w`_2H>|6EvQ_1gX3e|s~al8_fw!*_@=gKk&O{NBif#&oIUSXn&<`l&*QR`jE z>1vs({N5B@$}g^MQ8y%$FE`!lNB1z%)rgiVpz4~`LQdDBtdd&4xO@IVtd_grfdYrr zF~b7GZx<2_SU)Wbfa$);v;wX<+lI1m<3-kuv>g6jL81ETMyUb@yoR|D;f5V&_3Z=O zsD*MI65eAb7>iWcn%(Jf{zg9w82!MPKO?i)*!;0pGj6MWj!I=W>#+{kavMp6-G}g4 zuMB>x1iUbIiL>;(`@`9v6{@6F1~BvLCO|2`bwZ6w&Uj$lck{Nl5$08A_UIMb)K_MM zbVsQl^knlgN%<(esvxy64Q#emyQSLnai+^_`K@z3Z0g3WSfEdBTerB?SN5H4XZ=mZ zevu9cFT#lF+QR8^X|lLp^N6V1sVrWX@g>{wh1v1Sj3kK`c_y3t0BS~zs0fg~qimA`1s zYQIdf19T2s>oecov&R$Pka6otw1D*FscuZd67xRyMsVhbgV@tOD@3}Y4^hf!73B<9 zD2MGCwRDwtq<-K`vC}R-obju@Kl5@FMmxSc$1=@pl^@gt=?+1%h+iyAk?0^MQcrZT zK!?28cAe~Y<;%sh@b1D#TN8QajvWqr-4Wkbn3}p8ZG(v9}cJ*EnN4RJ(`|qZ6C!+Lmhuj z&I;vnQXP#+->08O(x7FA7ATz!GQD0ys0K!Y_!0{R0G#tFx-1GqX0gAK*xp_Chyaw&$`IiX$`uVE9z0=L!LH zQYls>62CcDkRX$I2+eaQ5ql1ZBaY%T+QjjSFAV-zi6Y}~pF#O7r;cZU%cMuUC%#cs zZS!$a{ep$GXI7>apL1|$j^boMjAt<{F=u|+vRw5NNj}p>fonJO5`6ArWRu#IN6%(p zbQP2SPLSu)ybS8k;#V?8?w5!o1GB%L(ZBXlW3}{UDlC-9UXvq}93ZzH{1cdVJ0~E= z8auM-`E7LezGCQXISn>;CzC9!ERiDypwGeII~c*w@10Jz2F6;N$j&;+61mMQSqsEU%eC6am)!&4<>%s1}0-Rfr z_ICMf+qza(xYJW|($TN2@NDS_sVIVGZH!}o-25R6F_KsGMSX*DhLqwSsv_(x1ddVvB0Jq=?k(qqn5SS2(mw#>xBg50GKUQnDqbUx1 zurp~x&ep;Cz7<49TRjP$ADcy{jeZa!HGIx?oTlbBAa|3@VL8@ z8yyj#^2!*Kmkcr{q^e%)90!hA6T~YrlD4G)N-E!6UGtco4KgIwD@Cdqa}VltFQ_79 zl)Irw$9Af>j}&j;-Y!1KG)0TtwZxt$lX8DvXh40#o-w#j%L2WDB%K?6L{h9Vhv8*hQIWjZB#=%Nh$s$$mz6xs?9a zR3ojXbJj)u3Hd9=ijLvHG&C5c<$Jv)cyV5VYB471^w5=P4Xy;%6wipKtHm=FfY+gl zKEF#<=Tk!6j$m8(a8voEZ(8Fpk@~k+NI0C0=pjm<`*y!r``=paG58j?qR@3D)5>Fg-Ag*1@HfnW3}*)@ z*0F6yx;K9{Rq_n>@DMVxTxx_N&j{-s4J3i2_MLHr8&Yh#m8j#LLE0_C<+GI^!i9?P zaGFLlW4H}cee$IgAW3M+_9d_z{Hg(WgYw zJ6o%h5_gCwPr;!OYS@mC$tTleQp*`%(Zw86cWVI}s2CrkRd*Fb1g9tCsEw@MP1-G* z2a3(%IB;#ht*^1`$qV{G0$R~-a9S>0r!BWy#*v3PH=9?_axoLn3d&VPKgY|{ui#@R zx`q$gD36a5jPj;wba_&awoGhsk{`Yt&_D!^nA!Z0)=4Oh+KZr8u#kaZbh%dakZ=uL zsjjtRc$N*aiModX=;v^=`;u1{!*EgaPLDCyjnipRw9wKtx*1)1ToqPgRRZN*&R+e` zGjf-VekVNK02nwdvFkle2PANO>4?fOl(`Yih?Lu$$e8O`Pm_yUi$*4f*=24CcNiqp z8p2Z=<5R&-`S2>^^UFXv)9;H~iN-WP`%YF9s^|s9bbq4gXpkWwi?;a5MigQV;3~U^ zPFl8U>sTo1$S6{Hc$(ZTB+ZjUwNtoD00?ydBI{uFX&5;FQCoc`C<_ab*r0)7e}8kQ z4Bwi(t!KGVP>T35cUPCs4bdO~gAdO*8(&Q0jb#fKWQEkl`F8@#pkW$&Ac`zz4z8ai zasV#by@In-Tlq%pHZX#6PBEiZJ= z19sgwJcDSR?6^w4u@nefvE%@Y=v;&q(b=K~efGe+sqHnhmDqrujb!fSTr9ZOP0s38 zw~cV$mmna)N9r!>)_HT~BK1^9vnH9#v?WXwto$pO;+3eKqN=ll^p`Z+3gW<>(#OiXz|uv5woMihOh zx6M+}txipVmjzs2(H*B>8txM#Sry$39U-M#b%fT0GUi{-?_MXGo}?_&E~LDn?TQp4 zppH4+36X?y!t1dJ$}85QNZezBUPooP^Qz@9JZ9t4R!dggGz#O$-QW0(bryqJ&BKZ3 zR*lm9vTW()+BFQM+_48c$w~*m0a2YveTZEBFDS~UPh&m0g4OlH-4gE37a>WasGgpy zSht|9d>O_*5zH;GddE5WNnmnW7%sv52FZyznAlv<7B#7_l3-<~Q$}bq`%e`IVrke8 z+L;2L1TJ;!b0hO_LTUztV2@&#{i!RNFah*1f8`G->iIk9;0&ecoLRYqJY0%4B-I%^ zr`?B}c-ZK7)iagM4Il?-afSO?KqJ*KrPnevOLpJ#yno}a&@B0peerSV^>b$I`W$yd z9sZb3*>=xntRozgIC+6K)&844j&e1%Z$gjog;N99J3FUy6e+LHp(WIbf@$m zn=CNMurkcuw>%T6aZDm);1-)w!eEk95!Ay}_%`B%)=f;9L56@5WnpyG4&P^zUm(gT z70Fd>?ohPHbFQL(2RS<2oIj>~By$U0K0seqYH6q2hNM%onMd}OltRgof&+G>oaE^r zXcw-}>z_R66;nR^>zQeA3yRKSO!tB2B?dPzh*jyC?Gtmr^t=Eu4}dMoF^!sr(aovW zE#!&LMJ1PkN$+f)?~zG2PM9-TgIN1;Bw^r3bW*Q+iC-&xSwbkek79cK+yCR0K9g=h zG|C4zt`e0QT)*PtCH0|SMzs2joYD)vpw{_moB_rE?BP@->r5&gSxIm&CDb{1>jbb~ zv|Fv`433sUd@88aX!kvRxz69vuLUs7uL8K%C)4G%W`%VdH-y~%i`~Ke$lN*1jvVfZ>yr6)bkIO)FUX2tdFyK9+d++3AQG>` z=6XOGcoCxl3bpvQk%}9A9fk${gt8%trB7ykwqVpcE_17GpuBKUW}d8W0bzXbRPv@Zi9UQff<|sz&3cqJjo+Dlm^9jv-^dPku2*?QKv?&)9Bg|-+N4NLm}1wN z|MyealOj}H8JL1GCP5qCq#RRchRj{fW_aE6rp&lKo3D$l)_`GMlxM6n<(IC@LbDbHxI-$2Z_Rm3hFnP*_lGDjdExMDXdz2JsxUO zk(o%WvH)mXR4=S4$c4ENReP$!4p4P z{kKv`r-bD%kw)I6L&oA*#+G><1rA}^)!^*(wCj(JnB5>3D%n5!-(EPv$GxGARZ&4h zys2JKAy5IFZl~97O_=Y(9Un+&PM^HhWz5P`TiQgE2GJoNh|7wJL zEZ|o!q6n#Dx+qD5DnZG62nu<(nZG5H;MnVCh^?!lr8xP?iVQ@!wHL6p@qd$w=Gj+M z^)kaDj|VS#Xk&sXID0!>F}lE132?c;N4vOjcU?KJCM@qSGn5?Hw$kOyzw}dA@;Bhs z=k&vXTLiEs$C7UU0HknkfA@UBLCtjkL;Y1-oHe;F`^U~uah*SeZ&mQzl1Sys1PC1J8H3em9iD`^C)cADRT`dQt2&BcVp2wS3pg&5 z5eE1w&LRsAOYF}FY_KAHvpH{^MgXolDfROWy;4t#08!HDD;jV!#jF`u%GFr6tYewo zr4IS%M&p;j1(ZCpI{qxtdIV-8B|pK?-D{(`!e>3plnq2Xt^? zaQKB}TmSr2XGW6`n}c{AKP4=2_p4(O=#R-$Y|8Iyr#@Pmv#7OdRuru_14zWPfx9>h zSB-0LaS6%7V3#F8QUU3tCj$4u59gomcL0hNQ#Q~l6(8v?`*rUCCa|6YKkU{F~A z4$EK9VDCFAHjcc$22jvaf=V3r=N8Eif*m&Q2ffjuAyS%m&v8n~QeTQe}B} z6ANPgobd!*{5tLBw?uB_xQ8HpLjsq?D5neaM7Va!W zL+lhVH05_m8}&s&mV!bV&C3rq>5C*Wd{~C8xHfg;X9Qq0ZuW@Wm;3JanpI*1#$Rx@ z6GPHLr^gSVC=dWL6eam?<+y=h+oGdi?*kSvl3E!JronkkSO@jG*YUPF!7J*r>yv}pb) zQ-~2izHdt2QX;z3g{Y#s;kWWHmgi^AoK_lsn&7Co9s2!R^kksJk`CE>AB)Spt9%Yz zBsrxjQeYJmur_Dh6U9_)l<@ z$m1+-3^-Eb{Nj}!S8U)SYOqf5J^?$5NxZ)jI|*du#f37T8!soji>uC0Oxr1XwheL* zzMegD_XEf|CITP4G8~XqP;y|?$bpEj`~XmkQkGz{GoA4*pX|bd<2Ph9 zJJu_wPU&}b%*}TOj4kT@HwpIPQBz3@d5IwhTeB#)E?!(G6R30m$l4@p$D`N@;;a=$ zA@yhH(TbCPXZL>BiDbQ}{O5U)@elbo^d!Jx2ej(Ten78SjQNkRctBeG6)(?wja}#< z6%7cK=_2!a_Ck=;Ku1_)s51%?94a1^gw)0H-@)^|W?K?c=Alv;NdJux_+(e|Ow}+w>Vag0TV-fud?LJbS}*phLehX%*U@diQ(fy2txXSQ!;s z|3Gc>FB>k+aKj0!!XWC1}zn!u8qofCkG9_)LVItlIy=R8pS6%o(>N^Rj5}8rKXlrgn6o1bk50)U9U1F$ zpBF&ijAORWFa0dlbf7Pfv>yp=%at{`4W{9D(Z@~XR=pASBi354(MisW>@=BkZ49h7 zA3MK-U`11Lf%1ydOitd}wFLH}4F|YR_R~PrNES@EsehFlGYz@)a4&|X-=T#~LVe$r zg-JEV^VMZw#SMvk1MW=eINY`1QV^U%n4nWb&4SivsYLZH-mbNgW%>^#)+m3ur<)*F zvI?<;C#{-9LBKFPc^I_*<7#+ukofu2U2Gc)P~Q!r&rlMXQyso+sD#< z&$v%e(RS9af1ae+`TYmCUjxiItRqWfn|}T^QK(a!G6n2{Qt?dNQZ+7%p#!Gn5cm_Wd6lW;{#l)}g- zV;nSOL2_0`5Ez>sTaAAq2;mqgcAx9*N>Kt9M_CP}M6}iCkhcDt*`LI}*4O_UVr35% z>8JiJP4s5zGm69?u3Qq&xWV&M1Sn4-KtGo0Nwl4KUAnjbtSsE}_`W%Nw@)sBIg-4< zuZnhw2T2sWFFA@a=cn5l9XmTaeH;+^W)@||YhGQmSwPqZwE0Tp`N~6R_r7L`P8x>F zvCMO%aFvyt{0BdEO1lqb;H~k6ax0HLc=+osPIT!lBg$p4xoC7qAYofd3cC?3O8bzY zT3E}OA6aB?n&JM*fUAZil`UKq7j1Kl33)oM3-+?Tdd9!hrEIGL6&%tRg&;_mFgJrG z^>heR4WQjIMPq^escg&$v~kgcP{JTc|8TCsA{&B>|uuLO#8fgFm*Ccq<;ipW+!bY(`(n}&#L0y20BUE5BVA29bW zvmAX>R-tuIgt*;RXMaW-htOd#gxmCk7$a;MoEmXn_ckQRi3hhN^OLr;B(MH8O-I~G zC2#5BV37x6vCJR4YbAn+`N+9#n|;FhF+~)DcfXqL<|+`#aG*W({ZB#q=$mP6FGHPvcxY3$3#(NK$rM4Y*ADGuKP)Q4*u%VK& z_z8r5e4-kY`f~#K*dWeVQ&u+^+i^WaZwZgpEA}9th>r9jBDMM^nTcNHnROV7?Dun6 zfJ4T-P>wR(WObL{4in`x{{4(g|2UTp98ZBIXo}2+HIv^mkZJ2-Cu5CWrF52UIghg~ z`FDVa@c)jqV8dyk?-6a6ao4K8N)(-PW`=rylN2#R)}{tBjLplVDNjhzZMMW6wbX_| zyaGrcpUh>N3U6Z23S#$cxzR{mvBbEK#Q#{9bAJWhHtOo4$f&nGogC~f9R|< zhQ8}q@hNzu_{S67IlFb9iG+|BH$svwzhY!r~3F1U`fLv#k)sX2-nmtirYJ9Z&0oi+=+}67!9{F;J z_Cn{t7J*okdSU{bz(=0OYIQgbTBx=84Dz0nAyW3qseg)K7mb0*UIgd?8V8q*G*u>R z4UFO0DUZ>Doj?euoL0r(qQwA{9-t{>h74+i>n*6x)I|sDk*pse$k^*HWld(#qd^~c zrr#F+DwTt3_*3~;gBIHhegM}CKK%sI8gG{!hh&!hgZOXK(FUQt46tiihMG}6Q1x9I zrSmZW*J4&8BAPq8)Yiy(uQ>RH`qQcHb;=C0DFj~9|ou>P%L1liz#8nAR{U7`G>e=4O zzXFqpM19VotIS0a#fN8jY9G(B0imB}ppBawa#i|e6Jm8;6O{6UR$XWaH#pVOy73lS z(2eEa6Yq}691$Dc#@H!Gx|EW2?kHqK#IDklMisu}=}An$-{E%L?p=)fXE0UE<&(6r zDP<);a~^>~XUm$}^(eL8#o_P@|JqoKCnaekA;w+vtVAjwTZAnOEseamnk4I7mJ8UR1vtuovlY<eLErw?4Q6eMv~^46@;tW+iCH=xIX!kk5Be-3U}T8+)Y6iraRrK%!nW z5A~3QESKT;EQ-os9}j7gO#NVDpefENMH=Wvnq19!gtJqOKL zup_M25ML>cB$6!#VrI$Axb`;1dEnYOn${UKgtg?4O4#E4sSg~#ghPT6ha_nI#g1%X zppQ+RG6>dFHgWzXNwhEEV@A=dGImGR!yToau zc?iZFtWTaufn!6;32zEA2MDuqDz?%%?v!wu)!&%@sF?*o*VY8&#NmaQ_vomq4z1Ik zL8?J_`IH;@z!vZ0QTjNA|2yJ|U$0d}E?vE^d=G)SRZtx^mfqLxYw~@@>_*ziLR=F;9j&}0> zL~6x{i%qf zx(&8KUGZncr09t>qc)6shGDKbTtuX4c;nd|Ph5;;6>Dsr`L`1D%YzPQi`mRRM+o6# zWl1YfVu-R(9|7D(e5hZum_XGJF*b5z-2``%p=(L-h($~@Z`2y7W)&ZL0dC38An9%^ z|K!BH+K)Z^MfYE7c6fcB_!5<1(O5z(iV0Ovy6+F@jcLoRMi=;m`SB*{6=3J$s}VAC z?7$dulVLrT9Oc_fADEx?Z(kSv9S^T-=Y;5ID1@Ye_E#$k>9UoWtlozt;>Y9;jw!4U zs?$ryG7)jm_fcEM4SUANGiBJPo*%!ZsUzugJ_WGCVMK0Oo6_z%g^qY|unZGXL73e| zrA;}1O&2@olKe)_g)&Gv^4UtBIj~(qB!`*Nj?seenT>rN(dxqt!=sVljsY;&mmlAG z(PqMS$b}FWkcRL+rl9p_!T?HgIvnx9Hz&ShHgD^x3c%O>l_wL-)Fi@?FGu41)hC6uxj zE~3^Ts1%EZ(+0~6!RN+5FL>XCX1Oa7Gn)pZzXRouD#0*X9=)BTRmlyTBWiH?WuNko zF3$P>xOqXR=|6gb*T^D*kv)kEm<|fSFDG zvUkhG%lzbi#jjnmtYEKd#Sa;a+(f(%!m65DgHqxE|E0zc|DmqMP4KMGHD?Lck(;#)MK=fH% z%(jehJ;T##Y@U2W)Y>SXuemrvs2MYVA)L^u-@vvejgPYS_f=Tbh%GG9NE{szPzETD z3G4LHv2q#FyrUTe-O1CfRkL0=DzOJfUv?|yB8_(^i!O%vw?so_rGUe%6?IHP3ta&N z5KA+fT53W9K;vOZ*lV}f;ZBaKx~cgOvRK>BBw1;e0^urFTo>#V&hW%i2)MS5`B&~{|3`(eqqLS)VUwgZ1d@I(8?>TEf4|28+7^jU400UF{b zgWm0#>KkmEC;Q`RHCHf2b8+2u2@tdv{3B597co#2p*OHj$e@U?3xt>K12Np4rHCSe zQyh|GT_86e>N`cE%$k~_`@j#7zOnjvGkkwaKO~j&RhR5m4U!OWrvq1WaCxu%>W(KZGc_FMK72KG)H%h`o9Oi}dx=*36ZmzLR z#;De7={e)ph*UZBSS>62XfSRHlkp?*D#*ud%y@?ky-x4Q)-j8^p|t>eXlx|hjSSFi z0}`YOJ>?_P#Rgr;Q@#B(`%LWBsv0a_DT!XSrS)-3S}Nu{R$9}FG#UnFDiHGHF&pz^ zC2rEdmrp^35A*jy2x4o3*JoY+96)0wT=jK|LGu=Tk5#m1fgPP|4@kE@f(?hckll_t z!m5cc(FCpC$1LQ-+YLMZX3^y%d!7`XsB#Mc3Ws3v(og}$3sm%S>0~L-P|LNspY-_?WoM?)U&IVc+u*f7HNx|AqoxEJl9GuA>7%0|e}2UU6X@!M(>Swy*jOce5CUP7#~}247--_(~&j08dI%Y(DE{6 zsAe$Ro!t731STz6CgaYq6j+kbwC}I@;q*jt)DWNn4J=W@a2M2lcS>f<J%|qgl=DTjS|N~c0qjSYFkM&PzE5({e?v!l zbCR#w2?a!npK*R_BgJ4H<}O|mH=)i=>^f)0MOCi-J=iz051ms<+-o$GFqAOPE1La5 z%$xx!`?65Mz#-qjj^1Crl)wX#Z06871m8|dF=z2D>KEZzbvYGd4+n3-NJ9-erTjG9 zI_&J=JOi$p!3seORiD1sW*LSaauHi23N`p0J3O|CfLVAJdTknGJ(pQ^u-S29MCv2l zl|?kB*mv!5Dkf7RzVTNhyIDoE^lWi=qpjKaPZ0?fJFRi1u`zD^X}bgHNW?_R4maso zxAYNs5?7HqxT08S29J+Qn?68T4UOk{Nj#G89K>(gp)s;l;S})8vE>&8tFb2`nx0r8 z$KBg0T^XQu$baPNX!k0)fg_b7^2*e3 zKAkUx1aaibC==zGEuB(rM+ zQm8IVHfnir`z(I(ai|^u$FQDqkg_TJFg0t*r|bh~*;=eb)@=}DyoH_Fs@L|A@#sdp z^C7*!2(yt8dS5Vkij`$Zs72=T%!wa~k@C{?K@mAmf?ptP5&_+h4#a3VfFVV8^{0+9 z$?^yrgO#_7n(uyr*}iV*@HezKvJ+Tu-LY@mM)GMF6Wy!hfb*@Ux<@nN77^@WQ) zg<~0ha_bB9XB@c-ZcxqlcO zBlR&~+PCK0*%yRt*$nWI?~8g3#yw`K_rjF0q%Il3^Ac{DF&YJ1TlTO;o`;mnQ#tY| zXue?sm%{fAyM0^=;(U5+ml3D6&+KhG&=vDYKcvYM+y>477rA17v1>*aATcgtS*$L? z0bCRg3sHqox7Ujp3^9zDCaKpuolYHh?x_eoY?+;ZCbg2Dp#7t1GOKLG!@C&IUU;j= zXAb%3TJ#^}Lo~vFbU7g~V+!RFcV&yEt5+IDG|qEkygOY=n}%b+4k8>aY%THtSCWcq zK0TxkXVdB&-9#29khEsHj@hWF|RLACM4iei-V`J}Vb(Uxnz_jXB!W?$}ah*nMevjy6<@(Qw zrkL_8izy4WkOnU8m?HZ-B;pF>{PBR+8pwWF)b97C({BB%UEgE$7%zJs3^(+*>l_$` z_p(tJISh!mHI4H3_p*W4_kFA>hH! zG?W7g>6)Jrdt^CfMs8e0|1-XCbgkUp1^T0&)UcoPi1Tm52 zWZH*|8}@5AJ*7QG&Ay;uqUZOSHb|I;nGeNfjss!yiC!O8gjHIGV$XVqE>@Dxk(SUC z)14=zivz<5f3%2qD{zbGS~}6&dr|he+nuHeoGpq|JJoEpl22hPIiS+$GcGX4MG-5* zt757(yqaRLl!CFo>!9TYV#;x?++JR^gg?yHf{ z6f=SsjJbZRuJy7B^K1=;I?-Dl`xRR&>#x#8h)1(E!v))mgU0W_9}aeZT{u{#ZUUk* zHL`3bkoEMmR##;rWCggVF3gNKq@RX=%a+_DN=~VVTWdd8NQTk