RAM2GS/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_...

3177 lines
113 KiB
Plaintext

(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "RAM2GS")
(DATE "Tue Aug 15 05:22:08 2023")
(VENDOR "Lattice")
(PROGRAM "ldbanno")
(VERSION "Diamond (64-bit) 3.12.1.454")
(DIVIDER /)
(VOLTAGE 1.26:1.20:1.14)
(PROCESS "default")
(TEMPERATURE -40:25:85)
(TIMESCALE 1ps)
(CELL
(CELLTYPE "SLICE_0")
(INSTANCE SLICE_0I)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_1")
(INSTANCE SLICE_1I)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_2")
(INSTANCE SLICE_2I)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_3")
(INSTANCE SLICE_3I)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_4")
(INSTANCE SLICE_4I)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_5")
(INSTANCE SLICE_5I)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_6")
(INSTANCE SLICE_6I)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_7")
(INSTANCE SLICE_7I)
(DELAY
(ABSOLUTE
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_8")
(INSTANCE SLICE_8I)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_9")
(INSTANCE SLICE_9I)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_10")
(INSTANCE SLICE_10I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_15")
(INSTANCE SLICE_15I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_16")
(INSTANCE SLICE_16I)
(DELAY
(ABSOLUTE
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_19")
(INSTANCE SLICE_19I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_20")
(INSTANCE SLICE_20I)
(DELAY
(ABSOLUTE
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_24")
(INSTANCE SLICE_24I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_25")
(INSTANCE SLICE_25I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
)
)
(CELL
(CELLTYPE "SLICE_26")
(INSTANCE SLICE_26I)
(DELAY
(ABSOLUTE
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_27")
(INSTANCE SLICE_27I)
(DELAY
(ABSOLUTE
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_30")
(INSTANCE SLICE_30I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_32")
(INSTANCE SLICE_32I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_33")
(INSTANCE SLICE_33I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_35")
(INSTANCE SLICE_35I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_36")
(INSTANCE SLICE_36I)
(DELAY
(ABSOLUTE
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_37")
(INSTANCE SLICE_37I)
(DELAY
(ABSOLUTE
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_44")
(INSTANCE SLICE_44I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_45")
(INSTANCE SLICE_45I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_50")
(INSTANCE SLICE_50I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_57")
(INSTANCE SLICE_57I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_59")
(INSTANCE SLICE_59I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_61")
(INSTANCE SLICE_61I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_62")
(INSTANCE SLICE_62I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_64")
(INSTANCE SLICE_64I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_65")
(INSTANCE SLICE_65I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_66")
(INSTANCE SLICE_66I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_67")
(INSTANCE SLICE_67I)
(DELAY
(ABSOLUTE
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_68")
(INSTANCE SLICE_68I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_69")
(INSTANCE SLICE_69I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_70")
(INSTANCE SLICE_70I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "i30_SLICE_71")
(INSTANCE i30_SLICE_71I)
(DELAY
(ABSOLUTE
(IOPATH C1 OFX0 (457:589:721)(457:589:721))
(IOPATH B1 OFX0 (457:589:721)(457:589:721))
(IOPATH A1 OFX0 (457:589:721)(457:589:721))
(IOPATH D0 OFX0 (457:589:721)(457:589:721))
(IOPATH C0 OFX0 (457:589:721)(457:589:721))
(IOPATH B0 OFX0 (457:589:721)(457:589:721))
(IOPATH A0 OFX0 (457:589:721)(457:589:721))
(IOPATH M0 OFX0 (322:349:376)(322:349:376))
)
)
)
(CELL
(CELLTYPE "SLICE_72")
(INSTANCE SLICE_72I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_73")
(INSTANCE SLICE_73I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_74")
(INSTANCE SLICE_74I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_75")
(INSTANCE SLICE_75I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_76")
(INSTANCE SLICE_76I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_77")
(INSTANCE SLICE_77I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_78")
(INSTANCE SLICE_78I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_79")
(INSTANCE SLICE_79I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_80")
(INSTANCE SLICE_80I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_81")
(INSTANCE SLICE_81I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_82")
(INSTANCE SLICE_82I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_83")
(INSTANCE SLICE_83I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_84")
(INSTANCE SLICE_84I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_85")
(INSTANCE SLICE_85I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_86")
(INSTANCE SLICE_86I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_87")
(INSTANCE SLICE_87I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_88")
(INSTANCE SLICE_88I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
)
)
(CELL
(CELLTYPE "SLICE_89")
(INSTANCE SLICE_89I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
)
)
(CELL
(CELLTYPE "SLICE_90")
(INSTANCE SLICE_90I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
)
)
(CELL
(CELLTYPE "SLICE_91")
(INSTANCE SLICE_91I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_92")
(INSTANCE SLICE_92I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
)
)
(CELL
(CELLTYPE "SLICE_93")
(INSTANCE SLICE_93I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_94")
(INSTANCE SLICE_94I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_95")
(INSTANCE SLICE_95I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_96")
(INSTANCE SLICE_96I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_97")
(INSTANCE SLICE_97I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_98")
(INSTANCE SLICE_98I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_99")
(INSTANCE SLICE_99I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_100")
(INSTANCE SLICE_100I)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_101")
(INSTANCE SLICE_101I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_102")
(INSTANCE SLICE_102I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_103")
(INSTANCE SLICE_103I)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_104")
(INSTANCE SLICE_104I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_105")
(INSTANCE SLICE_105I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_106")
(INSTANCE SLICE_106I)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "RD_7_B")
(INSTANCE RD_7_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD7 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD7 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD7 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD7) (3330:3330:3330))
(WIDTH (negedge RD7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_6_B")
(INSTANCE RD_6_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD6 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD6 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD6 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD6) (3330:3330:3330))
(WIDTH (negedge RD6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_5_B")
(INSTANCE RD_5_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD5 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD5 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD5 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD5) (3330:3330:3330))
(WIDTH (negedge RD5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_4_B")
(INSTANCE RD_4_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD4 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD4 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD4 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD4) (3330:3330:3330))
(WIDTH (negedge RD4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_3_B")
(INSTANCE RD_3_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD3 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD3 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD3 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD3) (3330:3330:3330))
(WIDTH (negedge RD3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_2_B")
(INSTANCE RD_2_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD2 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD2 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD2 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD2) (3330:3330:3330))
(WIDTH (negedge RD2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_1_B")
(INSTANCE RD_1_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD1 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD1 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD1 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD1) (3330:3330:3330))
(WIDTH (negedge RD1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_0_B")
(INSTANCE RD_0_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD0 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD0 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD0 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD0) (3330:3330:3330))
(WIDTH (negedge RD0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Dout_7_B")
(INSTANCE Dout_7_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout7 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_6_B")
(INSTANCE Dout_6_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout6 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_5_B")
(INSTANCE Dout_5_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout5 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_4_B")
(INSTANCE Dout_4_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout4 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_3_B")
(INSTANCE Dout_3_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout3 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_2_B")
(INSTANCE Dout_2_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout2 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_1_B")
(INSTANCE Dout_1_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout1 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_0_B")
(INSTANCE Dout_0_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout0 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "LEDB")
(INSTANCE LEDI)
(DELAY
(ABSOLUTE
(IOPATH PADDO LEDS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RBA_1_B")
(INSTANCE RBA_1_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RBA1 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RBA_0_B")
(INSTANCE RBA_0_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RBA0 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_11_B")
(INSTANCE RA_11_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA11 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_10_B")
(INSTANCE RA_10_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA10 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_9_B")
(INSTANCE RA_9_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA9 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_8_B")
(INSTANCE RA_8_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA8 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_7_B")
(INSTANCE RA_7_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA7 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_6_B")
(INSTANCE RA_6_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA6 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_5_B")
(INSTANCE RA_5_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA5 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_4_B")
(INSTANCE RA_4_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA4 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_3_B")
(INSTANCE RA_3_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA3 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_2_B")
(INSTANCE RA_2_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA2 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_1_B")
(INSTANCE RA_1_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA1 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_0_B")
(INSTANCE RA_0_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA0 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "nRCSB")
(INSTANCE nRCSI)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRCSS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RCKEB")
(INSTANCE RCKEI)
(DELAY
(ABSOLUTE
(IOPATH PADDO RCKES (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "nRWEB")
(INSTANCE nRWEI)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRWES (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "nRRASB")
(INSTANCE nRRASI)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRRASS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "nRCASB")
(INSTANCE nRCASI)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRCASS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RDQMHB")
(INSTANCE RDQMHI)
(DELAY
(ABSOLUTE
(IOPATH PADDO RDQMHS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RDQMLB")
(INSTANCE RDQMLI)
(DELAY
(ABSOLUTE
(IOPATH PADDO RDQMLS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "nUFMCSB")
(INSTANCE nUFMCSI)
(DELAY
(ABSOLUTE
(IOPATH PADDO nUFMCSS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "UFMCLKB")
(INSTANCE UFMCLKI)
(DELAY
(ABSOLUTE
(IOPATH PADDO UFMCLKS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "UFMSDIB")
(INSTANCE UFMSDII)
(DELAY
(ABSOLUTE
(IOPATH PADDO UFMSDIS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "PHI2B")
(INSTANCE PHI2I)
(DELAY
(ABSOLUTE
(IOPATH PHI2S PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge PHI2S) (3330:3330:3330))
(WIDTH (negedge PHI2S) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_9_B")
(INSTANCE MAin_9_I)
(DELAY
(ABSOLUTE
(IOPATH MAin9 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin9) (3330:3330:3330))
(WIDTH (negedge MAin9) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_8_B")
(INSTANCE MAin_8_I)
(DELAY
(ABSOLUTE
(IOPATH MAin8 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin8) (3330:3330:3330))
(WIDTH (negedge MAin8) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_7_B")
(INSTANCE MAin_7_I)
(DELAY
(ABSOLUTE
(IOPATH MAin7 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin7) (3330:3330:3330))
(WIDTH (negedge MAin7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_6_B")
(INSTANCE MAin_6_I)
(DELAY
(ABSOLUTE
(IOPATH MAin6 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin6) (3330:3330:3330))
(WIDTH (negedge MAin6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_5_B")
(INSTANCE MAin_5_I)
(DELAY
(ABSOLUTE
(IOPATH MAin5 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin5) (3330:3330:3330))
(WIDTH (negedge MAin5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_4_B")
(INSTANCE MAin_4_I)
(DELAY
(ABSOLUTE
(IOPATH MAin4 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin4) (3330:3330:3330))
(WIDTH (negedge MAin4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_3_B")
(INSTANCE MAin_3_I)
(DELAY
(ABSOLUTE
(IOPATH MAin3 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin3) (3330:3330:3330))
(WIDTH (negedge MAin3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_2_B")
(INSTANCE MAin_2_I)
(DELAY
(ABSOLUTE
(IOPATH MAin2 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin2) (3330:3330:3330))
(WIDTH (negedge MAin2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_1_B")
(INSTANCE MAin_1_I)
(DELAY
(ABSOLUTE
(IOPATH MAin1 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin1) (3330:3330:3330))
(WIDTH (negedge MAin1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_0_B")
(INSTANCE MAin_0_I)
(DELAY
(ABSOLUTE
(IOPATH MAin0 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin0) (3330:3330:3330))
(WIDTH (negedge MAin0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "CROW_1_B")
(INSTANCE CROW_1_I)
(DELAY
(ABSOLUTE
(IOPATH CROW1 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge CROW1) (3330:3330:3330))
(WIDTH (negedge CROW1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "CROW_0_B")
(INSTANCE CROW_0_I)
(DELAY
(ABSOLUTE
(IOPATH CROW0 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge CROW0) (3330:3330:3330))
(WIDTH (negedge CROW0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_7_B")
(INSTANCE Din_7_I)
(DELAY
(ABSOLUTE
(IOPATH Din7 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din7) (3330:3330:3330))
(WIDTH (negedge Din7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_6_B")
(INSTANCE Din_6_I)
(DELAY
(ABSOLUTE
(IOPATH Din6 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din6) (3330:3330:3330))
(WIDTH (negedge Din6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_5_B")
(INSTANCE Din_5_I)
(DELAY
(ABSOLUTE
(IOPATH Din5 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din5) (3330:3330:3330))
(WIDTH (negedge Din5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_4_B")
(INSTANCE Din_4_I)
(DELAY
(ABSOLUTE
(IOPATH Din4 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din4) (3330:3330:3330))
(WIDTH (negedge Din4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_3_B")
(INSTANCE Din_3_I)
(DELAY
(ABSOLUTE
(IOPATH Din3 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din3) (3330:3330:3330))
(WIDTH (negedge Din3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_2_B")
(INSTANCE Din_2_I)
(DELAY
(ABSOLUTE
(IOPATH Din2 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din2) (3330:3330:3330))
(WIDTH (negedge Din2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_1_B")
(INSTANCE Din_1_I)
(DELAY
(ABSOLUTE
(IOPATH Din1 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din1) (3330:3330:3330))
(WIDTH (negedge Din1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_0_B")
(INSTANCE Din_0_I)
(DELAY
(ABSOLUTE
(IOPATH Din0 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din0) (3330:3330:3330))
(WIDTH (negedge Din0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nCCASB")
(INSTANCE nCCASI)
(DELAY
(ABSOLUTE
(IOPATH nCCASS PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge nCCASS) (3330:3330:3330))
(WIDTH (negedge nCCASS) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nCRASB")
(INSTANCE nCRASI)
(DELAY
(ABSOLUTE
(IOPATH nCRASS PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge nCRASS) (3330:3330:3330))
(WIDTH (negedge nCRASS) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nFWEB")
(INSTANCE nFWEI)
(DELAY
(ABSOLUTE
(IOPATH nFWES PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge nFWES) (3330:3330:3330))
(WIDTH (negedge nFWES) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RCLKB")
(INSTANCE RCLKI)
(DELAY
(ABSOLUTE
(IOPATH RCLKS PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RCLKS) (3330:3330:3330))
(WIDTH (negedge RCLKS) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "UFMSDOB")
(INSTANCE UFMSDOI)
(DELAY
(ABSOLUTE
(IOPATH UFMSDOS PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge UFMSDOS) (3330:3330:3330))
(WIDTH (negedge UFMSDOS) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RAM2GS")
(INSTANCE )
(DELAY
(ABSOLUTE
(INTERCONNECT SLICE_0I/Q1 SLICE_0I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0I/Q1 SLICE_76I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0I/Q1 SLICE_80I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0I/Q1 SLICE_82I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0I/Q1 SLICE_85I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0I/Q0 SLICE_0I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0I/Q0 SLICE_85I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0I/Q0 SLICE_105I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0I/F1 SLICE_0I/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0I/F0 SLICE_0I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_0I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_1I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_2I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_3I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_4I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_5I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_6I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_7I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_8I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_9I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_16I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_26I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_27I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_30I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_32I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_35I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_36I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_37I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_44I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_45I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_57I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_59I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_61I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_62I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_64I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_65I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_66I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_67I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_68I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_69I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_70I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_75I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_78I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_79I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_81I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_84I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_86I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_97I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_98I/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLKI/PADDI SLICE_106I/CLK (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/FCO SLICE_0I/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0I/FCO SLICE_9I/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q1 SLICE_1I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q1 SLICE_76I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q1 SLICE_80I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q1 SLICE_85I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q1 SLICE_105I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q0 SLICE_1I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q0 SLICE_57I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q0 SLICE_70I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q0 SLICE_76I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q0 SLICE_80I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q0 SLICE_82I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q0 SLICE_85I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/Q0 SLICE_105I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/F1 SLICE_1I/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1I/F0 SLICE_1I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/FCO SLICE_1I/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2I/Q1 SLICE_2I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2I/Q1 SLICE_45I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2I/Q1 SLICE_68I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2I/Q0 SLICE_2I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2I/Q0 SLICE_95I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2I/Q0 SLICE_95I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2I/F1 SLICE_2I/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2I/F0 SLICE_2I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3I/FCO SLICE_2I/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2I/FCO SLICE_6I/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3I/Q1 SLICE_3I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3I/Q1 SLICE_86I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3I/Q1 SLICE_105I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3I/Q0 SLICE_3I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3I/Q0 SLICE_95I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3I/Q0 SLICE_95I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3I/F1 SLICE_3I/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3I/F0 SLICE_3I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8I/FCO SLICE_3I/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4I/Q1 SLICE_4I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4I/Q1 SLICE_95I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4I/Q0 SLICE_4I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4I/Q0 SLICE_44I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4I/Q0 SLICE_95I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4I/F1 SLICE_4I/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4I/F0 SLICE_4I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5I/FCO SLICE_4I/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4I/FCO SLICE_8I/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5I/Q1 SLICE_5I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5I/Q1 SLICE_68I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5I/F1 SLICE_5I/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16I/Q1 SLICE_5I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16I/Q1 SLICE_66I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16I/Q1 SLICE_96I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5I/Q0 SLICE_96I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5I/Q0 SLICE_96I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/Q1 SLICE_6I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/Q1 SLICE_45I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/Q1 SLICE_57I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/Q1 SLICE_70I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/Q1 SLICE_76I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/Q1 SLICE_82I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/Q0 SLICE_6I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/Q0 SLICE_86I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/Q0 SLICE_95I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/F1 SLICE_6I/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6I/F0 SLICE_6I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7I/Q0 SLICE_7I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7I/Q0 SLICE_82I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7I/Q0 SLICE_85I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7I/F0 SLICE_7I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9I/FCO SLICE_7I/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8I/Q1 SLICE_8I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8I/Q1 SLICE_44I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8I/Q1 SLICE_86I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8I/Q0 SLICE_8I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8I/Q0 SLICE_86I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8I/F1 SLICE_8I/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8I/F0 SLICE_8I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9I/Q1 SLICE_9I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9I/Q1 SLICE_85I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9I/Q1 SLICE_105I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9I/Q0 SLICE_9I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9I/Q0 SLICE_85I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9I/Q0 SLICE_105I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9I/F1 SLICE_9I/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9I/F0 SLICE_9I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT Din_4_I/PADDI SLICE_10I/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din_4_I/PADDI SLICE_24I/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din_4_I/PADDI SLICE_33I/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din_4_I/PADDI SLICE_50I/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din_4_I/PADDI SLICE_89I/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din_4_I/PADDI SLICE_89I/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din_4_I/PADDI SLICE_89I/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din_4_I/PADDI SLICE_90I/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din_4_I/PADDI SLICE_102I/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din_4_I/PADDI SLICE_106I/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din_6_I/PADDI SLICE_10I/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din_6_I/PADDI SLICE_24I/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din_6_I/PADDI SLICE_33I/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din_6_I/PADDI SLICE_33I/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din_6_I/PADDI SLICE_75I/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din_6_I/PADDI SLICE_77I/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din_6_I/PADDI SLICE_88I/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din_6_I/PADDI SLICE_101I/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din_1_I/PADDI SLICE_10I/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din_1_I/PADDI SLICE_50I/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din_1_I/PADDI SLICE_90I/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din_1_I/PADDI SLICE_90I/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din_1_I/PADDI SLICE_90I/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din_1_I/PADDI SLICE_93I/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din_1_I/PADDI SLICE_100I/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din_7_I/PADDI SLICE_10I/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din_7_I/PADDI SLICE_24I/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din_7_I/PADDI SLICE_33I/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din_7_I/PADDI SLICE_88I/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din_7_I/PADDI SLICE_90I/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din_7_I/PADDI SLICE_90I/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din_7_I/PADDI SLICE_101I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106I/F1 SLICE_10I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10I/F1 SLICE_10I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91I/F0 SLICE_10I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/F1 SLICE_10I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/F1 SLICE_73I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10I/F0 SLICE_10I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79I/F0 SLICE_10I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88I/F0 SLICE_10I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88I/F0 SLICE_15I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88I/F0 SLICE_88I/C1 (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_10I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_15I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_19I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_20I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_24I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_33I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_50I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_86I/M0 (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_93I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_99I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_100I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_101I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_102I/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2I/PADDI SLICE_103I/CLK (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10I/Q0 SLICE_88I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/F1 SLICE_15I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/F1 SLICE_73I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/F1 SLICE_74I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/F1 SLICE_79I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/F1 SLICE_83I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/F1 SLICE_91I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/F1 SLICE_91I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/F1 SLICE_104I/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_5_I/PADDI SLICE_15I/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_5_I/PADDI SLICE_79I/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_5_I/PADDI SLICE_82I/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_5_I/PADDI SLICE_101I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99I/F1 SLICE_15I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99I/F1 SLICE_79I/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_2_I/PADDI SLICE_15I/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_2_I/PADDI SLICE_79I/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_2_I/PADDI SLICE_91I/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_2_I/PADDI SLICE_103I/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_1_I/PADDI SLICE_15I/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_1_I/PADDI SLICE_19I/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_1_I/PADDI SLICE_73I/A0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_1_I/PADDI SLICE_74I/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_1_I/PADDI SLICE_79I/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_1_I/PADDI SLICE_83I/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_1_I/PADDI SLICE_91I/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_1_I/PADDI SLICE_91I/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_1_I/PADDI SLICE_97I/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_1_I/PADDI SLICE_102I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15I/Q0 SLICE_15I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15I/Q0 SLICE_77I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15I/F1 SLICE_15I/B0 (0:0:0)(0:0:0))
(INTERCONNECT nFWEI/PADDI SLICE_15I/A0 (0:0:0)(0:0:0))
(INTERCONNECT nFWEI/PADDI SLICE_25I/A1 (0:0:0)(0:0:0))
(INTERCONNECT nFWEI/PADDI SLICE_25I/A0 (0:0:0)(0:0:0))
(INTERCONNECT nFWEI/PADDI SLICE_74I/D0 (0:0:0)(0:0:0))
(INTERCONNECT nFWEI/PADDI SLICE_79I/C0 (0:0:0)(0:0:0))
(INTERCONNECT nFWEI/PADDI SLICE_104I/B1 (0:0:0)(0:0:0))
(INTERCONNECT nFWEI/PADDI SLICE_104I/C0 (0:0:0)(0:0:0))
(INTERCONNECT nFWEI/PADDI SLICE_106I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15I/F0 SLICE_15I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT nCCASI/PADDI SLICE_16I/A0 (0:0:0)(0:0:0))
(INTERCONNECT nCCASI/PADDI SLICE_88I/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCASI/PADDI SLICE_89I/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCASI/PADDI SLICE_90I/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCASI/PADDI SLICE_92I/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCASI/PADDI SLICE_104I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16I/F0 SLICE_16I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16I/F0 SLICE_25I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16I/Q0 SLICE_16I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97I/F0 SLICE_19I/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din_5_I/PADDI SLICE_19I/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din_5_I/PADDI SLICE_24I/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din_5_I/PADDI SLICE_25I/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din_5_I/PADDI SLICE_75I/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din_5_I/PADDI SLICE_77I/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din_5_I/PADDI SLICE_89I/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din_5_I/PADDI SLICE_89I/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din_5_I/PADDI SLICE_89I/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din_5_I/PADDI SLICE_102I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77I/F0 SLICE_19I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77I/F0 SLICE_77I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75I/F0 SLICE_19I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75I/F0 SLICE_75I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19I/F1 SLICE_19I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90I/F1 SLICE_19I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90I/F1 SLICE_77I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/F0 SLICE_19I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/F0 SLICE_88I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19I/F0 SLICE_19I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88I/F1 SLICE_19I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19I/Q0 SLICE_73I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19I/Q0 SLICE_83I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20I/F0 SLICE_20I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89I/F1 SLICE_20I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20I/Q0 SLICE_35I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20I/Q0 SLICE_84I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24I/F1 SLICE_24I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24I/F1 SLICE_50I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24I/F1 SLICE_83I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57I/Q0 SLICE_24I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57I/Q0 SLICE_33I/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din_0_I/PADDI SLICE_24I/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din_0_I/PADDI SLICE_50I/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din_0_I/PADDI SLICE_90I/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din_0_I/PADDI SLICE_90I/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din_0_I/PADDI SLICE_90I/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din_0_I/PADDI SLICE_93I/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din_0_I/PADDI SLICE_99I/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din_0_I/PADDI SLICE_106I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24I/F0 SLICE_24I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89I/F0 SLICE_24I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24I/Q0 SLICE_57I/D0 (0:0:0)(0:0:0))
(INTERCONNECT Din_3_I/PADDI SLICE_25I/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din_3_I/PADDI SLICE_50I/D0 (0:0:0)(0:0:0))
(INTERCONNECT Din_3_I/PADDI SLICE_75I/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din_3_I/PADDI SLICE_77I/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din_3_I/PADDI SLICE_89I/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din_3_I/PADDI SLICE_89I/D0 (0:0:0)(0:0:0))
(INTERCONNECT Din_3_I/PADDI SLICE_92I/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din_3_I/PADDI SLICE_103I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/F0 SLICE_25I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT nCRASI/PADDI SLICE_25I/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRASI/PADDI SLICE_30I/A1 (0:0:0)(0:0:0))
(INTERCONNECT nCRASI/PADDI SLICE_30I/A0 (0:0:0)(0:0:0))
(INTERCONNECT nCRASI/PADDI SLICE_74I/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRASI/PADDI SLICE_76I/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRASI/PADDI SLICE_82I/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRASI/PADDI SLICE_87I/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRASI/PADDI SLICE_91I/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRASI/PADDI SLICE_104I/CLK (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q0 SLICE_65I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q0 i30_SLICE_71I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q0 i30_SLICE_71I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q0 SLICE_81I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q0 SLICE_96I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q1 SLICE_30I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q1 SLICE_59I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q1 i30_SLICE_71I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q1 i30_SLICE_71I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q1 SLICE_81I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q1 SLICE_96I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25I/Q1 SLICE_96I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/F0 SLICE_26I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82I/F0 SLICE_26I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_32I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_35I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_35I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_44I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_45I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_57I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_62I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_70I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_70I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_72I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_76I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_80I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_84I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_92I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26I/Q0 SLICE_92I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27I/F0 SLICE_27I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76I/F0 SLICE_27I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27I/Q0 SLICE_30I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27I/Q0 SLICE_50I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/F0 SLICE_30I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q0 SLICE_30I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q0 SLICE_36I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/F1 LEDI/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_32I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_36I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_59I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_61I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_62I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_66I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_67I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_69I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_69I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 i30_SLICE_71I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_72I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_78I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_86I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_92I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30I/Q1 SLICE_92I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_32I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_59I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_61I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_62I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_62I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_68I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 i30_SLICE_71I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_78I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_87I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_92I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_92I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_94I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q0 SLICE_94I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98I/Q1 SLICE_32I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98I/Q1 SLICE_59I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98I/Q1 SLICE_64I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98I/Q1 SLICE_84I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_32I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_33I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_35I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_59I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_61I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_62I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_64I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_72I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_74I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_76I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_82I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_87I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_87I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_91I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_92I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_94I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_94I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/Q0 SLICE_104I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32I/F1 SLICE_32I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98I/Q0 SLICE_32I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98I/Q0 SLICE_62I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98I/Q0 SLICE_92I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98I/Q0 SLICE_98I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32I/F0 SLICE_32I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75I/Q1 SLICE_32I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75I/Q1 SLICE_64I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75I/Q1 SLICE_79I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32I/Q0 RA_10_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50I/Q0 SLICE_33I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33I/F0 SLICE_33I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33I/Q0 RA_11_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33I/F1 SLICE_73I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36I/Q1 SLICE_35I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36I/Q1 SLICE_69I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36I/Q1 SLICE_84I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q1 SLICE_35I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/Q1 SLICE_84I/A1 (0:0:0)(0:0:0))
(INTERCONNECT i30_SLICE_71I/OFX0 SLICE_35I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35I/F0 SLICE_35I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87I/F1 SLICE_35I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87I/F1 SLICE_59I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87I/F1 SLICE_61I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87I/F1 SLICE_62I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35I/Q0 SLICE_36I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35I/F1 SLICE_44I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35I/F1 SLICE_45I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35I/F1 SLICE_70I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86I/Q1 SLICE_36I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36I/F0 SLICE_36I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86I/Q0 SLICE_36I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36I/Q0 SLICE_61I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36I/Q0 SLICE_69I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36I/Q0 SLICE_78I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36I/Q0 RCKEI/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37I/F0 SLICE_37I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_72I/F1 SLICE_37I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85I/F1 SLICE_44I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82I/F1 SLICE_44I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82I/F1 SLICE_70I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82I/F1 SLICE_82I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100I/Q0 SLICE_44I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44I/F1 SLICE_44I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44I/F0 SLICE_44I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80I/F0 SLICE_44I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80I/F0 SLICE_45I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44I/Q0 UFMCLKI/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85I/F0 SLICE_45I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85I/F0 SLICE_57I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85I/F0 SLICE_76I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85I/F0 SLICE_80I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85I/F0 SLICE_85I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95I/F1 SLICE_45I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_105I/F1 SLICE_45I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99I/Q0 SLICE_45I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_45I/F1 SLICE_45I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_45I/F0 SLICE_45I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_45I/Q0 UFMSDII/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50I/F1 SLICE_50I/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din_2_I/PADDI SLICE_50I/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din_2_I/PADDI SLICE_77I/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din_2_I/PADDI SLICE_88I/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din_2_I/PADDI SLICE_92I/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din_2_I/PADDI SLICE_97I/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din_2_I/PADDI SLICE_100I/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din_2_I/PADDI SLICE_103I/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din_2_I/PADDI SLICE_106I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50I/F0 SLICE_50I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83I/F1 SLICE_50I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80I/F1 SLICE_57I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80I/F1 SLICE_80I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80I/F1 SLICE_95I/A1 (0:0:0)(0:0:0))
(INTERCONNECT UFMSDOI/PADDI SLICE_57I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57I/F1 SLICE_57I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57I/F1 SLICE_84I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57I/F0 SLICE_57I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84I/F0 SLICE_57I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81I/F0 SLICE_59I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81I/F0 SLICE_61I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_59I/F1 SLICE_59I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/F0 SLICE_59I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/F0 SLICE_64I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_59I/F0 SLICE_59I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_59I/Q0 nRCASI/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61I/F1 SLICE_61I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62I/F1 SLICE_61I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62I/F1 SLICE_62I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61I/F0 SLICE_61I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61I/Q0 nRCSI/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/F1 SLICE_62I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62I/F0 SLICE_62I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62I/Q0 nRRASI/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78I/F1 SLICE_64I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64I/F1 SLICE_64I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64I/F0 SLICE_64I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_94I/F0 SLICE_64I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_94I/F0 SLICE_65I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64I/Q0 nRWEI/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68I/Q0 SLICE_65I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68I/Q0 SLICE_67I/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68I/Q0 i30_SLICE_71I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68I/Q0 SLICE_81I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68I/Q0 SLICE_87I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67I/Q0 SLICE_65I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67I/Q0 SLICE_66I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67I/Q0 SLICE_66I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67I/Q0 SLICE_81I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67I/Q0 SLICE_87I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_96I/F1 SLICE_65I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/F1 SLICE_65I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/F1 SLICE_78I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/F1 SLICE_94I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_94I/F1 SLICE_65I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/F0 SLICE_65I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_93I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_93I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_98I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_98I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_99I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_100I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_101I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_101I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_102I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_102I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_103I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65I/Q0 SLICE_103I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66I/Q0 SLICE_66I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66I/Q0 SLICE_67I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66I/Q0 SLICE_72I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66I/Q0 SLICE_87I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66I/Q0 SLICE_94I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66I/F0 SLICE_66I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66I/F1 i30_SLICE_71I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67I/F0 SLICE_67I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67I/F0 SLICE_68I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_103I/Q1 SLICE_68I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101I/Q0 SLICE_68I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68I/F0 SLICE_74I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68I/F1 SLICE_86I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69I/F0 SLICE_69I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76I/F1 SLICE_70I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76I/F1 SLICE_76I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100I/Q1 SLICE_70I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70I/F1 SLICE_70I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70I/F0 SLICE_70I/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70I/Q0 nUFMCSI/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_72I/F0 SLICE_72I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81I/Q1 SLICE_72I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81I/Q1 SLICE_98I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73I/F0 SLICE_73I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73I/F0 SLICE_74I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79I/F1 SLICE_73I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79I/F1 SLICE_74I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79I/F1 SLICE_79I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79I/F1 SLICE_83I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79I/F1 SLICE_91I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79I/F1 SLICE_91I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79I/F1 SLICE_104I/A0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_0_I/PADDI SLICE_73I/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_0_I/PADDI SLICE_74I/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_0_I/PADDI SLICE_77I/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_0_I/PADDI SLICE_83I/C0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_0_I/PADDI SLICE_88I/C0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_0_I/PADDI SLICE_91I/C0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_0_I/PADDI SLICE_97I/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_0_I/PADDI SLICE_97I/A0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_0_I/PADDI SLICE_101I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73I/F1 SLICE_99I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73I/F1 SLICE_100I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_102I/Q1 SLICE_74I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100I/F1 SLICE_74I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_103I/Q0 SLICE_74I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/F0 SLICE_89I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/F0 SLICE_89I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/Q0 SLICE_101I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74I/Q1 SLICE_102I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90I/F0 SLICE_75I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106I/F0 SLICE_75I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106I/F0 SLICE_83I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75I/Q0 SLICE_75I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97I/Q1 SLICE_75I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/F1 SLICE_75I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/F1 SLICE_78I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/F1 SLICE_79I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/F1 SLICE_81I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/F1 SLICE_84I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/F1 SLICE_97I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/F1 SLICE_98I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/F1 SLICE_106I/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75I/F1 SLICE_88I/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_9_I/PADDI SLICE_76I/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_9_I/PADDI SLICE_93I/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_9_I/PADDI SLICE_93I/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_9_I/PADDI SLICE_98I/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_8_I/PADDI SLICE_76I/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_8_I/PADDI SLICE_98I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76I/Q0 SLICE_98I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76I/Q1 SLICE_93I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77I/F1 SLICE_97I/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78I/F0 SLICE_78I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_96I/F0 SLICE_78I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_96I/F0 SLICE_81I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78I/Q0 SLICE_78I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79I/Q1 SLICE_78I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78I/Q1 SLICE_81I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79I/Q0 SLICE_79I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81I/F1 SLICE_81I/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81I/Q0 SLICE_81I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_105I/F0 SLICE_82I/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_4_I/PADDI SLICE_82I/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_4_I/PADDI SLICE_100I/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_4_I/PADDI SLICE_102I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82I/Q0 SLICE_102I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82I/Q1 SLICE_101I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83I/F0 SLICE_83I/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86I/F0 SLICE_84I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84I/F1 SLICE_84I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84I/Q0 SLICE_84I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84I/Q1 SLICE_106I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86I/F1 SLICE_86I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95I/F0 SLICE_86I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87I/F0 SLICE_87I/D1 (0:0:0)(0:0:0))
(INTERCONNECT CROW_1_I/PADDI SLICE_87I/M1 (0:0:0)(0:0:0))
(INTERCONNECT CROW_0_I/PADDI SLICE_87I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87I/Q0 RBA_0_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87I/Q1 RBA_1_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97I/F1 SLICE_88I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91I/F1 SLICE_88I/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88I/Q0 RD_6_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88I/Q1 RD_7_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89I/Q0 RD_4_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89I/Q1 RD_5_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90I/Q0 RD_0_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90I/Q1 RD_1_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT MAin_3_I/PADDI SLICE_91I/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_3_I/PADDI SLICE_99I/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_3_I/PADDI SLICE_103I/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91I/Q0 SLICE_103I/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91I/Q1 SLICE_103I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/Q0 RD_2_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92I/Q1 RD_3_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93I/F0 RA_9_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93I/Q0 SLICE_100I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93I/F1 RDQMLI/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93I/Q1 SLICE_99I/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97I/Q0 SLICE_97I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106I/Q1 SLICE_97I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98I/F0 RA_8_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98I/F1 RDQMHI/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_102I/Q0 SLICE_99I/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_7_I/PADDI SLICE_99I/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_7_I/PADDI SLICE_99I/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_7_I/PADDI SLICE_104I/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/Q1 SLICE_99I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99I/F0 RA_7_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101I/Q1 SLICE_100I/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_6_I/PADDI SLICE_100I/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin_6_I/PADDI SLICE_100I/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin_6_I/PADDI SLICE_104I/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/Q0 SLICE_100I/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100I/F0 RA_6_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101I/F0 RA_5_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101I/F1 RA_0_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_102I/F0 RA_4_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_102I/F1 RA_1_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_103I/F0 RA_3_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_103I/F1 RA_2_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/F1 RD_7_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/F1 RD_6_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/F1 RD_5_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/F1 RD_4_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/F1 RD_3_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/F1 RD_2_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/F1 RD_1_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104I/F1 RD_0_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106I/Q0 SLICE_106I/M1 (0:0:0)(0:0:0))
(INTERCONNECT RD_7_I/PADDI Dout_7_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD_6_I/PADDI Dout_6_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD_5_I/PADDI Dout_5_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD_4_I/PADDI Dout_4_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD_3_I/PADDI Dout_3_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD_2_I/PADDI Dout_2_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD_1_I/PADDI Dout_1_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD_0_I/PADDI Dout_0_I/PADDO (0:0:0)(0:0:0))
)
)
)
)