RAM2GS/CPLD/LCMXO2-1200HC/impl1/RAM2GS_LCMXO2_1200HC_impl1_...

3177 lines
112 KiB
Plaintext

(DELAYFILE
(SDFVERSION "3.0")
(DESIGN "RAM2GS")
(DATE "Tue Aug 15 05:03:30 2023")
(VENDOR "Lattice")
(PROGRAM "ldbanno")
(VERSION "Diamond (64-bit) 3.12.1.454")
(DIVIDER /)
(VOLTAGE 1.26:1.20:1.14)
(PROCESS "default")
(TEMPERATURE -40:25:85)
(TIMESCALE 1ps)
(CELL
(CELLTYPE "SLICE_0")
(INSTANCE SLICE_0)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_1")
(INSTANCE SLICE_1)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_2")
(INSTANCE SLICE_2)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_3")
(INSTANCE SLICE_3)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_4")
(INSTANCE SLICE_4)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_5")
(INSTANCE SLICE_5)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_6")
(INSTANCE SLICE_6)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_7")
(INSTANCE SLICE_7)
(DELAY
(ABSOLUTE
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_8")
(INSTANCE SLICE_8)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_9")
(INSTANCE SLICE_9)
(DELAY
(ABSOLUTE
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A1 FCO (718:803:889)(718:803:889))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F1 (718:803:889)(718:803:889))
(IOPATH A0 FCO (827:925:1023)(827:925:1023))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
(IOPATH FCI F0 (473:529:585)(473:529:585))
(IOPATH FCI F1 (519:581:643)(519:581:643))
(IOPATH FCI FCO (130:146:162)(130:146:162))
)
)
(TIMINGCHECK
(SETUPHOLD DI1 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_10")
(INSTANCE SLICE_10)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_15")
(INSTANCE SLICE_15)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_16")
(INSTANCE SLICE_16)
(DELAY
(ABSOLUTE
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_19")
(INSTANCE SLICE_19)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_20")
(INSTANCE SLICE_20)
(DELAY
(ABSOLUTE
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_24")
(INSTANCE SLICE_24)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_25")
(INSTANCE SLICE_25)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
)
)
(CELL
(CELLTYPE "SLICE_26")
(INSTANCE SLICE_26)
(DELAY
(ABSOLUTE
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_27")
(INSTANCE SLICE_27)
(DELAY
(ABSOLUTE
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_30")
(INSTANCE SLICE_30)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_32")
(INSTANCE SLICE_32)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_33")
(INSTANCE SLICE_33)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_35")
(INSTANCE SLICE_35)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_36")
(INSTANCE SLICE_36)
(DELAY
(ABSOLUTE
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_37")
(INSTANCE SLICE_37)
(DELAY
(ABSOLUTE
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_44")
(INSTANCE SLICE_44)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_45")
(INSTANCE SLICE_45)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_50")
(INSTANCE SLICE_50)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD DI0 (negedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_57")
(INSTANCE SLICE_57)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_59")
(INSTANCE SLICE_59)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_61")
(INSTANCE SLICE_61)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_62")
(INSTANCE SLICE_62)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_64")
(INSTANCE SLICE_64)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_65")
(INSTANCE SLICE_65)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_66")
(INSTANCE SLICE_66)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_67")
(INSTANCE SLICE_67)
(DELAY
(ABSOLUTE
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_68")
(INSTANCE SLICE_68)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (posedge CLK) (225:249:274)(-225:-183:-141))
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_69")
(INSTANCE SLICE_69)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_70")
(INSTANCE SLICE_70)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD DI0 (posedge CLK) (130:148:166)(-34:-22:-11))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "i30_SLICE_71")
(INSTANCE i30\/SLICE_71)
(DELAY
(ABSOLUTE
(IOPATH C1 OFX0 (457:589:721)(457:589:721))
(IOPATH B1 OFX0 (457:589:721)(457:589:721))
(IOPATH A1 OFX0 (457:589:721)(457:589:721))
(IOPATH D0 OFX0 (457:589:721)(457:589:721))
(IOPATH C0 OFX0 (457:589:721)(457:589:721))
(IOPATH B0 OFX0 (457:589:721)(457:589:721))
(IOPATH A0 OFX0 (457:589:721)(457:589:721))
(IOPATH M0 OFX0 (322:349:376)(322:349:376))
)
)
)
(CELL
(CELLTYPE "SLICE_72")
(INSTANCE SLICE_72)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_73")
(INSTANCE SLICE_73)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_74")
(INSTANCE SLICE_74)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_75")
(INSTANCE SLICE_75)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_76")
(INSTANCE SLICE_76)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_77")
(INSTANCE SLICE_77)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_78")
(INSTANCE SLICE_78)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_79")
(INSTANCE SLICE_79)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_80")
(INSTANCE SLICE_80)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_81")
(INSTANCE SLICE_81)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_82")
(INSTANCE SLICE_82)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_83")
(INSTANCE SLICE_83)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_84")
(INSTANCE SLICE_84)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_85")
(INSTANCE SLICE_85)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_86")
(INSTANCE SLICE_86)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_87")
(INSTANCE SLICE_87)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_88")
(INSTANCE SLICE_88)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
)
)
(CELL
(CELLTYPE "SLICE_89")
(INSTANCE SLICE_89)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
)
)
(CELL
(CELLTYPE "SLICE_90")
(INSTANCE SLICE_90)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
)
)
(CELL
(CELLTYPE "SLICE_91")
(INSTANCE SLICE_91)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_92")
(INSTANCE SLICE_92)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
)
)
(CELL
(CELLTYPE "SLICE_93")
(INSTANCE SLICE_93)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_94")
(INSTANCE SLICE_94)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_95")
(INSTANCE SLICE_95)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_96")
(INSTANCE SLICE_96)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_97")
(INSTANCE SLICE_97)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_98")
(INSTANCE SLICE_98)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_99")
(INSTANCE SLICE_99)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_100")
(INSTANCE SLICE_100)
(DELAY
(ABSOLUTE
(IOPATH D1 F1 (367:431:495)(367:431:495))
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (negedge CLK) (247:277:307)(-116:-104:-92))
)
)
(CELL
(CELLTYPE "SLICE_101")
(INSTANCE SLICE_101)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_102")
(INSTANCE SLICE_102)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_103")
(INSTANCE SLICE_103)
(DELAY
(ABSOLUTE
(IOPATH C1 F1 (367:431:495)(367:431:495))
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "SLICE_104")
(INSTANCE SLICE_104)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(WIDTH (posedge LSR) (4000:4000:4000))
(WIDTH (negedge LSR) (4000:4000:4000))
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
(TIMINGCHECK
(SETUPHOLD M1 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (negedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD LSR (negedge CLK) (236:260:285)(-236:-194:-152))
)
)
(CELL
(CELLTYPE "SLICE_105")
(INSTANCE SLICE_105)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH D0 F0 (367:431:495)(367:431:495))
(IOPATH C0 F0 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
)
)
)
(CELL
(CELLTYPE "SLICE_106")
(INSTANCE SLICE_106)
(DELAY
(ABSOLUTE
(IOPATH B1 F1 (367:431:495)(367:431:495))
(IOPATH A1 F1 (367:431:495)(367:431:495))
(IOPATH B0 F0 (367:431:495)(367:431:495))
(IOPATH A0 F0 (367:431:495)(367:431:495))
(IOPATH CLK Q0 (392:422:452)(392:422:452))
(IOPATH CLK Q1 (392:422:452)(392:422:452))
)
)
(TIMINGCHECK
(SETUPHOLD M1 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD M0 (posedge CLK) (256:302:348)(-105:-71:-37))
(SETUPHOLD CE (posedge CLK) (229:255:282)(-99:-89:-79))
)
(TIMINGCHECK
(WIDTH (posedge CLK) (1250:1250:1250))
(WIDTH (negedge CLK) (1250:1250:1250))
)
)
(CELL
(CELLTYPE "RD_7_")
(INSTANCE RD\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD7 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD7 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD7 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD7) (3330:3330:3330))
(WIDTH (negedge RD7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_6_")
(INSTANCE RD\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD6 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD6 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD6 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD6) (3330:3330:3330))
(WIDTH (negedge RD6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_5_")
(INSTANCE RD\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD5 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD5 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD5 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD5) (3330:3330:3330))
(WIDTH (negedge RD5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_4_")
(INSTANCE RD\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD4 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD4 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD4 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD4) (3330:3330:3330))
(WIDTH (negedge RD4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_3_")
(INSTANCE RD\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD3 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD3 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD3 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD3) (3330:3330:3330))
(WIDTH (negedge RD3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_2_")
(INSTANCE RD\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD2 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD2 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD2 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD2) (3330:3330:3330))
(WIDTH (negedge RD2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_1_")
(INSTANCE RD\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD1 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD1 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD1 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD1) (3330:3330:3330))
(WIDTH (negedge RD1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RD_0_")
(INSTANCE RD\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDT RD0 (2349:3502:4656)(2349:3502:4656)(2349:3502:4656)(2349:3502:4656)
(2349:3502:4656)(2349:3502:4656))
(IOPATH PADDO RD0 (3220:3334:3448)(3220:3334:3448))
(IOPATH RD0 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RD0) (3330:3330:3330))
(WIDTH (negedge RD0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Dout_7_")
(INSTANCE Dout\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout7 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_6_")
(INSTANCE Dout\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout6 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_5_")
(INSTANCE Dout\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout5 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_4_")
(INSTANCE Dout\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout4 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_3_")
(INSTANCE Dout\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout3 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_2_")
(INSTANCE Dout\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout2 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_1_")
(INSTANCE Dout\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout1 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "Dout_0_")
(INSTANCE Dout\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO Dout0 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "LED")
(INSTANCE LED_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO LED (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RBA_1_")
(INSTANCE RBA\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RBA1 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RBA_0_")
(INSTANCE RBA\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RBA0 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_11_")
(INSTANCE RA\[11\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA11 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_10_")
(INSTANCE RA\[10\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA10 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_9_")
(INSTANCE RA\[9\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA9 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_8_")
(INSTANCE RA\[8\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA8 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_7_")
(INSTANCE RA\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA7 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_6_")
(INSTANCE RA\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA6 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_5_")
(INSTANCE RA\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA5 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_4_")
(INSTANCE RA\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA4 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_3_")
(INSTANCE RA\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA3 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_2_")
(INSTANCE RA\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA2 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_1_")
(INSTANCE RA\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA1 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RA_0_")
(INSTANCE RA\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RA0 (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "nRCS")
(INSTANCE nRCS_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRCS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RCKE")
(INSTANCE RCKE_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RCKE (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "nRWE")
(INSTANCE nRWE_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRWE (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "nRRAS")
(INSTANCE nRRAS_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRRAS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "nRCAS")
(INSTANCE nRCAS_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nRCAS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RDQMH")
(INSTANCE RDQMH_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RDQMH (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "RDQML")
(INSTANCE RDQML_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO RDQML (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "nUFMCS")
(INSTANCE nUFMCS_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO nUFMCS (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "UFMCLK")
(INSTANCE UFMCLK_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO UFMCLK (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "UFMSDI")
(INSTANCE UFMSDI_I)
(DELAY
(ABSOLUTE
(IOPATH PADDO UFMSDI (3220:3334:3448)(3220:3334:3448))
)
)
)
(CELL
(CELLTYPE "PHI2")
(INSTANCE PHI2_I)
(DELAY
(ABSOLUTE
(IOPATH PHI2 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge PHI2) (3330:3330:3330))
(WIDTH (negedge PHI2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_9_")
(INSTANCE MAin\[9\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin9 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin9) (3330:3330:3330))
(WIDTH (negedge MAin9) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_8_")
(INSTANCE MAin\[8\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin8 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin8) (3330:3330:3330))
(WIDTH (negedge MAin8) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_7_")
(INSTANCE MAin\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin7 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin7) (3330:3330:3330))
(WIDTH (negedge MAin7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_6_")
(INSTANCE MAin\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin6 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin6) (3330:3330:3330))
(WIDTH (negedge MAin6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_5_")
(INSTANCE MAin\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin5 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin5) (3330:3330:3330))
(WIDTH (negedge MAin5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_4_")
(INSTANCE MAin\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin4 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin4) (3330:3330:3330))
(WIDTH (negedge MAin4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_3_")
(INSTANCE MAin\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin3 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin3) (3330:3330:3330))
(WIDTH (negedge MAin3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_2_")
(INSTANCE MAin\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin2 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin2) (3330:3330:3330))
(WIDTH (negedge MAin2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_1_")
(INSTANCE MAin\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin1 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin1) (3330:3330:3330))
(WIDTH (negedge MAin1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "MAin_0_")
(INSTANCE MAin\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH MAin0 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge MAin0) (3330:3330:3330))
(WIDTH (negedge MAin0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "CROW_1_")
(INSTANCE CROW\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH CROW1 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge CROW1) (3330:3330:3330))
(WIDTH (negedge CROW1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "CROW_0_")
(INSTANCE CROW\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH CROW0 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge CROW0) (3330:3330:3330))
(WIDTH (negedge CROW0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_7_")
(INSTANCE Din\[7\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din7 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din7) (3330:3330:3330))
(WIDTH (negedge Din7) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_6_")
(INSTANCE Din\[6\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din6 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din6) (3330:3330:3330))
(WIDTH (negedge Din6) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_5_")
(INSTANCE Din\[5\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din5 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din5) (3330:3330:3330))
(WIDTH (negedge Din5) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_4_")
(INSTANCE Din\[4\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din4 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din4) (3330:3330:3330))
(WIDTH (negedge Din4) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_3_")
(INSTANCE Din\[3\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din3 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din3) (3330:3330:3330))
(WIDTH (negedge Din3) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_2_")
(INSTANCE Din\[2\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din2 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din2) (3330:3330:3330))
(WIDTH (negedge Din2) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_1_")
(INSTANCE Din\[1\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din1 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din1) (3330:3330:3330))
(WIDTH (negedge Din1) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "Din_0_")
(INSTANCE Din\[0\]_I)
(DELAY
(ABSOLUTE
(IOPATH Din0 PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge Din0) (3330:3330:3330))
(WIDTH (negedge Din0) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nCCAS")
(INSTANCE nCCAS_I)
(DELAY
(ABSOLUTE
(IOPATH nCCAS PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge nCCAS) (3330:3330:3330))
(WIDTH (negedge nCCAS) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nCRAS")
(INSTANCE nCRAS_I)
(DELAY
(ABSOLUTE
(IOPATH nCRAS PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge nCRAS) (3330:3330:3330))
(WIDTH (negedge nCRAS) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "nFWE")
(INSTANCE nFWE_I)
(DELAY
(ABSOLUTE
(IOPATH nFWE PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge nFWE) (3330:3330:3330))
(WIDTH (negedge nFWE) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RCLK")
(INSTANCE RCLK_I)
(DELAY
(ABSOLUTE
(IOPATH RCLK PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge RCLK) (3330:3330:3330))
(WIDTH (negedge RCLK) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "UFMSDO")
(INSTANCE UFMSDO_I)
(DELAY
(ABSOLUTE
(IOPATH UFMSDO PADDI (1223:1297:1372)(1223:1297:1372))
)
)
(TIMINGCHECK
(WIDTH (posedge UFMSDO) (3330:3330:3330))
(WIDTH (negedge UFMSDO) (3330:3330:3330))
)
)
(CELL
(CELLTYPE "RAM2GS")
(INSTANCE )
(DELAY
(ABSOLUTE
(INTERCONNECT SLICE_0/Q1 SLICE_0/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q1 SLICE_76/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q1 SLICE_80/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q1 SLICE_82/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q1 SLICE_85/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q0 SLICE_0/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q0 SLICE_85/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/Q0 SLICE_105/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/F1 SLICE_0/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/F0 SLICE_0/DI0 (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_0/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_1/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_2/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_3/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_4/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_5/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_6/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_7/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_8/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_9/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_16/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_26/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_27/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_30/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_32/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_35/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_36/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_37/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_44/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_45/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_57/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_59/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_61/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_62/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_64/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_65/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_66/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_67/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_68/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_69/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_70/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_75/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_78/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_79/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_81/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_84/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_86/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_97/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_98/CLK (0:0:0)(0:0:0))
(INTERCONNECT RCLK_I/PADDI SLICE_106/CLK (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/FCO SLICE_0/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_0/FCO SLICE_9/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q1 SLICE_1/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q1 SLICE_76/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q1 SLICE_80/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q1 SLICE_85/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q1 SLICE_105/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_1/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_57/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_70/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_76/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_80/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_82/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_85/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/Q0 SLICE_105/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/F1 SLICE_1/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_1/F0 SLICE_1/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/FCO SLICE_1/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_2/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_45/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q1 SLICE_68/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_2/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_95/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/Q0 SLICE_95/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/F1 SLICE_2/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/F0 SLICE_2/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/FCO SLICE_2/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_2/FCO SLICE_6/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_3/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_86/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q1 SLICE_105/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_3/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_95/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/Q0 SLICE_95/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/F1 SLICE_3/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_3/F0 SLICE_3/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/FCO SLICE_3/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_4/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q1 SLICE_95/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_4/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_44/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/Q0 SLICE_95/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/F1 SLICE_4/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/F0 SLICE_4/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/FCO SLICE_4/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_4/FCO SLICE_8/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_5/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q1 SLICE_68/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/F1 SLICE_5/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/Q1 SLICE_5/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/Q1 SLICE_66/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/Q1 SLICE_96/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q0 SLICE_96/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_5/Q0 SLICE_96/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_6/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_45/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_57/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_70/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_76/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q1 SLICE_82/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q0 SLICE_6/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q0 SLICE_86/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/Q0 SLICE_95/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/F1 SLICE_6/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_6/F0 SLICE_6/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q0 SLICE_7/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q0 SLICE_82/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/Q0 SLICE_85/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_7/F0 SLICE_7/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/FCO SLICE_7/FCI (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q1 SLICE_8/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q1 SLICE_44/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q1 SLICE_86/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q0 SLICE_8/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/Q0 SLICE_86/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/F1 SLICE_8/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_8/F0 SLICE_8/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q1 SLICE_9/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q1 SLICE_85/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q1 SLICE_105/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q0 SLICE_9/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q0 SLICE_85/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/Q0 SLICE_105/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/F1 SLICE_9/DI1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_9/F0 SLICE_9/DI0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_10/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_24/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_33/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_50/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_89/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_89/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_89/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_90/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_102/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[4\]_I/PADDI SLICE_106/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_10/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_24/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_33/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_33/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_75/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_77/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_88/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[6\]_I/PADDI SLICE_101/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_10/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_50/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_90/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_90/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_90/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_93/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[1\]_I/PADDI SLICE_100/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_10/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_24/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_33/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_88/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_90/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_90/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[7\]_I/PADDI SLICE_101/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106/F1 SLICE_10/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/F1 SLICE_10/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91/F0 SLICE_10/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/F1 SLICE_10/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/F1 SLICE_73/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/F0 SLICE_10/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F0 SLICE_10/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F0 SLICE_10/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F0 SLICE_15/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F0 SLICE_88/C1 (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_10/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_15/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_19/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_20/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_24/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_33/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_50/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_86/M0 (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_93/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_99/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_100/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_101/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_102/CLK (0:0:0)(0:0:0))
(INTERCONNECT PHI2_I/PADDI SLICE_103/CLK (0:0:0)(0:0:0))
(INTERCONNECT SLICE_10/Q0 SLICE_88/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_15/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_73/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_74/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_79/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_83/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_91/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_91/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F1 SLICE_104/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[5\]_I/PADDI SLICE_15/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[5\]_I/PADDI SLICE_79/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[5\]_I/PADDI SLICE_82/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[5\]_I/PADDI SLICE_101/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99/F1 SLICE_15/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99/F1 SLICE_79/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[2\]_I/PADDI SLICE_15/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[2\]_I/PADDI SLICE_79/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[2\]_I/PADDI SLICE_91/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[2\]_I/PADDI SLICE_103/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_15/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_19/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_73/A0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_74/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_79/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_83/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_91/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_91/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_97/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[1\]_I/PADDI SLICE_102/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15/Q0 SLICE_15/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15/Q0 SLICE_77/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15/F1 SLICE_15/B0 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_15/A0 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_25/A1 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_25/A0 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_74/D0 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_79/C0 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_104/B1 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_104/C0 (0:0:0)(0:0:0))
(INTERCONNECT nFWE_I/PADDI SLICE_106/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_15/F0 SLICE_15/DI0 (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_16/A0 (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_88/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_89/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_90/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_92/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCCAS_I/PADDI SLICE_104/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/F0 SLICE_16/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/F0 SLICE_25/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_16/Q0 SLICE_16/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97/F0 SLICE_19/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_19/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_24/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_25/B1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_75/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_77/A1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_89/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_89/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_89/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[5\]_I/PADDI SLICE_102/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F0 SLICE_19/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F0 SLICE_77/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F0 SLICE_19/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F0 SLICE_75/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19/F1 SLICE_19/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/F1 SLICE_19/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/F1 SLICE_77/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F0 SLICE_19/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F0 SLICE_88/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19/F0 SLICE_19/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/F1 SLICE_19/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19/Q0 SLICE_73/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_19/Q0 SLICE_83/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/F0 SLICE_20/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/F1 SLICE_20/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/Q0 SLICE_35/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_20/Q0 SLICE_84/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24/F1 SLICE_24/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24/F1 SLICE_50/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24/F1 SLICE_83/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/Q0 SLICE_24/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/Q0 SLICE_33/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_24/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_50/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_90/D1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_90/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_90/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_93/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_99/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[0\]_I/PADDI SLICE_106/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24/F0 SLICE_24/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/F0 SLICE_24/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_24/Q0 SLICE_57/D0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_25/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_50/D0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_75/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_77/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_89/C1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_89/D0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_92/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[3\]_I/PADDI SLICE_103/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/F0 SLICE_25/DI0 (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_25/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_30/A1 (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_30/A0 (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_74/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_76/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_82/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_87/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_91/CLK (0:0:0)(0:0:0))
(INTERCONNECT nCRAS_I/PADDI SLICE_104/CLK (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q0 SLICE_65/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q0 i30\/SLICE_71/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q0 i30\/SLICE_71/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q0 SLICE_81/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q0 SLICE_96/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q1 SLICE_30/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q1 SLICE_59/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q1 i30\/SLICE_71/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q1 i30\/SLICE_71/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q1 SLICE_81/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q1 SLICE_96/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_25/Q1 SLICE_96/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/F0 SLICE_26/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/F0 SLICE_26/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_32/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_35/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_35/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_44/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_45/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_57/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_62/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_70/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_70/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_72/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_76/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_80/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_84/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_92/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_26/Q0 SLICE_92/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27/F0 SLICE_27/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F0 SLICE_27/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27/Q0 SLICE_30/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_27/Q0 SLICE_50/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/F0 SLICE_30/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 SLICE_30/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q0 SLICE_36/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/F1 LED_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_32/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_36/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_59/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_61/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_62/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_66/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_67/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_69/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_69/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 i30\/SLICE_71/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_72/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_78/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_86/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_92/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_30/Q1 SLICE_92/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_32/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_59/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_61/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_62/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_62/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_68/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 i30\/SLICE_71/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_78/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_87/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_92/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_92/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_94/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q0 SLICE_94/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/Q1 SLICE_32/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/Q1 SLICE_59/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/Q1 SLICE_64/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/Q1 SLICE_84/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_32/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_33/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_35/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_59/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_61/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_62/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_64/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_72/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_74/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_76/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_82/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_87/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_87/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_91/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_92/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_94/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_94/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/Q0 SLICE_104/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/F1 SLICE_32/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/Q0 SLICE_32/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/Q0 SLICE_62/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/Q0 SLICE_92/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/Q0 SLICE_98/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/F0 SLICE_32/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/Q1 SLICE_32/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/Q1 SLICE_64/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/Q1 SLICE_79/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_32/Q0 RA\[10\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/Q0 SLICE_33/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/F0 SLICE_33/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/Q0 RA\[11\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_33/F1 SLICE_73/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q1 SLICE_35/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q1 SLICE_69/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q1 SLICE_84/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q1 SLICE_35/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/Q1 SLICE_84/A1 (0:0:0)(0:0:0))
(INTERCONNECT i30\/SLICE_71/OFX0 SLICE_35/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35/F0 SLICE_35/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/F1 SLICE_35/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/F1 SLICE_59/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/F1 SLICE_61/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/F1 SLICE_62/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35/Q0 SLICE_36/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35/F1 SLICE_44/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35/F1 SLICE_45/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_35/F1 SLICE_70/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/Q1 SLICE_36/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/F0 SLICE_36/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/Q0 SLICE_36/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q0 SLICE_61/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q0 SLICE_69/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q0 SLICE_78/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_36/Q0 RCKE_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_37/F0 SLICE_37/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_72/F1 SLICE_37/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F1 SLICE_44/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/F1 SLICE_44/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/F1 SLICE_70/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/F1 SLICE_82/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/Q0 SLICE_44/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/F1 SLICE_44/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/F0 SLICE_44/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80/F0 SLICE_44/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80/F0 SLICE_45/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_44/Q0 UFMCLK_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F0 SLICE_45/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F0 SLICE_57/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F0 SLICE_76/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F0 SLICE_80/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_85/F0 SLICE_85/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/F1 SLICE_45/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_105/F1 SLICE_45/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99/Q0 SLICE_45/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_45/F1 SLICE_45/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_45/F0 SLICE_45/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_45/Q0 UFMSDI_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/F1 SLICE_50/C0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_50/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_77/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_88/A0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_92/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_97/B0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_100/M1 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_103/M0 (0:0:0)(0:0:0))
(INTERCONNECT Din\[2\]_I/PADDI SLICE_106/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_50/F0 SLICE_50/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83/F1 SLICE_50/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80/F1 SLICE_57/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80/F1 SLICE_80/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_80/F1 SLICE_95/A1 (0:0:0)(0:0:0))
(INTERCONNECT UFMSDO_I/PADDI SLICE_57/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/F1 SLICE_57/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/F1 SLICE_84/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_57/F0 SLICE_57/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84/F0 SLICE_57/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81/F0 SLICE_59/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81/F0 SLICE_61/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_59/F1 SLICE_59/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F0 SLICE_59/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F0 SLICE_64/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_59/F0 SLICE_59/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_59/Q0 nRCAS_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61/F1 SLICE_61/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62/F1 SLICE_61/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62/F1 SLICE_62/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61/F0 SLICE_61/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_61/Q0 nRCS_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/F1 SLICE_62/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62/F0 SLICE_62/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_62/Q0 nRRAS_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78/F1 SLICE_64/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/F1 SLICE_64/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/F0 SLICE_64/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_94/F0 SLICE_64/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_94/F0 SLICE_65/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_64/Q0 nRWE_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_65/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_67/LSR (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 i30\/SLICE_71/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_81/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/Q0 SLICE_87/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/Q0 SLICE_65/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/Q0 SLICE_66/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/Q0 SLICE_66/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/Q0 SLICE_81/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/Q0 SLICE_87/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_96/F1 SLICE_65/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/F1 SLICE_65/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/F1 SLICE_78/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/F1 SLICE_94/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_94/F1 SLICE_65/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/F0 SLICE_65/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_93/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_93/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_98/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_98/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_99/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_100/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_101/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_101/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_102/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_102/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_103/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_65/Q0 SLICE_103/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/Q0 SLICE_66/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/Q0 SLICE_67/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/Q0 SLICE_72/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/Q0 SLICE_87/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/Q0 SLICE_94/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F0 SLICE_66/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_66/F1 i30\/SLICE_71/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F0 SLICE_67/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_67/F0 SLICE_68/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_103/Q1 SLICE_68/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/Q0 SLICE_68/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/F0 SLICE_74/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_68/F1 SLICE_86/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_69/F0 SLICE_69/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F1 SLICE_70/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/F1 SLICE_76/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/Q1 SLICE_70/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70/F1 SLICE_70/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70/F0 SLICE_70/DI0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_70/Q0 nUFMCS_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_72/F0 SLICE_72/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81/Q1 SLICE_72/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81/Q1 SLICE_98/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_73/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F0 SLICE_74/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F1 SLICE_73/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F1 SLICE_74/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F1 SLICE_79/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F1 SLICE_83/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F1 SLICE_91/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F1 SLICE_91/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/F1 SLICE_104/A0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_73/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_74/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_77/D0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_83/C0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_88/C0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_91/C0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_97/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_97/A0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[0\]_I/PADDI SLICE_101/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F1 SLICE_99/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_73/F1 SLICE_100/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_102/Q1 SLICE_74/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F1 SLICE_74/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_103/Q0 SLICE_74/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F0 SLICE_89/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/F0 SLICE_89/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/Q0 SLICE_101/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_74/Q1 SLICE_102/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/F0 SLICE_75/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106/F0 SLICE_75/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106/F0 SLICE_83/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/Q0 SLICE_75/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97/Q1 SLICE_75/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F1 SLICE_75/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F1 SLICE_78/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F1 SLICE_79/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F1 SLICE_81/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F1 SLICE_84/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F1 SLICE_97/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F1 SLICE_98/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/F1 SLICE_106/CE (0:0:0)(0:0:0))
(INTERCONNECT SLICE_75/F1 SLICE_88/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[9\]_I/PADDI SLICE_76/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[9\]_I/PADDI SLICE_93/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[9\]_I/PADDI SLICE_93/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[9\]_I/PADDI SLICE_98/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[8\]_I/PADDI SLICE_76/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[8\]_I/PADDI SLICE_98/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/Q0 SLICE_98/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_76/Q1 SLICE_93/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_77/F1 SLICE_97/B1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78/F0 SLICE_78/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_96/F0 SLICE_78/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_96/F0 SLICE_81/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78/Q0 SLICE_78/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/Q1 SLICE_78/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_78/Q1 SLICE_81/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_79/Q0 SLICE_79/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81/F1 SLICE_81/C0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_81/Q0 SLICE_81/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_105/F0 SLICE_82/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[4\]_I/PADDI SLICE_82/M0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[4\]_I/PADDI SLICE_100/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[4\]_I/PADDI SLICE_102/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/Q0 SLICE_102/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_82/Q1 SLICE_101/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_83/F0 SLICE_83/C1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/F0 SLICE_84/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84/F1 SLICE_84/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84/Q0 SLICE_84/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_84/Q1 SLICE_106/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_86/F1 SLICE_86/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_95/F0 SLICE_86/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/F0 SLICE_87/D1 (0:0:0)(0:0:0))
(INTERCONNECT CROW\[1\]_I/PADDI SLICE_87/M1 (0:0:0)(0:0:0))
(INTERCONNECT CROW\[0\]_I/PADDI SLICE_87/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/Q0 RBA\[0\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_87/Q1 RBA\[1\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97/F1 SLICE_88/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91/F1 SLICE_88/D0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/Q0 RD\[6\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_88/Q1 RD\[7\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/Q0 RD\[4\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_89/Q1 RD\[5\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/Q0 RD\[0\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_90/Q1 RD\[1\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT MAin\[3\]_I/PADDI SLICE_91/M1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[3\]_I/PADDI SLICE_99/B1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[3\]_I/PADDI SLICE_103/B0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91/Q0 SLICE_103/A1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_91/Q1 SLICE_103/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/Q0 RD\[2\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_92/Q1 RD\[3\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93/F0 RA\[9\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93/Q0 SLICE_100/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93/F1 RDQML_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_93/Q1 SLICE_99/D1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_97/Q0 SLICE_97/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106/Q1 SLICE_97/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/F0 RA\[8\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_98/F1 RDQMH_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_102/Q0 SLICE_99/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[7\]_I/PADDI SLICE_99/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[7\]_I/PADDI SLICE_99/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[7\]_I/PADDI SLICE_104/M1 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/Q1 SLICE_99/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_99/F0 RA\[7\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/Q1 SLICE_100/C1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[6\]_I/PADDI SLICE_100/A1 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[6\]_I/PADDI SLICE_100/B0 (0:0:0)(0:0:0))
(INTERCONNECT MAin\[6\]_I/PADDI SLICE_104/M0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/Q0 SLICE_100/A0 (0:0:0)(0:0:0))
(INTERCONNECT SLICE_100/F0 RA\[6\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F0 RA\[5\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_101/F1 RA\[0\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_102/F0 RA\[4\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_102/F1 RA\[1\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_103/F0 RA\[3\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_103/F1 RA\[2\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F1 RD\[7\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F1 RD\[6\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F1 RD\[5\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F1 RD\[4\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F1 RD\[3\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F1 RD\[2\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F1 RD\[1\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_104/F1 RD\[0\]_I/PADDT (0:0:0)(0:0:0))
(INTERCONNECT SLICE_106/Q0 SLICE_106/M1 (0:0:0)(0:0:0))
(INTERCONNECT RD\[7\]_I/PADDI Dout\[7\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[6\]_I/PADDI Dout\[6\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[5\]_I/PADDI Dout\[5\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[4\]_I/PADDI Dout\[4\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[3\]_I/PADDI Dout\[3\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[2\]_I/PADDI Dout\[2\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[1\]_I/PADDI Dout\[1\]_I/PADDO (0:0:0)(0:0:0))
(INTERCONNECT RD\[0\]_I/PADDI Dout\[0\]_I/PADDO (0:0:0)(0:0:0))
)
)
)
)