RAM2GS/CPLD/LCMXO2-640HC/impl1/LCMXO2_640HC_impl1.edi

4317 lines
194 KiB
Plaintext

(edif RAM2GS
(edifVersion 2 0 0)
(edifLevel 0)
(keywordMap (keywordLevel 0))
(status
(written
(timeStamp 2023 8 19 21 54 54)
(author "Synopsys, Inc.")
(program "Synplify Pro" (version "R-2021.03L-SP1, mapper map202103lat, Build 070R"))
)
)
(library LUCENT
(edifLevel 0)
(technology (numberDefinition ))
(cell CCU2D (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A0 (direction INPUT))
(port B0 (direction INPUT))
(port C0 (direction INPUT))
(port D0 (direction INPUT))
(port A1 (direction INPUT))
(port B1 (direction INPUT))
(port C1 (direction INPUT))
(port D1 (direction INPUT))
(port CIN (direction INPUT))
(port COUT (direction OUTPUT))
(port S0 (direction OUTPUT))
(port S1 (direction OUTPUT))
)
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0000"))
(property INIT0 (string "0000"))
)
)
(cell BB (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port B (direction INOUT))
(port I (direction INPUT))
(port T (direction INPUT))
(port O (direction OUTPUT))
)
)
)
(cell OB (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port I (direction INPUT))
(port O (direction OUTPUT))
)
)
)
(cell IB (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port I (direction INPUT))
(port O (direction OUTPUT))
)
)
)
(cell FD1S3IX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port CK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1S3AX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port CK (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell OFS1P3JX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port PD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1P3IX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port CK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell OFS1P3DX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell IFS1P3DX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port CD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell OFS1P3BX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port SCLK (direction INPUT))
(port PD (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell FD1P3AX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port D (direction INPUT))
(port SP (direction INPUT))
(port CK (direction INPUT))
(port Q (direction OUTPUT))
)
)
)
(cell ORCALUT4 (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A (direction INPUT))
(port B (direction INPUT))
(port C (direction INPUT))
(port D (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell PFUMX (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port ALUT (direction INPUT))
(port BLUT (direction INPUT))
(port C0 (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell GSR (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port GSR (direction INPUT))
)
)
)
(cell INV (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port A (direction INPUT))
(port Z (direction OUTPUT))
)
)
)
(cell VHI (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port Z (direction OUTPUT))
)
)
)
(cell VLO (cellType GENERIC)
(view PRIM (viewType NETLIST)
(interface
(port Z (direction OUTPUT))
)
)
)
)
(library work
(edifLevel 0)
(technology (numberDefinition ))
(cell EFB (cellType GENERIC)
(view verilog (viewType NETLIST)
(interface
(port WBCLKI (direction INPUT))
(port WBRSTI (direction INPUT))
(port WBCYCI (direction INPUT))
(port WBSTBI (direction INPUT))
(port WBWEI (direction INPUT))
(port WBADRI7 (direction INPUT))
(port WBADRI6 (direction INPUT))
(port WBADRI5 (direction INPUT))
(port WBADRI4 (direction INPUT))
(port WBADRI3 (direction INPUT))
(port WBADRI2 (direction INPUT))
(port WBADRI1 (direction INPUT))
(port WBADRI0 (direction INPUT))
(port WBDATI7 (direction INPUT))
(port WBDATI6 (direction INPUT))
(port WBDATI5 (direction INPUT))
(port WBDATI4 (direction INPUT))
(port WBDATI3 (direction INPUT))
(port WBDATI2 (direction INPUT))
(port WBDATI1 (direction INPUT))
(port WBDATI0 (direction INPUT))
(port PLL0DATI7 (direction INPUT))
(port PLL0DATI6 (direction INPUT))
(port PLL0DATI5 (direction INPUT))
(port PLL0DATI4 (direction INPUT))
(port PLL0DATI3 (direction INPUT))
(port PLL0DATI2 (direction INPUT))
(port PLL0DATI1 (direction INPUT))
(port PLL0DATI0 (direction INPUT))
(port PLL0ACKI (direction INPUT))
(port PLL1DATI7 (direction INPUT))
(port PLL1DATI6 (direction INPUT))
(port PLL1DATI5 (direction INPUT))
(port PLL1DATI4 (direction INPUT))
(port PLL1DATI3 (direction INPUT))
(port PLL1DATI2 (direction INPUT))
(port PLL1DATI1 (direction INPUT))
(port PLL1DATI0 (direction INPUT))
(port PLL1ACKI (direction INPUT))
(port I2C1SCLI (direction INPUT))
(port I2C1SDAI (direction INPUT))
(port I2C2SCLI (direction INPUT))
(port I2C2SDAI (direction INPUT))
(port SPISCKI (direction INPUT))
(port SPIMISOI (direction INPUT))
(port SPIMOSII (direction INPUT))
(port SPISCSN (direction INPUT))
(port TCCLKI (direction INPUT))
(port TCRSTN (direction INPUT))
(port TCIC (direction INPUT))
(port UFMSN (direction INPUT))
(port WBDATO7 (direction OUTPUT))
(port WBDATO6 (direction OUTPUT))
(port WBDATO5 (direction OUTPUT))
(port WBDATO4 (direction OUTPUT))
(port WBDATO3 (direction OUTPUT))
(port WBDATO2 (direction OUTPUT))
(port WBDATO1 (direction OUTPUT))
(port WBDATO0 (direction OUTPUT))
(port WBACKO (direction OUTPUT))
(port PLLCLKO (direction OUTPUT))
(port PLLRSTO (direction OUTPUT))
(port PLL0STBO (direction OUTPUT))
(port PLL1STBO (direction OUTPUT))
(port PLLWEO (direction OUTPUT))
(port PLLADRO4 (direction OUTPUT))
(port PLLADRO3 (direction OUTPUT))
(port PLLADRO2 (direction OUTPUT))
(port PLLADRO1 (direction OUTPUT))
(port PLLADRO0 (direction OUTPUT))
(port PLLDATO7 (direction OUTPUT))
(port PLLDATO6 (direction OUTPUT))
(port PLLDATO5 (direction OUTPUT))
(port PLLDATO4 (direction OUTPUT))
(port PLLDATO3 (direction OUTPUT))
(port PLLDATO2 (direction OUTPUT))
(port PLLDATO1 (direction OUTPUT))
(port PLLDATO0 (direction OUTPUT))
(port I2C1SCLO (direction OUTPUT))
(port I2C1SCLOEN (direction OUTPUT))
(port I2C1SDAO (direction OUTPUT))
(port I2C1SDAOEN (direction OUTPUT))
(port I2C2SCLO (direction OUTPUT))
(port I2C2SCLOEN (direction OUTPUT))
(port I2C2SDAO (direction OUTPUT))
(port I2C2SDAOEN (direction OUTPUT))
(port I2C1IRQO (direction OUTPUT))
(port I2C2IRQO (direction OUTPUT))
(port SPISCKO (direction OUTPUT))
(port SPISCKEN (direction OUTPUT))
(port SPIMISOO (direction OUTPUT))
(port SPIMISOEN (direction OUTPUT))
(port SPIMOSIO (direction OUTPUT))
(port SPIMOSIEN (direction OUTPUT))
(port SPIMCSN0 (direction OUTPUT))
(port SPIMCSN1 (direction OUTPUT))
(port SPIMCSN2 (direction OUTPUT))
(port SPIMCSN3 (direction OUTPUT))
(port SPIMCSN4 (direction OUTPUT))
(port SPIMCSN5 (direction OUTPUT))
(port SPIMCSN6 (direction OUTPUT))
(port SPIMCSN7 (direction OUTPUT))
(port SPICSNEN (direction OUTPUT))
(port SPIIRQO (direction OUTPUT))
(port TCINT (direction OUTPUT))
(port TCOC (direction OUTPUT))
(port WBCUFMIRQ (direction OUTPUT))
(port CFGWAKE (direction OUTPUT))
(port CFGSTDBY (direction OUTPUT))
)
(property TC_ICAPTURE (string "DISABLED"))
(property TC_OVERFLOW (string "DISABLED"))
(property TC_ICR_INT (string "OFF"))
(property TC_OCR_INT (string "OFF"))
(property TC_OV_INT (string "OFF"))
(property TC_TOP_SEL (string "OFF"))
(property TC_RESETN (string "ENABLED"))
(property TC_OC_MODE (string "TOGGLE"))
(property TC_OCR_SET (integer 32767))
(property TC_TOP_SET (integer 65535))
(property GSR (string "ENABLED"))
(property TC_CCLK_SEL (integer 1))
(property TC_SCLK_SEL (string "PCLOCK"))
(property TC_MODE (string "CTCM"))
(property SPI_WAKEUP (string "DISABLED"))
(property SPI_INTR_RXOVR (string "DISABLED"))
(property SPI_INTR_TXOVR (string "DISABLED"))
(property SPI_INTR_RXRDY (string "DISABLED"))
(property SPI_INTR_TXRDY (string "DISABLED"))
(property SPI_SLAVE_HANDSHAKE (string "DISABLED"))
(property SPI_PHASE_ADJ (string "DISABLED"))
(property SPI_CLK_INV (string "DISABLED"))
(property SPI_LSB_FIRST (string "DISABLED"))
(property SPI_CLK_DIVIDER (integer 1))
(property SPI_MODE (string "MASTER"))
(property I2C2_WAKEUP (string "DISABLED"))
(property I2C1_WAKEUP (string "DISABLED"))
(property I2C2_GEN_CALL (string "DISABLED"))
(property I2C1_GEN_CALL (string "DISABLED"))
(property I2C2_CLK_DIVIDER (integer 1))
(property I2C1_CLK_DIVIDER (integer 1))
(property I2C2_BUS_PERF (string "100kHz"))
(property I2C1_BUS_PERF (string "100kHz"))
(property I2C2_SLAVE_ADDR (string "0b1000010"))
(property I2C1_SLAVE_ADDR (string "0b1000001"))
(property I2C2_ADDRESSING (string "7BIT"))
(property I2C1_ADDRESSING (string "7BIT"))
(property UFM_INIT_FILE_FORMAT (string "HEX"))
(property UFM_INIT_FILE_NAME (string "../RAM2GS-LCMXO2.mem"))
(property UFM_INIT_ALL_ZEROS (string "DISABLED"))
(property UFM_INIT_START_PAGE (integer 190))
(property UFM_INIT_PAGES (integer 1))
(property DEV_DENSITY (string "640L"))
(property EFB_WB_CLK_FREQ (string "66.7"))
(property EFB_UFM (string "ENABLED"))
(property EFB_TC_PORTMODE (string "WB"))
(property EFB_TC (string "DISABLED"))
(property EFB_SPI (string "DISABLED"))
(property EFB_I2C2 (string "DISABLED"))
(property EFB_I2C1 (string "DISABLED"))
(property orig_inst_of (string "EFB"))
)
)
(cell REFB (cellType GENERIC)
(view netlist (viewType NETLIST)
(interface
(port (array (rename wb_dato "wb_dato[1:0]") 2) (direction OUTPUT))
(port (array (rename wb_dati "wb_dati[7:0]") 8) (direction INPUT))
(port (array (rename wb_adr "wb_adr[7:0]") 8) (direction INPUT))
(port wb_we (direction INPUT))
(port wb_cyc_stb (direction INPUT))
(port wb_rst (direction INPUT))
(port RCLK_c (direction INPUT))
(port d_N_5_mux (direction OUTPUT))
(port wb_ack (direction OUTPUT))
(port un1_FS_29 (direction INPUT))
(port un1_FS_11 (direction INPUT))
(port InitReady (direction INPUT))
)
(contents
(instance EFBInst_0_RNI9PBJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance EFBInst_0 (viewRef verilog (cellRef EFB))
(property UFM_INIT_FILE_FORMAT (string "HEX"))
(property UFM_INIT_FILE_NAME (string "../RAM2GS-LCMXO2.mem"))
(property UFM_INIT_ALL_ZEROS (string "DISABLED"))
(property UFM_INIT_START_PAGE (integer 190))
(property UFM_INIT_PAGES (integer 1))
(property DEV_DENSITY (string "640L"))
(property EFB_UFM (string "ENABLED"))
(property TC_ICAPTURE (string "DISABLED"))
(property TC_OVERFLOW (string "DISABLED"))
(property TC_ICR_INT (string "OFF"))
(property TC_OCR_INT (string "OFF"))
(property TC_OV_INT (string "OFF"))
(property TC_TOP_SEL (string "OFF"))
(property TC_RESETN (string "ENABLED"))
(property TC_OC_MODE (string "TOGGLE"))
(property TC_OCR_SET (integer 32767))
(property TC_TOP_SET (integer 65535))
(property GSR (string "ENABLED"))
(property TC_CCLK_SEL (integer 1))
(property TC_MODE (string "CTCM"))
(property TC_SCLK_SEL (string "PCLOCK"))
(property EFB_TC_PORTMODE (string "WB"))
(property EFB_TC (string "DISABLED"))
(property SPI_WAKEUP (string "DISABLED"))
(property SPI_INTR_RXOVR (string "DISABLED"))
(property SPI_INTR_TXOVR (string "DISABLED"))
(property SPI_INTR_RXRDY (string "DISABLED"))
(property SPI_INTR_TXRDY (string "DISABLED"))
(property SPI_SLAVE_HANDSHAKE (string "DISABLED"))
(property SPI_PHASE_ADJ (string "DISABLED"))
(property SPI_CLK_INV (string "DISABLED"))
(property SPI_LSB_FIRST (string "DISABLED"))
(property SPI_CLK_DIVIDER (integer 1))
(property SPI_MODE (string "MASTER"))
(property EFB_SPI (string "DISABLED"))
(property I2C2_WAKEUP (string "DISABLED"))
(property I2C2_GEN_CALL (string "DISABLED"))
(property I2C2_CLK_DIVIDER (integer 1))
(property I2C2_BUS_PERF (string "100kHz"))
(property I2C2_SLAVE_ADDR (string "0b1000010"))
(property I2C2_ADDRESSING (string "7BIT"))
(property EFB_I2C2 (string "DISABLED"))
(property I2C1_WAKEUP (string "DISABLED"))
(property I2C1_GEN_CALL (string "DISABLED"))
(property I2C1_CLK_DIVIDER (integer 1))
(property I2C1_BUS_PERF (string "100kHz"))
(property I2C1_SLAVE_ADDR (string "0b1000001"))
(property I2C1_ADDRESSING (string "7BIT"))
(property EFB_I2C1 (string "DISABLED"))
(property EFB_WB_CLK_FREQ (string "66.7"))
)
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(net InitReady (joined
(portRef InitReady)
(portRef A (instanceRef EFBInst_0_RNI9PBJ))
))
(net un1_FS_11 (joined
(portRef un1_FS_11)
(portRef B (instanceRef EFBInst_0_RNI9PBJ))
))
(net un1_FS_29 (joined
(portRef un1_FS_29)
(portRef C (instanceRef EFBInst_0_RNI9PBJ))
))
(net wb_ack (joined
(portRef WBACKO (instanceRef EFBInst_0))
(portRef D (instanceRef EFBInst_0_RNI9PBJ))
(portRef wb_ack)
))
(net d_N_5_mux (joined
(portRef Z (instanceRef EFBInst_0_RNI9PBJ))
(portRef d_N_5_mux)
))
(net RCLK_c (joined
(portRef RCLK_c)
(portRef WBCLKI (instanceRef EFBInst_0))
))
(net wb_rst (joined
(portRef wb_rst)
(portRef WBRSTI (instanceRef EFBInst_0))
))
(net wb_cyc_stb (joined
(portRef wb_cyc_stb)
(portRef WBSTBI (instanceRef EFBInst_0))
(portRef WBCYCI (instanceRef EFBInst_0))
))
(net wb_we (joined
(portRef wb_we)
(portRef WBWEI (instanceRef EFBInst_0))
))
(net (rename wb_adr_7 "wb_adr[7]") (joined
(portRef (member wb_adr 0))
(portRef WBADRI7 (instanceRef EFBInst_0))
))
(net (rename wb_adr_6 "wb_adr[6]") (joined
(portRef (member wb_adr 1))
(portRef WBADRI6 (instanceRef EFBInst_0))
))
(net (rename wb_adr_5 "wb_adr[5]") (joined
(portRef (member wb_adr 2))
(portRef WBADRI5 (instanceRef EFBInst_0))
))
(net (rename wb_adr_4 "wb_adr[4]") (joined
(portRef (member wb_adr 3))
(portRef WBADRI4 (instanceRef EFBInst_0))
))
(net (rename wb_adr_3 "wb_adr[3]") (joined
(portRef (member wb_adr 4))
(portRef WBADRI3 (instanceRef EFBInst_0))
))
(net (rename wb_adr_2 "wb_adr[2]") (joined
(portRef (member wb_adr 5))
(portRef WBADRI2 (instanceRef EFBInst_0))
))
(net (rename wb_adr_1 "wb_adr[1]") (joined
(portRef (member wb_adr 6))
(portRef WBADRI1 (instanceRef EFBInst_0))
))
(net (rename wb_adr_0 "wb_adr[0]") (joined
(portRef (member wb_adr 7))
(portRef WBADRI0 (instanceRef EFBInst_0))
))
(net (rename wb_dati_7 "wb_dati[7]") (joined
(portRef (member wb_dati 0))
(portRef WBDATI7 (instanceRef EFBInst_0))
))
(net (rename wb_dati_6 "wb_dati[6]") (joined
(portRef (member wb_dati 1))
(portRef WBDATI6 (instanceRef EFBInst_0))
))
(net (rename wb_dati_5 "wb_dati[5]") (joined
(portRef (member wb_dati 2))
(portRef WBDATI5 (instanceRef EFBInst_0))
))
(net (rename wb_dati_4 "wb_dati[4]") (joined
(portRef (member wb_dati 3))
(portRef WBDATI4 (instanceRef EFBInst_0))
))
(net (rename wb_dati_3 "wb_dati[3]") (joined
(portRef (member wb_dati 4))
(portRef WBDATI3 (instanceRef EFBInst_0))
))
(net (rename wb_dati_2 "wb_dati[2]") (joined
(portRef (member wb_dati 5))
(portRef WBDATI2 (instanceRef EFBInst_0))
))
(net (rename wb_dati_1 "wb_dati[1]") (joined
(portRef (member wb_dati 6))
(portRef WBDATI1 (instanceRef EFBInst_0))
))
(net (rename wb_dati_0 "wb_dati[0]") (joined
(portRef (member wb_dati 7))
(portRef WBDATI0 (instanceRef EFBInst_0))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef TCIC (instanceRef EFBInst_0))
(portRef TCRSTN (instanceRef EFBInst_0))
(portRef TCCLKI (instanceRef EFBInst_0))
(portRef SPISCSN (instanceRef EFBInst_0))
(portRef SPIMOSII (instanceRef EFBInst_0))
(portRef SPIMISOI (instanceRef EFBInst_0))
(portRef SPISCKI (instanceRef EFBInst_0))
(portRef I2C2SDAI (instanceRef EFBInst_0))
(portRef I2C2SCLI (instanceRef EFBInst_0))
(portRef I2C1SDAI (instanceRef EFBInst_0))
(portRef I2C1SCLI (instanceRef EFBInst_0))
(portRef PLL1ACKI (instanceRef EFBInst_0))
(portRef PLL1DATI0 (instanceRef EFBInst_0))
(portRef PLL1DATI1 (instanceRef EFBInst_0))
(portRef PLL1DATI2 (instanceRef EFBInst_0))
(portRef PLL1DATI3 (instanceRef EFBInst_0))
(portRef PLL1DATI4 (instanceRef EFBInst_0))
(portRef PLL1DATI5 (instanceRef EFBInst_0))
(portRef PLL1DATI6 (instanceRef EFBInst_0))
(portRef PLL1DATI7 (instanceRef EFBInst_0))
(portRef PLL0ACKI (instanceRef EFBInst_0))
(portRef PLL0DATI0 (instanceRef EFBInst_0))
(portRef PLL0DATI1 (instanceRef EFBInst_0))
(portRef PLL0DATI2 (instanceRef EFBInst_0))
(portRef PLL0DATI3 (instanceRef EFBInst_0))
(portRef PLL0DATI4 (instanceRef EFBInst_0))
(portRef PLL0DATI5 (instanceRef EFBInst_0))
(portRef PLL0DATI6 (instanceRef EFBInst_0))
(portRef PLL0DATI7 (instanceRef EFBInst_0))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef UFMSN (instanceRef EFBInst_0))
))
(net (rename wb_dat_o_1_7 "wb_dat_o_1[7]") (joined
(portRef WBDATO7 (instanceRef EFBInst_0))
))
(net (rename wb_dat_o_1_6 "wb_dat_o_1[6]") (joined
(portRef WBDATO6 (instanceRef EFBInst_0))
))
(net (rename wb_dat_o_1_5 "wb_dat_o_1[5]") (joined
(portRef WBDATO5 (instanceRef EFBInst_0))
))
(net (rename wb_dat_o_1_4 "wb_dat_o_1[4]") (joined
(portRef WBDATO4 (instanceRef EFBInst_0))
))
(net (rename wb_dat_o_1_3 "wb_dat_o_1[3]") (joined
(portRef WBDATO3 (instanceRef EFBInst_0))
))
(net (rename wb_dat_o_1_2 "wb_dat_o_1[2]") (joined
(portRef WBDATO2 (instanceRef EFBInst_0))
))
(net (rename wb_dato_1 "wb_dato[1]") (joined
(portRef WBDATO1 (instanceRef EFBInst_0))
(portRef (member wb_dato 0))
))
(net (rename wb_dato_0 "wb_dato[0]") (joined
(portRef WBDATO0 (instanceRef EFBInst_0))
(portRef (member wb_dato 1))
))
(net PLLCLKO (joined
(portRef PLLCLKO (instanceRef EFBInst_0))
))
(net PLLRSTO (joined
(portRef PLLRSTO (instanceRef EFBInst_0))
))
(net PLL0STBO (joined
(portRef PLL0STBO (instanceRef EFBInst_0))
))
(net PLL1STBO (joined
(portRef PLL1STBO (instanceRef EFBInst_0))
))
(net PLLWEO (joined
(portRef PLLWEO (instanceRef EFBInst_0))
))
(net PLLADRO4 (joined
(portRef PLLADRO4 (instanceRef EFBInst_0))
))
(net PLLADRO3 (joined
(portRef PLLADRO3 (instanceRef EFBInst_0))
))
(net PLLADRO2 (joined
(portRef PLLADRO2 (instanceRef EFBInst_0))
))
(net PLLADRO1 (joined
(portRef PLLADRO1 (instanceRef EFBInst_0))
))
(net PLLADRO0 (joined
(portRef PLLADRO0 (instanceRef EFBInst_0))
))
(net PLLDATO7 (joined
(portRef PLLDATO7 (instanceRef EFBInst_0))
))
(net PLLDATO6 (joined
(portRef PLLDATO6 (instanceRef EFBInst_0))
))
(net PLLDATO5 (joined
(portRef PLLDATO5 (instanceRef EFBInst_0))
))
(net PLLDATO4 (joined
(portRef PLLDATO4 (instanceRef EFBInst_0))
))
(net PLLDATO3 (joined
(portRef PLLDATO3 (instanceRef EFBInst_0))
))
(net PLLDATO2 (joined
(portRef PLLDATO2 (instanceRef EFBInst_0))
))
(net PLLDATO1 (joined
(portRef PLLDATO1 (instanceRef EFBInst_0))
))
(net PLLDATO0 (joined
(portRef PLLDATO0 (instanceRef EFBInst_0))
))
(net I2C1SCLO (joined
(portRef I2C1SCLO (instanceRef EFBInst_0))
))
(net I2C1SCLOEN (joined
(portRef I2C1SCLOEN (instanceRef EFBInst_0))
))
(net I2C1SDAO (joined
(portRef I2C1SDAO (instanceRef EFBInst_0))
))
(net I2C1SDAOEN (joined
(portRef I2C1SDAOEN (instanceRef EFBInst_0))
))
(net I2C2SCLO (joined
(portRef I2C2SCLO (instanceRef EFBInst_0))
))
(net I2C2SCLOEN (joined
(portRef I2C2SCLOEN (instanceRef EFBInst_0))
))
(net I2C2SDAO (joined
(portRef I2C2SDAO (instanceRef EFBInst_0))
))
(net I2C2SDAOEN (joined
(portRef I2C2SDAOEN (instanceRef EFBInst_0))
))
(net I2C1IRQO (joined
(portRef I2C1IRQO (instanceRef EFBInst_0))
))
(net I2C2IRQO (joined
(portRef I2C2IRQO (instanceRef EFBInst_0))
))
(net SPISCKO (joined
(portRef SPISCKO (instanceRef EFBInst_0))
))
(net SPISCKEN (joined
(portRef SPISCKEN (instanceRef EFBInst_0))
))
(net SPIMISOO (joined
(portRef SPIMISOO (instanceRef EFBInst_0))
))
(net SPIMISOEN (joined
(portRef SPIMISOEN (instanceRef EFBInst_0))
))
(net SPIMOSIO (joined
(portRef SPIMOSIO (instanceRef EFBInst_0))
))
(net SPIMOSIEN (joined
(portRef SPIMOSIEN (instanceRef EFBInst_0))
))
(net SPIMCSN0 (joined
(portRef SPIMCSN0 (instanceRef EFBInst_0))
))
(net SPIMCSN1 (joined
(portRef SPIMCSN1 (instanceRef EFBInst_0))
))
(net SPIMCSN2 (joined
(portRef SPIMCSN2 (instanceRef EFBInst_0))
))
(net SPIMCSN3 (joined
(portRef SPIMCSN3 (instanceRef EFBInst_0))
))
(net SPIMCSN4 (joined
(portRef SPIMCSN4 (instanceRef EFBInst_0))
))
(net SPIMCSN5 (joined
(portRef SPIMCSN5 (instanceRef EFBInst_0))
))
(net SPIMCSN6 (joined
(portRef SPIMCSN6 (instanceRef EFBInst_0))
))
(net SPIMCSN7 (joined
(portRef SPIMCSN7 (instanceRef EFBInst_0))
))
(net SPICSNEN (joined
(portRef SPICSNEN (instanceRef EFBInst_0))
))
(net SPIIRQO (joined
(portRef SPIIRQO (instanceRef EFBInst_0))
))
(net TCINT (joined
(portRef TCINT (instanceRef EFBInst_0))
))
(net TCOC (joined
(portRef TCOC (instanceRef EFBInst_0))
))
(net wbc_ufm_irq (joined
(portRef WBCUFMIRQ (instanceRef EFBInst_0))
))
(net CFGWAKE (joined
(portRef CFGWAKE (instanceRef EFBInst_0))
))
(net CFGSTDBY (joined
(portRef CFGSTDBY (instanceRef EFBInst_0))
))
)
(property NGD_DRC_MASK (integer 1))
(property orig_inst_of (string "REFB"))
)
)
(cell RAM2GS (cellType GENERIC)
(view verilog (viewType NETLIST)
(interface
(port PHI2 (direction INPUT))
(port (array (rename main "MAin[9:0]") 10) (direction INPUT))
(port (array (rename crow "CROW[1:0]") 2) (direction INPUT))
(port (array (rename din "Din[7:0]") 8) (direction INPUT))
(port (array (rename dout "Dout[7:0]") 8) (direction OUTPUT))
(port nCCAS (direction INPUT))
(port nCRAS (direction INPUT))
(port nFWE (direction INPUT))
(port LED (direction OUTPUT))
(port (array (rename rba "RBA[1:0]") 2) (direction OUTPUT))
(port (array (rename ra "RA[11:0]") 12) (direction OUTPUT))
(port (array (rename rd "RD[7:0]") 8) (direction INOUT))
(port nRCS (direction OUTPUT))
(port RCLK (direction INPUT))
(port RCKE (direction OUTPUT))
(port nRWE (direction OUTPUT))
(port nRRAS (direction OUTPUT))
(port nRCAS (direction OUTPUT))
(port RDQMH (direction OUTPUT))
(port RDQML (direction OUTPUT))
)
(contents
(instance VCC (viewRef PRIM (cellRef VHI (libraryRef LUCENT))) )
(instance GND (viewRef PRIM (cellRef VLO (libraryRef LUCENT))) )
(instance GSR_INST (viewRef PRIM (cellRef GSR (libraryRef LUCENT)))
)
(instance RASr2_RNIAFR1 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) )
(instance nCCAS_pad_RNISUR8 (viewRef PRIM (cellRef INV (libraryRef LUCENT))) )
(instance RASr_RNO (viewRef PRIM (cellRef INV (libraryRef LUCENT))) )
(instance nFWE_pad_RNI420B (viewRef PRIM (cellRef INV (libraryRef LUCENT))) )
(instance nRWE_0io_RNO_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A)))"))
)
(instance (rename S_RNICVV51_0 "S_RNICVV51[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_RNO_4 "wb_dati_5_1_iv_0_a3_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B !A))"))
)
(instance (rename wb_dati_5_1_iv_0_RNO_7 "wb_dati_5_1_iv_0_RNO[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B !A+B A)))"))
)
(instance (rename FS_RNI3V8E_9 "FS_RNI3V8E[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance RCKEEN_8_u_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)+C (!B+!A))+D (C !A))"))
)
(instance (rename IS_RNO_3 "IS_RNO[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D A+D (!C A+C (!B A+B !A)))"))
)
(instance CmdUFMData_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance Ready_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D A+D (!C (B+A)+C A))"))
)
(instance CmdEnable_s (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) )
(instance CmdEnable_s_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance CmdEnable_s_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C B+C (B A))+D (!C B+C A))"))
)
(instance XOR8MEG_3_u_0 (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) )
(instance XOR8MEG_3_u_0_bm (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C B)+D (C (B !A)))"))
)
(instance XOR8MEG_3_u_0_am (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "A"))
)
(instance wb_cyc_stb_RNO (viewRef PRIM (cellRef PFUMX (libraryRef LUCENT))) )
(instance wb_cyc_stb_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C+(B+!A)))"))
)
(instance wb_cyc_stb_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(!B+A))"))
)
(instance Ready_fast_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance InitReady_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance nCRAS_pad_RNIBPVB (viewRef PRIM (cellRef INV (libraryRef LUCENT))) )
(instance (rename XOR8MEG_CN "XOR8MEG.CN") (viewRef PRIM (cellRef INV (libraryRef LUCENT))) )
(instance (rename WRD_0io_0 "WRD_0io[0]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "TRUE"))
)
(instance (rename WRD_0io_1 "WRD_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "TRUE"))
)
(instance (rename WRD_0io_2 "WRD_0io[2]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "TRUE"))
)
(instance (rename WRD_0io_3 "WRD_0io[3]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "TRUE"))
)
(instance (rename WRD_0io_4 "WRD_0io[4]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "TRUE"))
)
(instance (rename WRD_0io_5 "WRD_0io[5]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "TRUE"))
)
(instance (rename WRD_0io_6 "WRD_0io[6]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "TRUE"))
)
(instance (rename WRD_0io_7 "WRD_0io[7]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "TRUE"))
)
(instance PHI2r_0io (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename Bank_0io_0 "Bank_0io[0]") (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
)
(instance (rename Bank_0io_1 "Bank_0io[1]") (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
)
(instance (rename Bank_0io_2 "Bank_0io[2]") (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
)
(instance (rename Bank_0io_3 "Bank_0io[3]") (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
)
(instance (rename Bank_0io_4 "Bank_0io[4]") (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
)
(instance (rename Bank_0io_5 "Bank_0io[5]") (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
)
(instance (rename Bank_0io_6 "Bank_0io[6]") (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
)
(instance (rename Bank_0io_7 "Bank_0io[7]") (viewRef PRIM (cellRef IFS1P3DX (libraryRef LUCENT)))
)
(instance nRWE_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance nRRAS_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance nRCS_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance nRCAS_0io (viewRef PRIM (cellRef OFS1P3BX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RBA_0io_0 "RBA_0io[0]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance (rename RBA_0io_1 "RBA_0io[1]") (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance RA11_0io (viewRef PRIM (cellRef OFS1P3DX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance RA10_0io (viewRef PRIM (cellRef OFS1P3JX (libraryRef LUCENT)))
(property IOB (string "FALSE"))
)
(instance wb_we (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
)
(instance wb_rst (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance wb_req (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename wb_dati_0 "wb_dati[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_1 "wb_dati[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_2 "wb_dati[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_3 "wb_dati[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_4 "wb_dati[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_5 "wb_dati[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_6 "wb_dati[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_dati_7 "wb_dati[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance wb_cyc_stb (viewRef PRIM (cellRef FD1P3IX (libraryRef LUCENT)))
)
(instance (rename wb_adr_0 "wb_adr[0]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_1 "wb_adr[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_2 "wb_adr[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_3 "wb_adr[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_4 "wb_adr[4]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_5 "wb_adr[5]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_6 "wb_adr[6]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename wb_adr_7 "wb_adr[7]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance nRowColSel (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance n8MEGEN (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance XOR8MEG (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename S_0 "S[0]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename S_1 "S[1]") (viewRef PRIM (cellRef FD1S3IX (libraryRef LUCENT)))
)
(instance (rename RowA_0 "RowA[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename RowA_1 "RowA[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename RowA_2 "RowA[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename RowA_3 "RowA[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename RowA_4 "RowA[4]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename RowA_5 "RowA[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename RowA_6 "RowA[6]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename RowA_7 "RowA[7]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename RowA_8 "RowA[8]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename RowA_9 "RowA[9]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance Ready_fast (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance Ready (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance RCKEEN (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance RCKE (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance RASr3 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance RASr2 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance RASr (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance PHI2r3 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance PHI2r2 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance LEDEN (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance InitReady (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename IS_0 "IS[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename IS_1 "IS[1]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename IS_2 "IS[2]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance (rename IS_3 "IS[3]") (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance FWEr_fast (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance FWEr (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_0 "FS[0]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_1 "FS[1]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_2 "FS[2]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_3 "FS[3]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_4 "FS[4]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_5 "FS[5]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_6 "FS[6]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_7 "FS[7]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_8 "FS[8]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_9 "FS[9]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_10 "FS[10]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_11 "FS[11]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_12 "FS[12]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_13 "FS[13]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_14 "FS[14]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_15 "FS[15]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_16 "FS[16]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance (rename FS_17 "FS[17]") (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance Cmdn8MEGEN (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdValid_fast (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance CmdValid (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance CmdUFMWrite (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdUFMShift_fast (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdUFMShift (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdUFMData (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdLEDEN (viewRef PRIM (cellRef FD1P3AX (libraryRef LUCENT)))
)
(instance CmdEnable (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance CBR_fast (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance CBR (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance CASr3 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance CASr2 (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance CASr (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance C1Submitted (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance ADSubmitted (viewRef PRIM (cellRef FD1S3AX (libraryRef LUCENT)))
)
(instance RDQML_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance RDQMH_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nRCAS_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nRRAS_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nRWE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance RCKE_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance RCLK_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance nRCS_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RD_pad_7 "RD_pad[7]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_6 "RD_pad[6]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_5 "RD_pad[5]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_4 "RD_pad[4]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_3 "RD_pad[3]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_2 "RD_pad[2]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_1 "RD_pad[1]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RD_pad_0 "RD_pad[0]") (viewRef PRIM (cellRef BB (libraryRef LUCENT))) )
(instance (rename RA_pad_11 "RA_pad[11]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_10 "RA_pad[10]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_9 "RA_pad[9]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_8 "RA_pad[8]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_7 "RA_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_6 "RA_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_5 "RA_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_4 "RA_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_3 "RA_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_2 "RA_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_1 "RA_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RA_pad_0 "RA_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RBA_pad_1 "RBA_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename RBA_pad_0 "RBA_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance LED_pad (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance nFWE_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance nCRAS_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance nCCAS_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename Dout_pad_7 "Dout_pad[7]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_6 "Dout_pad[6]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_5 "Dout_pad[5]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_4 "Dout_pad[4]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_3 "Dout_pad[3]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_2 "Dout_pad[2]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_1 "Dout_pad[1]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Dout_pad_0 "Dout_pad[0]") (viewRef PRIM (cellRef OB (libraryRef LUCENT))) )
(instance (rename Din_pad_7 "Din_pad[7]") (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance (rename Din_pad_6 "Din_pad[6]") (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance (rename Din_pad_5 "Din_pad[5]") (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance (rename Din_pad_4 "Din_pad[4]") (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance (rename Din_pad_3 "Din_pad[3]") (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance (rename Din_pad_2 "Din_pad[2]") (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance (rename Din_pad_1 "Din_pad[1]") (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance (rename Din_pad_0 "Din_pad[0]") (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance (rename CROW_pad_1 "CROW_pad[1]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename CROW_pad_0 "CROW_pad[0]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename MAin_pad_9 "MAin_pad[9]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename MAin_pad_8 "MAin_pad[8]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename MAin_pad_7 "MAin_pad[7]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename MAin_pad_6 "MAin_pad[6]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename MAin_pad_5 "MAin_pad[5]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename MAin_pad_4 "MAin_pad[4]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename MAin_pad_3 "MAin_pad[3]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename MAin_pad_2 "MAin_pad[2]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename MAin_pad_1 "MAin_pad[1]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance (rename MAin_pad_0 "MAin_pad[0]") (viewRef PRIM (cellRef IB (libraryRef LUCENT))) )
(instance PHI2_pad (viewRef PRIM (cellRef IB (libraryRef LUCENT)))
)
(instance (rename wb_adr_5_0 "wb_adr_5[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A)+C (B+A))"))
)
(instance (rename wb_dati_5_1_iv_0_4 "wb_dati_5_1_iv_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C (B !A)+C (B+A)))"))
)
(instance (rename wb_dati_5_1_iv_0_6 "wb_dati_5_1_iv_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C (B+A))"))
)
(instance (rename wb_dati_5_1_iv_0_7 "wb_dati_5_1_iv_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+A)+D (C+(B+A)))"))
)
(instance (rename wb_dati_5_1_iv_0_5 "wb_dati_5_1_iv_0[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
)
(instance (rename wb_dati_5_1_iv_0_2 "wb_dati_5_1_iv_0[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
)
(instance (rename wb_dati_5_1_iv_0_1 "wb_dati_5_1_iv_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+A)+D (C+(B+A)))"))
)
(instance (rename wb_adr_5_1 "wb_adr_5[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C A)+D (!C (!B !A)+C (!B+A)))"))
)
(instance wb_we_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+(B A))+D (B A))"))
)
(instance (rename wb_dati_5_1_iv_0_1_6 "wb_dati_5_1_iv_0_1[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
)
(instance (rename wb_dati_5_1_iv_0_0_3 "wb_dati_5_1_iv_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
)
(instance CmdValid_fast_RNITQBM1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D C+D (!C (B A)+C (!B+A)))"))
)
(instance (rename IS_RNO_0 "IS_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A+B A)+C A)"))
)
(instance (rename wb_dati_5_0_iv_0_0 "wb_dati_5_0_iv_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C B)+D (!C A+C (B+A)))"))
)
(instance XOR8MEG18 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance un1_wb_cyc_stb_1_sqmuxa_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D B+D (!C B+C (B+A)))"))
)
(instance Cmdn8MEGEN_4_u (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A)+C (B+A))"))
)
(instance CmdLEDEN_4_u (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C A)"))
)
(instance (rename wb_dati_5_1_iv_0_RNO_4 "wb_dati_5_1_iv_0_RNO[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance RA10_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(!B+!A)))"))
)
(instance CmdEnable16 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance CmdEnable17 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance nRRAS_5_u_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C (!B A)+C !B))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_7 "wb_dati_5_1_iv_0_a3[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance (rename wb_dati_5_1_iv_0_0_1 "wb_dati_5_1_iv_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C A)+D (!C B+C (B+A)))"))
)
(instance (rename wb_dati_5_1_iv_0_1_4 "wb_dati_5_1_iv_0_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A+C (B+A))+D (B+A))"))
)
(instance (rename wb_dati_5_1_iv_0_1_7 "wb_dati_5_1_iv_0_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+B)+D (C+(B+A)))"))
)
(instance CmdUFMWrite_3_u (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A)+D (!C B))"))
)
(instance CmdUFMShift_3_u (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A)+D (!C !B))"))
)
(instance un1_nRCAS_6_sqmuxa_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+(!B+A))+D (!C (!B+A)))"))
)
(instance un1_wb_we95_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A+B !A))+D (C (B A)))"))
)
(instance IS_0_sqmuxa_0_o2_0_RNIS63D (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance CmdValid_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C A)"))
)
(instance (rename wb_dati_5_1_iv_0_a3_6 "wb_dati_5_1_iv_0_a3[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_4 "wb_dati_5_1_iv_0_a3[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_1_7 "wb_dati_5_1_iv_0_a3_1[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B+A)))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_2 "wb_dati_5_1_iv_0_a3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B+A)))"))
)
(instance (rename wb_adr_cnst_sn_m4_32 "wb_adr_cnst_sn.m4_32") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B !A))"))
)
(instance un1_FS_40_1_1_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D C+D (C+(!B A+B !A)))"))
)
(instance un1_wb_adr_0_sqmuxa_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance (rename wb_adr_5_6 "wb_adr_5[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (B+!A))"))
)
(instance (rename wb_adr_5_5 "wb_adr_5[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (B+!A))"))
)
(instance (rename wb_adr_5_4 "wb_adr_5[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (B+!A))"))
)
(instance Cmdn8MEGEN_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C A+C !B)"))
)
(instance CmdLEDEN_4_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C A+C B)"))
)
(instance PHI2r3_RNIS5A51 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D !B+D (!C (!B+A)+C !B))"))
)
(instance (rename wb_dati_5_1_iv_0_a2_0_4 "wb_dati_5_1_iv_0_a2_0[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance (rename wb_dati_5_1_iv_0_o3_1 "wb_dati_5_1_iv_0_o3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B !A))+D (!C (B A)))"))
)
(instance un1_FS_40_1_a6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance nRCAS_0_sqmuxa_1_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance nRowColSel_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C B+C (B+A))+D (!C B+C (B+!A)))"))
)
(instance (rename wb_dati_5_1_iv_0_a2_4 "wb_dati_5_1_iv_0_a2[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance wb_we95 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B !A))+D (C (B A)))"))
)
(instance nRCAS_r_i_a3_1_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D !C+D (C (!B A)))"))
)
(instance Ready_0_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B A)))"))
)
(instance un1_wb_cyc_stb_2_sqmuxa_i_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance C1WR_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_3_7 "wb_dati_5_1_iv_0_a3_3[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance ADWR_7 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_2_0_1 "wb_dati_5_1_iv_0_a3_2_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance nRRAS_5_u_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B !A)+C !A))"))
)
(instance C1WR_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance CMDWR_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_0_0_3 "wb_dati_5_1_iv_0_a3_0_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B !A))+D (C (B A)))"))
)
(instance (rename IS_RNO_2 "IS_RNO[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (!B+!A))"))
)
(instance wb_we113_i_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+(!B+A))+D (!B+A))"))
)
(instance ADSubmitted_r (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+(!B A)))"))
)
(instance un1_FS_40_1_1_tz (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)+C (B !A))+D (C (B !A)))"))
)
(instance LED_pad_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(!B+A))"))
)
(instance un1_Bank_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance un1_wb_cyc_stb_2_sqmuxa_i_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B !A)))"))
)
(instance un1_FS_29 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance XOR8MEG11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B A)))"))
)
(instance XOR8MEG14 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)))"))
)
(instance un1_Din_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)))"))
)
(instance un1_FS_40_1_o6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C (!B !A)+C (!B+A)))"))
)
(instance InitReady3_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance un1_wb_cyc_stb_1_sqmuxa_0_a3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance nRowColSel_0_0_a3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance un1_wb_cyc_stb_1_sqmuxa_0_a3_0_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance (rename wb_dati_5_0_iv_0_a3_1_0 "wb_dati_5_0_iv_0_a3_1[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)+C (B !A)))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_0_0_0_1 "wb_dati_5_1_iv_0_a3_0_0_0[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename wb_dati_5_1_iv_0_m3_7 "wb_dati_5_1_iv_0_m3[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !B+C (B+!A))"))
)
(instance RCKE_2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C !B)+D (C+(B+A)))"))
)
(instance (rename S_RNO_0 "S_RNO[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+!A)"))
)
(instance (rename FS_RNIVOOA_14 "FS_RNIVOOA[14]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance (rename wb_dati_5_1_iv_0_a2_7 "wb_dati_5_1_iv_0_a2[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A))"))
)
(instance C1Submitted_s (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(!B A))"))
)
(instance Ready_0_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(!B+!A))"))
)
(instance nCCAS_pad_RNI01SJ (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance C1WR_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B A))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_1_1_4 "wb_dati_5_1_iv_0_a3_1_1[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B !A)))"))
)
(instance un1_wb_cyc_stb_2_sqmuxa_i_a3_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B !A))"))
)
(instance un1_FS_11 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A))"))
)
(instance wb_rst10 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)))"))
)
(instance un1_Bank_1_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B !A)))"))
)
(instance InitReady3_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance Ready_0_sqmuxa_0_a3_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (B A)))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_3_0_7 "wb_dati_5_1_iv_0_a3_3_0[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance ADWR_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A))"))
)
(instance ADWR_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (B A)))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_0_0_6 "wb_dati_5_1_iv_0_a3_0_0[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A)+C (B A))"))
)
(instance CmdEnable17_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (!B A)))"))
)
(instance CmdEnable17_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance CmdEnable16_4 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B A)))"))
)
(instance CmdEnable16_5 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B !A)))"))
)
(instance LEDEN_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (!B+A))"))
)
(instance (rename un9_RA_0 "un9_RA[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C A)"))
)
(instance (rename un9_RA_1 "un9_RA[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C A)"))
)
(instance (rename un9_RA_2 "un9_RA[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C A)"))
)
(instance (rename un9_RA_3 "un9_RA[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C A)"))
)
(instance (rename un9_RA_4 "un9_RA[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C A)"))
)
(instance (rename un9_RA_5 "un9_RA[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C A)"))
)
(instance (rename un9_RA_6 "un9_RA[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C A)"))
)
(instance (rename un9_RA_7 "un9_RA[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C A)"))
)
(instance n8MEGEN_6 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C (!B+A))"))
)
(instance (rename un9_RA_9 "un9_RA[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C A)"))
)
(instance (rename un9_RA_8 "un9_RA[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C B+C A)"))
)
(instance (rename wb_adr_5_2 "wb_adr_5[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename wb_adr_5_3 "wb_adr_5[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename wb_adr_5_7 "wb_adr_5[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance wb_we113_i_a2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename S_0_i_o2_1 "S_0_i_o2[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance XOR8MEG9_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance un1_wb_cyc_stb_2_sqmuxa_i_o3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+!A)"))
)
(instance CmdUFMWrite_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance RDQML (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance RDQMH (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+A)"))
)
(instance un1_FS_21_1_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance un1_FS_22_1_i (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+!A)"))
)
(instance IS_n1_0_x2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B A+B !A)"))
)
(instance RA10_2_sqmuxa_0_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B+A)"))
)
(instance un1_PHI2r3_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance wb_we95_0_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance XOR8MEG14_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B A)"))
)
(instance un1_Bank_1_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance un1_wb_cyc_stb_2_sqmuxa_i_a3_2_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B !A)"))
)
(instance (rename wb_adr_cnst_0_0 "wb_adr_cnst_0[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B !A+B A))"))
)
(instance un1_ADWR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B+A))"))
)
(instance un1_wb_adr_0_sqmuxa_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(!B+A))"))
)
(instance un1_FS_40_1_0_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B+A)+C (!B A))+D (!C A+C (!B A)))"))
)
(instance un1_FS_40_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A))+D (!B !A))"))
)
(instance RCKEEN_8_u_1_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C+B)+D (C (!B+!A)))"))
)
(instance RCKEEN_8_u (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D B+D (!C B+C (B+!A)))"))
)
(instance wb_rste (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C !B)+D (!C (B !A)+C (!B+!A)))"))
)
(instance wb_reqe (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C !A)+D (!C (!B A)+C (!B+!A)))"))
)
(instance CmdUFMShift_fast_RNIG9JD1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D+(C (B A)))"))
)
(instance PHI2r3_RNIFT0I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B !A)"))
)
(instance CmdValid_r_fast (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B A)+C A)"))
)
(instance CmdUFMShift_3_u_fast (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C A)+D (!C !B))"))
)
(instance nRWE_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+B)+D (C+(!B !A)))"))
)
(instance nRWE_0io_RNO_1 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (!B A)+C !A)"))
)
(instance nRWE_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (B !A))"))
)
(instance nRWE_0io_RNO_3 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C+(!B+A))"))
)
(instance nRWE_0io_RNO_2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (C (!B A)))"))
)
(instance nRCS_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C (!B !A))"))
)
(instance nRCS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C+A)+D (!C A+C !B))"))
)
(instance nRCAS_0io_RNO (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C !B)+D (!C !B+C (!B !A)))"))
)
(instance nRCAS_0io_RNO_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C !B+C (!B !A))"))
)
(instance RA11d (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)+C (B !A))+D (C B))"))
)
(instance (rename wb_adr_cnst_sn_m2_0_a3 "wb_adr_cnst_sn.m2_0_a3") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D (!C (!B !A)))"))
)
(instance IS_0_sqmuxa_0_o2_0 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(C+(!B+!A)))"))
)
(instance un1_nRCAS_6_sqmuxa_i_o2 (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(C+(B+A))"))
)
(instance (rename RowAd_3 "RowAd[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RowAd_0 "RowAd[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RowAd_1 "RowAd[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RowAd_2 "RowAd[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RowAd_7 "RowAd[7]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RowAd_4 "RowAd[4]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RowAd_5 "RowAd[5]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+A)"))
)
(instance (rename RowAd_6 "RowAd[6]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RBAd_1 "RBAd[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RowAd_8 "RowAd[8]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename RowAd_9 "RowAd[9]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!B+A)"))
)
(instance (rename RBAd_0 "RBAd[0]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(B A)"))
)
(instance (rename wb_dati_5_1_iv_0_o3_2 "wb_dati_5_1_iv_0_o3[2]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C A+C (B+A))"))
)
(instance nRRAS_5_u_i_0_RNILD5I (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (!B !A)+C !A)+D (C !A))"))
)
(instance (rename wb_dati_5_1_iv_0_a3_1 "wb_dati_5_1_iv_0_a3[1]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (C (B A)))"))
)
(instance (rename wb_dati_5_1_iv_0_a2_0_3 "wb_dati_5_1_iv_0_a2_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!C (B !A))"))
)
(instance un1_CMDWR (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(!D (!C (B A)+C B)+D B)"))
)
(instance (rename wb_dati_5_1_iv_0_3 "wb_dati_5_1_iv_0[3]") (viewRef PRIM (cellRef ORCALUT4 (libraryRef LUCENT)))
(property lut_function (string "(D+(!C A+C (B+A)))"))
)
(instance (rename FS_s_0_17 "FS_s_0[17]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x5002"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_15 "FS_cry_0[15]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_13 "FS_cry_0[13]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_11 "FS_cry_0[11]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_9 "FS_cry_0[9]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_7 "FS_cry_0[7]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_5 "FS_cry_0[5]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_3 "FS_cry_0[3]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_1 "FS_cry_0[1]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance (rename FS_cry_0_0 "FS_cry_0[0]") (viewRef PRIM (cellRef CCU2D (libraryRef LUCENT)))
(property INIT0 (string "0x300A"))
(property INJECT1_1 (string "NO"))
(property INJECT1_0 (string "NO"))
(property INIT1 (string "0x300A"))
)
(instance ufmefb (viewRef netlist (cellRef REFB))
)
(net un1_wb_cyc_stb_1_sqmuxa_0 (joined
(portRef Z (instanceRef un1_wb_cyc_stb_1_sqmuxa_0))
(portRef D (instanceRef wb_cyc_stb))
))
(net un1_ADWR (joined
(portRef Z (instanceRef un1_ADWR))
(portRef B (instanceRef C1Submitted_s))
(portRef B (instanceRef ADSubmitted_r))
))
(net wb_rst (joined
(portRef Q (instanceRef wb_rst))
(portRef wb_rst (instanceRef ufmefb))
(portRef C (instanceRef wb_rste))
))
(net wb_cyc_stb (joined
(portRef Q (instanceRef wb_cyc_stb))
(portRef wb_cyc_stb (instanceRef ufmefb))
))
(net wb_we (joined
(portRef Q (instanceRef wb_we))
(portRef wb_we (instanceRef ufmefb))
(portRef D (instanceRef wb_dati_5_0_iv_0_0))
))
(net (rename wb_adr_0 "wb_adr[0]") (joined
(portRef Q (instanceRef wb_adr_0))
(portRef (member wb_adr 7) (instanceRef ufmefb))
(portRef C (instanceRef wb_adr_5_1))
))
(net (rename wb_adr_1 "wb_adr[1]") (joined
(portRef Q (instanceRef wb_adr_1))
(portRef (member wb_adr 6) (instanceRef ufmefb))
(portRef B (instanceRef wb_adr_5_2))
))
(net (rename wb_adr_2 "wb_adr[2]") (joined
(portRef Q (instanceRef wb_adr_2))
(portRef (member wb_adr 5) (instanceRef ufmefb))
(portRef B (instanceRef wb_adr_5_3))
))
(net (rename wb_adr_3 "wb_adr[3]") (joined
(portRef Q (instanceRef wb_adr_3))
(portRef (member wb_adr 4) (instanceRef ufmefb))
(portRef B (instanceRef wb_adr_5_4))
))
(net (rename wb_adr_4 "wb_adr[4]") (joined
(portRef Q (instanceRef wb_adr_4))
(portRef (member wb_adr 3) (instanceRef ufmefb))
(portRef B (instanceRef wb_adr_5_5))
))
(net (rename wb_adr_5 "wb_adr[5]") (joined
(portRef Q (instanceRef wb_adr_5))
(portRef (member wb_adr 2) (instanceRef ufmefb))
(portRef B (instanceRef wb_adr_5_6))
))
(net (rename wb_adr_6 "wb_adr[6]") (joined
(portRef Q (instanceRef wb_adr_6))
(portRef (member wb_adr 1) (instanceRef ufmefb))
(portRef B (instanceRef wb_adr_5_7))
))
(net (rename wb_adr_7 "wb_adr[7]") (joined
(portRef Q (instanceRef wb_adr_7))
(portRef (member wb_adr 0) (instanceRef ufmefb))
))
(net (rename wb_dati_0 "wb_dati[0]") (joined
(portRef Q (instanceRef wb_dati_0))
(portRef (member wb_dati 7) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_5_1_iv_0_0_1))
))
(net (rename wb_dati_1 "wb_dati[1]") (joined
(portRef Q (instanceRef wb_dati_1))
(portRef (member wb_dati 6) (instanceRef ufmefb))
(portRef D (instanceRef wb_dati_5_1_iv_0_2))
))
(net (rename wb_dati_2 "wb_dati[2]") (joined
(portRef Q (instanceRef wb_dati_2))
(portRef (member wb_dati 5) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_5_1_iv_0_0_3))
))
(net (rename wb_dati_3 "wb_dati[3]") (joined
(portRef Q (instanceRef wb_dati_3))
(portRef (member wb_dati 4) (instanceRef ufmefb))
(portRef C (instanceRef wb_dati_5_1_iv_0_4))
))
(net (rename wb_dati_4 "wb_dati[4]") (joined
(portRef Q (instanceRef wb_dati_4))
(portRef (member wb_dati 3) (instanceRef ufmefb))
(portRef D (instanceRef wb_dati_5_1_iv_0_5))
))
(net (rename wb_dati_5 "wb_dati[5]") (joined
(portRef Q (instanceRef wb_dati_5))
(portRef (member wb_dati 2) (instanceRef ufmefb))
(portRef D (instanceRef wb_dati_5_1_iv_0_1_6))
))
(net (rename wb_dati_6 "wb_dati[6]") (joined
(portRef Q (instanceRef wb_dati_6))
(portRef (member wb_dati 1) (instanceRef ufmefb))
(portRef D (instanceRef wb_dati_5_1_iv_0_1_7))
))
(net (rename wb_dati_7 "wb_dati[7]") (joined
(portRef Q (instanceRef wb_dati_7))
(portRef (member wb_dati 0) (instanceRef ufmefb))
(portRef C (instanceRef wb_adr_5_0))
))
(net (rename wb_dato_0 "wb_dato[0]") (joined
(portRef (member wb_dato 1) (instanceRef ufmefb))
(portRef C (instanceRef n8MEGEN_6))
))
(net (rename wb_dato_1 "wb_dato[1]") (joined
(portRef (member wb_dato 0) (instanceRef ufmefb))
(portRef C (instanceRef LEDEN_6))
))
(net wb_ack (joined
(portRef wb_ack (instanceRef ufmefb))
(portRef A (instanceRef wb_cyc_stb_RNO_1))
))
(net CBR (joined
(portRef Q (instanceRef CBR))
(portRef A (instanceRef nRCAS_0io_RNO_0))
(portRef A (instanceRef RCKEEN_8_u))
(portRef B (instanceRef nRowColSel_0_0_a3_0))
(portRef A (instanceRef LED_pad_RNO))
))
(net InitReady (joined
(portRef Q (instanceRef InitReady))
(portRef InitReady (instanceRef ufmefb))
(portRef B (instanceRef IS_0_sqmuxa_0_o2_0))
(portRef A (instanceRef wb_adr_cnst_sn_m2_0_a3))
(portRef D (instanceRef CmdUFMShift_fast_RNIG9JD1))
(portRef A (instanceRef wb_adr_5_7))
(portRef A (instanceRef wb_adr_5_3))
(portRef A (instanceRef wb_adr_5_2))
(portRef B (instanceRef n8MEGEN_6))
(portRef B (instanceRef LEDEN_6))
(portRef D (instanceRef wb_rst10))
(portRef C (instanceRef un1_wb_cyc_stb_1_sqmuxa_0_a3))
(portRef A (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3))
(portRef A (instanceRef Ready_0_sqmuxa_0_a3))
(portRef B (instanceRef PHI2r3_RNIS5A51))
(portRef A (instanceRef wb_adr_5_4))
(portRef A (instanceRef wb_adr_5_5))
(portRef A (instanceRef wb_adr_5_6))
(portRef A (instanceRef wb_dati_5_1_iv_0_1_7))
(portRef A (instanceRef wb_dati_5_1_iv_0_0_1))
(portRef A (instanceRef wb_dati_5_0_iv_0_0))
(portRef B (instanceRef CmdValid_fast_RNITQBM1))
(portRef A (instanceRef wb_dati_5_1_iv_0_0_3))
(portRef A (instanceRef wb_dati_5_1_iv_0_1_6))
(portRef B (instanceRef wb_we_0))
(portRef A (instanceRef wb_adr_5_1))
(portRef A (instanceRef wb_dati_5_1_iv_0_2))
(portRef A (instanceRef wb_dati_5_1_iv_0_5))
(portRef A (instanceRef wb_dati_5_1_iv_0_4))
(portRef A (instanceRef wb_adr_5_0))
(portRef B (instanceRef InitReady_RNO))
(portRef C0 (instanceRef wb_cyc_stb_RNO))
(portRef D (instanceRef Ready_RNO))
(portRef C (instanceRef RCKEEN_8_u_RNO))
))
(net C1Submitted (joined
(portRef Q (instanceRef C1Submitted))
(portRef A (instanceRef C1Submitted_s))
(portRef D (instanceRef CmdEnable_s_am))
))
(net (rename Bank_2 "Bank[2]") (joined
(portRef Q (instanceRef Bank_0io_2))
(portRef A (instanceRef un1_Bank_1_4))
))
(net Ready (joined
(portRef Q (instanceRef Ready))
(portRef D (instanceRef nRCS_0io_RNO))
(portRef C (instanceRef nRWE_0io_RNO_1))
(portRef D (instanceRef nRWE_0io_RNO))
(portRef D (instanceRef RCKEEN_8_u))
(portRef D (instanceRef nRowColSel_0_0_a3_0))
(portRef D (instanceRef nRRAS_5_u_i_0))
(portRef C (instanceRef Ready_0_sqmuxa_0_a3))
(portRef C (instanceRef nRowColSel_0_0))
(portRef D (instanceRef nRCAS_0_sqmuxa_1_0_a3))
(portRef B (instanceRef IS_0_sqmuxa_0_o2_0_RNIS63D))
(portRef C (instanceRef un1_nRCAS_6_sqmuxa_i_0))
(portRef D (instanceRef RA10_0io_RNO))
(portRef C (instanceRef IS_RNO_0))
(portRef A (instanceRef Ready_RNO))
(portRef A (instanceRef RCKEEN_8_u_RNO))
(portRef A (instanceRef S_RNICVV51_0))
))
(net n8MEGEN (joined
(portRef Q (instanceRef n8MEGEN))
(portRef D (instanceRef RA11d))
(portRef C (instanceRef Cmdn8MEGEN_4_u))
))
(net CO0 (joined
(portRef Q (instanceRef S_0))
(portRef D (instanceRef IS_0_sqmuxa_0_o2_0))
(portRef C (instanceRef nRWE_0io_RNO_2))
(portRef B (instanceRef RCKEEN_8_u_1_0))
(portRef A (instanceRef S_0_i_o2_1))
(portRef A (instanceRef Ready_0_sqmuxa_0_a3_2))
(portRef A (instanceRef S_RNO_0))
(portRef C (instanceRef nRCAS_r_i_a3_1_1_tz))
(portRef A (instanceRef nRowColSel_0_0))
(portRef C (instanceRef S_RNICVV51_0))
))
(net (rename S_1 "S[1]") (joined
(portRef Q (instanceRef S_1))
(portRef C (instanceRef IS_0_sqmuxa_0_o2_0))
(portRef C (instanceRef nRCAS_0io_RNO_0))
(portRef D (instanceRef nRCAS_0io_RNO))
(portRef C (instanceRef nRCS_0io_RNO_0))
(portRef D (instanceRef nRWE_0io_RNO_2))
(portRef D (instanceRef RCKEEN_8_u_1_0))
(portRef B (instanceRef S_0_i_o2_1))
(portRef D (instanceRef Ready_0_sqmuxa_0_a3_2))
(portRef B (instanceRef S_RNO_0))
(portRef D (instanceRef nRowColSel_0_0))
(portRef D (instanceRef un1_nRCAS_6_sqmuxa_i_0))
(portRef B (instanceRef S_RNICVV51_0))
))
(net RASr2 (joined
(portRef Q (instanceRef RASr2))
(portRef A (instanceRef IS_0_sqmuxa_0_o2_0))
(portRef A (instanceRef nRWE_0io_RNO_3))
(portRef C (instanceRef Ready_0_sqmuxa_0_a3_2))
(portRef B (instanceRef RCKE_2_0))
(portRef B (instanceRef nRRAS_5_u_i_0))
(portRef C (instanceRef nRCAS_0_sqmuxa_1_0_a3))
(portRef D (instanceRef RASr3))
(portRef B (instanceRef RCKEEN_8_u_RNO))
(portRef A (instanceRef RASr2_RNIAFR1))
))
(net (rename FS_14 "FS[14]") (joined
(portRef Q (instanceRef FS_14))
(portRef A1 (instanceRef FS_cry_0_13))
(portRef C (instanceRef wb_dati_5_1_iv_0_a2_0_3))
(portRef A (instanceRef wb_rste))
(portRef D (instanceRef un1_FS_40_1_0_1))
(portRef B (instanceRef wb_we95_0_0))
(portRef D (instanceRef wb_dati_5_1_iv_0_a3_3_0_7))
(portRef C (instanceRef FS_RNIVOOA_14))
(portRef C (instanceRef wb_dati_5_0_iv_0_a3_1_0))
(portRef B (instanceRef InitReady3_0_a3))
(portRef B (instanceRef un1_FS_29))
(portRef D (instanceRef un1_FS_40_1_1_tz))
(portRef B (instanceRef wb_we113_i_0))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_2_0_1))
(portRef A (instanceRef wb_dati_5_1_iv_0_a2_4))
(portRef B (instanceRef un1_FS_40_1_a6))
(portRef D (instanceRef wb_dati_5_1_iv_0_o3_1))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_2))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_1_7))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_4))
(portRef A (instanceRef wb_dati_5_1_iv_0_RNO_7))
))
(net FWEr (joined
(portRef Q (instanceRef FWEr))
(portRef B (instanceRef nRWE_0io_RNO_0))
(portRef C (instanceRef RCKEEN_8_u_1_0))
(portRef C (instanceRef nRowColSel_0_0_a3_0))
))
(net CASr3 (joined
(portRef Q (instanceRef CASr3))
(portRef B (instanceRef nRWE_0io_RNO_2))
(portRef A (instanceRef nRowColSel_0_0_a3_0))
(portRef B (instanceRef nRCAS_r_i_a3_1_1_tz))
))
(net (rename IS_0 "IS[0]") (joined
(portRef Q (instanceRef IS_0))
(portRef D (instanceRef nRRAS_5_u_i_0_RNILD5I))
(portRef A (instanceRef IS_n1_0_x2))
(portRef A (instanceRef Ready_0_sqmuxa_0_o2))
(portRef A (instanceRef IS_RNO_2))
(portRef A (instanceRef nRRAS_5_u_i))
(portRef A (instanceRef RA10_0io_RNO))
(portRef A (instanceRef IS_RNO_0))
(portRef D (instanceRef IS_RNO_3))
(portRef B (instanceRef nRWE_0io_RNO_4))
))
(net (rename IS_3 "IS[3]") (joined
(portRef Q (instanceRef IS_3))
(portRef A (instanceRef un1_nRCAS_6_sqmuxa_i_o2))
(portRef B (instanceRef Ready_0_sqmuxa_0_a3_2))
(portRef B (instanceRef RA10_0io_RNO))
(portRef A (instanceRef IS_RNO_3))
))
(net (rename IS_1 "IS[1]") (joined
(portRef Q (instanceRef IS_1))
(portRef C (instanceRef un1_nRCAS_6_sqmuxa_i_o2))
(portRef A (instanceRef RA10_2_sqmuxa_0_o2))
(portRef B (instanceRef IS_n1_0_x2))
(portRef B (instanceRef Ready_0_sqmuxa_0_o2))
(portRef B (instanceRef IS_RNO_2))
(portRef C (instanceRef IS_RNO_3))
(portRef D (instanceRef nRWE_0io_RNO_4))
))
(net (rename IS_2 "IS[2]") (joined
(portRef Q (instanceRef IS_2))
(portRef B (instanceRef un1_nRCAS_6_sqmuxa_i_o2))
(portRef B (instanceRef RA10_2_sqmuxa_0_o2))
(portRef C (instanceRef Ready_0_sqmuxa_0_o2))
(portRef C (instanceRef IS_RNO_2))
(portRef B (instanceRef IS_RNO_3))
(portRef C (instanceRef nRWE_0io_RNO_4))
))
(net (rename FS_15 "FS[15]") (joined
(portRef Q (instanceRef FS_15))
(portRef A0 (instanceRef FS_cry_0_15))
(portRef D (instanceRef wb_adr_cnst_sn_m2_0_a3))
(portRef B (instanceRef InitReady3_0_a3_2))
(portRef A (instanceRef wb_rst10))
(portRef A (instanceRef un1_FS_11))
))
(net (rename FS_16 "FS[16]") (joined
(portRef Q (instanceRef FS_16))
(portRef A1 (instanceRef FS_cry_0_15))
(portRef C (instanceRef wb_adr_cnst_sn_m2_0_a3))
(portRef C (instanceRef InitReady3_0_a3_2))
(portRef B (instanceRef wb_rst10))
(portRef B (instanceRef un1_FS_11))
))
(net (rename FS_17 "FS[17]") (joined
(portRef Q (instanceRef FS_17))
(portRef A0 (instanceRef FS_s_0_17))
(portRef B (instanceRef wb_adr_cnst_sn_m2_0_a3))
(portRef D (instanceRef InitReady3_0_a3_2))
(portRef C (instanceRef wb_rst10))
(portRef C (instanceRef un1_FS_11))
))
(net (rename FS_0 "FS[0]") (joined
(portRef Q (instanceRef FS_0))
(portRef A1 (instanceRef FS_cry_0_0))
(portRef A (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_o3))
(portRef A (instanceRef un1_wb_cyc_stb_1_sqmuxa_0_a3_0_2))
))
(net (rename FS_4 "FS[4]") (joined
(portRef Q (instanceRef FS_4))
(portRef A1 (instanceRef FS_cry_0_3))
(portRef B (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_2))
))
(net (rename FS_6 "FS[6]") (joined
(portRef Q (instanceRef FS_6))
(portRef A1 (instanceRef FS_cry_0_5))
(portRef B (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_1))
))
(net (rename FS_8 "FS[8]") (joined
(portRef Q (instanceRef FS_8))
(portRef A1 (instanceRef FS_cry_0_7))
(portRef C (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_1))
))
(net (rename FS_7 "FS[7]") (joined
(portRef Q (instanceRef FS_7))
(portRef A0 (instanceRef FS_cry_0_7))
(portRef B (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_2_1))
))
(net (rename FS_3 "FS[3]") (joined
(portRef Q (instanceRef FS_3))
(portRef A0 (instanceRef FS_cry_0_3))
(portRef A (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_2_1))
))
(net (rename FS_2 "FS[2]") (joined
(portRef Q (instanceRef FS_2))
(portRef A1 (instanceRef FS_cry_0_1))
(portRef A (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_2))
))
(net (rename FS_5 "FS[5]") (joined
(portRef Q (instanceRef FS_5))
(portRef A0 (instanceRef FS_cry_0_5))
(portRef C (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_2))
))
(net (rename FS_1 "FS[1]") (joined
(portRef Q (instanceRef FS_1))
(portRef A0 (instanceRef FS_cry_0_1))
(portRef A (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_1))
))
(net PHI2r2 (joined
(portRef Q (instanceRef PHI2r2))
(portRef A (instanceRef PHI2r3_RNIFT0I))
(portRef A (instanceRef un1_PHI2r3_0))
(portRef C (instanceRef PHI2r3_RNIS5A51))
(portRef D (instanceRef PHI2r3))
))
(net CmdUFMShift (joined
(portRef Q (instanceRef CmdUFMShift))
(portRef A (instanceRef un1_wb_cyc_stb_1_sqmuxa_0_a3))
(portRef A (instanceRef CmdUFMShift_3_u))
(portRef B (instanceRef wb_cyc_stb_RNO_0))
))
(net (rename FS_9 "FS[9]") (joined
(portRef Q (instanceRef FS_9))
(portRef A0 (instanceRef FS_cry_0_9))
(portRef D (instanceRef wb_dati_5_1_iv_0_a3_1))
(portRef A (instanceRef un1_FS_40_1_0))
(portRef A (instanceRef un1_FS_40_1_0_1))
(portRef A (instanceRef un1_FS_21_1_i))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_0_0_6))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_3_0_7))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_1_1_4))
(portRef A (instanceRef wb_dati_5_1_iv_0_m3_7))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_0_0_0_1))
(portRef A (instanceRef un1_FS_40_1_o6))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_0_0_3))
(portRef A (instanceRef wb_dati_5_1_iv_0_a2_0_4))
(portRef A (instanceRef un1_FS_40_1_1_1))
(portRef A (instanceRef FS_RNI3V8E_9))
))
(net (rename FS_10 "FS[10]") (joined
(portRef Q (instanceRef FS_10))
(portRef A1 (instanceRef FS_cry_0_9))
(portRef B (instanceRef un1_FS_40_1_0))
(portRef B (instanceRef un1_FS_21_1_i))
(portRef A (instanceRef wb_we113_i_a2))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_1_1_4))
(portRef A (instanceRef wb_dati_5_1_iv_0_a2_7))
(portRef B (instanceRef wb_dati_5_1_iv_0_m3_7))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_0_0_0_1))
(portRef B (instanceRef un1_FS_40_1_o6))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_0_0_3))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_3_7))
(portRef A (instanceRef wb_dati_5_1_iv_0_o3_1))
(portRef B (instanceRef un1_FS_40_1_1_1))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_6))
(portRef D (instanceRef FS_RNI3V8E_9))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_RNO_4))
))
(net (rename FS_11 "FS[11]") (joined
(portRef Q (instanceRef FS_11))
(portRef A0 (instanceRef FS_cry_0_11))
(portRef A (instanceRef wb_dati_5_1_iv_0_a2_0_3))
(portRef B (instanceRef un1_FS_40_1_0_1))
(portRef A (instanceRef un1_FS_22_1_i))
(portRef B (instanceRef wb_we113_i_a2))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_0_0_6))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_3_0_7))
(portRef B (instanceRef wb_dati_5_1_iv_0_a2_7))
(portRef C (instanceRef un1_FS_40_1_o6))
(portRef A (instanceRef un1_FS_40_1_1_tz))
(portRef A (instanceRef wb_we95))
(portRef B (instanceRef wb_dati_5_1_iv_0_o3_1))
(portRef A (instanceRef un1_wb_we95_1))
(portRef C (instanceRef FS_RNI3V8E_9))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_RNO_4))
))
(net (rename FS_12 "FS[12]") (joined
(portRef Q (instanceRef FS_12))
(portRef A1 (instanceRef FS_cry_0_11))
(portRef C (instanceRef un1_FS_40_1_0_1))
(portRef B (instanceRef un1_FS_22_1_i))
(portRef A (instanceRef InitReady3_0_a3_2))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_1_1_4))
(portRef C (instanceRef wb_dati_5_1_iv_0_a2_7))
(portRef A (instanceRef FS_RNIVOOA_14))
(portRef C (instanceRef wb_dati_5_1_iv_0_m3_7))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_0_0_0_1))
(portRef A (instanceRef wb_dati_5_0_iv_0_a3_1_0))
(portRef D (instanceRef un1_FS_40_1_o6))
(portRef B (instanceRef un1_FS_40_1_1_tz))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_0_0_3))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_3_7))
(portRef B (instanceRef wb_we95))
(portRef C (instanceRef wb_dati_5_1_iv_0_o3_1))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_6))
(portRef B (instanceRef un1_wb_we95_1))
(portRef B (instanceRef FS_RNI3V8E_9))
(portRef D (instanceRef wb_dati_5_1_iv_0_RNO_7))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_RNO_4))
))
(net (rename FS_13 "FS[13]") (joined
(portRef Q (instanceRef FS_13))
(portRef A0 (instanceRef FS_cry_0_13))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_1))
(portRef C (instanceRef un1_FS_40_1_0))
(portRef A (instanceRef wb_we95_0_0))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_0_0_6))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_3_0_7))
(portRef D (instanceRef wb_dati_5_1_iv_0_a3_1_1_4))
(portRef B (instanceRef FS_RNIVOOA_14))
(portRef D (instanceRef wb_dati_5_1_iv_0_a3_0_0_0_1))
(portRef B (instanceRef wb_dati_5_0_iv_0_a3_1_0))
(portRef A (instanceRef InitReady3_0_a3))
(portRef A (instanceRef un1_FS_29))
(portRef C (instanceRef un1_FS_40_1_1_tz))
(portRef A (instanceRef wb_we113_i_0))
(portRef D (instanceRef wb_dati_5_1_iv_0_a3_0_0_3))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_2_0_1))
(portRef A (instanceRef un1_FS_40_1_a6))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_2))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_1_7))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_4))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_7))
(portRef B (instanceRef wb_dati_5_1_iv_0_RNO_7))
))
(net CASr2 (joined
(portRef Q (instanceRef CASr2))
(portRef A (instanceRef nRWE_0io_RNO_2))
(portRef A (instanceRef RCKEEN_8_u_1_0))
(portRef A (instanceRef nRCAS_r_i_a3_1_1_tz))
(portRef D (instanceRef CASr3))
))
(net CASr (joined
(portRef Q (instanceRef CASr))
(portRef D (instanceRef CASr2))
))
(net PHI2r (joined
(portRef Q (instanceRef PHI2r_0io))
(portRef D (instanceRef PHI2r2))
))
(net RASr (joined
(portRef Q (instanceRef RASr))
(portRef A (instanceRef RCKE_2_0))
(portRef D (instanceRef RASr2))
))
(net (rename Bank_0 "Bank[0]") (joined
(portRef Q (instanceRef Bank_0io_0))
(portRef A (instanceRef un1_Bank_1_3))
))
(net (rename Bank_1 "Bank[1]") (joined
(portRef Q (instanceRef Bank_0io_1))
(portRef B (instanceRef un1_Bank_1_3))
))
(net (rename Bank_3 "Bank[3]") (joined
(portRef Q (instanceRef Bank_0io_3))
(portRef A (instanceRef un1_Bank_1))
))
(net (rename Bank_4 "Bank[4]") (joined
(portRef Q (instanceRef Bank_0io_4))
(portRef B (instanceRef un1_Bank_1))
))
(net (rename Bank_5 "Bank[5]") (joined
(portRef Q (instanceRef Bank_0io_5))
(portRef B (instanceRef un1_Bank_1_4))
))
(net (rename Bank_6 "Bank[6]") (joined
(portRef Q (instanceRef Bank_0io_6))
(portRef C (instanceRef un1_Bank_1_4))
))
(net (rename Bank_7 "Bank[7]") (joined
(portRef Q (instanceRef Bank_0io_7))
(portRef D (instanceRef un1_Bank_1_4))
))
(net (rename RowA_0 "RowA[0]") (joined
(portRef Q (instanceRef RowA_0))
(portRef B (instanceRef un9_RA_0))
))
(net (rename RowA_1 "RowA[1]") (joined
(portRef Q (instanceRef RowA_1))
(portRef B (instanceRef un9_RA_1))
))
(net (rename RowA_2 "RowA[2]") (joined
(portRef Q (instanceRef RowA_2))
(portRef B (instanceRef un9_RA_2))
))
(net (rename RowA_3 "RowA[3]") (joined
(portRef Q (instanceRef RowA_3))
(portRef B (instanceRef un9_RA_3))
))
(net (rename RowA_4 "RowA[4]") (joined
(portRef Q (instanceRef RowA_4))
(portRef B (instanceRef un9_RA_4))
))
(net (rename RowA_5 "RowA[5]") (joined
(portRef Q (instanceRef RowA_5))
(portRef B (instanceRef un9_RA_5))
))
(net (rename RowA_6 "RowA[6]") (joined
(portRef Q (instanceRef RowA_6))
(portRef B (instanceRef un9_RA_6))
))
(net (rename RowA_7 "RowA[7]") (joined
(portRef Q (instanceRef RowA_7))
(portRef B (instanceRef un9_RA_7))
))
(net (rename RowA_8 "RowA[8]") (joined
(portRef Q (instanceRef RowA_8))
(portRef B (instanceRef un9_RA_8))
))
(net (rename RowA_9 "RowA[9]") (joined
(portRef Q (instanceRef RowA_9))
(portRef B (instanceRef un9_RA_9))
))
(net (rename WRD_0 "WRD[0]") (joined
(portRef Q (instanceRef WRD_0io_0))
(portRef I (instanceRef RD_pad_0))
))
(net (rename WRD_1 "WRD[1]") (joined
(portRef Q (instanceRef WRD_0io_1))
(portRef I (instanceRef RD_pad_1))
))
(net (rename WRD_2 "WRD[2]") (joined
(portRef Q (instanceRef WRD_0io_2))
(portRef I (instanceRef RD_pad_2))
))
(net (rename WRD_3 "WRD[3]") (joined
(portRef Q (instanceRef WRD_0io_3))
(portRef I (instanceRef RD_pad_3))
))
(net (rename WRD_4 "WRD[4]") (joined
(portRef Q (instanceRef WRD_0io_4))
(portRef I (instanceRef RD_pad_4))
))
(net (rename WRD_5 "WRD[5]") (joined
(portRef Q (instanceRef WRD_0io_5))
(portRef I (instanceRef RD_pad_5))
))
(net (rename WRD_6 "WRD[6]") (joined
(portRef Q (instanceRef WRD_0io_6))
(portRef I (instanceRef RD_pad_6))
))
(net (rename WRD_7 "WRD[7]") (joined
(portRef Q (instanceRef WRD_0io_7))
(portRef I (instanceRef RD_pad_7))
))
(net nRowColSel (joined
(portRef Q (instanceRef nRowColSel))
(portRef B (instanceRef RDQMH))
(portRef B (instanceRef RDQML))
(portRef C (instanceRef un9_RA_8))
(portRef C (instanceRef un9_RA_9))
(portRef C (instanceRef un9_RA_7))
(portRef C (instanceRef un9_RA_6))
(portRef C (instanceRef un9_RA_5))
(portRef C (instanceRef un9_RA_4))
(portRef C (instanceRef un9_RA_3))
(portRef C (instanceRef un9_RA_2))
(portRef C (instanceRef un9_RA_1))
(portRef C (instanceRef un9_RA_0))
))
(net CmdUFMWrite (joined
(portRef Q (instanceRef CmdUFMWrite))
(portRef A (instanceRef CmdUFMWrite_3_u))
(portRef D (instanceRef wb_cyc_stb_RNO_0))
))
(net RASr3 (joined
(portRef Q (instanceRef RASr3))
(portRef C (instanceRef RCKE_2_0))
))
(net LEDEN (joined
(portRef Q (instanceRef LEDEN))
(portRef B (instanceRef LED_pad_RNO))
(portRef A (instanceRef CmdLEDEN_4_u))
(portRef A (instanceRef XOR8MEG_3_u_0_bm))
))
(net CmdLEDEN (joined
(portRef Q (instanceRef CmdLEDEN))
(portRef A (instanceRef LEDEN_6))
(portRef A (instanceRef CmdLEDEN_4_0))
))
(net n8MEGEN_6 (joined
(portRef Z (instanceRef n8MEGEN_6))
(portRef D (instanceRef n8MEGEN))
))
(net Cmdn8MEGEN (joined
(portRef Q (instanceRef Cmdn8MEGEN))
(portRef A (instanceRef n8MEGEN_6))
(portRef A (instanceRef Cmdn8MEGEN_4_0))
))
(net PHI2r3 (joined
(portRef Q (instanceRef PHI2r3))
(portRef B (instanceRef PHI2r3_RNIFT0I))
(portRef B (instanceRef un1_PHI2r3_0))
(portRef D (instanceRef PHI2r3_RNIS5A51))
))
(net CmdValid (joined
(portRef Q (instanceRef CmdValid))
(portRef B (instanceRef un1_wb_cyc_stb_1_sqmuxa_0_a3))
(portRef A (instanceRef PHI2r3_RNIS5A51))
(portRef A (instanceRef wb_cyc_stb_RNO_0))
))
(net CmdUFMData (joined
(portRef Q (instanceRef CmdUFMData))
(portRef A (instanceRef wb_we_0))
))
(net wb_rst10 (joined
(portRef Z (instanceRef wb_rst10))
(portRef D (instanceRef wb_rste))
(portRef CD (instanceRef wb_cyc_stb))
(portRef CD (instanceRef wb_req))
(portRef CD (instanceRef wb_we))
))
(net InitReady3 (joined
(portRef Z (instanceRef InitReady3_0_a3))
(portRef A (instanceRef InitReady_RNO))
))
(net RCKEEN (joined
(portRef Q (instanceRef RCKEEN))
(portRef D (instanceRef RCKE_2_0))
))
(net XOR8MEG (joined
(portRef Q (instanceRef XOR8MEG))
(portRef C (instanceRef RA11d))
(portRef A (instanceRef XOR8MEG_3_u_0_am))
))
(net nRRAS_0_sqmuxa (joined
(portRef Z (instanceRef S_RNICVV51_0))
(portRef C (instanceRef nRWE_0io_RNO_3))
(portRef CD (instanceRef nRowColSel))
))
(net wb_req (joined
(portRef Q (instanceRef wb_req))
(portRef C (instanceRef wb_reqe))
(portRef B (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_o3))
(portRef C (instanceRef un1_wb_cyc_stb_1_sqmuxa_0_a3_0_2))
))
(net Ready_0_sqmuxa (joined
(portRef Z (instanceRef Ready_0_sqmuxa_0_a3))
(portRef A (instanceRef Ready_fast_RNO))
))
(net RCKE_2 (joined
(portRef Z (instanceRef RCKE_2_0))
(portRef D (instanceRef RCKE))
))
(net nRCAS_0_sqmuxa_1 (joined
(portRef Z (instanceRef nRCAS_0_sqmuxa_1_0_a3))
(portRef B (instanceRef nRCAS_0io_RNO))
(portRef C (instanceRef nRWE_0io_RNO))
))
(net XOR8MEG18 (joined
(portRef Z (instanceRef XOR8MEG18))
(portRef A (instanceRef CmdValid_r_fast))
(portRef A (instanceRef CmdValid_r))
(portRef SP (instanceRef CmdLEDEN))
(portRef SP (instanceRef CmdUFMShift))
(portRef SP (instanceRef CmdUFMShift_fast))
(portRef SP (instanceRef CmdUFMWrite))
(portRef SP (instanceRef Cmdn8MEGEN))
(portRef SP (instanceRef XOR8MEG))
))
(net CmdEnable (joined
(portRef Q (instanceRef CmdEnable))
(portRef C (instanceRef XOR8MEG18))
(portRef B (instanceRef CmdEnable_s_am))
(portRef A (instanceRef CmdEnable_s_bm))
(portRef B (instanceRef CmdUFMData_RNO))
))
(net CmdEnable16 (joined
(portRef Z (instanceRef CmdEnable16))
(portRef C (instanceRef C1Submitted_s))
(portRef D (instanceRef ADSubmitted_r))
(portRef C0 (instanceRef CmdEnable_s))
))
(net CmdEnable17 (joined
(portRef Z (instanceRef CmdEnable17))
(portRef C (instanceRef ADSubmitted_r))
(portRef A (instanceRef CmdEnable_s_am))
))
(net CmdUFMData_1_sqmuxa (joined
(portRef Z (instanceRef CmdUFMData_RNO))
(portRef SP (instanceRef CmdUFMData))
))
(net ADSubmitted (joined
(portRef Q (instanceRef ADSubmitted))
(portRef A (instanceRef ADSubmitted_r))
(portRef B (instanceRef CmdEnable_s_bm))
))
(net (rename wb_dati_5_6 "wb_dati_5[6]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_6))
(portRef D (instanceRef wb_dati_6))
))
(net CmdValid_r (joined
(portRef Z (instanceRef CmdValid_r))
(portRef D (instanceRef CmdValid))
))
(net C1Submitted_s (joined
(portRef Z (instanceRef C1Submitted_s))
(portRef D (instanceRef C1Submitted))
))
(net ADSubmitted_r (joined
(portRef Z (instanceRef ADSubmitted_r))
(portRef D (instanceRef ADSubmitted))
))
(net CmdEnable_s (joined
(portRef Z (instanceRef CmdEnable_s))
(portRef D (instanceRef CmdEnable))
))
(net wb_we_0 (joined
(portRef Z (instanceRef wb_we_0))
(portRef D (instanceRef wb_we))
))
(net nRowColSel_0_0 (joined
(portRef Z (instanceRef nRowColSel_0_0))
(portRef D (instanceRef nRowColSel))
))
(net CmdLEDEN_4 (joined
(portRef Z (instanceRef CmdLEDEN_4_u))
(portRef D (instanceRef CmdLEDEN))
))
(net CmdUFMShift_3 (joined
(portRef Z (instanceRef CmdUFMShift_3_u))
(portRef D (instanceRef CmdUFMShift))
))
(net CmdUFMWrite_3 (joined
(portRef Z (instanceRef CmdUFMWrite_3_u))
(portRef D (instanceRef CmdUFMWrite))
))
(net Cmdn8MEGEN_4 (joined
(portRef Z (instanceRef Cmdn8MEGEN_4_u))
(portRef D (instanceRef Cmdn8MEGEN))
))
(net RCKEEN_8 (joined
(portRef Z (instanceRef RCKEEN_8_u))
(portRef D (instanceRef RCKEEN))
))
(net N_25 (joined
(portRef Z (instanceRef nRRAS_5_u_i))
(portRef B (instanceRef nRCS_0io_RNO_0))
))
(net N_39 (joined
(portRef Z (instanceRef un1_nRCAS_6_sqmuxa_i_0))
(portRef B (instanceRef nRCAS_0io_RNO_0))
))
(net N_41 (joined
(portRef Z (instanceRef S_0_i_o2_1))
(portRef A (instanceRef nRRAS_5_u_i_0))
(portRef B (instanceRef nRCAS_0_sqmuxa_1_0_a3))
(portRef D (instanceRef S_1))
(portRef D (instanceRef RCKEEN_8_u_RNO))
))
(net IS_n1_0_x2 (joined
(portRef Z (instanceRef IS_n1_0_x2))
(portRef D (instanceRef IS_1))
))
(net LEDEN_6 (joined
(portRef Z (instanceRef LEDEN_6))
(portRef D (instanceRef LEDEN))
))
(net XOR8MEG_3 (joined
(portRef Z (instanceRef XOR8MEG_3_u_0))
(portRef D (instanceRef XOR8MEG))
))
(net (rename wb_dati_5_4 "wb_dati_5[4]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_4))
(portRef D (instanceRef wb_dati_4))
))
(net (rename wb_dati_5_0 "wb_dati_5[0]") (joined
(portRef Z (instanceRef wb_dati_5_0_iv_0_0))
(portRef D (instanceRef wb_dati_0))
))
(net (rename wb_adr_5_1 "wb_adr_5[1]") (joined
(portRef Z (instanceRef wb_adr_5_1))
(portRef D (instanceRef wb_adr_1))
))
(net (rename wb_adr_5_0 "wb_adr_5[0]") (joined
(portRef Z (instanceRef wb_adr_5_0))
(portRef D (instanceRef wb_adr_0))
))
(net (rename wb_dati_5_7 "wb_dati_5[7]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_7))
(portRef D (instanceRef wb_dati_7))
))
(net (rename wb_dati_5_3 "wb_dati_5[3]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_3))
(portRef D (instanceRef wb_dati_3))
))
(net (rename wb_adr_5_6 "wb_adr_5[6]") (joined
(portRef Z (instanceRef wb_adr_5_6))
(portRef D (instanceRef wb_adr_6))
))
(net (rename wb_adr_5_5 "wb_adr_5[5]") (joined
(portRef Z (instanceRef wb_adr_5_5))
(portRef D (instanceRef wb_adr_5))
))
(net (rename wb_adr_5_4 "wb_adr_5[4]") (joined
(portRef Z (instanceRef wb_adr_5_4))
(portRef D (instanceRef wb_adr_4))
))
(net (rename wb_dati_5_5 "wb_dati_5[5]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_5))
(portRef D (instanceRef wb_dati_5))
))
(net (rename wb_dati_5_2 "wb_dati_5[2]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_2))
(portRef D (instanceRef wb_dati_2))
))
(net (rename wb_dati_5_1 "wb_dati_5[1]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_1))
(portRef D (instanceRef wb_dati_1))
))
(net (rename wb_adr_5_2 "wb_adr_5[2]") (joined
(portRef Z (instanceRef wb_adr_5_2))
(portRef D (instanceRef wb_adr_2))
))
(net (rename wb_adr_5_3 "wb_adr_5[3]") (joined
(portRef Z (instanceRef wb_adr_5_3))
(portRef D (instanceRef wb_adr_3))
))
(net (rename wb_adr_5_7 "wb_adr_5[7]") (joined
(portRef Z (instanceRef wb_adr_5_7))
(portRef D (instanceRef wb_adr_7))
))
(net N_94 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_1))
(portRef D (instanceRef wb_dati_5_1_iv_0_3))
(portRef A (instanceRef wb_dati_5_1_iv_0_o3_2))
(portRef A (instanceRef wb_dati_5_1_iv_0_1))
))
(net wb_we95 (joined
(portRef Z (instanceRef wb_we95))
(portRef B (instanceRef un1_wb_adr_0_sqmuxa_2))
(portRef B (instanceRef wb_adr_cnst_sn_m4_32))
))
(net wb_we113_i (joined
(portRef Z (instanceRef wb_we113_i_0))
(portRef C (instanceRef un1_wb_adr_0_sqmuxa_3))
(portRef C (instanceRef wb_adr_cnst_sn_m4_32))
))
(net un1_FS_37_i_0 (joined
(portRef Z (instanceRef FS_RNIVOOA_14))
(portRef B (instanceRef wb_reqe))
(portRef A (instanceRef un1_wb_adr_0_sqmuxa_3))
))
(net wb_rst11 (joined
(portRef Z (instanceRef wb_adr_cnst_sn_m2_0_a3))
(portRef C (instanceRef wb_dati_5_1_iv_0_3))
(portRef B (instanceRef wb_dati_5_1_iv_0_a2_0_3))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_1))
(portRef B (instanceRef wb_dati_5_1_iv_0_o3_2))
(portRef D (instanceRef wb_reqe))
(portRef B (instanceRef un1_wb_adr_0_sqmuxa_3))
(portRef C (instanceRef wb_adr_cnst_0_0))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_2_0_1))
(portRef D (instanceRef wb_dati_5_1_iv_0_a3_3_7))
(portRef B (instanceRef wb_dati_5_1_iv_0_a2_4))
(portRef B (instanceRef wb_dati_5_1_iv_0_a2_0_4))
(portRef C (instanceRef wb_adr_5_4))
(portRef C (instanceRef wb_adr_5_5))
(portRef C (instanceRef wb_adr_5_6))
(portRef D (instanceRef wb_dati_5_1_iv_0_a3_2))
(portRef B (instanceRef wb_dati_5_1_iv_0_RNO_4))
(portRef D (instanceRef un1_wb_cyc_stb_1_sqmuxa_0))
(portRef D (instanceRef wb_adr_5_1))
))
(net N_181 (joined
(portRef Z (instanceRef wb_adr_cnst_sn_m4_32))
(portRef B (instanceRef wb_adr_5_1))
))
(net un1_FS_29 (joined
(portRef Z (instanceRef un1_FS_29))
(portRef un1_FS_29 (instanceRef ufmefb))
(portRef A (instanceRef un1_wb_adr_0_sqmuxa_2))
(portRef A (instanceRef wb_adr_cnst_sn_m4_32))
))
(net N_49 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_o3_2))
(portRef B (instanceRef wb_dati_5_1_iv_0_2))
(portRef B (instanceRef wb_dati_5_1_iv_0_5))
))
(net un1_wb_rst11_1_s6_1 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_RNO_4))
(portRef B (instanceRef wb_dati_5_1_iv_0_4))
))
(net N_248 (joined
(portRef Z (instanceRef FS_RNI3V8E_9))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_2))
(portRef C (instanceRef wb_dati_5_1_iv_0_1_4))
(portRef B (instanceRef wb_dati_5_1_iv_0_0_1))
))
(net N_240 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_2))
(portRef C (instanceRef wb_dati_5_1_iv_0_2))
(portRef C (instanceRef wb_dati_5_1_iv_0_5))
))
(net N_128 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a2_0_3))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_7))
(portRef B (instanceRef wb_dati_5_1_iv_0_0_3))
(portRef B (instanceRef wb_dati_5_1_iv_0_1))
(portRef A (instanceRef wb_dati_5_1_iv_0_6))
))
(net N_230 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_o3_1))
(portRef A (instanceRef wb_dati_5_1_iv_0_a3_1))
))
(net N_119 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a2_0_4))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_1_7))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_4))
(portRef B (instanceRef wb_dati_5_0_iv_0_0))
(portRef B (instanceRef wb_dati_5_1_iv_0_7))
))
(net N_91 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_1_7))
(portRef B (instanceRef wb_dati_5_1_iv_0_1_7))
))
(net N_120 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a2_7))
(portRef D (instanceRef wb_dati_5_1_iv_0_a3_1_7))
))
(net N_131 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_RNO_4))
(portRef D (instanceRef wb_dati_5_1_iv_0_a3_4))
))
(net N_89 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_7))
(portRef A (instanceRef wb_dati_5_1_iv_0_7))
))
(net N_59 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_m3_7))
(portRef B (instanceRef wb_dati_5_1_iv_0_a3_7))
))
(net N_242 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_3_7))
(portRef C (instanceRef wb_dati_5_1_iv_0_1_7))
))
(net N_116 (joined
(portRef Z (instanceRef wb_we113_i_a2))
(portRef D (instanceRef wb_dati_5_0_iv_0_a3_1_0))
(portRef D (instanceRef InitReady3_0_a3))
(portRef C (instanceRef wb_dati_5_1_iv_0_RNO_7))
))
(net un1_FS_11 (joined
(portRef Z (instanceRef un1_FS_11))
(portRef un1_FS_11 (instanceRef ufmefb))
(portRef B (instanceRef wb_cyc_stb_RNO_1))
))
(net (rename wb_adr_cnst_m2_0 "wb_adr_cnst_m2[0]") (joined
(portRef Z (instanceRef wb_adr_cnst_0_0))
(portRef B (instanceRef wb_adr_5_0))
))
(net N_139 (joined
(portRef Z (instanceRef un1_FS_40_1_a6))
(portRef C (instanceRef un1_FS_40_1_1_1))
))
(net N_136 (joined
(portRef Z (instanceRef un1_FS_40_1_o6))
(portRef C (instanceRef un1_FS_40_1_a6))
))
(net N_265 (joined
(portRef Z (instanceRef nRowColSel_0_0_a3_0))
(portRef B (instanceRef nRowColSel_0_0))
))
(net N_250 (joined
(portRef Z (instanceRef IS_0_sqmuxa_0_o2_0))
(portRef C (instanceRef nRRAS_5_u_i_0_RNILD5I))
(portRef A (instanceRef IS_0_sqmuxa_0_o2_0_RNIS63D))
(portRef A (instanceRef un1_nRCAS_6_sqmuxa_i_0))
(portRef B (instanceRef nRRAS_5_u_i))
(portRef C (instanceRef RA10_0io_RNO))
(portRef B (instanceRef IS_RNO_0))
(portRef A (instanceRef nRWE_0io_RNO_4))
))
(net N_254 (joined
(portRef Z (instanceRef un1_nRCAS_6_sqmuxa_i_o2))
(portRef B (instanceRef nRRAS_5_u_i_0_RNILD5I))
(portRef B (instanceRef un1_nRCAS_6_sqmuxa_i_0))
(portRef C (instanceRef nRRAS_5_u_i))
))
(net N_246 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a2_4))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_6))
(portRef B (instanceRef wb_dati_5_1_iv_0_1_4))
))
(net wb_we95_0_tz_tz_tz (joined
(portRef Z (instanceRef un1_FS_21_1_i))
(portRef D (instanceRef un1_FS_29))
(portRef D (instanceRef wb_we113_i_0))
(portRef D (instanceRef wb_we95))
(portRef D (instanceRef un1_wb_we95_1))
))
(net un1_CMDWR (joined
(portRef Z (instanceRef un1_CMDWR))
(portRef C (instanceRef CmdEnable_s_am))
))
(net un1_Din_2 (joined
(portRef Z (instanceRef un1_Din_2))
(portRef C0 (instanceRef XOR8MEG_3_u_0))
))
(net XOR8MEG9_1 (joined
(portRef Z (instanceRef XOR8MEG9_1))
(portRef B (instanceRef XOR8MEG_3_u_0_bm))
))
(net C1WR_7 (joined
(portRef Z (instanceRef C1WR_7))
(portRef B (instanceRef un1_CMDWR))
(portRef C (instanceRef un1_ADWR))
(portRef B (instanceRef CmdEnable16))
(portRef A (instanceRef XOR8MEG18))
(portRef D (instanceRef CmdUFMData_RNO))
))
(net N_85 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_4))
(portRef A (instanceRef wb_dati_5_1_iv_0_1_4))
(portRef B (instanceRef wb_dati_5_1_iv_0_1_6))
))
(net N_102 (joined
(portRef Z (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3))
(portRef C (instanceRef wb_cyc_stb_RNO_1))
))
(net N_245 (joined
(portRef Z (instanceRef un1_wb_cyc_stb_1_sqmuxa_0_a3))
(portRef B (instanceRef un1_wb_cyc_stb_1_sqmuxa_0))
))
(net N_233 (joined
(portRef Z (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_o3))
(portRef D (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3))
))
(net XOR8MEG14 (joined
(portRef Z (instanceRef XOR8MEG14))
(portRef D (instanceRef CmdUFMShift_3_u_fast))
(portRef C (instanceRef CmdValid_r_fast))
(portRef C (instanceRef CmdValid_r))
(portRef D (instanceRef CmdUFMShift_3_u))
(portRef D (instanceRef CmdUFMWrite_3_u))
(portRef C (instanceRef CmdLEDEN_4_u))
(portRef B (instanceRef Cmdn8MEGEN_4_u))
(portRef A (instanceRef CmdUFMData_RNO))
))
(net N_304 (joined
(portRef Z (instanceRef un1_Bank_1))
(portRef D (instanceRef C1WR_7))
(portRef D (instanceRef CmdEnable17))
))
(net XOR8MEG11 (joined
(portRef Z (instanceRef XOR8MEG11))
(portRef C (instanceRef CmdUFMShift_3_u_fast))
(portRef B (instanceRef CmdValid_r_fast))
(portRef C (instanceRef CmdLEDEN_4_0))
(portRef C (instanceRef Cmdn8MEGEN_4_0))
(portRef B (instanceRef CmdValid_r))
(portRef C (instanceRef CmdUFMShift_3_u))
(portRef C (instanceRef CmdUFMWrite_3_u))
))
(net N_93 (joined
(portRef Z (instanceRef Cmdn8MEGEN_4_0))
(portRef A (instanceRef Cmdn8MEGEN_4_u))
))
(net CmdUFMWrite_2 (joined
(portRef Z (instanceRef CmdUFMWrite_2))
(portRef B (instanceRef CmdUFMWrite_3_u))
))
(net N_75 (joined
(portRef Z (instanceRef CmdLEDEN_4_0))
(portRef B (instanceRef CmdLEDEN_4_u))
))
(net N_228 (joined
(portRef Z (instanceRef un1_FS_22_1_i))
(portRef C (instanceRef un1_FS_29))
(portRef C (instanceRef wb_we113_i_0))
))
(net un1_wb_we95_1 (joined
(portRef Z (instanceRef un1_wb_we95_1))
(portRef B (instanceRef wb_dati_5_1_iv_0_3))
(portRef C (instanceRef wb_dati_5_1_iv_0_o3_2))
(portRef A (instanceRef wb_dati_5_1_iv_0_RNO_4))
))
(net N_98 (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_6))
(portRef C (instanceRef wb_dati_5_1_iv_0_1_6))
))
(net N_102_1 (joined
(portRef Z (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_1))
(portRef B (instanceRef un1_wb_cyc_stb_1_sqmuxa_0_a3_0_2))
(portRef B (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3))
))
(net N_102_2 (joined
(portRef Z (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_2))
(portRef C (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3))
(portRef A (instanceRef un1_wb_cyc_stb_1_sqmuxa_0))
))
(net N_258 (joined
(portRef Z (instanceRef Ready_0_sqmuxa_0_o2))
(portRef B (instanceRef Ready_0_sqmuxa_0_a3))
(portRef C (instanceRef Ready_RNO))
))
(net N_45 (joined
(portRef Z (instanceRef RA10_2_sqmuxa_0_o2))
(portRef D (instanceRef RA10_0io))
))
(net un1_FS_40_1_1_tz (joined
(portRef Z (instanceRef un1_FS_40_1_1_tz))
(portRef D (instanceRef un1_FS_40_1_1_1))
))
(net un1_PHI2r3_0 (joined
(portRef Z (instanceRef un1_PHI2r3_0))
(portRef D (instanceRef un1_wb_cyc_stb_1_sqmuxa_0_a3))
(portRef D (instanceRef CmdValid_fast_RNITQBM1))
(portRef C (instanceRef wb_cyc_stb_RNO_0))
))
(net RCKEEN_8_u_0_0 (joined
(portRef Z (instanceRef RCKEEN_8_u_RNO))
(portRef B (instanceRef RCKEEN_8_u))
))
(net (rename wb_dati_5_1_iv_0_a3_1_1_4 "wb_dati_5_1_iv_0_a3_1_1[4]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_1_1_4))
(portRef D (instanceRef wb_dati_5_1_iv_0_1_4))
))
(net d_N_5_mux (joined
(portRef d_N_5_mux (instanceRef ufmefb))
(portRef C (instanceRef CmdValid_fast_RNITQBM1))
))
(net N_28_i_1 (joined
(portRef Z (instanceRef nRCAS_r_i_a3_1_1_tz))
(portRef A (instanceRef nRCAS_0io_RNO))
(portRef B (instanceRef nRCS_0io_RNO))
))
(net un1_FS_20_3 (joined
(portRef Z (instanceRef wb_we95_0_0))
(portRef C (instanceRef wb_we95))
(portRef C (instanceRef un1_wb_we95_1))
))
(net C1WR_0 (joined
(portRef Z (instanceRef C1WR_0))
(portRef A (instanceRef CMDWR_2))
(portRef A (instanceRef C1WR_2))
))
(net nCRAS_c_i (joined
(portRef Z (instanceRef nCRAS_pad_RNIBPVB))
(portRef CK (instanceRef CBR))
(portRef CK (instanceRef CBR_fast))
(portRef CK (instanceRef FWEr))
(portRef CK (instanceRef FWEr_fast))
(portRef CK (instanceRef RowA_9))
(portRef CK (instanceRef RowA_8))
(portRef CK (instanceRef RowA_7))
(portRef CK (instanceRef RowA_6))
(portRef CK (instanceRef RowA_5))
(portRef CK (instanceRef RowA_4))
(portRef CK (instanceRef RowA_3))
(portRef CK (instanceRef RowA_2))
(portRef CK (instanceRef RowA_1))
(portRef CK (instanceRef RowA_0))
(portRef SCLK (instanceRef RBA_0io_1))
(portRef SCLK (instanceRef RBA_0io_0))
))
(net N_253_i (joined
(portRef Z (instanceRef IS_0_sqmuxa_0_o2_0_RNIS63D))
(portRef SP (instanceRef IS_3))
(portRef SP (instanceRef IS_2))
(portRef SP (instanceRef IS_1))
))
(net RD_1_i (joined
(portRef Z (instanceRef nCCAS_pad_RNI01SJ))
(portRef T (instanceRef RD_pad_0))
(portRef T (instanceRef RD_pad_1))
(portRef T (instanceRef RD_pad_2))
(portRef T (instanceRef RD_pad_3))
(portRef T (instanceRef RD_pad_4))
(portRef T (instanceRef RD_pad_5))
(portRef T (instanceRef RD_pad_6))
(portRef T (instanceRef RD_pad_7))
))
(net N_249_i (joined
(portRef Z (instanceRef nRCAS_0io_RNO))
(portRef D (instanceRef nRCAS_0io))
))
(net N_25_i (joined
(portRef Z (instanceRef nRRAS_5_u_i_0_RNILD5I))
(portRef A (instanceRef nRCS_0io_RNO))
(portRef D (instanceRef nRRAS_0io))
))
(net N_28_i (joined
(portRef Z (instanceRef nRCS_0io_RNO))
(portRef D (instanceRef nRCS_0io))
))
(net N_37_i (joined
(portRef Z (instanceRef nRWE_0io_RNO))
(portRef D (instanceRef nRWE_0io))
))
(net N_60_i_i (joined
(portRef Z (instanceRef IS_RNO_0))
(portRef D (instanceRef IS_0))
))
(net N_58_i_i (joined
(portRef Z (instanceRef IS_RNO_3))
(portRef D (instanceRef IS_3))
))
(net N_57_i_i (joined
(portRef Z (instanceRef IS_RNO_2))
(portRef D (instanceRef IS_2))
))
(net N_79_i (joined
(portRef Z (instanceRef S_RNO_0))
(portRef D (instanceRef S_0))
))
(net N_78_i (joined
(portRef Z (instanceRef PHI2r3_RNIS5A51))
(portRef A (instanceRef wb_reqe))
(portRef B (instanceRef wb_rste))
))
(net un1_wb_cyc_stb_2_sqmuxa_i_0_N_4_i (joined
(portRef Z (instanceRef wb_cyc_stb_RNO))
(portRef SP (instanceRef wb_cyc_stb))
))
(net un1_wb_rst14_i (joined
(portRef Z (instanceRef CmdUFMShift_fast_RNIG9JD1))
(portRef SP (instanceRef wb_adr_7))
(portRef SP (instanceRef wb_adr_6))
(portRef SP (instanceRef wb_adr_5))
(portRef SP (instanceRef wb_adr_4))
(portRef SP (instanceRef wb_adr_3))
(portRef SP (instanceRef wb_adr_2))
(portRef SP (instanceRef wb_adr_1))
(portRef SP (instanceRef wb_adr_0))
(portRef SP (instanceRef wb_dati_7))
(portRef SP (instanceRef wb_dati_6))
(portRef SP (instanceRef wb_dati_5))
(portRef SP (instanceRef wb_dati_4))
(portRef SP (instanceRef wb_dati_3))
(portRef SP (instanceRef wb_dati_2))
(portRef SP (instanceRef wb_dati_1))
(portRef SP (instanceRef wb_dati_0))
(portRef SP (instanceRef wb_we))
))
(net un1_FS_38_i (joined
(portRef Z (instanceRef CmdValid_fast_RNITQBM1))
(portRef SP (instanceRef LEDEN))
(portRef SP (instanceRef n8MEGEN))
))
(net (rename FS_cry_0 "FS_cry[0]") (joined
(portRef COUT (instanceRef FS_cry_0_0))
(portRef CIN (instanceRef FS_cry_0_1))
))
(net (rename FS_s_0 "FS_s[0]") (joined
(portRef S1 (instanceRef FS_cry_0_0))
(portRef D (instanceRef FS_0))
))
(net (rename FS_s_1 "FS_s[1]") (joined
(portRef S0 (instanceRef FS_cry_0_1))
(portRef D (instanceRef FS_1))
))
(net (rename FS_cry_2 "FS_cry[2]") (joined
(portRef COUT (instanceRef FS_cry_0_1))
(portRef CIN (instanceRef FS_cry_0_3))
))
(net (rename FS_s_2 "FS_s[2]") (joined
(portRef S1 (instanceRef FS_cry_0_1))
(portRef D (instanceRef FS_2))
))
(net (rename FS_s_3 "FS_s[3]") (joined
(portRef S0 (instanceRef FS_cry_0_3))
(portRef D (instanceRef FS_3))
))
(net (rename FS_cry_4 "FS_cry[4]") (joined
(portRef COUT (instanceRef FS_cry_0_3))
(portRef CIN (instanceRef FS_cry_0_5))
))
(net (rename FS_s_4 "FS_s[4]") (joined
(portRef S1 (instanceRef FS_cry_0_3))
(portRef D (instanceRef FS_4))
))
(net (rename FS_s_5 "FS_s[5]") (joined
(portRef S0 (instanceRef FS_cry_0_5))
(portRef D (instanceRef FS_5))
))
(net (rename FS_cry_6 "FS_cry[6]") (joined
(portRef COUT (instanceRef FS_cry_0_5))
(portRef CIN (instanceRef FS_cry_0_7))
))
(net (rename FS_s_6 "FS_s[6]") (joined
(portRef S1 (instanceRef FS_cry_0_5))
(portRef D (instanceRef FS_6))
))
(net (rename FS_s_7 "FS_s[7]") (joined
(portRef S0 (instanceRef FS_cry_0_7))
(portRef D (instanceRef FS_7))
))
(net (rename FS_cry_8 "FS_cry[8]") (joined
(portRef COUT (instanceRef FS_cry_0_7))
(portRef CIN (instanceRef FS_cry_0_9))
))
(net (rename FS_s_8 "FS_s[8]") (joined
(portRef S1 (instanceRef FS_cry_0_7))
(portRef D (instanceRef FS_8))
))
(net (rename FS_s_9 "FS_s[9]") (joined
(portRef S0 (instanceRef FS_cry_0_9))
(portRef D (instanceRef FS_9))
))
(net (rename FS_cry_10 "FS_cry[10]") (joined
(portRef COUT (instanceRef FS_cry_0_9))
(portRef CIN (instanceRef FS_cry_0_11))
))
(net (rename FS_s_10 "FS_s[10]") (joined
(portRef S1 (instanceRef FS_cry_0_9))
(portRef D (instanceRef FS_10))
))
(net (rename FS_s_11 "FS_s[11]") (joined
(portRef S0 (instanceRef FS_cry_0_11))
(portRef D (instanceRef FS_11))
))
(net (rename FS_cry_12 "FS_cry[12]") (joined
(portRef COUT (instanceRef FS_cry_0_11))
(portRef CIN (instanceRef FS_cry_0_13))
))
(net (rename FS_s_12 "FS_s[12]") (joined
(portRef S1 (instanceRef FS_cry_0_11))
(portRef D (instanceRef FS_12))
))
(net (rename FS_s_13 "FS_s[13]") (joined
(portRef S0 (instanceRef FS_cry_0_13))
(portRef D (instanceRef FS_13))
))
(net (rename FS_cry_14 "FS_cry[14]") (joined
(portRef COUT (instanceRef FS_cry_0_13))
(portRef CIN (instanceRef FS_cry_0_15))
))
(net (rename FS_s_14 "FS_s[14]") (joined
(portRef S1 (instanceRef FS_cry_0_13))
(portRef D (instanceRef FS_14))
))
(net (rename FS_s_15 "FS_s[15]") (joined
(portRef S0 (instanceRef FS_cry_0_15))
(portRef D (instanceRef FS_15))
))
(net (rename FS_cry_16 "FS_cry[16]") (joined
(portRef COUT (instanceRef FS_cry_0_15))
(portRef CIN (instanceRef FS_s_0_17))
))
(net (rename FS_s_16 "FS_s[16]") (joined
(portRef S1 (instanceRef FS_cry_0_15))
(portRef D (instanceRef FS_16))
))
(net (rename FS_s_17 "FS_s[17]") (joined
(portRef S0 (instanceRef FS_s_0_17))
(portRef D (instanceRef FS_17))
))
(net RA10s_i (joined
(portRef Z (instanceRef RA10_0io_RNO))
(portRef PD (instanceRef RA10_0io))
))
(net XOR8MEG14_1 (joined
(portRef Z (instanceRef XOR8MEG14_1))
(portRef D (instanceRef XOR8MEG14))
))
(net un1_Bank_1_3 (joined
(portRef Z (instanceRef un1_Bank_1_3))
(portRef C (instanceRef un1_Bank_1))
))
(net un1_Bank_1_4 (joined
(portRef Z (instanceRef un1_Bank_1_4))
(portRef D (instanceRef un1_Bank_1))
))
(net un1_wb_cyc_stb_2_sqmuxa_i_a3_2_1 (joined
(portRef Z (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_2_1))
(portRef D (instanceRef un1_wb_cyc_stb_2_sqmuxa_i_a3_2))
))
(net InitReady3_0_a3_2 (joined
(portRef Z (instanceRef InitReady3_0_a3_2))
(portRef C (instanceRef InitReady3_0_a3))
))
(net Ready_0_sqmuxa_0_a3_2 (joined
(portRef Z (instanceRef Ready_0_sqmuxa_0_a3_2))
(portRef D (instanceRef Ready_0_sqmuxa_0_a3))
(portRef B (instanceRef Ready_RNO))
))
(net (rename wb_dati_5_1_iv_0_a3_3_0_7 "wb_dati_5_1_iv_0_a3_3_0[7]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_3_0_7))
(portRef C (instanceRef wb_dati_5_1_iv_0_a3_3_7))
))
(net ADWR_4 (joined
(portRef Z (instanceRef ADWR_4))
(portRef A (instanceRef ADWR_7))
))
(net ADWR_5 (joined
(portRef Z (instanceRef ADWR_5))
(portRef B (instanceRef ADWR_7))
))
(net ADWR_7 (joined
(portRef Z (instanceRef ADWR_7))
(portRef D (instanceRef un1_CMDWR))
(portRef A (instanceRef un1_ADWR))
(portRef A (instanceRef CmdEnable17))
))
(net (rename wb_dati_5_1_iv_0_a3_2_0_1 "wb_dati_5_1_iv_0_a3_2_0[1]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_2_0_1))
(portRef D (instanceRef wb_dati_5_1_iv_0_0_1))
))
(net un1_FS_40_1_0 (joined
(portRef Z (instanceRef un1_FS_40_1_0))
(portRef A (instanceRef wb_adr_cnst_0_0))
))
(net un1_FS_40_1_1_1 (joined
(portRef Z (instanceRef un1_FS_40_1_1_1))
(portRef B (instanceRef wb_adr_cnst_0_0))
))
(net un1_wb_cyc_stb_1_sqmuxa_0_a3_0_1 (joined
(portRef Z (instanceRef un1_wb_cyc_stb_1_sqmuxa_0_a3_0_2))
(portRef C (instanceRef un1_wb_cyc_stb_1_sqmuxa_0))
))
(net (rename wb_dati_5_1_iv_0_a3_0_6 "wb_dati_5_1_iv_0_a3_0[6]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_0_0_6))
(portRef D (instanceRef wb_dati_5_1_iv_0_a3_6))
))
(net (rename wb_dati_5_1_iv_0_a3_0_0_7 "wb_dati_5_1_iv_0_a3_0_0[7]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_RNO_7))
(portRef D (instanceRef wb_dati_5_1_iv_0_7))
))
(net (rename wb_dati_5_0_iv_0_a3_1_0 "wb_dati_5_0_iv_0_a3_1[0]") (joined
(portRef Z (instanceRef wb_dati_5_0_iv_0_a3_1_0))
(portRef C (instanceRef wb_dati_5_0_iv_0_0))
))
(net nRRAS_5_u_i_0 (joined
(portRef Z (instanceRef nRRAS_5_u_i_0))
(portRef A (instanceRef nRRAS_5_u_i_0_RNILD5I))
(portRef D (instanceRef nRRAS_5_u_i))
))
(net C1WR_2 (joined
(portRef Z (instanceRef C1WR_2))
(portRef C (instanceRef un1_CMDWR))
(portRef B (instanceRef un1_ADWR))
(portRef A (instanceRef CmdEnable16))
))
(net CMDWR_2 (joined
(portRef Z (instanceRef CMDWR_2))
(portRef A (instanceRef un1_CMDWR))
(portRef B (instanceRef XOR8MEG18))
(portRef C (instanceRef CmdUFMData_RNO))
))
(net (rename wb_dati_5_1_iv_0_a3_0_3 "wb_dati_5_1_iv_0_a3_0[3]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_0_0_3))
(portRef D (instanceRef wb_dati_5_1_iv_0_0_3))
))
(net un1_wb_adr_0_sqmuxa_2 (joined
(portRef Z (instanceRef un1_wb_adr_0_sqmuxa_2))
(portRef C (instanceRef wb_we_0))
))
(net un1_wb_adr_0_sqmuxa_3 (joined
(portRef Z (instanceRef un1_wb_adr_0_sqmuxa_3))
(portRef D (instanceRef wb_we_0))
))
(net (rename wb_dati_5_1_iv_0_a3_0_0_1 "wb_dati_5_1_iv_0_a3_0_0[1]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_a3_0_0_0_1))
(portRef D (instanceRef wb_dati_5_1_iv_0_1))
(portRef C (instanceRef wb_dati_5_1_iv_0_6))
))
(net CmdEnable17_4 (joined
(portRef Z (instanceRef CmdEnable17_4))
(portRef B (instanceRef CmdEnable17))
))
(net CmdEnable17_5 (joined
(portRef Z (instanceRef CmdEnable17_5))
(portRef C (instanceRef CmdEnable17))
))
(net (rename wb_dati_5_1_iv_0_1_6 "wb_dati_5_1_iv_0_1[6]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_1_6))
(portRef B (instanceRef wb_dati_5_1_iv_0_6))
))
(net (rename wb_dati_5_1_iv_0_0_1 "wb_dati_5_1_iv_0_0[1]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_0_1))
(portRef C (instanceRef wb_dati_5_1_iv_0_1))
))
(net (rename wb_dati_5_1_iv_0_1_4 "wb_dati_5_1_iv_0_1[4]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_1_4))
(portRef D (instanceRef wb_dati_5_1_iv_0_4))
))
(net (rename wb_dati_5_1_iv_0_0_3 "wb_dati_5_1_iv_0_0[3]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_0_3))
(portRef A (instanceRef wb_dati_5_1_iv_0_3))
))
(net CmdEnable16_4 (joined
(portRef Z (instanceRef CmdEnable16_4))
(portRef C (instanceRef CmdEnable16))
))
(net CmdEnable16_5 (joined
(portRef Z (instanceRef CmdEnable16_5))
(portRef D (instanceRef CmdEnable16))
))
(net (rename wb_dati_5_1_iv_0_1_7 "wb_dati_5_1_iv_0_1[7]") (joined
(portRef Z (instanceRef wb_dati_5_1_iv_0_1_7))
(portRef C (instanceRef wb_dati_5_1_iv_0_7))
))
(net (rename FS_cry_0_S0_0 "FS_cry_0_S0[0]") (joined
(portRef S0 (instanceRef FS_cry_0_0))
))
(net (rename FS_s_0_S1_17 "FS_s_0_S1[17]") (joined
(portRef S1 (instanceRef FS_s_0_17))
))
(net (rename FS_s_0_COUT_17 "FS_s_0_COUT[17]") (joined
(portRef COUT (instanceRef FS_s_0_17))
))
(net un1_FS_40_1_0_1 (joined
(portRef Z (instanceRef un1_FS_40_1_0_1))
(portRef D (instanceRef un1_FS_40_1_0))
))
(net RCKEEN_8_u_1_0 (joined
(portRef Z (instanceRef RCKEEN_8_u_1_0))
(portRef C (instanceRef RCKEEN_8_u))
))
(net (rename RowAd_0_3 "RowAd_0[3]") (joined
(portRef Z (instanceRef RowAd_3))
(portRef D (instanceRef RowA_3))
))
(net (rename RowAd_0_0 "RowAd_0[0]") (joined
(portRef Z (instanceRef RowAd_0))
(portRef D (instanceRef RowA_0))
))
(net (rename RowAd_0_1 "RowAd_0[1]") (joined
(portRef Z (instanceRef RowAd_1))
(portRef D (instanceRef RowA_1))
))
(net (rename RowAd_0_2 "RowAd_0[2]") (joined
(portRef Z (instanceRef RowAd_2))
(portRef D (instanceRef RowA_2))
))
(net (rename RowAd_0_7 "RowAd_0[7]") (joined
(portRef Z (instanceRef RowAd_7))
(portRef D (instanceRef RowA_7))
))
(net (rename RowAd_0_4 "RowAd_0[4]") (joined
(portRef Z (instanceRef RowAd_4))
(portRef D (instanceRef RowA_4))
))
(net (rename RowAd_0_5 "RowAd_0[5]") (joined
(portRef Z (instanceRef RowAd_5))
(portRef D (instanceRef RowA_5))
))
(net (rename RowAd_0_6 "RowAd_0[6]") (joined
(portRef Z (instanceRef RowAd_6))
(portRef D (instanceRef RowA_6))
))
(net (rename RBAd_0_1 "RBAd_0[1]") (joined
(portRef Z (instanceRef RBAd_1))
(portRef D (instanceRef RBA_0io_1))
))
(net (rename RowAd_0_8 "RowAd_0[8]") (joined
(portRef Z (instanceRef RowAd_8))
(portRef D (instanceRef RowA_8))
))
(net (rename RowAd_0_9 "RowAd_0[9]") (joined
(portRef Z (instanceRef RowAd_9))
(portRef D (instanceRef RowA_9))
))
(net (rename RBAd_0_0 "RBAd_0[0]") (joined
(portRef Z (instanceRef RBAd_0))
(portRef D (instanceRef RBA_0io_0))
))
(net wb_rste_0 (joined
(portRef Z (instanceRef wb_rste))
(portRef D (instanceRef wb_rst))
))
(net wb_reqe_0 (joined
(portRef Z (instanceRef wb_reqe))
(portRef D (instanceRef wb_req))
))
(net RA11d_0 (joined
(portRef Z (instanceRef RA11d))
(portRef D (instanceRef RA11_0io))
))
(net G_8_0_a3_0_0 (joined
(portRef Z (instanceRef PHI2r3_RNIFT0I))
(portRef C (instanceRef CmdUFMShift_fast_RNIG9JD1))
))
(net CmdValid_fast (joined
(portRef Q (instanceRef CmdValid_fast))
(portRef B (instanceRef CmdUFMShift_fast_RNIG9JD1))
(portRef A (instanceRef CmdValid_fast_RNITQBM1))
))
(net N_36_fast (joined
(portRef Z (instanceRef CmdValid_r_fast))
(portRef D (instanceRef CmdValid_fast))
))
(net CmdUFMShift_fast (joined
(portRef Q (instanceRef CmdUFMShift_fast))
(portRef A (instanceRef CmdUFMShift_3_u_fast))
(portRef A (instanceRef CmdUFMShift_fast_RNIG9JD1))
))
(net CmdUFMShift_3_fast (joined
(portRef Z (instanceRef CmdUFMShift_3_u_fast))
(portRef D (instanceRef CmdUFMShift_fast))
))
(net Ready_fast (joined
(portRef Q (instanceRef Ready_fast))
(portRef B (instanceRef RBAd_0))
(portRef B (instanceRef RowAd_9))
(portRef B (instanceRef RowAd_8))
(portRef B (instanceRef RBAd_1))
(portRef B (instanceRef RowAd_6))
(portRef B (instanceRef RowAd_5))
(portRef B (instanceRef RowAd_4))
(portRef B (instanceRef RowAd_7))
(portRef B (instanceRef RowAd_2))
(portRef B (instanceRef RowAd_1))
(portRef B (instanceRef RowAd_0))
(portRef B (instanceRef RowAd_3))
(portRef B (instanceRef RA11d))
(portRef B (instanceRef Ready_fast_RNO))
))
(net CBR_fast (joined
(portRef Q (instanceRef CBR_fast))
(portRef A (instanceRef nRCS_0io_RNO_0))
(portRef A (instanceRef nRWE_0io_RNO_0))
(portRef A (instanceRef nRCAS_0_sqmuxa_1_0_a3))
))
(net FWEr_fast (joined
(portRef Q (instanceRef FWEr_fast))
(portRef D (instanceRef nRCAS_r_i_a3_1_1_tz))
))
(net nRWE_0io_RNO_2 (joined
(portRef Z (instanceRef nRWE_0io_RNO_2))
(portRef C (instanceRef nRWE_0io_RNO_0))
))
(net nRWE_0io_RNO_3 (joined
(portRef Z (instanceRef nRWE_0io_RNO_3))
(portRef A (instanceRef nRWE_0io_RNO_1))
))
(net nRWE_0io_RNO_4 (joined
(portRef Z (instanceRef nRWE_0io_RNO_4))
(portRef B (instanceRef nRWE_0io_RNO_1))
))
(net N_37_i_1 (joined
(portRef Z (instanceRef nRWE_0io_RNO_0))
(portRef A (instanceRef nRWE_0io_RNO))
))
(net nRWE_0io_RNO_1 (joined
(portRef Z (instanceRef nRWE_0io_RNO_1))
(portRef B (instanceRef nRWE_0io_RNO))
))
(net N_28_i_sn (joined
(portRef Z (instanceRef nRCS_0io_RNO_0))
(portRef C (instanceRef nRCS_0io_RNO))
))
(net nRCAS_0io_RNO_0 (joined
(portRef Z (instanceRef nRCAS_0io_RNO_0))
(portRef C (instanceRef nRCAS_0io_RNO))
))
(net (rename XOR8MEG_CN "XOR8MEG.CN") (joined
(portRef Z (instanceRef XOR8MEG_CN))
(portRef CK (instanceRef ADSubmitted))
(portRef CK (instanceRef C1Submitted))
(portRef CK (instanceRef CmdEnable))
(portRef CK (instanceRef CmdLEDEN))
(portRef CK (instanceRef CmdUFMData))
(portRef CK (instanceRef CmdUFMShift))
(portRef CK (instanceRef CmdUFMShift_fast))
(portRef CK (instanceRef CmdUFMWrite))
(portRef CK (instanceRef CmdValid))
(portRef CK (instanceRef CmdValid_fast))
(portRef CK (instanceRef Cmdn8MEGEN))
(portRef CK (instanceRef XOR8MEG))
))
(net VCC (joined
(portRef Z (instanceRef VCC))
(portRef B0 (instanceRef FS_cry_0_0))
(portRef SP (instanceRef RA10_0io))
(portRef SP (instanceRef RA11_0io))
(portRef SP (instanceRef RBA_0io_1))
(portRef SP (instanceRef RBA_0io_0))
(portRef SP (instanceRef nRCAS_0io))
(portRef SP (instanceRef nRCS_0io))
(portRef SP (instanceRef nRRAS_0io))
(portRef SP (instanceRef nRWE_0io))
(portRef SP (instanceRef Bank_0io_7))
(portRef SP (instanceRef Bank_0io_6))
(portRef SP (instanceRef Bank_0io_5))
(portRef SP (instanceRef Bank_0io_4))
(portRef SP (instanceRef Bank_0io_3))
(portRef SP (instanceRef Bank_0io_2))
(portRef SP (instanceRef Bank_0io_1))
(portRef SP (instanceRef Bank_0io_0))
(portRef SP (instanceRef PHI2r_0io))
(portRef SP (instanceRef WRD_0io_7))
(portRef SP (instanceRef WRD_0io_6))
(portRef SP (instanceRef WRD_0io_5))
(portRef SP (instanceRef WRD_0io_4))
(portRef SP (instanceRef WRD_0io_3))
(portRef SP (instanceRef WRD_0io_2))
(portRef SP (instanceRef WRD_0io_1))
(portRef SP (instanceRef WRD_0io_0))
(portRef GSR (instanceRef GSR_INST))
))
(net GND (joined
(portRef Z (instanceRef GND))
(portRef D1 (instanceRef FS_cry_0_0))
(portRef C1 (instanceRef FS_cry_0_0))
(portRef B1 (instanceRef FS_cry_0_0))
(portRef D0 (instanceRef FS_cry_0_0))
(portRef C0 (instanceRef FS_cry_0_0))
(portRef A0 (instanceRef FS_cry_0_0))
(portRef D1 (instanceRef FS_cry_0_1))
(portRef C1 (instanceRef FS_cry_0_1))
(portRef B1 (instanceRef FS_cry_0_1))
(portRef D0 (instanceRef FS_cry_0_1))
(portRef C0 (instanceRef FS_cry_0_1))
(portRef B0 (instanceRef FS_cry_0_1))
(portRef D1 (instanceRef FS_cry_0_3))
(portRef C1 (instanceRef FS_cry_0_3))
(portRef B1 (instanceRef FS_cry_0_3))
(portRef D0 (instanceRef FS_cry_0_3))
(portRef C0 (instanceRef FS_cry_0_3))
(portRef B0 (instanceRef FS_cry_0_3))
(portRef D1 (instanceRef FS_cry_0_5))
(portRef C1 (instanceRef FS_cry_0_5))
(portRef B1 (instanceRef FS_cry_0_5))
(portRef D0 (instanceRef FS_cry_0_5))
(portRef C0 (instanceRef FS_cry_0_5))
(portRef B0 (instanceRef FS_cry_0_5))
(portRef D1 (instanceRef FS_cry_0_7))
(portRef C1 (instanceRef FS_cry_0_7))
(portRef B1 (instanceRef FS_cry_0_7))
(portRef D0 (instanceRef FS_cry_0_7))
(portRef C0 (instanceRef FS_cry_0_7))
(portRef B0 (instanceRef FS_cry_0_7))
(portRef D1 (instanceRef FS_cry_0_9))
(portRef C1 (instanceRef FS_cry_0_9))
(portRef B1 (instanceRef FS_cry_0_9))
(portRef D0 (instanceRef FS_cry_0_9))
(portRef C0 (instanceRef FS_cry_0_9))
(portRef B0 (instanceRef FS_cry_0_9))
(portRef D1 (instanceRef FS_cry_0_11))
(portRef C1 (instanceRef FS_cry_0_11))
(portRef B1 (instanceRef FS_cry_0_11))
(portRef D0 (instanceRef FS_cry_0_11))
(portRef C0 (instanceRef FS_cry_0_11))
(portRef B0 (instanceRef FS_cry_0_11))
(portRef D1 (instanceRef FS_cry_0_13))
(portRef C1 (instanceRef FS_cry_0_13))
(portRef B1 (instanceRef FS_cry_0_13))
(portRef D0 (instanceRef FS_cry_0_13))
(portRef C0 (instanceRef FS_cry_0_13))
(portRef B0 (instanceRef FS_cry_0_13))
(portRef D1 (instanceRef FS_cry_0_15))
(portRef C1 (instanceRef FS_cry_0_15))
(portRef B1 (instanceRef FS_cry_0_15))
(portRef D0 (instanceRef FS_cry_0_15))
(portRef C0 (instanceRef FS_cry_0_15))
(portRef B0 (instanceRef FS_cry_0_15))
(portRef D1 (instanceRef FS_s_0_17))
(portRef C1 (instanceRef FS_s_0_17))
(portRef B1 (instanceRef FS_s_0_17))
(portRef A1 (instanceRef FS_s_0_17))
(portRef D0 (instanceRef FS_s_0_17))
(portRef C0 (instanceRef FS_s_0_17))
(portRef B0 (instanceRef FS_s_0_17))
(portRef CD (instanceRef RA11_0io))
(portRef CD (instanceRef RBA_0io_1))
(portRef CD (instanceRef RBA_0io_0))
(portRef PD (instanceRef nRCAS_0io))
(portRef PD (instanceRef nRCS_0io))
(portRef PD (instanceRef nRRAS_0io))
(portRef PD (instanceRef nRWE_0io))
(portRef CD (instanceRef Bank_0io_7))
(portRef CD (instanceRef Bank_0io_6))
(portRef CD (instanceRef Bank_0io_5))
(portRef CD (instanceRef Bank_0io_4))
(portRef CD (instanceRef Bank_0io_3))
(portRef CD (instanceRef Bank_0io_2))
(portRef CD (instanceRef Bank_0io_1))
(portRef CD (instanceRef Bank_0io_0))
(portRef CD (instanceRef PHI2r_0io))
(portRef CD (instanceRef WRD_0io_7))
(portRef CD (instanceRef WRD_0io_6))
(portRef CD (instanceRef WRD_0io_5))
(portRef CD (instanceRef WRD_0io_4))
(portRef CD (instanceRef WRD_0io_3))
(portRef CD (instanceRef WRD_0io_2))
(portRef CD (instanceRef WRD_0io_1))
(portRef CD (instanceRef WRD_0io_0))
))
(net PHI2_c (joined
(portRef O (instanceRef PHI2_pad))
(portRef SCLK (instanceRef RA11_0io))
(portRef SCLK (instanceRef Bank_0io_7))
(portRef SCLK (instanceRef Bank_0io_6))
(portRef SCLK (instanceRef Bank_0io_5))
(portRef SCLK (instanceRef Bank_0io_4))
(portRef SCLK (instanceRef Bank_0io_3))
(portRef SCLK (instanceRef Bank_0io_2))
(portRef SCLK (instanceRef Bank_0io_1))
(portRef SCLK (instanceRef Bank_0io_0))
(portRef D (instanceRef PHI2r_0io))
(portRef A (instanceRef XOR8MEG_CN))
))
(net PHI2 (joined
(portRef PHI2)
(portRef I (instanceRef PHI2_pad))
))
(net (rename MAin_c_0 "MAin_c[0]") (joined
(portRef O (instanceRef MAin_pad_0))
(portRef A (instanceRef RowAd_0))
(portRef A (instanceRef un9_RA_0))
(portRef A (instanceRef ADWR_4))
(portRef B (instanceRef CMDWR_2))
(portRef B (instanceRef C1WR_2))
))
(net (rename MAin_0 "MAin[0]") (joined
(portRef (member main 9))
(portRef I (instanceRef MAin_pad_0))
))
(net (rename MAin_c_1 "MAin_c[1]") (joined
(portRef O (instanceRef MAin_pad_1))
(portRef A (instanceRef RowAd_1))
(portRef A (instanceRef un9_RA_1))
(portRef C (instanceRef CMDWR_2))
(portRef C (instanceRef C1WR_2))
(portRef C (instanceRef ADWR_7))
))
(net (rename MAin_1 "MAin[1]") (joined
(portRef (member main 8))
(portRef I (instanceRef MAin_pad_1))
))
(net (rename MAin_c_2 "MAin_c[2]") (joined
(portRef O (instanceRef MAin_pad_2))
(portRef A (instanceRef RowAd_2))
(portRef A (instanceRef un9_RA_2))
(portRef A (instanceRef ADWR_5))
(portRef A (instanceRef C1WR_0))
))
(net (rename MAin_2 "MAin[2]") (joined
(portRef (member main 7))
(portRef I (instanceRef MAin_pad_2))
))
(net (rename MAin_c_3 "MAin_c[3]") (joined
(portRef O (instanceRef MAin_pad_3))
(portRef A (instanceRef RowAd_3))
(portRef A (instanceRef un9_RA_3))
(portRef B (instanceRef C1WR_0))
(portRef D (instanceRef ADWR_7))
))
(net (rename MAin_3 "MAin[3]") (joined
(portRef (member main 6))
(portRef I (instanceRef MAin_pad_3))
))
(net (rename MAin_c_4 "MAin_c[4]") (joined
(portRef O (instanceRef MAin_pad_4))
(portRef A (instanceRef RowAd_4))
(portRef A (instanceRef un9_RA_4))
(portRef B (instanceRef ADWR_5))
(portRef C (instanceRef C1WR_0))
))
(net (rename MAin_4 "MAin[4]") (joined
(portRef (member main 5))
(portRef I (instanceRef MAin_pad_4))
))
(net (rename MAin_c_5 "MAin_c[5]") (joined
(portRef O (instanceRef MAin_pad_5))
(portRef A (instanceRef RowAd_5))
(portRef A (instanceRef un9_RA_5))
(portRef C (instanceRef ADWR_5))
(portRef A (instanceRef C1WR_7))
))
(net (rename MAin_5 "MAin[5]") (joined
(portRef (member main 4))
(portRef I (instanceRef MAin_pad_5))
))
(net (rename MAin_c_6 "MAin_c[6]") (joined
(portRef O (instanceRef MAin_pad_6))
(portRef A (instanceRef RowAd_6))
(portRef A (instanceRef un9_RA_6))
(portRef B (instanceRef ADWR_4))
(portRef B (instanceRef C1WR_7))
))
(net (rename MAin_6 "MAin[6]") (joined
(portRef (member main 3))
(portRef I (instanceRef MAin_pad_6))
))
(net (rename MAin_c_7 "MAin_c[7]") (joined
(portRef O (instanceRef MAin_pad_7))
(portRef A (instanceRef RowAd_7))
(portRef A (instanceRef un9_RA_7))
(portRef D (instanceRef ADWR_5))
(portRef C (instanceRef C1WR_7))
))
(net (rename MAin_7 "MAin[7]") (joined
(portRef (member main 2))
(portRef I (instanceRef MAin_pad_7))
))
(net (rename MAin_c_8 "MAin_c[8]") (joined
(portRef O (instanceRef MAin_pad_8))
(portRef A (instanceRef RowAd_8))
(portRef A (instanceRef un9_RA_8))
))
(net (rename MAin_8 "MAin[8]") (joined
(portRef (member main 1))
(portRef I (instanceRef MAin_pad_8))
))
(net (rename MAin_c_9 "MAin_c[9]") (joined
(portRef O (instanceRef MAin_pad_9))
(portRef A (instanceRef RowAd_9))
(portRef A (instanceRef RDQMH))
(portRef A (instanceRef RDQML))
(portRef A (instanceRef un9_RA_9))
))
(net (rename MAin_9 "MAin[9]") (joined
(portRef (member main 0))
(portRef I (instanceRef MAin_pad_9))
))
(net (rename CROW_c_0 "CROW_c[0]") (joined
(portRef O (instanceRef CROW_pad_0))
(portRef A (instanceRef RBAd_0))
))
(net (rename CROW_0 "CROW[0]") (joined
(portRef (member crow 1))
(portRef I (instanceRef CROW_pad_0))
))
(net (rename CROW_c_1 "CROW_c[1]") (joined
(portRef O (instanceRef CROW_pad_1))
(portRef A (instanceRef RBAd_1))
))
(net (rename CROW_1 "CROW[1]") (joined
(portRef (member crow 0))
(portRef I (instanceRef CROW_pad_1))
))
(net (rename Din_c_0 "Din_c[0]") (joined
(portRef O (instanceRef Din_pad_0))
(portRef A (instanceRef CmdUFMWrite_2))
(portRef A (instanceRef CmdEnable16_4))
(portRef A (instanceRef CmdEnable17_4))
(portRef B (instanceRef Cmdn8MEGEN_4_0))
(portRef D (instanceRef CmdUFMData))
(portRef D (instanceRef Bank_0io_0))
(portRef D (instanceRef WRD_0io_0))
(portRef C (instanceRef XOR8MEG_3_u_0_bm))
))
(net (rename Din_0 "Din[0]") (joined
(portRef (member din 7))
(portRef I (instanceRef Din_pad_0))
))
(net (rename Din_c_1 "Din_c[1]") (joined
(portRef O (instanceRef Din_pad_1))
(portRef B (instanceRef CmdUFMShift_3_u_fast))
(portRef B (instanceRef CmdUFMWrite_2))
(portRef B (instanceRef CmdEnable16_4))
(portRef B (instanceRef CmdEnable17_4))
(portRef B (instanceRef CmdLEDEN_4_0))
(portRef B (instanceRef CmdUFMShift_3_u))
(portRef D (instanceRef Bank_0io_1))
(portRef D (instanceRef WRD_0io_1))
(portRef D (instanceRef XOR8MEG_3_u_0_bm))
))
(net (rename Din_1 "Din[1]") (joined
(portRef (member din 6))
(portRef I (instanceRef Din_pad_1))
))
(net (rename Din_c_2 "Din_c[2]") (joined
(portRef O (instanceRef Din_pad_2))
(portRef A (instanceRef XOR8MEG9_1))
(portRef A (instanceRef CmdEnable16_5))
(portRef C (instanceRef CmdEnable17_4))
(portRef D (instanceRef Bank_0io_2))
(portRef D (instanceRef WRD_0io_2))
))
(net (rename Din_2 "Din[2]") (joined
(portRef (member din 5))
(portRef I (instanceRef Din_pad_2))
))
(net (rename Din_c_3 "Din_c[3]") (joined
(portRef O (instanceRef Din_pad_3))
(portRef B (instanceRef XOR8MEG9_1))
(portRef B (instanceRef CmdEnable16_5))
(portRef A (instanceRef CmdEnable17_5))
(portRef A (instanceRef XOR8MEG14))
(portRef D (instanceRef Bank_0io_3))
(portRef D (instanceRef WRD_0io_3))
))
(net (rename Din_3 "Din[3]") (joined
(portRef (member din 4))
(portRef I (instanceRef Din_pad_3))
))
(net (rename Din_c_4 "Din_c[4]") (joined
(portRef O (instanceRef Din_pad_4))
(portRef A (instanceRef XOR8MEG14_1))
(portRef C (instanceRef CmdEnable16_5))
(portRef B (instanceRef CmdEnable17_5))
(portRef A (instanceRef un1_Din_2))
(portRef A (instanceRef XOR8MEG11))
(portRef D (instanceRef Bank_0io_4))
(portRef D (instanceRef WRD_0io_4))
))
(net (rename Din_4 "Din[4]") (joined
(portRef (member din 3))
(portRef I (instanceRef Din_pad_4))
))
(net (rename Din_c_5 "Din_c[5]") (joined
(portRef O (instanceRef Din_pad_5))
(portRef C (instanceRef CmdEnable16_4))
(portRef C (instanceRef CmdEnable17_5))
(portRef B (instanceRef un1_Din_2))
(portRef B (instanceRef XOR8MEG14))
(portRef B (instanceRef XOR8MEG11))
(portRef D (instanceRef Bank_0io_5))
(portRef D (instanceRef WRD_0io_5))
))
(net (rename Din_5 "Din[5]") (joined
(portRef (member din 2))
(portRef I (instanceRef Din_pad_5))
))
(net (rename Din_c_6 "Din_c[6]") (joined
(portRef O (instanceRef Din_pad_6))
(portRef A (instanceRef RA11d))
(portRef B (instanceRef XOR8MEG14_1))
(portRef D (instanceRef CmdEnable16_4))
(portRef D (instanceRef CmdEnable17_4))
(portRef C (instanceRef un1_Din_2))
(portRef C (instanceRef XOR8MEG11))
(portRef D (instanceRef Bank_0io_6))
(portRef D (instanceRef WRD_0io_6))
))
(net (rename Din_6 "Din[6]") (joined
(portRef (member din 1))
(portRef I (instanceRef Din_pad_6))
))
(net (rename Din_c_7 "Din_c[7]") (joined
(portRef O (instanceRef Din_pad_7))
(portRef D (instanceRef CmdEnable16_5))
(portRef D (instanceRef CmdEnable17_5))
(portRef D (instanceRef un1_Din_2))
(portRef C (instanceRef XOR8MEG14))
(portRef D (instanceRef XOR8MEG11))
(portRef D (instanceRef Bank_0io_7))
(portRef D (instanceRef WRD_0io_7))
))
(net (rename Din_7 "Din[7]") (joined
(portRef (member din 0))
(portRef I (instanceRef Din_pad_7))
))
(net (rename Dout_0 "Dout[0]") (joined
(portRef O (instanceRef Dout_pad_0))
(portRef (member dout 7))
))
(net (rename Dout_1 "Dout[1]") (joined
(portRef O (instanceRef Dout_pad_1))
(portRef (member dout 6))
))
(net (rename Dout_2 "Dout[2]") (joined
(portRef O (instanceRef Dout_pad_2))
(portRef (member dout 5))
))
(net (rename Dout_3 "Dout[3]") (joined
(portRef O (instanceRef Dout_pad_3))
(portRef (member dout 4))
))
(net (rename Dout_4 "Dout[4]") (joined
(portRef O (instanceRef Dout_pad_4))
(portRef (member dout 3))
))
(net (rename Dout_5 "Dout[5]") (joined
(portRef O (instanceRef Dout_pad_5))
(portRef (member dout 2))
))
(net (rename Dout_6 "Dout[6]") (joined
(portRef O (instanceRef Dout_pad_6))
(portRef (member dout 1))
))
(net (rename Dout_7 "Dout[7]") (joined
(portRef O (instanceRef Dout_pad_7))
(portRef (member dout 0))
))
(net nCCAS_c (joined
(portRef O (instanceRef nCCAS_pad))
(portRef A (instanceRef nCCAS_pad_RNI01SJ))
(portRef A (instanceRef nCCAS_pad_RNISUR8))
))
(net nCCAS (joined
(portRef nCCAS)
(portRef I (instanceRef nCCAS_pad))
))
(net nCRAS_c (joined
(portRef O (instanceRef nCRAS_pad))
(portRef C (instanceRef LED_pad_RNO))
(portRef A (instanceRef nCRAS_pad_RNIBPVB))
(portRef A (instanceRef RASr_RNO))
))
(net nCRAS (joined
(portRef nCRAS)
(portRef I (instanceRef nCRAS_pad))
))
(net nFWE_c (joined
(portRef O (instanceRef nFWE_pad))
(portRef C (instanceRef ADWR_4))
(portRef B (instanceRef nCCAS_pad_RNI01SJ))
(portRef D (instanceRef CMDWR_2))
(portRef D (instanceRef C1WR_2))
(portRef A (instanceRef nFWE_pad_RNI420B))
))
(net nFWE (joined
(portRef nFWE)
(portRef I (instanceRef nFWE_pad))
))
(net LED_c (joined
(portRef Z (instanceRef LED_pad_RNO))
(portRef I (instanceRef LED_pad))
))
(net LED (joined
(portRef O (instanceRef LED_pad))
(portRef LED)
))
(net (rename RBA_c_0 "RBA_c[0]") (joined
(portRef Q (instanceRef RBA_0io_0))
(portRef I (instanceRef RBA_pad_0))
))
(net (rename RBA_0 "RBA[0]") (joined
(portRef O (instanceRef RBA_pad_0))
(portRef (member rba 1))
))
(net (rename RBA_c_1 "RBA_c[1]") (joined
(portRef Q (instanceRef RBA_0io_1))
(portRef I (instanceRef RBA_pad_1))
))
(net (rename RBA_1 "RBA[1]") (joined
(portRef O (instanceRef RBA_pad_1))
(portRef (member rba 0))
))
(net (rename RA_c_0 "RA_c[0]") (joined
(portRef Z (instanceRef un9_RA_0))
(portRef I (instanceRef RA_pad_0))
))
(net (rename RA_0 "RA[0]") (joined
(portRef O (instanceRef RA_pad_0))
(portRef (member ra 11))
))
(net (rename RA_c_1 "RA_c[1]") (joined
(portRef Z (instanceRef un9_RA_1))
(portRef I (instanceRef RA_pad_1))
))
(net (rename RA_1 "RA[1]") (joined
(portRef O (instanceRef RA_pad_1))
(portRef (member ra 10))
))
(net (rename RA_c_2 "RA_c[2]") (joined
(portRef Z (instanceRef un9_RA_2))
(portRef I (instanceRef RA_pad_2))
))
(net (rename RA_2 "RA[2]") (joined
(portRef O (instanceRef RA_pad_2))
(portRef (member ra 9))
))
(net (rename RA_c_3 "RA_c[3]") (joined
(portRef Z (instanceRef un9_RA_3))
(portRef I (instanceRef RA_pad_3))
))
(net (rename RA_3 "RA[3]") (joined
(portRef O (instanceRef RA_pad_3))
(portRef (member ra 8))
))
(net (rename RA_c_4 "RA_c[4]") (joined
(portRef Z (instanceRef un9_RA_4))
(portRef I (instanceRef RA_pad_4))
))
(net (rename RA_4 "RA[4]") (joined
(portRef O (instanceRef RA_pad_4))
(portRef (member ra 7))
))
(net (rename RA_c_5 "RA_c[5]") (joined
(portRef Z (instanceRef un9_RA_5))
(portRef I (instanceRef RA_pad_5))
))
(net (rename RA_5 "RA[5]") (joined
(portRef O (instanceRef RA_pad_5))
(portRef (member ra 6))
))
(net (rename RA_c_6 "RA_c[6]") (joined
(portRef Z (instanceRef un9_RA_6))
(portRef I (instanceRef RA_pad_6))
))
(net (rename RA_6 "RA[6]") (joined
(portRef O (instanceRef RA_pad_6))
(portRef (member ra 5))
))
(net (rename RA_c_7 "RA_c[7]") (joined
(portRef Z (instanceRef un9_RA_7))
(portRef I (instanceRef RA_pad_7))
))
(net (rename RA_7 "RA[7]") (joined
(portRef O (instanceRef RA_pad_7))
(portRef (member ra 4))
))
(net (rename RA_c_8 "RA_c[8]") (joined
(portRef Z (instanceRef un9_RA_8))
(portRef I (instanceRef RA_pad_8))
))
(net (rename RA_8 "RA[8]") (joined
(portRef O (instanceRef RA_pad_8))
(portRef (member ra 3))
))
(net (rename RA_c_9 "RA_c[9]") (joined
(portRef Z (instanceRef un9_RA_9))
(portRef I (instanceRef RA_pad_9))
))
(net (rename RA_9 "RA[9]") (joined
(portRef O (instanceRef RA_pad_9))
(portRef (member ra 2))
))
(net (rename RA_c_10 "RA_c[10]") (joined
(portRef Q (instanceRef RA10_0io))
(portRef I (instanceRef RA_pad_10))
))
(net (rename RA_10 "RA[10]") (joined
(portRef O (instanceRef RA_pad_10))
(portRef (member ra 1))
))
(net (rename RA_c_11 "RA_c[11]") (joined
(portRef Q (instanceRef RA11_0io))
(portRef I (instanceRef RA_pad_11))
))
(net (rename RA_11 "RA[11]") (joined
(portRef O (instanceRef RA_pad_11))
(portRef (member ra 0))
))
(net (rename RD_in_0 "RD_in[0]") (joined
(portRef O (instanceRef RD_pad_0))
(portRef I (instanceRef Dout_pad_0))
))
(net (rename RD_0 "RD[0]") (joined
(portRef B (instanceRef RD_pad_0))
(portRef (member rd 7))
))
(net (rename RD_in_1 "RD_in[1]") (joined
(portRef O (instanceRef RD_pad_1))
(portRef I (instanceRef Dout_pad_1))
))
(net (rename RD_1 "RD[1]") (joined
(portRef B (instanceRef RD_pad_1))
(portRef (member rd 6))
))
(net (rename RD_in_2 "RD_in[2]") (joined
(portRef O (instanceRef RD_pad_2))
(portRef I (instanceRef Dout_pad_2))
))
(net (rename RD_2 "RD[2]") (joined
(portRef B (instanceRef RD_pad_2))
(portRef (member rd 5))
))
(net (rename RD_in_3 "RD_in[3]") (joined
(portRef O (instanceRef RD_pad_3))
(portRef I (instanceRef Dout_pad_3))
))
(net (rename RD_3 "RD[3]") (joined
(portRef B (instanceRef RD_pad_3))
(portRef (member rd 4))
))
(net (rename RD_in_4 "RD_in[4]") (joined
(portRef O (instanceRef RD_pad_4))
(portRef I (instanceRef Dout_pad_4))
))
(net (rename RD_4 "RD[4]") (joined
(portRef B (instanceRef RD_pad_4))
(portRef (member rd 3))
))
(net (rename RD_in_5 "RD_in[5]") (joined
(portRef O (instanceRef RD_pad_5))
(portRef I (instanceRef Dout_pad_5))
))
(net (rename RD_5 "RD[5]") (joined
(portRef B (instanceRef RD_pad_5))
(portRef (member rd 2))
))
(net (rename RD_in_6 "RD_in[6]") (joined
(portRef O (instanceRef RD_pad_6))
(portRef I (instanceRef Dout_pad_6))
))
(net (rename RD_6 "RD[6]") (joined
(portRef B (instanceRef RD_pad_6))
(portRef (member rd 1))
))
(net (rename RD_in_7 "RD_in[7]") (joined
(portRef O (instanceRef RD_pad_7))
(portRef I (instanceRef Dout_pad_7))
))
(net (rename RD_7 "RD[7]") (joined
(portRef B (instanceRef RD_pad_7))
(portRef (member rd 0))
))
(net nRCS_c (joined
(portRef Q (instanceRef nRCS_0io))
(portRef I (instanceRef nRCS_pad))
))
(net nRCS (joined
(portRef O (instanceRef nRCS_pad))
(portRef nRCS)
))
(net RCLK_c (joined
(portRef O (instanceRef RCLK_pad))
(portRef RCLK_c (instanceRef ufmefb))
(portRef CK (instanceRef CASr))
(portRef CK (instanceRef CASr2))
(portRef CK (instanceRef CASr3))
(portRef CK (instanceRef FS_17))
(portRef CK (instanceRef FS_16))
(portRef CK (instanceRef FS_15))
(portRef CK (instanceRef FS_14))
(portRef CK (instanceRef FS_13))
(portRef CK (instanceRef FS_12))
(portRef CK (instanceRef FS_11))
(portRef CK (instanceRef FS_10))
(portRef CK (instanceRef FS_9))
(portRef CK (instanceRef FS_8))
(portRef CK (instanceRef FS_7))
(portRef CK (instanceRef FS_6))
(portRef CK (instanceRef FS_5))
(portRef CK (instanceRef FS_4))
(portRef CK (instanceRef FS_3))
(portRef CK (instanceRef FS_2))
(portRef CK (instanceRef FS_1))
(portRef CK (instanceRef FS_0))
(portRef CK (instanceRef IS_3))
(portRef CK (instanceRef IS_2))
(portRef CK (instanceRef IS_1))
(portRef CK (instanceRef IS_0))
(portRef CK (instanceRef InitReady))
(portRef CK (instanceRef LEDEN))
(portRef CK (instanceRef PHI2r2))
(portRef CK (instanceRef PHI2r3))
(portRef CK (instanceRef RASr))
(portRef CK (instanceRef RASr2))
(portRef CK (instanceRef RASr3))
(portRef CK (instanceRef RCKE))
(portRef CK (instanceRef RCKEEN))
(portRef CK (instanceRef Ready))
(portRef CK (instanceRef Ready_fast))
(portRef CK (instanceRef S_1))
(portRef CK (instanceRef S_0))
(portRef CK (instanceRef n8MEGEN))
(portRef CK (instanceRef nRowColSel))
(portRef CK (instanceRef wb_adr_7))
(portRef CK (instanceRef wb_adr_6))
(portRef CK (instanceRef wb_adr_5))
(portRef CK (instanceRef wb_adr_4))
(portRef CK (instanceRef wb_adr_3))
(portRef CK (instanceRef wb_adr_2))
(portRef CK (instanceRef wb_adr_1))
(portRef CK (instanceRef wb_adr_0))
(portRef CK (instanceRef wb_cyc_stb))
(portRef CK (instanceRef wb_dati_7))
(portRef CK (instanceRef wb_dati_6))
(portRef CK (instanceRef wb_dati_5))
(portRef CK (instanceRef wb_dati_4))
(portRef CK (instanceRef wb_dati_3))
(portRef CK (instanceRef wb_dati_2))
(portRef CK (instanceRef wb_dati_1))
(portRef CK (instanceRef wb_dati_0))
(portRef CK (instanceRef wb_req))
(portRef CK (instanceRef wb_rst))
(portRef CK (instanceRef wb_we))
(portRef SCLK (instanceRef RA10_0io))
(portRef SCLK (instanceRef nRCAS_0io))
(portRef SCLK (instanceRef nRCS_0io))
(portRef SCLK (instanceRef nRRAS_0io))
(portRef SCLK (instanceRef nRWE_0io))
(portRef SCLK (instanceRef PHI2r_0io))
))
(net RCLK (joined
(portRef RCLK)
(portRef I (instanceRef RCLK_pad))
))
(net RCKE_c (joined
(portRef Q (instanceRef RCKE))
(portRef B (instanceRef nRWE_0io_RNO_3))
(portRef C (instanceRef nRRAS_5_u_i_0))
(portRef I (instanceRef RCKE_pad))
))
(net RCKE (joined
(portRef O (instanceRef RCKE_pad))
(portRef RCKE)
))
(net nRWE_c (joined
(portRef Q (instanceRef nRWE_0io))
(portRef I (instanceRef nRWE_pad))
))
(net nRWE (joined
(portRef O (instanceRef nRWE_pad))
(portRef nRWE)
))
(net nRRAS_c (joined
(portRef Q (instanceRef nRRAS_0io))
(portRef I (instanceRef nRRAS_pad))
))
(net nRRAS (joined
(portRef O (instanceRef nRRAS_pad))
(portRef nRRAS)
))
(net nRCAS_c (joined
(portRef Q (instanceRef nRCAS_0io))
(portRef I (instanceRef nRCAS_pad))
))
(net nRCAS (joined
(portRef O (instanceRef nRCAS_pad))
(portRef nRCAS)
))
(net RDQMH_c (joined
(portRef Z (instanceRef RDQMH))
(portRef I (instanceRef RDQMH_pad))
))
(net RDQMH (joined
(portRef O (instanceRef RDQMH_pad))
(portRef RDQMH)
))
(net RDQML_c (joined
(portRef Z (instanceRef RDQML))
(portRef I (instanceRef RDQML_pad))
))
(net RDQML (joined
(portRef O (instanceRef RDQML_pad))
(portRef RDQML)
))
(net N_487_0 (joined
(portRef Z (instanceRef InitReady_RNO))
(portRef D (instanceRef InitReady))
))
(net N_486_0 (joined
(portRef Z (instanceRef Ready_RNO))
(portRef D (instanceRef Ready))
))
(net N_489_0 (joined
(portRef Z (instanceRef Ready_fast_RNO))
(portRef D (instanceRef Ready_fast))
))
(net nFWE_c_i (joined
(portRef Z (instanceRef nFWE_pad_RNI420B))
(portRef D (instanceRef FWEr))
(portRef D (instanceRef FWEr_fast))
))
(net nCRAS_c_i_0 (joined
(portRef Z (instanceRef RASr_RNO))
(portRef D (instanceRef RASr))
))
(net nCCAS_c_i (joined
(portRef Z (instanceRef nCCAS_pad_RNISUR8))
(portRef D (instanceRef CASr))
(portRef D (instanceRef CBR))
(portRef D (instanceRef CBR_fast))
(portRef SCLK (instanceRef WRD_0io_7))
(portRef SCLK (instanceRef WRD_0io_6))
(portRef SCLK (instanceRef WRD_0io_5))
(portRef SCLK (instanceRef WRD_0io_4))
(portRef SCLK (instanceRef WRD_0io_3))
(portRef SCLK (instanceRef WRD_0io_2))
(portRef SCLK (instanceRef WRD_0io_1))
(portRef SCLK (instanceRef WRD_0io_0))
))
(net RASr2_i (joined
(portRef Z (instanceRef RASr2_RNIAFR1))
(portRef CD (instanceRef S_1))
(portRef CD (instanceRef S_0))
))
(net wb_cyc_stb_RNO_1 (joined
(portRef Z (instanceRef wb_cyc_stb_RNO_1))
(portRef BLUT (instanceRef wb_cyc_stb_RNO))
))
(net wb_cyc_stb_RNO_0 (joined
(portRef Z (instanceRef wb_cyc_stb_RNO_0))
(portRef ALUT (instanceRef wb_cyc_stb_RNO))
))
(net XOR8MEG_3_u_0_am (joined
(portRef Z (instanceRef XOR8MEG_3_u_0_am))
(portRef BLUT (instanceRef XOR8MEG_3_u_0))
))
(net XOR8MEG_3_u_0_bm (joined
(portRef Z (instanceRef XOR8MEG_3_u_0_bm))
(portRef ALUT (instanceRef XOR8MEG_3_u_0))
))
(net CmdEnable_s_am (joined
(portRef Z (instanceRef CmdEnable_s_am))
(portRef BLUT (instanceRef CmdEnable_s))
))
(net CmdEnable_s_bm (joined
(portRef Z (instanceRef CmdEnable_s_bm))
(portRef ALUT (instanceRef CmdEnable_s))
))
(net N_1 (joined
(portRef CIN (instanceRef FS_cry_0_0))
))
)
(property orig_inst_of (string "RAM2GS"))
)
)
)
(design RAM2GS (cellRef RAM2GS (libraryRef work))
(property PART (string "lcmxo2_640hc-4") ))
)