RAM2GS/CPLD/LCMXO2-640HC/impl1/automake.log

2718 lines
113 KiB
Plaintext

synpwrap -msg -prj "LCMXO2_640HC_impl1_synplify.tcl" -log "LCMXO2_640HC_impl1.srf"
Copyright (C) 1992-2020 Lattice Semiconductor Corporation. All rights reserved.
Lattice Diamond Version 3.12.1.454
<postMsg mid="2011000" type="Info" dynamic="0" navigation="0" />
==contents of LCMXO2_640HC_impl1.srf
#Build: Synplify Pro (R) R-2021.03L-SP1, Build 093R, Aug 10 2021
#install: C:\lscc\diamond\3.12\synpbase
#OS: Windows 8 6.2
#Hostname: ZANEMACWIN11
# Sat Aug 19 21:54:48 2023
#Implementation: impl1
Copyright (C) 1994-2021 Synopsys, Inc.
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
and may only be used pursuant to the terms and conditions of a written license agreement
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
Synopsys software or the associated documentation is strictly prohibited.
Tool: Synplify Pro (R)
Build: R-2021.03L-SP1
Install: C:\lscc\diamond\3.12\synpbase
OS: Windows 6.2
Hostname: ZANEMACWIN11
Implementation : impl1
Synopsys HDL Compiler, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
@N|Running in 64-bit mode
###########################################################[
Copyright (C) 1994-2021 Synopsys, Inc.
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
and may only be used pursuant to the terms and conditions of a written license agreement
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
Synopsys software or the associated documentation is strictly prohibited.
Tool: Synplify Pro (R)
Build: R-2021.03L-SP1
Install: C:\lscc\diamond\3.12\synpbase
OS: Windows 6.2
Hostname: ZANEMACWIN11
Implementation : impl1
Synopsys Verilog Compiler, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
@N|Running in 64-bit mode
@I::"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v" (library work)
@I::"C:\lscc\diamond\3.12\synpbase\lib\lucent\pmi_def.v" (library work)
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\hypermods.v" (library __hyper__lib__)
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\umr_capim.v" (library snps_haps)
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_objects.v" (library snps_haps)
@I::"C:\lscc\diamond\3.12\synpbase\lib\vlog\scemi_pipes.svh" (library snps_haps)
@I::"Y:\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v" (library work)
@I::"Y:\Repos\RAM2GS\CPLD\LCMXO2-640HC\REFB.v" (library work)
Verilog syntax check successful!
Compiler output is up to date. No re-compile necessary
Selecting top level module RAM2GS
@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1120:7:1120:9|Synthesizing module VHI in library work.
Running optimization stage 1 on VHI .......
Finished optimization stage 1 on VHI (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1124:7:1124:9|Synthesizing module VLO in library work.
Running optimization stage 1 on VLO .......
Finished optimization stage 1 on VLO (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
@N: CG364 :"C:\lscc\diamond\3.12\synpbase\lib\lucent\machxo2.v":1800:7:1800:9|Synthesizing module EFB in library work.
Running optimization stage 1 on EFB .......
Finished optimization stage 1 on EFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
@N: CG364 :"Y:\Repos\RAM2GS\CPLD\LCMXO2-640HC\REFB.v":8:7:8:10|Synthesizing module REFB in library work.
Running optimization stage 1 on REFB .......
Finished optimization stage 1 on REFB (CPU Time 0h:00m:00s, Memory Used current: 99MB peak: 99MB)
@N: CG364 :"Y:\Repos\RAM2GS\CPLD\RAM2GS-LCMXO2.v":1:7:1:12|Synthesizing module RAM2GS in library work.
Running optimization stage 1 on RAM2GS .......
Finished optimization stage 1 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 101MB peak: 101MB)
Running optimization stage 2 on RAM2GS .......
Finished optimization stage 2 on RAM2GS (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
Running optimization stage 2 on REFB .......
Finished optimization stage 2 on REFB (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
Running optimization stage 2 on EFB .......
Finished optimization stage 2 on EFB (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
Running optimization stage 2 on VLO .......
Finished optimization stage 2 on VLO (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
Running optimization stage 2 on VHI .......
Finished optimization stage 2 on VHI (CPU Time 0h:00m:00s, Memory Used current: 102MB peak: 102MB)
For a summary of runtime and memory usage per design unit, please see file:
==========================================================
@L: Y:\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\synwork\layer0.rt.csv
At c_ver Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 96MB peak: 97MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
Process completed successfully.
# Sat Aug 19 21:54:48 2023
###########################################################]
###########################################################[
Copyright (C) 1994-2021 Synopsys, Inc.
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
and may only be used pursuant to the terms and conditions of a written license agreement
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
Synopsys software or the associated documentation is strictly prohibited.
Tool: Synplify Pro (R)
Build: R-2021.03L-SP1
Install: C:\lscc\diamond\3.12\synpbase
OS: Windows 6.2
Hostname: ZANEMACWIN11
Implementation : impl1
Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
@N|Running in 64-bit mode
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 98MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
Process completed successfully.
# Sat Aug 19 21:54:48 2023
###########################################################]
For a summary of runtime and memory usage for all design units, please see file:
==========================================================
@L: Y:\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\synwork\LCMXO2_640HC_impl1_comp.rt.csv
@END
At c_hdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 30MB peak: 31MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
Process completed successfully.
# Sat Aug 19 21:54:48 2023
###########################################################]
###########################################################[
Copyright (C) 1994-2021 Synopsys, Inc.
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
and may only be used pursuant to the terms and conditions of a written license agreement
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
Synopsys software or the associated documentation is strictly prohibited.
Tool: Synplify Pro (R)
Build: R-2021.03L-SP1
Install: C:\lscc\diamond\3.12\synpbase
OS: Windows 6.2
Hostname: ZANEMACWIN11
Implementation : impl1
Synopsys Synopsys Netlist Linker, Version comp202103synp2, Build 093R, Built Aug 10 2021 09:15:36, @
@N|Running in 64-bit mode
File Y:\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\synwork\LCMXO2_640HC_impl1_comp.srs changed - recompiling
At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 98MB peak: 99MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
Process completed successfully.
# Sat Aug 19 21:54:50 2023
###########################################################]
# Sat Aug 19 21:54:50 2023
Copyright (C) 1994-2021 Synopsys, Inc.
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
and may only be used pursuant to the terms and conditions of a written license agreement
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
Synopsys software or the associated documentation is strictly prohibited.
Tool: Synplify Pro (R)
Build: R-2021.03L-SP1
Install: C:\lscc\diamond\3.12\synpbase
OS: Windows 6.2
Hostname: ZANEMACWIN11
Implementation : impl1
Synopsys Lattice Technology Pre-mapping, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
Done reading skeleton netlist (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 132MB peak: 139MB)
Reading constraint file: Y:\Repos\RAM2GS\CPLD\RAM2GS.sdc
@L: Y:\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\LCMXO2_640HC_impl1_scck.rpt
See clock summary report "Y:\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\LCMXO2_640HC_impl1_scck.rpt"
@N: MF916 |Option synthesis_strategy=base is enabled.
@N: MF248 |Running in 64-bit mode.
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 139MB)
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 137MB peak: 139MB)
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 149MB peak: 149MB)
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 150MB peak: 151MB)
@N: FX493 |Applying initial value "0" on instance InitReady.
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
@N: FX493 |Applying initial value "0" on instance Ready.
@N: FX493 |Applying initial value "0" on instance RCKE.
@N: FX493 |Applying initial value "0" on instance LEDEN.
@N: FX493 |Applying initial value "0" on instance n8MEGEN.
@N: FX493 |Applying initial value "1" on instance nRCAS.
@N: FX493 |Applying initial value "0" on instance CmdLEDEN.
@N: FX493 |Applying initial value "0" on instance CmdUFMShift.
@N: FX493 |Applying initial value "0" on instance CmdUFMWrite.
@N: FX493 |Applying initial value "0" on instance Cmdn8MEGEN.
@N: FX493 |Applying initial value "0" on instance CmdValid.
@N: FX493 |Applying initial value "1" on instance nRCS.
@N: FX493 |Applying initial value "1" on instance nRRAS.
@N: FX493 |Applying initial value "0" on instance CmdUFMData.
@N: FX493 |Applying initial value "0" on instance C1Submitted.
@N: FX493 |Applying initial value "0" on instance ADSubmitted.
@N: FX493 |Applying initial value "0" on instance XOR8MEG.
@N: FX493 |Applying initial value "0" on instance CmdEnable.
@N: FX493 |Applying initial value "1" on instance nRWE.
Starting clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
Finished clock optimization phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 181MB)
Starting clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
Finished clock optimization report phase (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
@N: FX1184 |Applying syn_allowed_resources blockrams=2 on top level netlist RAM2GS
Finished netlist restructuring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 181MB peak: 182MB)
Clock Summary
******************
Start Requested Requested Clock Clock Clock
Level Clock Frequency Period Type Group Load
----------------------------------------------------------------------------------------
0 - RCLK 62.5 MHz 16.000 declared default_clkgroup 65
0 - PHI2 2.9 MHz 350.000 declared default_clkgroup 19
0 - nCRAS 2.9 MHz 350.000 declared default_clkgroup 14
0 - nCCAS 2.9 MHz 350.000 declared default_clkgroup 8
0 - System 100.0 MHz 10.000 system system_clkgroup 0
========================================================================================
Clock Load Summary
***********************
Clock Source Clock Pin Non-clock Pin Non-clock Pin
Clock Load Pin Seq Example Seq Example Comb Example
-----------------------------------------------------------------------------------------
RCLK 65 RCLK(port) CASr2.C - -
PHI2 19 PHI2(port) Bank[7:0].C PHI2r.D[0] un1_PHI2.I[0](inv)
nCRAS 14 nCRAS(port) CBR.C RASr.D[0] RASr_2.I[0](inv)
nCCAS 8 nCCAS(port) WRD[7:0].C CASr.D[0] CASr_2.I[0](inv)
System 0 - - - -
=========================================================================================
ICG Latch Removal Summary:
Number of ICG latches removed: 0
Number of ICG latches not removed: 0
For details review file gcc_ICG_report.rpt
@S |Clock Optimization Summary
#### START OF PREMAP CLOCK OPTIMIZATION REPORT #####[
4 non-gated/non-generated clock tree(s) driving 106 clock pin(s) of sequential element(s)
0 gated/generated clock tree(s) driving 0 clock pin(s) of sequential element(s)
0 instances converted, 0 sequential instances remain driven by gated/generated clocks
=========================== Non-Gated/Non-Generated Clocks ============================
Clock Tree ID Driving Element Drive Element Type Fanout Sample Instance
---------------------------------------------------------------------------------------
@KP:ckid0_0 RCLK port 65 nRWE
@KP:ckid0_1 PHI2 port 19 RA11
@KP:ckid0_2 nCCAS port 8 WRD[7:0]
@KP:ckid0_3 nCRAS port 14 RowA[9:0]
=======================================================================================
##### END OF CLOCK OPTIMIZATION REPORT ######
@N: FX1143 |Skipping assigning INTERNAL_VREF to iobanks, because the table of mapping from pin to iobank is not initialized.
Finished Pre Mapping Phase.
Starting constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
Finished constraint checker preprocessing (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 182MB peak: 182MB)
Finished constraint checker (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:00s; Memory used current: 183MB peak: 183MB)
Pre-mapping successful!
At Mapper Exit (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 103MB peak: 184MB)
Process took 0h:00m:01s realtime, 0h:00m:01s cputime
# Sat Aug 19 21:54:51 2023
###########################################################]
# Sat Aug 19 21:54:51 2023
Copyright (C) 1994-2021 Synopsys, Inc.
This Synopsys software and all associated documentation are proprietary to Synopsys, Inc.
and may only be used pursuant to the terms and conditions of a written license agreement
with Synopsys, Inc. All other use, reproduction, modification, or distribution of the
Synopsys software or the associated documentation is strictly prohibited.
Tool: Synplify Pro (R)
Build: R-2021.03L-SP1
Install: C:\lscc\diamond\3.12\synpbase
OS: Windows 6.2
Hostname: ZANEMACWIN11
Implementation : impl1
Synopsys Lattice Technology Mapper, Version map202103lat, Build 070R, Built Oct 6 2021 11:12:38, @
Mapper Startup Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 127MB peak: 127MB)
@N: MF916 |Option synthesis_strategy=base is enabled.
@N: MF248 |Running in 64-bit mode.
@N: MF666 |Clock conversion enabled. (Command "set_option -fix_gated_and_generated_clocks 1" in the project file.)
Design Input Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
Mapper Initialization Complete (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 129MB peak: 140MB)
Start loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 143MB peak: 143MB)
Finished loading timing files (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 145MB peak: 147MB)
Starting Optimization and Mapping (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 179MB peak: 179MB)
Finished RTL optimizations (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 183MB peak: 183MB)
@N: MO231 :"y:\repos\ram2gs\cpld\ram2gs-lcmxo2.v":162:4:162:9|Found counter in view:work.RAM2GS(verilog) instance IS[3:0]
@N: MO231 :"y:\repos\ram2gs\cpld\ram2gs-lcmxo2.v":149:4:149:9|Found counter in view:work.RAM2GS(verilog) instance FS[17:0]
@N: FX493 |Applying initial value "0" on instance IS[0].
@W: FX474 |User-specified initial value defined for some sequential elements which can prevent optimum synthesis results from being achieved.
@N: FX493 |Applying initial value "0" on instance IS[1].
@N: FX493 |Applying initial value "0" on instance IS[2].
@N: FX493 |Applying initial value "0" on instance IS[3].
Starting factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 185MB peak: 185MB)
Finished factoring (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 186MB peak: 186MB)
Available hyper_sources - for debug and ip models
None Found
Finished generic timing optimizations - Pass 1 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 187MB peak: 187MB)
Starting Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
Finished Early Timing Optimization (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
Finished generic timing optimizations - Pass 2 (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
Finished preparing to map (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 188MB peak: 188MB)
Finished technology mapping (Real Time elapsed 0h:00m:01s; CPU Time elapsed 0h:00m:01s; Memory used current: 195MB peak: 195MB)
Pass CPU time Worst Slack Luts / Registers
------------------------------------------------------------
1 0h:00m:01s -2.36ns 191 / 106
2 0h:00m:01s -2.36ns 206 / 106
3 0h:00m:01s -2.36ns 202 / 106
@N: FX271 :"y:\repos\ram2gs\cpld\ram2gs-lcmxo2.v":303:4:303:9|Replicating instance CmdValid (in view: work.RAM2GS(verilog)) with 5 loads 1 time to improve timing.
@N: FX271 :"y:\repos\ram2gs\cpld\ram2gs-lcmxo2.v":303:4:303:9|Replicating instance CmdUFMShift (in view: work.RAM2GS(verilog)) with 4 loads 1 time to improve timing.
@N: FX271 :"y:\repos\ram2gs\cpld\ram2gs-lcmxo2.v":162:4:162:9|Replicating instance Ready (in view: work.RAM2GS(verilog)) with 15 loads 1 time to improve timing.
@N: FX271 :"y:\repos\ram2gs\cpld\ram2gs-lcmxo2.v":120:4:120:9|Replicating instance CBR (in view: work.RAM2GS(verilog)) with 6 loads 1 time to improve timing.
@N: FX271 :"y:\repos\ram2gs\cpld\ram2gs-lcmxo2.v":120:4:120:9|Replicating instance FWEr (in view: work.RAM2GS(verilog)) with 4 loads 1 time to improve timing.
Timing driven replication report
Added 5 Registers via timing driven replication
Added 3 LUTs via timing driven replication
4 0h:00m:01s -1.83ns 206 / 111
5 0h:00m:02s -1.83ns 207 / 111
6 0h:00m:02s -1.83ns 208 / 111
7 0h:00m:02s -1.83ns 208 / 111
Finished technology timing optimizations and critical path resynthesis (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 196MB peak: 196MB)
@N: FX164 |The option to pack registers in the IOB has not been specified. Please set syn_useioff attribute.
Finished restoring hierarchy (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 196MB peak: 196MB)
Start Writing Netlists (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 160MB peak: 196MB)
Writing Analyst data base Y:\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\synwork\LCMXO2_640HC_impl1_m.srm
Finished Writing Netlist Databases (Real Time elapsed 0h:00m:02s; CPU Time elapsed 0h:00m:02s; Memory used current: 197MB peak: 197MB)
Writing EDIF Netlist and constraint files
@N: FX1056 |Writing EDF file: Y:\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\LCMXO2_640HC_impl1.edi
@N: BW106 |Synplicity Constraint File capacitance units using default value of 1pF
Finished Writing EDIF Netlist and constraint files (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 202MB peak: 202MB)
Finished Writing Netlists (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 202MB peak: 202MB)
Start final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 200MB peak: 202MB)
@W: MT246 :"y:\repos\ram2gs\cpld\lcmxo2-640hc\refb.v":78:8:78:16|Blackbox EFB is missing a user supplied timing model. This may have a negative effect on timing analysis and optimizations (Quality of Results)
@N: MT615 |Found clock RCLK with period 16.00ns
@N: MT615 |Found clock PHI2 with period 350.00ns
@N: MT615 |Found clock nCRAS with period 350.00ns
@N: MT615 |Found clock nCCAS with period 350.00ns
##### START OF TIMING REPORT #####[
# Timing report written on Sat Aug 19 21:54:55 2023
#
Top view: RAM2GS
Requested Frequency: 2.9 MHz
Wire load mode: top
Paths requested: 5
Constraint File(s): Y:\Repos\RAM2GS\CPLD\RAM2GS.sdc
@N: MT320 |This timing report is an estimate of place and route data. For final timing results, use the FPGA vendor place and route report.
@N: MT322 |Clock constraints include only register-to-register paths associated with each individual clock.
Performance Summary
*******************
Worst slack in design: -1.828
Requested Estimated Requested Estimated Clock Clock
Starting Clock Frequency Frequency Period Period Slack Type Group
-------------------------------------------------------------------------------------------------------------------
PHI2 2.9 MHz 1.0 MHz 350.000 989.870 -1.828 declared default_clkgroup
RCLK 62.5 MHz 22.1 MHz 16.000 45.251 -0.876 declared default_clkgroup
nCCAS 2.9 MHz NA 350.000 NA NA declared default_clkgroup
nCRAS 2.9 MHz 1.0 MHz 350.000 953.610 -1.725 declared default_clkgroup
System 100.0 MHz NA 10.000 NA 13.991 system system_clkgroup
===================================================================================================================
Estimated period and frequency reported as NA means no slack depends directly on the clock waveform
@W: MT117 |Paths from clock (RCLK:r) to clock (PHI2:f) are overconstrained because the required time of 1.00 ns is too small.
@W: MT118 |Paths from clock (nCRAS:f) to clock (RCLK:r) are overconstrained because the required time of 1.00 ns is too small.
@W: MT118 |Paths from clock (PHI2:f) to clock (RCLK:r) are overconstrained because the required time of 1.00 ns is too small.
@W: MT116 |Paths from clock (RCLK:r) to clock (PHI2:r) are overconstrained because the required time of 2.00 ns is too small.
@W: MT117 |Paths from clock (RCLK:r) to clock (nCRAS:f) are overconstrained because the required time of 1.00 ns is too small.
Clock Relationships
*******************
Clocks | rise to rise | fall to fall | rise to fall | fall to rise
---------------------------------------------------------------------------------------------------------------
Starting Ending | constraint slack | constraint slack | constraint slack | constraint slack
---------------------------------------------------------------------------------------------------------------
System RCLK | 16.000 13.991 | No paths - | No paths - | No paths -
RCLK System | 16.000 14.956 | No paths - | No paths - | No paths -
RCLK RCLK | 16.000 9.535 | No paths - | No paths - | No paths -
RCLK PHI2 | 2.000 0.216 | No paths - | 1.000 -0.876 | No paths -
RCLK nCRAS | No paths - | No paths - | 1.000 -0.784 | No paths -
PHI2 RCLK | No paths - | No paths - | No paths - | 1.000 -1.828
PHI2 PHI2 | No paths - | 350.000 347.059 | 175.000 168.905 | 175.000 173.428
nCRAS RCLK | No paths - | No paths - | No paths - | 1.000 -1.725
===============================================================================================================
Note: 'No paths' indicates there are no paths in the design for that pair of clock edges.
'Diff grp' indicates that paths exist but the starting clock and ending clock are in different clock groups.
Interface Information
*********************
No IO constraint found
====================================
Detailed Report for Clock: PHI2
====================================
Starting Points with Worst Slack
********************************
Starting Arrival
Instance Reference Type Pin Net Time Slack
Clock
------------------------------------------------------------------------------------------------
CmdUFMShift_fast PHI2 FD1P3AX Q CmdUFMShift_fast 1.044 -1.828
CmdValid_fast PHI2 FD1S3AX Q CmdValid_fast 1.044 -1.828
CmdUFMShift PHI2 FD1P3AX Q CmdUFMShift 1.108 -1.810
CmdValid PHI2 FD1S3AX Q CmdValid 1.108 -1.810
CmdUFMWrite PHI2 FD1P3AX Q CmdUFMWrite 1.044 -1.746
CmdLEDEN PHI2 FD1P3AX Q CmdLEDEN 1.044 -0.572
Cmdn8MEGEN PHI2 FD1P3AX Q Cmdn8MEGEN 1.044 -0.572
CmdUFMData PHI2 FD1P3AX Q CmdUFMData 0.972 -0.500
Bank_0io[0] PHI2 IFS1P3DX Q Bank[0] 0.972 168.905
Bank_0io[1] PHI2 IFS1P3DX Q Bank[1] 0.972 168.905
================================================================================================
Ending Points with Worst Slack
******************************
Starting Required
Instance Reference Type Pin Net Time Slack
Clock
---------------------------------------------------------------------------------------
wb_adr[0] PHI2 FD1P3AX SP un1_wb_rst14_i 0.528 -1.828
wb_adr[1] PHI2 FD1P3AX SP un1_wb_rst14_i 0.528 -1.828
wb_adr[2] PHI2 FD1P3AX SP un1_wb_rst14_i 0.528 -1.828
wb_adr[3] PHI2 FD1P3AX SP un1_wb_rst14_i 0.528 -1.828
wb_adr[4] PHI2 FD1P3AX SP un1_wb_rst14_i 0.528 -1.828
wb_adr[5] PHI2 FD1P3AX SP un1_wb_rst14_i 0.528 -1.828
wb_adr[6] PHI2 FD1P3AX SP un1_wb_rst14_i 0.528 -1.828
wb_adr[7] PHI2 FD1P3AX SP un1_wb_rst14_i 0.528 -1.828
wb_dati[0] PHI2 FD1P3AX SP un1_wb_rst14_i 0.528 -1.828
wb_dati[1] PHI2 FD1P3AX SP un1_wb_rst14_i 0.528 -1.828
=======================================================================================
Worst Path Information
***********************
Path information for path number 1:
Requested Period: 1.000
- Setup time: 0.472
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 0.528
- Propagation time: 2.357
- Clock delay at starting point: 0.000 (ideal)
= Slack (critical) : -1.828
Number of logic level(s): 1
Starting point: CmdUFMShift_fast / Q
Ending point: wb_adr[0] / SP
The start point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------------
CmdUFMShift_fast FD1P3AX Q Out 1.044 1.044 r -
CmdUFMShift_fast Net - - - - 2
CmdUFMShift_fast_RNIG9JD1 ORCALUT4 A In 0.000 1.044 r -
CmdUFMShift_fast_RNIG9JD1 ORCALUT4 Z Out 1.313 2.357 r -
un1_wb_rst14_i Net - - - - 17
wb_adr[0] FD1P3AX SP In 0.000 2.357 r -
============================================================================================
Path information for path number 2:
Requested Period: 1.000
- Setup time: 0.472
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 0.528
- Propagation time: 2.357
- Clock delay at starting point: 0.000 (ideal)
= Slack (critical) : -1.828
Number of logic level(s): 1
Starting point: CmdValid_fast / Q
Ending point: wb_adr[0] / SP
The start point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------------
CmdValid_fast FD1S3AX Q Out 1.044 1.044 r -
CmdValid_fast Net - - - - 2
CmdUFMShift_fast_RNIG9JD1 ORCALUT4 B In 0.000 1.044 r -
CmdUFMShift_fast_RNIG9JD1 ORCALUT4 Z Out 1.313 2.357 r -
un1_wb_rst14_i Net - - - - 17
wb_adr[0] FD1P3AX SP In 0.000 2.357 r -
============================================================================================
Path information for path number 3:
Requested Period: 1.000
- Setup time: 0.472
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 0.528
- Propagation time: 2.357
- Clock delay at starting point: 0.000 (ideal)
= Slack (critical) : -1.828
Number of logic level(s): 1
Starting point: CmdUFMShift_fast / Q
Ending point: wb_adr[7] / SP
The start point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------------
CmdUFMShift_fast FD1P3AX Q Out 1.044 1.044 r -
CmdUFMShift_fast Net - - - - 2
CmdUFMShift_fast_RNIG9JD1 ORCALUT4 A In 0.000 1.044 r -
CmdUFMShift_fast_RNIG9JD1 ORCALUT4 Z Out 1.313 2.357 r -
un1_wb_rst14_i Net - - - - 17
wb_adr[7] FD1P3AX SP In 0.000 2.357 r -
============================================================================================
Path information for path number 4:
Requested Period: 1.000
- Setup time: 0.472
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 0.528
- Propagation time: 2.357
- Clock delay at starting point: 0.000 (ideal)
= Slack (critical) : -1.828
Number of logic level(s): 1
Starting point: CmdUFMShift_fast / Q
Ending point: wb_adr[6] / SP
The start point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------------
CmdUFMShift_fast FD1P3AX Q Out 1.044 1.044 r -
CmdUFMShift_fast Net - - - - 2
CmdUFMShift_fast_RNIG9JD1 ORCALUT4 A In 0.000 1.044 r -
CmdUFMShift_fast_RNIG9JD1 ORCALUT4 Z Out 1.313 2.357 r -
un1_wb_rst14_i Net - - - - 17
wb_adr[6] FD1P3AX SP In 0.000 2.357 r -
============================================================================================
Path information for path number 5:
Requested Period: 1.000
- Setup time: 0.472
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 0.528
- Propagation time: 2.357
- Clock delay at starting point: 0.000 (ideal)
= Slack (critical) : -1.828
Number of logic level(s): 1
Starting point: CmdUFMShift_fast / Q
Ending point: wb_adr[5] / SP
The start point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------------
CmdUFMShift_fast FD1P3AX Q Out 1.044 1.044 r -
CmdUFMShift_fast Net - - - - 2
CmdUFMShift_fast_RNIG9JD1 ORCALUT4 A In 0.000 1.044 r -
CmdUFMShift_fast_RNIG9JD1 ORCALUT4 Z Out 1.313 2.357 r -
un1_wb_rst14_i Net - - - - 17
wb_adr[5] FD1P3AX SP In 0.000 2.357 r -
============================================================================================
====================================
Detailed Report for Clock: RCLK
====================================
Starting Points with Worst Slack
********************************
Starting Arrival
Instance Reference Type Pin Net Time Slack
Clock
----------------------------------------------------------------------------------
LEDEN RCLK FD1P3AX Q LEDEN 1.108 -0.876
Ready_fast RCLK FD1S3AX Q Ready_fast 1.256 -0.784
n8MEGEN RCLK FD1P3AX Q n8MEGEN 1.044 -0.572
InitReady RCLK FD1S3AX Q InitReady 1.321 9.535
FS[15] RCLK FD1S3AX Q FS[15] 1.180 9.677
FS[16] RCLK FD1S3AX Q FS[16] 1.180 9.677
FS[17] RCLK FD1S3AX Q FS[17] 1.180 9.677
S[1] RCLK FD1S3IX Q S[1] 1.244 9.913
S[0] RCLK FD1S3IX Q CO0 1.228 9.929
FS[12] RCLK FD1S3AX Q FS[12] 1.284 10.121
==================================================================================
Ending Points with Worst Slack
******************************
Starting Required
Instance Reference Type Pin Net Time Slack
Clock
------------------------------------------------------------------------------------
XOR8MEG RCLK FD1P3AX D XOR8MEG_3 1.462 -0.876
RBA_0io[0] RCLK OFS1P3DX D RBAd_0[0] 1.089 -0.784
RBA_0io[1] RCLK OFS1P3DX D RBAd_0[1] 1.089 -0.784
RowA[0] RCLK FD1S3AX D RowAd_0[0] 1.089 -0.784
RowA[1] RCLK FD1S3AX D RowAd_0[1] 1.089 -0.784
RowA[2] RCLK FD1S3AX D RowAd_0[2] 1.089 -0.784
RowA[3] RCLK FD1S3AX D RowAd_0[3] 1.089 -0.784
RowA[4] RCLK FD1S3AX D RowAd_0[4] 1.089 -0.784
RowA[5] RCLK FD1S3AX D RowAd_0[5] 1.089 -0.784
RowA[6] RCLK FD1S3AX D RowAd_0[6] 1.089 -0.784
====================================================================================
Worst Path Information
***********************
Path information for path number 1:
Requested Period: 1.000
- Setup time: -0.462
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 1.462
- Propagation time: 2.339
- Clock delay at starting point: 0.000 (ideal)
= Slack (non-critical) : -0.876
Number of logic level(s): 2
Starting point: LEDEN / Q
Ending point: XOR8MEG / D
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
The end point is clocked by PHI2 [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
-----------------------------------------------------------------------------------
LEDEN FD1P3AX Q Out 1.108 1.108 r -
LEDEN Net - - - - 3
XOR8MEG_3_u_0_bm ORCALUT4 A In 0.000 1.108 r -
XOR8MEG_3_u_0_bm ORCALUT4 Z Out 1.017 2.125 f -
XOR8MEG_3_u_0_bm Net - - - - 1
XOR8MEG_3_u_0 PFUMX ALUT In 0.000 2.125 f -
XOR8MEG_3_u_0 PFUMX Z Out 0.214 2.339 f -
XOR8MEG_3 Net - - - - 1
XOR8MEG FD1P3AX D In 0.000 2.339 f -
===================================================================================
Path information for path number 2:
Requested Period: 1.000
- Setup time: -0.089
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 1.089
- Propagation time: 1.873
- Clock delay at starting point: 0.000 (ideal)
= Slack (non-critical) : -0.784
Number of logic level(s): 1
Starting point: Ready_fast / Q
Ending point: RBA_0io[0] / D
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin SCLK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
---------------------------------------------------------------------------------
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
Ready_fast Net - - - - 14
RBAd[0] ORCALUT4 B In 0.000 1.256 r -
RBAd[0] ORCALUT4 Z Out 0.617 1.873 r -
RBAd_0[0] Net - - - - 1
RBA_0io[0] OFS1P3DX D In 0.000 1.873 r -
=================================================================================
Path information for path number 3:
Requested Period: 1.000
- Setup time: -0.089
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 1.089
- Propagation time: 1.873
- Clock delay at starting point: 0.000 (ideal)
= Slack (non-critical) : -0.784
Number of logic level(s): 1
Starting point: Ready_fast / Q
Ending point: RowA[9] / D
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
---------------------------------------------------------------------------------
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
Ready_fast Net - - - - 14
RowAd[9] ORCALUT4 B In 0.000 1.256 r -
RowAd[9] ORCALUT4 Z Out 0.617 1.873 f -
RowAd_0[9] Net - - - - 1
RowA[9] FD1S3AX D In 0.000 1.873 f -
=================================================================================
Path information for path number 4:
Requested Period: 1.000
- Setup time: -0.089
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 1.089
- Propagation time: 1.873
- Clock delay at starting point: 0.000 (ideal)
= Slack (non-critical) : -0.784
Number of logic level(s): 1
Starting point: Ready_fast / Q
Ending point: RowA[8] / D
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
---------------------------------------------------------------------------------
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
Ready_fast Net - - - - 14
RowAd[8] ORCALUT4 B In 0.000 1.256 r -
RowAd[8] ORCALUT4 Z Out 0.617 1.873 r -
RowAd_0[8] Net - - - - 1
RowA[8] FD1S3AX D In 0.000 1.873 r -
=================================================================================
Path information for path number 5:
Requested Period: 1.000
- Setup time: -0.089
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 1.089
- Propagation time: 1.873
- Clock delay at starting point: 0.000 (ideal)
= Slack (non-critical) : -0.784
Number of logic level(s): 1
Starting point: Ready_fast / Q
Ending point: RBA_0io[1] / D
The start point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
The end point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin SCLK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
---------------------------------------------------------------------------------
Ready_fast FD1S3AX Q Out 1.256 1.256 r -
Ready_fast Net - - - - 14
RBAd[1] ORCALUT4 B In 0.000 1.256 r -
RBAd[1] ORCALUT4 Z Out 0.617 1.873 r -
RBAd_0[1] Net - - - - 1
RBA_0io[1] OFS1P3DX D In 0.000 1.873 r -
=================================================================================
====================================
Detailed Report for Clock: nCRAS
====================================
Starting Points with Worst Slack
********************************
Starting Arrival
Instance Reference Type Pin Net Time Slack
Clock
--------------------------------------------------------------------------------
CBR_fast nCRAS FD1S3AX Q CBR_fast 1.108 -1.725
CBR nCRAS FD1S3AX Q CBR 1.148 -1.693
FWEr nCRAS FD1S3AX Q FWEr 1.108 -1.653
FWEr_fast nCRAS FD1S3AX Q FWEr_fast 0.972 -1.589
================================================================================
Ending Points with Worst Slack
******************************
Starting Required
Instance Reference Type Pin Net Time Slack
Clock
----------------------------------------------------------------------------------------
nRCAS_0io nCRAS OFS1P3BX D N_249_i 1.089 -1.725
nRWE_0io nCRAS OFS1P3BX D N_37_i 1.089 -1.725
nRowColSel nCRAS FD1S3IX D nRowColSel_0_0 1.089 -1.693
RCKEEN nCRAS FD1S3AX D RCKEEN_8 1.089 -1.653
nRCS_0io nCRAS OFS1P3BX D N_28_i 1.089 -1.653
========================================================================================
Worst Path Information
***********************
Path information for path number 1:
Requested Period: 1.000
- Setup time: -0.089
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 1.089
- Propagation time: 2.813
- Clock delay at starting point: 0.000 (ideal)
= Slack (non-critical) : -1.725
Number of logic level(s): 2
Starting point: CBR_fast / Q
Ending point: nRCAS_0io / D
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
----------------------------------------------------------------------------------------
CBR_fast FD1S3AX Q Out 1.108 1.108 r -
CBR_fast Net - - - - 3
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 A In 0.000 1.108 r -
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 Z Out 1.089 2.197 r -
nRCAS_0_sqmuxa_1 Net - - - - 2
nRCAS_0io_RNO ORCALUT4 B In 0.000 2.197 r -
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.813 f -
N_249_i Net - - - - 1
nRCAS_0io OFS1P3BX D In 0.000 2.813 f -
========================================================================================
Path information for path number 2:
Requested Period: 1.000
- Setup time: -0.089
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 1.089
- Propagation time: 2.813
- Clock delay at starting point: 0.000 (ideal)
= Slack (non-critical) : -1.725
Number of logic level(s): 2
Starting point: CBR_fast / Q
Ending point: nRWE_0io / D
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
----------------------------------------------------------------------------------------
CBR_fast FD1S3AX Q Out 1.108 1.108 r -
CBR_fast Net - - - - 3
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 A In 0.000 1.108 r -
nRCAS_0_sqmuxa_1_0_a3 ORCALUT4 Z Out 1.089 2.197 r -
nRCAS_0_sqmuxa_1 Net - - - - 2
nRWE_0io_RNO ORCALUT4 C In 0.000 2.197 r -
nRWE_0io_RNO ORCALUT4 Z Out 0.617 2.813 r -
N_37_i Net - - - - 1
nRWE_0io OFS1P3BX D In 0.000 2.813 r -
========================================================================================
Path information for path number 3:
Requested Period: 1.000
- Setup time: -0.089
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 1.089
- Propagation time: 2.781
- Clock delay at starting point: 0.000 (ideal)
= Slack (non-critical) : -1.693
Number of logic level(s): 2
Starting point: CBR / Q
Ending point: nRCAS_0io / D
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin SCLK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
----------------------------------------------------------------------------------
CBR FD1S3AX Q Out 1.148 1.148 r -
CBR Net - - - - 4
nRCAS_0io_RNO_0 ORCALUT4 A In 0.000 1.148 r -
nRCAS_0io_RNO_0 ORCALUT4 Z Out 1.017 2.165 f -
nRCAS_0io_RNO_0 Net - - - - 1
nRCAS_0io_RNO ORCALUT4 C In 0.000 2.165 f -
nRCAS_0io_RNO ORCALUT4 Z Out 0.617 2.781 r -
N_249_i Net - - - - 1
nRCAS_0io OFS1P3BX D In 0.000 2.781 r -
==================================================================================
Path information for path number 4:
Requested Period: 1.000
- Setup time: -0.089
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 1.089
- Propagation time: 2.781
- Clock delay at starting point: 0.000 (ideal)
= Slack (non-critical) : -1.693
Number of logic level(s): 2
Starting point: CBR / Q
Ending point: nRowColSel / D
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
--------------------------------------------------------------------------------------
CBR FD1S3AX Q Out 1.148 1.148 r -
CBR Net - - - - 4
nRowColSel_0_0_a3_0 ORCALUT4 B In 0.000 1.148 r -
nRowColSel_0_0_a3_0 ORCALUT4 Z Out 1.017 2.165 f -
N_265 Net - - - - 1
nRowColSel_0_0 ORCALUT4 B In 0.000 2.165 f -
nRowColSel_0_0 ORCALUT4 Z Out 0.617 2.781 f -
nRowColSel_0_0 Net - - - - 1
nRowColSel FD1S3IX D In 0.000 2.781 f -
======================================================================================
Path information for path number 5:
Requested Period: 1.000
- Setup time: -0.089
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 1.089
- Propagation time: 2.741
- Clock delay at starting point: 0.000 (ideal)
= Slack (non-critical) : -1.653
Number of logic level(s): 2
Starting point: FWEr / Q
Ending point: RCKEEN / D
The start point is clocked by nCRAS [falling] (rise=0.000 fall=175.000 period=350.000) on pin CK
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
---------------------------------------------------------------------------------
FWEr FD1S3AX Q Out 1.108 1.108 r -
FWEr Net - - - - 3
RCKEEN_8_u_1_0 ORCALUT4 C In 0.000 1.108 r -
RCKEEN_8_u_1_0 ORCALUT4 Z Out 1.017 2.125 r -
RCKEEN_8_u_1_0 Net - - - - 1
RCKEEN_8_u ORCALUT4 C In 0.000 2.125 r -
RCKEEN_8_u ORCALUT4 Z Out 0.617 2.741 r -
RCKEEN_8 Net - - - - 1
RCKEEN FD1S3AX D In 0.000 2.741 r -
=================================================================================
====================================
Detailed Report for Clock: System
====================================
Starting Points with Worst Slack
********************************
Starting Arrival
Instance Reference Type Pin Net Time Slack
Clock
-----------------------------------------------------------------------------------------
ufmefb.EFBInst_0 System EFB WBACKO wb_ack 0.000 13.991
ufmefb.EFBInst_0 System EFB WBDATO0 wb_dato[0] 0.000 15.472
ufmefb.EFBInst_0 System EFB WBDATO1 wb_dato[1] 0.000 15.472
=========================================================================================
Ending Points with Worst Slack
******************************
Starting Required
Instance Reference Type Pin Net Time Slack
Clock
----------------------------------------------------------------------------------------------------------
LEDEN System FD1P3AX SP un1_FS_38_i 15.528 13.991
n8MEGEN System FD1P3AX SP un1_FS_38_i 15.528 13.991
wb_cyc_stb System FD1P3IX SP un1_wb_cyc_stb_2_sqmuxa_i_0_N_4_i 15.528 14.297
LEDEN System FD1P3AX D LEDEN_6 16.089 15.472
n8MEGEN System FD1P3AX D n8MEGEN_6 16.089 15.472
==========================================================================================================
Worst Path Information
***********************
Path information for path number 1:
Requested Period: 16.000
- Setup time: 0.472
+ Clock delay at ending point: 0.000 (ideal)
= Required time: 15.528
- Propagation time: 1.538
- Clock delay at starting point: 0.000 (ideal)
- Estimated clock delay at start point: -0.000
= Slack (non-critical) : 13.991
Number of logic level(s): 2
Starting point: ufmefb.EFBInst_0 / WBACKO
Ending point: LEDEN / SP
The start point is clocked by System [rising]
The end point is clocked by RCLK [rising] (rise=0.000 fall=8.000 period=16.000) on pin CK
Instance / Net Pin Pin Arrival No. of
Name Type Name Dir Delay Time Fan Out(s)
---------------------------------------------------------------------------------------------
ufmefb.EFBInst_0 EFB WBACKO Out 0.000 0.000 r -
wb_ack Net - - - - 2
ufmefb.EFBInst_0_RNI9PBJ ORCALUT4 D In 0.000 0.000 r -
ufmefb.EFBInst_0_RNI9PBJ ORCALUT4 Z Out 0.449 0.449 r -
d_N_5_mux Net - - - - 1
CmdValid_fast_RNITQBM1 ORCALUT4 C In 0.000 0.449 r -
CmdValid_fast_RNITQBM1 ORCALUT4 Z Out 1.089 1.538 r -
un1_FS_38_i Net - - - - 2
LEDEN FD1P3AX SP In 0.000 1.538 r -
=============================================================================================
##### END OF TIMING REPORT #####]
Timing exceptions that could not be applied
Finished final timing analysis (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 202MB)
Finished timing report (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 201MB peak: 202MB)
---------------------------------------
Resource Usage Report
Part: lcmxo2_640hc-4
Register bits: 111 of 640 (17%)
PIC Latch: 0
I/O cells: 63
Details:
BB: 8
CCU2D: 10
EFB: 1
FD1P3AX: 28
FD1P3IX: 2
FD1S3AX: 52
FD1S3IX: 4
GSR: 1
IB: 25
IFS1P3DX: 9
INV: 6
OB: 30
OFS1P3BX: 4
OFS1P3DX: 11
OFS1P3JX: 1
ORCALUT4: 199
PFUMX: 3
PUR: 1
VHI: 2
VLO: 2
Mapper successful!
At Mapper Exit (Real Time elapsed 0h:00m:03s; CPU Time elapsed 0h:00m:03s; Memory used current: 78MB peak: 202MB)
Process took 0h:00m:03s realtime, 0h:00m:03s cputime
# Sat Aug 19 21:54:55 2023
###########################################################]
Synthesis exit by 0.
edif2ngd -l "MachXO2" -d LCMXO2-640HC -path "Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC/impl1" -path "Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC" "Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC/impl1/LCMXO2_640HC_impl1.edi" "LCMXO2_640HC_impl1.ngo"
edif2ngd: version Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Writing the design to LCMXO2_640HC_impl1.ngo...
Total CPU Time: 0 secs
Total REAL Time: 0 secs
Peak Memory Usage: 29 MB
ngdbuild -a "MachXO2" -d LCMXO2-640HC -p "C:/lscc/diamond/3.12/ispfpga/xo2c00/data" -p "Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC/impl1" -p "Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC" "LCMXO2_640HC_impl1.ngo" "LCMXO2_640HC_impl1.ngd"
ngdbuild: version Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Reading 'LCMXO2_640HC_impl1.ngo' ...
Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/xo2c00/data/xo2clib.ngl'...
Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/mg5g00/data/mg5glib.ngl'...
Loading NGL library 'C:/lscc/diamond/3.12/ispfpga/or5g00/data/orc5glib.ngl'...
Running DRC...
DRC complete with no errors or warnings
Design Results:
398 blocks expanded
Complete the first expansion.
Writing 'LCMXO2_640HC_impl1.ngd' ...
Total CPU Time: 0 secs
Total REAL Time: 0 secs
Peak Memory Usage: 37 MB
map -a "MachXO2" -p LCMXO2-640HC -t TQFP100 -s 4 -oc Commercial "LCMXO2_640HC_impl1.ngd" -o "LCMXO2_640HC_impl1_map.ncd" -pr "LCMXO2_640HC_impl1.prf" -mp "LCMXO2_640HC_impl1.mrp" -lpf "Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC/impl1/LCMXO2_640HC_impl1_synplify.lpf" -lpf "Y:/Repos/RAM2GS/CPLD/RAM2GS-LCMXO2.lpf" -c 0
map: version Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Process the file: LCMXO2_640HC_impl1.ngd
Picdevice="LCMXO2-640HC"
Pictype="TQFP100"
Picspeed=4
Remove unused logic
Do not produce over sized NCDs.
Part used: LCMXO2-640HCTQFP100, Performance used: 4.
Loading device for application map from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
Package Status: Final Version 1.39.
Running general design DRC...
Removing unused logic...
Optimizing...
1 CCU2 constant inputs absorbed.
<postMsg mid="52101273" type="Warning" dynamic="0" navigation="0" />
Design Summary:
Number of registers: 111 out of 877 (13%)
PFU registers: 86 out of 640 (13%)
PIO registers: 25 out of 237 (11%)
Number of SLICEs: 113 out of 320 (35%)
SLICEs as Logic/ROM: 113 out of 320 (35%)
SLICEs as RAM: 0 out of 240 (0%)
SLICEs as Carry: 10 out of 320 (3%)
Number of LUT4s: 222 out of 640 (35%)
Number used as logic LUTs: 202
Number used as distributed RAM: 0
Number used as ripple logic: 20
Number used as shift registers: 0
Number of PIO sites used: 63 + 4(JTAG) out of 79 (85%)
Number of block RAMs: 0 out of 2 (0%)
Number of GSRs: 0 out of 1 (0%)
EFB used : Yes
JTAG used : No
Readback used : No
Oscillator used : No
Startup used : No
POR : On
Bandgap : On
Number of Power Controller: 0 out of 1 (0%)
Number of Dynamic Bank Controller (BCINRD): 0 out of 4 (0%)
Number of DCCA: 0 out of 8 (0%)
Number of DCMA: 0 out of 2 (0%)
Notes:-
1. Total number of LUT4s = (Number of logic LUT4s) + 2*(Number of distributed RAMs) + 2*(Number of ripple logic)
2. Number of logic LUT4s does not include count of distributed RAM and ripple logic.
Number of clocks: 4
Net PHI2_c: 21 loads, 9 rising, 12 falling (Driver: PIO PHI2 )
Net RCLK_c: 47 loads, 47 rising, 0 falling (Driver: PIO RCLK )
Net nCRAS_c: 10 loads, 0 rising, 10 falling (Driver: PIO nCRAS )
Net nCCAS_c: 8 loads, 0 rising, 8 falling (Driver: PIO nCCAS )
Number of Clock Enables: 6
Net un1_wb_cyc_stb_2_sqmuxa_i_0_N_4_i: 1 loads, 1 LSLICEs
Net XOR8MEG18: 6 loads, 6 LSLICEs
Net CmdUFMData_1_sqmuxa: 1 loads, 1 LSLICEs
Net un1_wb_rst14_i: 9 loads, 9 LSLICEs
Net un1_FS_38_i: 2 loads, 2 LSLICEs
Net N_253_i: 2 loads, 2 LSLICEs
Number of LSRs: 5
Net RA10s_i: 1 loads, 0 LSLICEs
Net wb_rst10: 3 loads, 3 LSLICEs
Net wb_rst: 1 loads, 0 LSLICEs
Net nRRAS_0_sqmuxa: 1 loads, 1 LSLICEs
Net RASr2: 2 loads, 2 LSLICEs
Number of nets driven by tri-state buffers: 0
Top 10 highest fanout non-clock nets:
Net InitReady: 33 loads
Net FS[13]: 22 loads
Net FS[12]: 21 loads
Net FS[14]: 20 loads
Net wb_rst11: 18 loads
Net FS[10]: 16 loads
Net Ready: 16 loads
Net FS[11]: 15 loads
Net FS[9]: 15 loads
Net Ready_fast: 14 loads
Number of warnings: 1
Number of errors: 0
Total CPU Time: 0 secs
Total REAL Time: 0 secs
Peak Memory Usage: 57 MB
Dumping design to file LCMXO2_640HC_impl1_map.ncd.
trce -f "LCMXO2_640HC_impl1.mt" -o "LCMXO2_640HC_impl1.tw1" "LCMXO2_640HC_impl1_map.ncd" "LCMXO2_640HC_impl1.prf"
trce: version Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Loading design for application trce from file lcmxo2_640hc_impl1_map.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 4
Loading device for application trce from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Setup and Hold Report
--------------------------------------------------------------------------------
Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454
Sat Aug 19 21:54:58 2023
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Report Information
------------------
Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o LCMXO2_640HC_impl1.tw1 -gui -msgset Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml LCMXO2_640HC_impl1_map.ncd LCMXO2_640HC_impl1.prf
Design file: lcmxo2_640hc_impl1_map.ncd
Preference file: lcmxo2_640hc_impl1.prf
Device,speed: LCMXO2-640HC,4
Report level: verbose report, limited to 1 item per preference
--------------------------------------------------------------------------------
BLOCK ASYNCPATHS
BLOCK RESETPATHS
--------------------------------------------------------------------------------
Timing summary (Setup):
---------------
Timing errors: 0 Score: 0
Cumulative negative slack: 0
Constraints cover 1002 paths, 4 nets, and 687 connections (70.25% coverage)
--------------------------------------------------------------------------------
Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454
Sat Aug 19 21:54:58 2023
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Report Information
------------------
Command line: trce -v 1 -gt -mapchkpnt 0 -sethld -o LCMXO2_640HC_impl1.tw1 -gui -msgset Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml LCMXO2_640HC_impl1_map.ncd LCMXO2_640HC_impl1.prf
Design file: lcmxo2_640hc_impl1_map.ncd
Preference file: lcmxo2_640hc_impl1.prf
Device,speed: LCMXO2-640HC,M
Report level: verbose report, limited to 1 item per preference
--------------------------------------------------------------------------------
BLOCK ASYNCPATHS
BLOCK RESETPATHS
--------------------------------------------------------------------------------
Timing summary (Hold):
---------------
Timing errors: 0 Score: 0
Cumulative negative slack: 0
Constraints cover 1002 paths, 4 nets, and 687 connections (70.25% coverage)
Timing summary (Setup and Hold):
---------------
Timing errors: 0 (setup), 0 (hold)
Score: 0 (setup), 0 (hold)
Cumulative negative slack: 0 (0+0)
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
Total CPU Time: 0 secs
Total REAL Time: 0 secs
Peak Memory Usage: 60 MB
ldbanno "LCMXO2_640HC_impl1_map.ncd" -n Verilog -o "LCMXO2_640HC_impl1_mapvo.vo" -w -neg
ldbanno: version Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Writing a Verilog netlist using the orca library type based on the LCMXO2_640HC_impl1_map design file.
Loading design for application ldbanno from file LCMXO2_640HC_impl1_map.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 4
Loading device for application ldbanno from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Converting design LCMXO2_640HC_impl1_map.ncd into .ldb format.
Writing Verilog netlist to file LCMXO2_640HC_impl1_mapvo.vo
Writing SDF timing to file LCMXO2_640HC_impl1_mapvo.sdf
<postMsg mid="35400250" type="Info" dynamic="1" navigation="0" arg0="0" />
Total CPU Time: 1 secs
Total REAL Time: 0 secs
Peak Memory Usage: 60 MB
ldbanno "LCMXO2_640HC_impl1_map.ncd" -n VHDL -o "LCMXO2_640HC_impl1_mapvho.vho" -w -neg
ldbanno: version Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Writing a VHDL netlist using the orca library type based on the LCMXO2_640HC_impl1_map design file.
Loading design for application ldbanno from file LCMXO2_640HC_impl1_map.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 4
Loading device for application ldbanno from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Converting design LCMXO2_640HC_impl1_map.ncd into .ldb format.
Writing VHDL netlist to file LCMXO2_640HC_impl1_mapvho.vho
Writing SDF timing to file LCMXO2_640HC_impl1_mapvho.sdf
<postMsg mid="35400250" type="Info" dynamic="1" navigation="0" arg0="0" />
Total CPU Time: 1 secs
Total REAL Time: 2 secs
Peak Memory Usage: 60 MB
mpartrce -p "LCMXO2_640HC_impl1.p2t" -f "LCMXO2_640HC_impl1.p3t" -tf "LCMXO2_640HC_impl1.pt" "LCMXO2_640HC_impl1_map.ncd" "LCMXO2_640HC_impl1.ncd"
---- MParTrce Tool ----
Removing old design directory at request of -rem command line option to this program.
Running par. Please wait . . .
Lattice Place and Route Report for Design "LCMXO2_640HC_impl1_map.ncd"
Sat Aug 19 21:55:01 2023
PAR: Place And Route Diamond (64-bit) 3.12.1.454.
Command Line: par -w -l 5 -i 6 -t 1 -c 0 -e 0 -gui -msgset Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml -exp parUseNBR=1:parCDP=0:parCDR=0:parPathBased=OFF:parASE=1 LCMXO2_640HC_impl1_map.ncd LCMXO2_640HC_impl1.dir/5_1.ncd LCMXO2_640HC_impl1.prf
Preference file: LCMXO2_640HC_impl1.prf.
Placement level-cost: 5-1.
Routing Iterations: 6
Loading design for application par from file LCMXO2_640HC_impl1_map.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 4
Loading device for application par from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
License checked out.
Ignore Preference Error(s): True
Device utilization summary:
PIO (prelim) 63+4(JTAG)/80 84% used
63+4(JTAG)/79 85% bonded
IOLOGIC 25/80 31% used
SLICE 113/320 35% used
EFB 1/1 100% used
Number of Signals: 374
Number of Connections: 978
Pin Constraint Summary:
63 out of 63 pins locked (100% locked).
The following 3 signals are selected to use the primary clock routing resources:
RCLK_c (driver: RCLK, clk load #: 47)
PHI2_c (driver: PHI2, clk load #: 21)
nCRAS_c (driver: nCRAS, clk load #: 10)
<postMsg mid="61061008" type="Warning" dynamic="5" navigation="0" arg0="RCLK_c" arg1="Primary" arg2="RCLK" arg3="62" arg4="Primary" />
<postMsg mid="61061008" type="Warning" dynamic="5" navigation="0" arg0="PHI2_c" arg1="Primary" arg2="PHI2" arg3="8" arg4="Primary" />
<postMsg mid="61061008" type="Warning" dynamic="5" navigation="0" arg0="nCRAS_c" arg1="Primary" arg2="nCRAS" arg3="17" arg4="Primary" />
The following 1 signal is selected to use the secondary clock routing resources:
nCCAS_c (driver: nCCAS, clk load #: 8, sr load #: 0, ce load #: 0)
<postMsg mid="61061008" type="Warning" dynamic="5" navigation="0" arg0="nCCAS_c" arg1="Secondary" arg2="nCCAS" arg3="9" arg4="Secondary" />
No signal is selected as Global Set/Reset.
Starting Placer Phase 0.
............
Finished Placer Phase 0. REAL time: 0 secs
Starting Placer Phase 1.
....................
Placer score = 53481.
Finished Placer Phase 1. REAL time: 5 secs
Starting Placer Phase 2.
.
Placer score = 53406
Finished Placer Phase 2. REAL time: 5 secs
------------------ Clock Report ------------------
Global Clock Resources:
CLK_PIN : 0 out of 8 (0%)
General PIO: 4 out of 80 (5%)
DCM : 0 out of 2 (0%)
DCC : 0 out of 8 (0%)
Global Clocks:
PRIMARY "RCLK_c" from comp "RCLK" on PIO site "62 (PR5D)", clk load = 47
PRIMARY "PHI2_c" from comp "PHI2" on PIO site "8 (PL3B)", clk load = 21
PRIMARY "nCRAS_c" from comp "nCRAS" on PIO site "17 (PL6B)", clk load = 10
SECONDARY "nCCAS_c" from comp "nCCAS" on PIO site "9 (PL3C)", clk load = 8, ce load = 0, sr load = 0
PRIMARY : 3 out of 8 (37%)
SECONDARY: 1 out of 8 (12%)
--------------- End of Clock Report ---------------
I/O Usage Summary (final):
63 + 4(JTAG) out of 80 (83.8%) PIO sites used.
63 + 4(JTAG) out of 79 (84.8%) bonded PIO sites used.
Number of PIO comps: 63; differential: 0.
Number of Vref pins used: 0.
I/O Bank Usage Summary:
+----------+----------------+------------+-----------+
| I/O Bank | Usage | Bank Vccio | Bank Vref |
+----------+----------------+------------+-----------+
| 0 | 13 / 19 ( 68%) | 3.3V | - |
| 1 | 20 / 20 (100%) | 3.3V | - |
| 2 | 12 / 20 ( 60%) | 3.3V | - |
| 3 | 18 / 20 ( 90%) | 3.3V | - |
+----------+----------------+------------+-----------+
Total placer CPU time: 5 secs
Dumping design to file LCMXO2_640HC_impl1.dir/5_1.ncd.
0 connections routed; 978 unrouted.
Starting router resource preassignment
<postMsg mid="62061008" type="Warning" dynamic="1" navigation="0" arg0="RCLK_c" />
<postMsg mid="62061008" type="Warning" dynamic="1" navigation="0" arg0="nCRAS_c" />
Completed router resource preassignment. Real time: 8 secs
Start NBR router at 21:55:09 08/19/23
*****************************************************************
Info: NBR allows conflicts(one node used by more than one signal)
in the earlier iterations. In each iteration, it tries to
solve the conflicts while keeping the critical connections
routed as short as possible. The routing process is said to
be completed when no conflicts exist and all connections
are routed.
Note: NBR uses a different method to calculate timing slacks. The
worst slack and total negative slack may not be the same as
that in TRCE report. You should always run TRCE to verify
your design.
*****************************************************************
Start NBR special constraint process at 21:55:09 08/19/23
Start NBR section for initial routing at 21:55:10 08/19/23
Level 1, iteration 1
0(0.00%) conflict; 776(79.35%) untouched conns; 0 (nbr) score;
Estimated worst slack/total negative slack<setup>: 7.085ns/0.000ns; real time: 9 secs
Level 2, iteration 1
0(0.00%) conflict; 771(78.83%) untouched conns; 0 (nbr) score;
Estimated worst slack/total negative slack<setup>: 7.138ns/0.000ns; real time: 9 secs
Level 3, iteration 1
0(0.00%) conflict; 765(78.22%) untouched conns; 0 (nbr) score;
Estimated worst slack/total negative slack<setup>: 7.276ns/0.000ns; real time: 9 secs
Level 4, iteration 1
10(0.02%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
Estimated worst slack/total negative slack<setup>: 6.966ns/0.000ns; real time: 9 secs
Info: Initial congestion level at 75% usage is 0
Info: Initial congestion area at 75% usage is 0 (0.00%)
Start NBR section for normal routing at 21:55:10 08/19/23
Level 4, iteration 1
3(0.01%) conflicts; 0(0.00%) untouched conn; 0 (nbr) score;
Estimated worst slack/total negative slack<setup>: 6.966ns/0.000ns; real time: 9 secs
Level 4, iteration 2
0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
Estimated worst slack/total negative slack<setup>: 6.966ns/0.000ns; real time: 9 secs
Start NBR section for setup/hold timing optimization with effort level 3 at 21:55:10 08/19/23
Start NBR section for re-routing at 21:55:10 08/19/23
Level 4, iteration 1
0(0.00%) conflict; 0(0.00%) untouched conn; 0 (nbr) score;
Estimated worst slack/total negative slack<setup>: 6.966ns/0.000ns; real time: 9 secs
Start NBR section for post-routing at 21:55:10 08/19/23
End NBR router with 0 unrouted connection
NBR Summary
-----------
Number of unrouted connections : 0 (0.00%)
Number of connections with timing violations : 0 (0.00%)
Estimated worst slack<setup> : 6.966ns
Timing score<setup> : 0
-----------
Notes: The timing info is calculated for SETUP only and all PAR_ADJs are ignored.
Total CPU time 9 secs
Total REAL time: 9 secs
Completely routed.
End of route. 978 routed (100.00%); 0 unrouted.
Hold time timing score: 0, hold timing errors: 0
Timing score: 0
Dumping design to file LCMXO2_640HC_impl1.dir/5_1.ncd.
PAR_SUMMARY::Run status = Completed
PAR_SUMMARY::Number of unrouted conns = 0
PAR_SUMMARY::Worst slack<setup/<ns>> = 6.966
PAR_SUMMARY::Timing score<setup/<ns>> = 0.000
PAR_SUMMARY::Worst slack<hold /<ns>> = 0.304
PAR_SUMMARY::Timing score<hold /<ns>> = 0.000
PAR_SUMMARY::Number of errors = 0
Total CPU time to completion: 9 secs
Total REAL time to completion: 10 secs
par done!
Note: user must run 'Trace' for timing closure signoff.
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Exiting par with exit code 0
Exiting mpartrce with exit code 0
trce -f "LCMXO2_640HC_impl1.pt" -o "LCMXO2_640HC_impl1.twr" "LCMXO2_640HC_impl1.ncd" "LCMXO2_640HC_impl1.prf"
trce: version Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Loading design for application trce from file lcmxo2_640hc_impl1.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 4
Loading device for application trce from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Setup and Hold Report
--------------------------------------------------------------------------------
Lattice TRACE Report - Setup, Version Diamond (64-bit) 3.12.1.454
Sat Aug 19 21:55:11 2023
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Report Information
------------------
Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o LCMXO2_640HC_impl1.twr -gui -msgset Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml LCMXO2_640HC_impl1.ncd LCMXO2_640HC_impl1.prf
Design file: lcmxo2_640hc_impl1.ncd
Preference file: lcmxo2_640hc_impl1.prf
Device,speed: LCMXO2-640HC,4
Report level: verbose report, limited to 10 items per preference
--------------------------------------------------------------------------------
BLOCK ASYNCPATHS
BLOCK RESETPATHS
--------------------------------------------------------------------------------
Timing summary (Setup):
---------------
Timing errors: 0 Score: 0
Cumulative negative slack: 0
Constraints cover 1002 paths, 4 nets, and 705 connections (72.09% coverage)
--------------------------------------------------------------------------------
Lattice TRACE Report - Hold, Version Diamond (64-bit) 3.12.1.454
Sat Aug 19 21:55:11 2023
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Report Information
------------------
Command line: trce -v 10 -gt -sethld -sp 4 -sphld m -o LCMXO2_640HC_impl1.twr -gui -msgset Y:/Repos/RAM2GS/CPLD/LCMXO2-640HC/promote.xml LCMXO2_640HC_impl1.ncd LCMXO2_640HC_impl1.prf
Design file: lcmxo2_640hc_impl1.ncd
Preference file: lcmxo2_640hc_impl1.prf
Device,speed: LCMXO2-640HC,m
Report level: verbose report, limited to 10 items per preference
--------------------------------------------------------------------------------
BLOCK ASYNCPATHS
BLOCK RESETPATHS
--------------------------------------------------------------------------------
Timing summary (Hold):
---------------
Timing errors: 0 Score: 0
Cumulative negative slack: 0
Constraints cover 1002 paths, 4 nets, and 705 connections (72.09% coverage)
Timing summary (Setup and Hold):
---------------
Timing errors: 0 (setup), 0 (hold)
Score: 0 (setup), 0 (hold)
Cumulative negative slack: 0 (0+0)
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
Total CPU Time: 0 secs
Total REAL Time: 0 secs
Peak Memory Usage: 60 MB
iotiming "LCMXO2_640HC_impl1.ncd" "LCMXO2_640HC_impl1.prf"
I/O Timing Report:
: version Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Loading design for application iotiming from file lcmxo2_640hc_impl1.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 4
Loading device for application iotiming from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Running Performance Grade: 4
Computing Setup Time ...
Computing Max Clock to Output Delay ...
Computing Hold Time ...
Computing Min Clock to Output Delay ...
Loading design for application iotiming from file lcmxo2_640hc_impl1.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 5
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Running Performance Grade: 5
Computing Setup Time ...
Computing Max Clock to Output Delay ...
Computing Hold Time ...
Computing Min Clock to Output Delay ...
Loading design for application iotiming from file lcmxo2_640hc_impl1.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 6
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Running Performance Grade: 6
Computing Setup Time ...
Computing Max Clock to Output Delay ...
Computing Hold Time ...
Computing Min Clock to Output Delay ...
Loading design for application iotiming from file lcmxo2_640hc_impl1.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: M
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Running Performance Grade: M
Computing Setup Time ...
Computing Max Clock to Output Delay ...
Computing Hold Time ...
Computing Min Clock to Output Delay ...
Done.
ibisgen "LCMXO2_640HC_impl1.pad" "C:/lscc/diamond/3.12/cae_library/ibis/machxo2.ibs"
IBIS Models Generator: Lattice Diamond (64-bit) 3.12.1.454
Sat Aug 19 21:55:13 2023
Comp: CROW[0]
Site: 10
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: CROW[1]
Site: 16
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: Din[0]
Site: 3
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: Din[1]
Site: 96
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: Din[2]
Site: 88
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: Din[3]
Site: 97
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: Din[4]
Site: 99
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: Din[5]
Site: 98
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: Din[6]
Site: 2
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: Din[7]
Site: 1
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: Dout[0]
Site: 76
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: Dout[1]
Site: 86
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: Dout[2]
Site: 87
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: Dout[3]
Site: 85
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: Dout[4]
Site: 83
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: Dout[5]
Site: 84
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: Dout[6]
Site: 78
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: Dout[7]
Site: 82
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: LED
Site: 34
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=24mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: MAin[0]
Site: 14
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: MAin[1]
Site: 12
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: MAin[2]
Site: 13
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: MAin[3]
Site: 21
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: MAin[4]
Site: 20
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: MAin[5]
Site: 19
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: MAin[6]
Site: 24
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: MAin[7]
Site: 18
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: MAin[8]
Site: 25
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: MAin[9]
Site: 32
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: PHI2
Site: 8
Type: IN
IO_TYPE=LVCMOS33
PULL=DOWN
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: RA[0]
Site: 66
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RA[10]
Site: 64
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RA[11]
Site: 59
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RA[1]
Site: 67
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RA[2]
Site: 69
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RA[3]
Site: 71
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RA[4]
Site: 74
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RA[5]
Site: 70
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RA[6]
Site: 68
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RA[7]
Site: 75
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RA[8]
Site: 65
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RA[9]
Site: 63
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RBA[0]
Site: 58
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RBA[1]
Site: 60
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RCKE
Site: 53
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RCLK
Site: 62
Type: IN
IO_TYPE=LVCMOS33
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: RDQMH
Site: 51
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RDQML
Site: 48
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: RD[0]
Site: 36
Type: BIDI
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
SLEW=SLOW
-----------------------
Comp: RD[1]
Site: 37
Type: BIDI
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
SLEW=SLOW
-----------------------
Comp: RD[2]
Site: 38
Type: BIDI
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
SLEW=SLOW
-----------------------
Comp: RD[3]
Site: 39
Type: BIDI
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
SLEW=SLOW
-----------------------
Comp: RD[4]
Site: 40
Type: BIDI
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
SLEW=SLOW
-----------------------
Comp: RD[5]
Site: 41
Type: BIDI
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
SLEW=SLOW
-----------------------
Comp: RD[6]
Site: 42
Type: BIDI
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
SLEW=SLOW
-----------------------
Comp: RD[7]
Site: 43
Type: BIDI
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
CLAMP=ON
HYSTERESIS=SMALL
SLEW=SLOW
-----------------------
Comp: nCCAS
Site: 9
Type: IN
IO_TYPE=LVCMOS33
PULL=UP
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: nCRAS
Site: 17
Type: IN
IO_TYPE=LVCMOS33
PULL=UP
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: nFWE
Site: 15
Type: IN
IO_TYPE=LVCMOS33
PULL=UP
CLAMP=ON
HYSTERESIS=SMALL
-----------------------
Comp: nRCAS
Site: 52
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: nRCS
Site: 57
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: nRRAS
Site: 54
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Comp: nRWE
Site: 49
Type: OUT
IO_TYPE=LVCMOS33
DRIVE=4mA
PULL=KEEPER
SLEW=SLOW
-----------------------
Created design models.
Generating: Y:\Repos\RAM2GS\CPLD\LCMXO2-640HC\impl1\IBIS\LCMXO2_640HC_impl1.ibs
<postMsg mid="1191031" type="Info" dynamic="0" navigation="0" />
ldbanno "LCMXO2_640HC_impl1.ncd" -n Verilog -o "LCMXO2_640HC_impl1_vo.vo" -w -neg
ldbanno: version Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Writing a Verilog netlist using the orca library type based on the LCMXO2_640HC_impl1 design file.
Loading design for application ldbanno from file LCMXO2_640HC_impl1.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 4
Loading device for application ldbanno from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Converting design LCMXO2_640HC_impl1.ncd into .ldb format.
Loading preferences from lcmxo2_640hc_impl1.prf.
Writing Verilog netlist to file LCMXO2_640HC_impl1_vo.vo
Writing SDF timing to file LCMXO2_640HC_impl1_vo.sdf
<postMsg mid="35400250" type="Info" dynamic="1" navigation="0" arg0="0" />
Total CPU Time: 1 secs
Total REAL Time: 2 secs
Peak Memory Usage: 63 MB
ldbanno "LCMXO2_640HC_impl1.ncd" -n VHDL -o "LCMXO2_640HC_impl1_vho.vho" -w -neg
ldbanno: version Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Writing a VHDL netlist using the orca library type based on the LCMXO2_640HC_impl1 design file.
Loading design for application ldbanno from file LCMXO2_640HC_impl1.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 4
Loading device for application ldbanno from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Converting design LCMXO2_640HC_impl1.ncd into .ldb format.
Loading preferences from lcmxo2_640hc_impl1.prf.
Writing VHDL netlist to file LCMXO2_640HC_impl1_vho.vho
Writing SDF timing to file LCMXO2_640HC_impl1_vho.sdf
<postMsg mid="35400250" type="Info" dynamic="1" navigation="0" arg0="0" />
Total CPU Time: 1 secs
Total REAL Time: 0 secs
Peak Memory Usage: 63 MB
tmcheck -par "LCMXO2_640HC_impl1.par"
bitgen -f "LCMXO2_640HC_impl1.t2b" -w "LCMXO2_640HC_impl1.ncd" "LCMXO2_640HC_impl1.prf"
BITGEN: Bitstream Generator Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Loading design for application Bitgen from file LCMXO2_640HC_impl1.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 4
Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Running DRC.
DRC detected 0 errors and 0 warnings.
Reading Preference File from LCMXO2_640HC_impl1.prf.
Preference Summary:
+---------------------------------+---------------------------------+
| Preference | Current Setting |
+---------------------------------+---------------------------------+
| RamCfg | Reset** |
+---------------------------------+---------------------------------+
| MCCLK_FREQ | 2.08** |
+---------------------------------+---------------------------------+
| CONFIG_SECURE | OFF** |
+---------------------------------+---------------------------------+
| INBUF | ON** |
+---------------------------------+---------------------------------+
| JTAG_PORT | ENABLE** |
+---------------------------------+---------------------------------+
| SDM_PORT | DISABLE** |
+---------------------------------+---------------------------------+
| SLAVE_SPI_PORT | DISABLE** |
+---------------------------------+---------------------------------+
| MASTER_SPI_PORT | DISABLE** |
+---------------------------------+---------------------------------+
| I2C_PORT | DISABLE** |
+---------------------------------+---------------------------------+
| MUX_CONFIGURATION_PORTS | DISABLE** |
+---------------------------------+---------------------------------+
| CONFIGURATION | CFG** |
+---------------------------------+---------------------------------+
| COMPRESS_CONFIG | ON** |
+---------------------------------+---------------------------------+
| MY_ASSP | OFF** |
+---------------------------------+---------------------------------+
| ONE_TIME_PROGRAM | OFF** |
+---------------------------------+---------------------------------+
| ENABLE_TRANSFR | DISABLE** |
+---------------------------------+---------------------------------+
| SHAREDEBRINIT | DISABLE** |
+---------------------------------+---------------------------------+
| BACKGROUND_RECONFIG | OFF** |
+---------------------------------+---------------------------------+
* Default setting.
** The specified setting matches the default setting.
Creating bit map...
Bitstream Status: Final Version 1.95.
Saving bit stream in "LCMXO2_640HC_impl1.bit".
Total CPU Time: 3 secs
Total REAL Time: 3 secs
Peak Memory Usage: 266 MB
tmcheck -par "LCMXO2_640HC_impl1.par"
bitgen -f "LCMXO2_640HC_impl1.t2b" -w "LCMXO2_640HC_impl1.ncd" -jedec "LCMXO2_640HC_impl1.prf"
BITGEN: Bitstream Generator Diamond (64-bit) 3.12.1.454
Copyright (c) 1991-1994 by NeoCAD Inc. All rights reserved.
Copyright (c) 1995 AT&T Corp. All rights reserved.
Copyright (c) 1995-2001 Lucent Technologies Inc. All rights reserved.
Copyright (c) 2001 Agere Systems All rights reserved.
Copyright (c) 2002-2020 Lattice Semiconductor Corporation, All rights reserved.
Loading design for application Bitgen from file LCMXO2_640HC_impl1.ncd.
Design name: RAM2GS
NCD version: 3.3
Vendor: LATTICE
Device: LCMXO2-640HC
Package: TQFP100
Performance: 4
Loading device for application Bitgen from file 'xo2c640.nph' in environment: C:/lscc/diamond/3.12/ispfpga.
Package Status: Final Version 1.39.
Performance Hardware Data Status: Final Version 34.4.
Running DRC.
DRC detected 0 errors and 0 warnings.
Reading Preference File from LCMXO2_640HC_impl1.prf.
Preference Summary:
+---------------------------------+---------------------------------+
| Preference | Current Setting |
+---------------------------------+---------------------------------+
| RamCfg | Reset** |
+---------------------------------+---------------------------------+
| MCCLK_FREQ | 2.08** |
+---------------------------------+---------------------------------+
| CONFIG_SECURE | OFF** |
+---------------------------------+---------------------------------+
| INBUF | ON** |
+---------------------------------+---------------------------------+
| JTAG_PORT | ENABLE** |
+---------------------------------+---------------------------------+
| SDM_PORT | DISABLE** |
+---------------------------------+---------------------------------+
| SLAVE_SPI_PORT | DISABLE** |
+---------------------------------+---------------------------------+
| MASTER_SPI_PORT | DISABLE** |
+---------------------------------+---------------------------------+
| I2C_PORT | DISABLE** |
+---------------------------------+---------------------------------+
| MUX_CONFIGURATION_PORTS | DISABLE** |
+---------------------------------+---------------------------------+
| CONFIGURATION | CFG** |
+---------------------------------+---------------------------------+
| COMPRESS_CONFIG | ON** |
+---------------------------------+---------------------------------+
| MY_ASSP | OFF** |
+---------------------------------+---------------------------------+
| ONE_TIME_PROGRAM | OFF** |
+---------------------------------+---------------------------------+
| ENABLE_TRANSFR | DISABLE** |
+---------------------------------+---------------------------------+
| SHAREDEBRINIT | DISABLE** |
+---------------------------------+---------------------------------+
| BACKGROUND_RECONFIG | OFF** |
+---------------------------------+---------------------------------+
* Default setting.
** The specified setting matches the default setting.
Creating bit map...
Bitstream Status: Final Version 1.95.
Saving bit stream in "LCMXO2_640HC_impl1.jed".
===========
UFM Summary.
===========
UFM Size: 191 Pages (128*191 Bits).
UFM Utilization: General Purpose Flash Memory.
Available General Purpose Flash Memory: 191 Pages (Page 0 to Page 190).
Initialized UFM Pages: 1 Page (Page 190).
Total CPU Time: 3 secs
Total REAL Time: 3 secs
Peak Memory Usage: 266 MB