Teensy816/README.md

3 lines
107 B
Markdown
Raw Normal View History

2021-10-19 20:48:54 +00:00
# Teensy816
A Teensy 4.1 based board with onboard FPGA to allow direct access to a W65816 based computer.