From 9d9870747831c1d0d9f78938f21b9e5382f6c68f Mon Sep 17 00:00:00 2001 From: Eric Rangell Date: Thu, 19 Jul 2018 15:49:09 -0500 Subject: [PATCH] Added Xcode source --- SRC/A2NoSlotMidi.xcodeproj/project.pbxproj | 389 ++++++++++++++++++ .../contents.xcworkspacedata | 7 + .../xcshareddata/IDEWorkspaceChecks.plist | 8 + .../UserInterfaceState.xcuserstate | Bin 0 -> 20333 bytes .../xcschemes/A2NoSlotMidi.xcscheme | 98 +++++ .../xcschemes/xcschememanagement.plist | 19 + SRC/A2NoSlotMidi/A2NoSlotMidi | Bin 0 -> 424 bytes SRC/A2NoSlotMidi/A2NoSlotMidi.dsk | Bin 0 -> 143360 bytes SRC/A2NoSlotMidi/A2NoSlotMidi.map | 21 + SRC/A2NoSlotMidi/ANN0DRVR.OBJ | Bin 0 -> 424 bytes SRC/A2NoSlotMidi/ANN0DRVR.OBJ.dsk | Bin 0 -> 143360 bytes SRC/A2NoSlotMidi/ANN0DRVR.OBJ.map | 21 + SRC/A2NoSlotMidi/Makefile | 237 +++++++++++ SRC/A2NoSlotMidi/main.lst | 304 ++++++++++++++ SRC/A2NoSlotMidi/main.o | Bin 0 -> 3771 bytes SRC/A2NoSlotMidi/main.s | 265 ++++++++++++ SRC/A2NoSlotMidi/make/AppleCommander.jar | Bin 0 -> 283997 bytes SRC/A2NoSlotMidi/make/DevApple.vii | Bin 0 -> 6639 bytes SRC/A2NoSlotMidi/make/V2Make.scpt | Bin 0 -> 4978 bytes SRC/A2NoSlotMidi/make/createDiskImage | 268 ++++++++++++ SRC/A2NoSlotMidi/make/dos33_template.dsk | Bin 0 -> 143360 bytes SRC/A2NoSlotMidi/make/errorFilter.sh | 59 +++ SRC/A2NoSlotMidi/make/head.mk | 48 +++ SRC/A2NoSlotMidi/make/prodos_template.dsk | Bin 0 -> 143360 bytes SRC/A2NoSlotMidi/make/tail.mk | 202 +++++++++ 25 files changed, 1946 insertions(+) create mode 100644 SRC/A2NoSlotMidi.xcodeproj/project.pbxproj create mode 100644 SRC/A2NoSlotMidi.xcodeproj/project.xcworkspace/contents.xcworkspacedata create mode 100644 SRC/A2NoSlotMidi.xcodeproj/project.xcworkspace/xcshareddata/IDEWorkspaceChecks.plist create mode 100644 SRC/A2NoSlotMidi.xcodeproj/project.xcworkspace/xcuserdata/ericrangell.xcuserdatad/UserInterfaceState.xcuserstate create mode 100644 SRC/A2NoSlotMidi.xcodeproj/xcshareddata/xcschemes/A2NoSlotMidi.xcscheme create mode 100644 SRC/A2NoSlotMidi.xcodeproj/xcuserdata/ericrangell.xcuserdatad/xcschemes/xcschememanagement.plist create mode 100644 SRC/A2NoSlotMidi/A2NoSlotMidi create mode 100644 SRC/A2NoSlotMidi/A2NoSlotMidi.dsk create mode 100644 SRC/A2NoSlotMidi/A2NoSlotMidi.map create mode 100644 SRC/A2NoSlotMidi/ANN0DRVR.OBJ create mode 100644 SRC/A2NoSlotMidi/ANN0DRVR.OBJ.dsk create mode 100644 SRC/A2NoSlotMidi/ANN0DRVR.OBJ.map create mode 100644 SRC/A2NoSlotMidi/Makefile create mode 100644 SRC/A2NoSlotMidi/main.lst create mode 100644 SRC/A2NoSlotMidi/main.o create mode 100644 SRC/A2NoSlotMidi/main.s create mode 100644 SRC/A2NoSlotMidi/make/AppleCommander.jar create mode 100644 SRC/A2NoSlotMidi/make/DevApple.vii create mode 100644 SRC/A2NoSlotMidi/make/V2Make.scpt create mode 100755 SRC/A2NoSlotMidi/make/createDiskImage create mode 100644 SRC/A2NoSlotMidi/make/dos33_template.dsk create mode 100755 SRC/A2NoSlotMidi/make/errorFilter.sh create mode 100644 SRC/A2NoSlotMidi/make/head.mk create mode 100644 SRC/A2NoSlotMidi/make/prodos_template.dsk create mode 100644 SRC/A2NoSlotMidi/make/tail.mk diff --git a/SRC/A2NoSlotMidi.xcodeproj/project.pbxproj b/SRC/A2NoSlotMidi.xcodeproj/project.pbxproj new file mode 100644 index 0000000..ccf961b --- /dev/null +++ b/SRC/A2NoSlotMidi.xcodeproj/project.pbxproj @@ -0,0 +1,389 @@ +// !$*UTF8*$! +{ + archiveVersion = 1; + classes = { + }; + objectVersion = 50; + objects = { + +/* Begin PBXBuildFile section */ + 2CCAF71F20FE1CC400158FD9 /* main.s in Sources */ = {isa = PBXBuildFile; fileRef = 2CCAF71E20FE1CC400158FD9 /* main.s */; }; + 2CCAF72120FE1CC400158FD9 /* Makefile in Sources */ = {isa = PBXBuildFile; fileRef = 2CCAF72020FE1CC400158FD9 /* Makefile */; }; + 2CCAF72420FE1CC400158FD9 /* AppleCommander.jar in Frameworks */ = {isa = PBXBuildFile; fileRef = 2CCAF72320FE1CC400158FD9 /* AppleCommander.jar */; }; + 2CCAF72620FE1CC400158FD9 /* createDiskImage in CopyFiles */ = {isa = PBXBuildFile; fileRef = 2CCAF72520FE1CC400158FD9 /* createDiskImage */; }; + 2CCAF72820FE1CC400158FD9 /* DevApple.vii in CopyFiles */ = {isa = PBXBuildFile; fileRef = 2CCAF72720FE1CC400158FD9 /* DevApple.vii */; }; + 2CCAF72A20FE1CC400158FD9 /* dos33_template.dsk in CopyFiles */ = {isa = PBXBuildFile; fileRef = 2CCAF72920FE1CC400158FD9 /* dos33_template.dsk */; }; + 2CCAF72C20FE1CC400158FD9 /* errorFilter.sh in CopyFiles */ = {isa = PBXBuildFile; fileRef = 2CCAF72B20FE1CC400158FD9 /* errorFilter.sh */; }; + 2CCAF72E20FE1CC400158FD9 /* head.mk in CopyFiles */ = {isa = PBXBuildFile; fileRef = 2CCAF72D20FE1CC400158FD9 /* head.mk */; }; + 2CCAF73020FE1CC400158FD9 /* prodos_template.dsk in CopyFiles */ = {isa = PBXBuildFile; fileRef = 2CCAF72F20FE1CC400158FD9 /* prodos_template.dsk */; }; + 2CCAF73220FE1CC400158FD9 /* tail.mk in CopyFiles */ = {isa = PBXBuildFile; fileRef = 2CCAF73120FE1CC400158FD9 /* tail.mk */; }; + 2CCAF73420FE1CC400158FD9 /* V2Make.scpt in CopyFiles */ = {isa = PBXBuildFile; fileRef = 2CCAF73320FE1CC400158FD9 /* V2Make.scpt */; }; + 2CCAF73720FE1CC400158FD9 /* A2NoSlotMidi.xcscheme in CopyFiles */ = {isa = PBXBuildFile; fileRef = 2CCAF73620FE1CC400158FD9 /* A2NoSlotMidi.xcscheme */; }; +/* End PBXBuildFile section */ + +/* Begin PBXCopyFilesBuildPhase section */ + 2CCAF71920FE1CC400158FD9 /* CopyFiles */ = { + isa = PBXCopyFilesBuildPhase; + buildActionMask = 2147483647; + dstPath = /usr/share/man/man1/; + dstSubfolderSpec = 0; + files = ( + 2CCAF72620FE1CC400158FD9 /* createDiskImage in CopyFiles */, + 2CCAF73420FE1CC400158FD9 /* V2Make.scpt in CopyFiles */, + 2CCAF72E20FE1CC400158FD9 /* head.mk in CopyFiles */, + 2CCAF73720FE1CC400158FD9 /* A2NoSlotMidi.xcscheme in CopyFiles */, + 2CCAF72820FE1CC400158FD9 /* DevApple.vii in CopyFiles */, + 2CCAF73220FE1CC400158FD9 /* tail.mk in CopyFiles */, + 2CCAF73020FE1CC400158FD9 /* prodos_template.dsk in CopyFiles */, + 2CCAF72A20FE1CC400158FD9 /* dos33_template.dsk in CopyFiles */, + 2CCAF72C20FE1CC400158FD9 /* errorFilter.sh in CopyFiles */, + ); + runOnlyForDeploymentPostprocessing = 1; + }; +/* End PBXCopyFilesBuildPhase section */ + +/* Begin PBXFileReference section */ + 2CCAF71B20FE1CC400158FD9 /* doNotBuild */ = {isa = PBXFileReference; explicitFileType = "compiled.mach-o.executable"; includeInIndex = 0; path = doNotBuild; sourceTree = BUILT_PRODUCTS_DIR; }; + 2CCAF71E20FE1CC400158FD9 /* main.s */ = {isa = PBXFileReference; lastKnownFileType = sourcecode.asm; path = main.s; sourceTree = ""; }; + 2CCAF72020FE1CC400158FD9 /* Makefile */ = {isa = PBXFileReference; lastKnownFileType = sourcecode.make; path = Makefile; sourceTree = ""; }; + 2CCAF72320FE1CC400158FD9 /* AppleCommander.jar */ = {isa = PBXFileReference; lastKnownFileType = archive.jar; name = AppleCommander.jar; path = make/AppleCommander.jar; sourceTree = ""; }; + 2CCAF72520FE1CC400158FD9 /* createDiskImage */ = {isa = PBXFileReference; lastKnownFileType = text.script.sh; name = createDiskImage; path = make/createDiskImage; sourceTree = ""; }; + 2CCAF72720FE1CC400158FD9 /* DevApple.vii */ = {isa = PBXFileReference; lastKnownFileType = file.bplist; name = DevApple.vii; path = make/DevApple.vii; sourceTree = ""; }; + 2CCAF72920FE1CC400158FD9 /* dos33_template.dsk */ = {isa = PBXFileReference; lastKnownFileType = file; name = dos33_template.dsk; path = make/dos33_template.dsk; sourceTree = ""; }; + 2CCAF72B20FE1CC400158FD9 /* errorFilter.sh */ = {isa = PBXFileReference; lastKnownFileType = text.script.sh; name = errorFilter.sh; path = make/errorFilter.sh; sourceTree = ""; }; + 2CCAF72D20FE1CC400158FD9 /* head.mk */ = {isa = PBXFileReference; lastKnownFileType = text; name = head.mk; path = make/head.mk; sourceTree = ""; }; + 2CCAF72F20FE1CC400158FD9 /* prodos_template.dsk */ = {isa = PBXFileReference; lastKnownFileType = file; name = prodos_template.dsk; path = make/prodos_template.dsk; sourceTree = ""; }; + 2CCAF73120FE1CC400158FD9 /* tail.mk */ = {isa = PBXFileReference; lastKnownFileType = text; name = tail.mk; path = make/tail.mk; sourceTree = ""; }; + 2CCAF73320FE1CC400158FD9 /* V2Make.scpt */ = {isa = PBXFileReference; lastKnownFileType = file; name = V2Make.scpt; path = make/V2Make.scpt; sourceTree = ""; }; + 2CCAF73620FE1CC400158FD9 /* A2NoSlotMidi.xcscheme */ = {isa = PBXFileReference; lastKnownFileType = text.xml; name = A2NoSlotMidi.xcscheme; path = A2NoSlotMidi.xcodeproj/xcshareddata/xcschemes/A2NoSlotMidi.xcscheme; sourceTree = SOURCE_ROOT; }; +/* End PBXFileReference section */ + +/* Begin PBXFrameworksBuildPhase section */ + 2CCAF71820FE1CC400158FD9 /* Frameworks */ = { + isa = PBXFrameworksBuildPhase; + buildActionMask = 2147483647; + files = ( + 2CCAF72420FE1CC400158FD9 /* AppleCommander.jar in Frameworks */, + ); + runOnlyForDeploymentPostprocessing = 0; + }; +/* End PBXFrameworksBuildPhase section */ + +/* Begin PBXGroup section */ + 2CCAF71120FE1CC400158FD9 = { + isa = PBXGroup; + children = ( + 2CCAF71D20FE1CC400158FD9 /* A2NoSlotMidi */, + 2CCAF71C20FE1CC400158FD9 /* Products */, + ); + sourceTree = ""; + }; + 2CCAF71C20FE1CC400158FD9 /* Products */ = { + isa = PBXGroup; + children = ( + 2CCAF71B20FE1CC400158FD9 /* doNotBuild */, + ); + name = Products; + sourceTree = ""; + }; + 2CCAF71D20FE1CC400158FD9 /* A2NoSlotMidi */ = { + isa = PBXGroup; + children = ( + 2CCAF71E20FE1CC400158FD9 /* main.s */, + 2CCAF72020FE1CC400158FD9 /* Makefile */, + 2CCAF72220FE1CC400158FD9 /* make */, + 2CCAF73520FE1CC400158FD9 /* Supporting Files */, + ); + path = A2NoSlotMidi; + sourceTree = ""; + }; + 2CCAF72220FE1CC400158FD9 /* make */ = { + isa = PBXGroup; + children = ( + 2CCAF72320FE1CC400158FD9 /* AppleCommander.jar */, + 2CCAF72520FE1CC400158FD9 /* createDiskImage */, + 2CCAF72720FE1CC400158FD9 /* DevApple.vii */, + 2CCAF72920FE1CC400158FD9 /* dos33_template.dsk */, + 2CCAF72B20FE1CC400158FD9 /* errorFilter.sh */, + 2CCAF72D20FE1CC400158FD9 /* head.mk */, + 2CCAF72F20FE1CC400158FD9 /* prodos_template.dsk */, + 2CCAF73120FE1CC400158FD9 /* tail.mk */, + 2CCAF73320FE1CC400158FD9 /* V2Make.scpt */, + ); + name = make; + sourceTree = ""; + }; + 2CCAF73520FE1CC400158FD9 /* Supporting Files */ = { + isa = PBXGroup; + children = ( + 2CCAF73620FE1CC400158FD9 /* A2NoSlotMidi.xcscheme */, + ); + name = "Supporting Files"; + sourceTree = ""; + }; +/* End PBXGroup section */ + +/* Begin PBXLegacyTarget section */ + 2CCAF71620FE1CC400158FD9 /* A2NoSlotMidi */ = { + isa = PBXLegacyTarget; + buildArgumentsString = "-C A2NoSlotMidi $(ACTION)"; + buildConfigurationList = 2CCAF73A20FE1CC400158FD9 /* Build configuration list for PBXLegacyTarget "A2NoSlotMidi" */; + buildPhases = ( + ); + buildToolPath = /usr/bin/make; + dependencies = ( + ); + name = A2NoSlotMidi; + passBuildSettingsInEnvironment = 1; + productName = A2NoSlotMidi; + }; +/* End PBXLegacyTarget section */ + +/* Begin PBXNativeTarget section */ + 2CCAF71A20FE1CC400158FD9 /* doNotBuild */ = { + isa = PBXNativeTarget; + buildConfigurationList = 2CCAF73D20FE1CC400158FD9 /* Build configuration list for PBXNativeTarget "doNotBuild" */; + buildPhases = ( + 2CCAF71720FE1CC400158FD9 /* Sources */, + 2CCAF71820FE1CC400158FD9 /* Frameworks */, + 2CCAF71920FE1CC400158FD9 /* CopyFiles */, + ); + buildRules = ( + ); + dependencies = ( + ); + name = doNotBuild; + productName = doNotBuild; + productReference = 2CCAF71B20FE1CC400158FD9 /* doNotBuild */; + productType = "com.apple.product-type.tool"; + }; +/* End PBXNativeTarget section */ + +/* Begin PBXProject section */ + 2CCAF71220FE1CC400158FD9 /* Project object */ = { + isa = PBXProject; + attributes = { + LastUpgradeCheck = 0940; + ORGANIZATIONNAME = "Eric Rangell"; + TargetAttributes = { + 2CCAF71620FE1CC400158FD9 = { + CreatedOnToolsVersion = 9.4.1; + }; + 2CCAF71A20FE1CC400158FD9 = { + CreatedOnToolsVersion = 9.4.1; + }; + }; + }; + buildConfigurationList = 2CCAF71520FE1CC400158FD9 /* Build configuration list for PBXProject "A2NoSlotMidi" */; + compatibilityVersion = "Xcode 9.3"; + developmentRegion = en; + hasScannedForEncodings = 0; + knownRegions = ( + en, + ); + mainGroup = 2CCAF71120FE1CC400158FD9; + productRefGroup = 2CCAF71C20FE1CC400158FD9 /* Products */; + projectDirPath = ""; + projectRoot = ""; + targets = ( + 2CCAF71620FE1CC400158FD9 /* A2NoSlotMidi */, + 2CCAF71A20FE1CC400158FD9 /* doNotBuild */, + ); + }; +/* End PBXProject section */ + +/* Begin PBXSourcesBuildPhase section */ + 2CCAF71720FE1CC400158FD9 /* Sources */ = { + isa = PBXSourcesBuildPhase; + buildActionMask = 2147483647; + files = ( + 2CCAF72120FE1CC400158FD9 /* Makefile in Sources */, + 2CCAF71F20FE1CC400158FD9 /* main.s in Sources */, + ); + runOnlyForDeploymentPostprocessing = 0; + }; +/* End PBXSourcesBuildPhase section */ + +/* Begin XCBuildConfiguration section */ + 2CCAF73820FE1CC400158FD9 /* Debug */ = { + isa = XCBuildConfiguration; + buildSettings = { + ALWAYS_SEARCH_USER_PATHS = NO; + CLANG_ANALYZER_NONNULL = YES; + CLANG_ANALYZER_NUMBER_OBJECT_CONVERSION = YES_AGGRESSIVE; + CLANG_CXX_LANGUAGE_STANDARD = "gnu++14"; + CLANG_CXX_LIBRARY = "libc++"; + CLANG_ENABLE_MODULES = YES; + CLANG_ENABLE_OBJC_ARC = YES; + CLANG_ENABLE_OBJC_WEAK = YES; + CLANG_WARN_BLOCK_CAPTURE_AUTORELEASING = YES; + CLANG_WARN_BOOL_CONVERSION = YES; + CLANG_WARN_COMMA = YES; + CLANG_WARN_CONSTANT_CONVERSION = YES; + CLANG_WARN_DEPRECATED_OBJC_IMPLEMENTATIONS = YES; + CLANG_WARN_DIRECT_OBJC_ISA_USAGE = YES_ERROR; + CLANG_WARN_DOCUMENTATION_COMMENTS = YES; + CLANG_WARN_EMPTY_BODY = YES; + CLANG_WARN_ENUM_CONVERSION = YES; + CLANG_WARN_INFINITE_RECURSION = YES; + CLANG_WARN_INT_CONVERSION = YES; + CLANG_WARN_NON_LITERAL_NULL_CONVERSION = YES; + CLANG_WARN_OBJC_IMPLICIT_RETAIN_SELF = YES; + CLANG_WARN_OBJC_LITERAL_CONVERSION = YES; + CLANG_WARN_OBJC_ROOT_CLASS = YES_ERROR; + CLANG_WARN_RANGE_LOOP_ANALYSIS = YES; + CLANG_WARN_STRICT_PROTOTYPES = YES; + CLANG_WARN_SUSPICIOUS_MOVE = YES; + CLANG_WARN_UNGUARDED_AVAILABILITY = YES_AGGRESSIVE; + CLANG_WARN_UNREACHABLE_CODE = YES; + CLANG_WARN__DUPLICATE_METHOD_MATCH = YES; + COPY_PHASE_STRIP = NO; + DEBUG_INFORMATION_FORMAT = dwarf; + ENABLE_STRICT_OBJC_MSGSEND = YES; + ENABLE_TESTABILITY = YES; + GCC_C_LANGUAGE_STANDARD = gnu11; + GCC_DYNAMIC_NO_PIC = NO; + GCC_NO_COMMON_BLOCKS = YES; + GCC_OPTIMIZATION_LEVEL = 0; + GCC_PREPROCESSOR_DEFINITIONS = ( + "DEBUG=1", + "$(inherited)", + ); + GCC_WARN_64_TO_32_BIT_CONVERSION = YES; + GCC_WARN_ABOUT_RETURN_TYPE = YES_ERROR; + GCC_WARN_UNDECLARED_SELECTOR = YES; + GCC_WARN_UNINITIALIZED_AUTOS = YES_AGGRESSIVE; + GCC_WARN_UNUSED_FUNCTION = YES; + GCC_WARN_UNUSED_VARIABLE = YES; + MTL_ENABLE_DEBUG_INFO = YES; + ONLY_ACTIVE_ARCH = YES; + }; + name = Debug; + }; + 2CCAF73920FE1CC400158FD9 /* Release */ = { + isa = XCBuildConfiguration; + buildSettings = { + ALWAYS_SEARCH_USER_PATHS = NO; + CLANG_ANALYZER_NONNULL = YES; + CLANG_ANALYZER_NUMBER_OBJECT_CONVERSION = YES_AGGRESSIVE; + CLANG_CXX_LANGUAGE_STANDARD = "gnu++14"; + CLANG_CXX_LIBRARY = "libc++"; + CLANG_ENABLE_MODULES = YES; + CLANG_ENABLE_OBJC_ARC = YES; + CLANG_ENABLE_OBJC_WEAK = YES; + CLANG_WARN_BLOCK_CAPTURE_AUTORELEASING = YES; + CLANG_WARN_BOOL_CONVERSION = YES; + CLANG_WARN_COMMA = YES; + CLANG_WARN_CONSTANT_CONVERSION = YES; + CLANG_WARN_DEPRECATED_OBJC_IMPLEMENTATIONS = YES; + CLANG_WARN_DIRECT_OBJC_ISA_USAGE = YES_ERROR; + CLANG_WARN_DOCUMENTATION_COMMENTS = YES; + CLANG_WARN_EMPTY_BODY = YES; + CLANG_WARN_ENUM_CONVERSION = YES; + CLANG_WARN_INFINITE_RECURSION = YES; + CLANG_WARN_INT_CONVERSION = YES; + CLANG_WARN_NON_LITERAL_NULL_CONVERSION = YES; + CLANG_WARN_OBJC_IMPLICIT_RETAIN_SELF = YES; + CLANG_WARN_OBJC_LITERAL_CONVERSION = YES; + CLANG_WARN_OBJC_ROOT_CLASS = YES_ERROR; + CLANG_WARN_RANGE_LOOP_ANALYSIS = YES; + CLANG_WARN_STRICT_PROTOTYPES = YES; + CLANG_WARN_SUSPICIOUS_MOVE = YES; + CLANG_WARN_UNGUARDED_AVAILABILITY = YES_AGGRESSIVE; + CLANG_WARN_UNREACHABLE_CODE = YES; + CLANG_WARN__DUPLICATE_METHOD_MATCH = YES; + COPY_PHASE_STRIP = NO; + DEBUG_INFORMATION_FORMAT = "dwarf-with-dsym"; + ENABLE_NS_ASSERTIONS = NO; + ENABLE_STRICT_OBJC_MSGSEND = YES; + GCC_C_LANGUAGE_STANDARD = gnu11; + GCC_NO_COMMON_BLOCKS = YES; + GCC_WARN_64_TO_32_BIT_CONVERSION = YES; + GCC_WARN_ABOUT_RETURN_TYPE = YES_ERROR; + GCC_WARN_UNDECLARED_SELECTOR = YES; + GCC_WARN_UNINITIALIZED_AUTOS = YES_AGGRESSIVE; + GCC_WARN_UNUSED_FUNCTION = YES; + GCC_WARN_UNUSED_VARIABLE = YES; + MTL_ENABLE_DEBUG_INFO = NO; + }; + name = Release; + }; + 2CCAF73B20FE1CC400158FD9 /* Debug */ = { + isa = XCBuildConfiguration; + buildSettings = { + CODE_SIGN_STYLE = Automatic; + DEBUGGING_SYMBOLS = YES; + DEBUG_INFORMATION_FORMAT = dwarf; + GCC_GENERATE_DEBUGGING_SYMBOLS = YES; + GCC_OPTIMIZATION_LEVEL = 0; + OTHER_CFLAGS = ""; + OTHER_LDFLAGS = ""; + PRODUCT_NAME = "$(TARGET_NAME)"; + }; + name = Debug; + }; + 2CCAF73C20FE1CC400158FD9 /* Release */ = { + isa = XCBuildConfiguration; + buildSettings = { + CODE_SIGN_STYLE = Automatic; + DEBUG_INFORMATION_FORMAT = "dwarf-with-dsym"; + OTHER_CFLAGS = ""; + OTHER_LDFLAGS = ""; + PRODUCT_NAME = "$(TARGET_NAME)"; + }; + name = Release; + }; + 2CCAF73E20FE1CC400158FD9 /* Debug */ = { + isa = XCBuildConfiguration; + buildSettings = { + CODE_SIGN_STYLE = Automatic; + GCC_PREPROCESSOR_DEFINITIONS = "__fastcall__=\"\""; + HEADER_SEARCH_PATHS = /usr/local/lib/cc65/include; + PRODUCT_NAME = doNotBuild; + }; + name = Debug; + }; + 2CCAF73F20FE1CC400158FD9 /* Release */ = { + isa = XCBuildConfiguration; + buildSettings = { + CODE_SIGN_STYLE = Automatic; + GCC_PREPROCESSOR_DEFINITIONS = "__fastcall__=\"\""; + HEADER_SEARCH_PATHS = /usr/local/lib/cc65/include; + PRODUCT_NAME = doNotBuild; + }; + name = Release; + }; +/* End XCBuildConfiguration section */ + +/* Begin XCConfigurationList section */ + 2CCAF71520FE1CC400158FD9 /* Build configuration list for PBXProject "A2NoSlotMidi" */ = { + isa = XCConfigurationList; + buildConfigurations = ( + 2CCAF73820FE1CC400158FD9 /* Debug */, + 2CCAF73920FE1CC400158FD9 /* Release */, + ); + defaultConfigurationIsVisible = 0; + defaultConfigurationName = Release; + }; + 2CCAF73A20FE1CC400158FD9 /* Build configuration list for PBXLegacyTarget "A2NoSlotMidi" */ = { + isa = XCConfigurationList; + buildConfigurations = ( + 2CCAF73B20FE1CC400158FD9 /* Debug */, + 2CCAF73C20FE1CC400158FD9 /* Release */, + ); + defaultConfigurationIsVisible = 0; + defaultConfigurationName = Release; + }; + 2CCAF73D20FE1CC400158FD9 /* Build configuration list for PBXNativeTarget "doNotBuild" */ = { + isa = XCConfigurationList; + buildConfigurations = ( + 2CCAF73E20FE1CC400158FD9 /* Debug */, + 2CCAF73F20FE1CC400158FD9 /* Release */, + ); + defaultConfigurationIsVisible = 0; + defaultConfigurationName = Release; + }; +/* End XCConfigurationList section */ + }; + rootObject = 2CCAF71220FE1CC400158FD9 /* Project object */; +} diff --git a/SRC/A2NoSlotMidi.xcodeproj/project.xcworkspace/contents.xcworkspacedata b/SRC/A2NoSlotMidi.xcodeproj/project.xcworkspace/contents.xcworkspacedata new file mode 100644 index 0000000..a83bd01 --- /dev/null +++ b/SRC/A2NoSlotMidi.xcodeproj/project.xcworkspace/contents.xcworkspacedata @@ -0,0 +1,7 @@ + + + + + diff --git a/SRC/A2NoSlotMidi.xcodeproj/project.xcworkspace/xcshareddata/IDEWorkspaceChecks.plist b/SRC/A2NoSlotMidi.xcodeproj/project.xcworkspace/xcshareddata/IDEWorkspaceChecks.plist new file mode 100644 index 0000000..18d9810 --- /dev/null +++ b/SRC/A2NoSlotMidi.xcodeproj/project.xcworkspace/xcshareddata/IDEWorkspaceChecks.plist @@ -0,0 +1,8 @@ + + + + + IDEDidComputeMac32BitWarning + + + diff --git a/SRC/A2NoSlotMidi.xcodeproj/project.xcworkspace/xcuserdata/ericrangell.xcuserdatad/UserInterfaceState.xcuserstate b/SRC/A2NoSlotMidi.xcodeproj/project.xcworkspace/xcuserdata/ericrangell.xcuserdatad/UserInterfaceState.xcuserstate new file mode 100644 index 0000000000000000000000000000000000000000..ca42bc9b42894b5813583605b7f0349b8e60ca79 GIT binary patch literal 20333 zcmeHvd3=*a_wUT}JWbQkrb)W6L!p$VP1>YQn>6W?bfqk9>Bde8ZQ2IfrY0%-G66(c z6hTA~Hwv-~ZXhE2BA|%uBBFxGCMXE9C@A;L^E6!m{k`||x$phwUTf)OmNRE&&YU@O z&UZ4RrqbqgsnsVCMhs#RhrE!8b8}M%bQx`RIBoXo0bT4PMp{c;&VgMlj*5&PUQ3~pXa#26j9}PxB zP&Kln8uScuASZI6S~MC>MpF<$)6fj`9GZn@qdDjW^dg#vmZ9b79kc?iM61whv<7{E zK13g(jc6147;Qtl&~9`D9Yx2`adZNmM5oYM^d0&UT}Qv5U(pS86a9uBpoi!$j4;Mt z*bhr_Fm8!k;nuhf?ubKi4;+poa56SwGfu&&I1Q)c44jF3;w;;z4*A zF2?1!0^9INJPJGUBs>{U!2~~#=i`_00{jYIh+oBva2;NTm*aQv2K*j=AAf+i;%#_4 z-i<%Qr|{SKH2wyk!QbK^@Q?Tc{s~{fzv5f?4t{_iF^J(9FD8fyW?C|>nAS`irY+Ns ziDaS}HKSponHWaP#4^2^K1^RGo5^8vnSM+jlg|_|LzptAhIxh=!%Si(GgFxv%yZ0a zW)AZbGmm+NSQV-7OkGH03ZnD3b%m~+f|=11lN zbBDRh++*%De=vVC515B6$9l0XSUDTS2D5G0wroc>gzdtHu|3#uHjdS?dN!Uluo-M7 z+mp>=d$E1le71lc$d<6Btd+I1HS9C&7k)6su$IfHtvoEu6uy3+&vG1^J*pJwa z><)G(`#Jjsdx-s#z06)=ud+Y0*VyaqFYK@E4fZDc8+(hr&E94IU>~x7aekbX^XFuo zoKtWCTx+fk7s7SodT`-f1gGSpIX#!erE$HuY_5PC#FcVZu8gbUp5YwaL~ar{xv)!h zZDr+gw5TYb+(!G%u)eR5tfj@W zHfh!}OKqhqz0~HiJMvwS>&&n_3iJ9nhw#;``6U(BDk~5WKIYX{r&*mYTeZanilA85 zz8QfGho#DzZyRr|r%^0Z_pvx#srIUxN-K1jOZA7-1v4X%O|dwwr95GqYh0eS#_oVA z^Y_eNe_M5_eT);h6jEk~!%|%i+*G^LtRrg6v#QJNP(9yT3A)Hy+EBe!p0xz(2xIaP zqeJrXOmsX-K*~B~Kt>`biaL~tl1KnapcADUP+~8&M#5_Lwz(pk(}>KqmRp>)&U|~V zqr{pD^@?RWp+5g_Os>OD^Hu#$>0C@X0wodlAWbtn_{B&|s#Q5{FU zK^FU>lsP)vo?mHq^|h7S{^dho%P1=)d<^BGd{lr62MN+&4J$lANLrnR z+4(T9bx>S1AUi*YKNOnsJ&5PARk3XFe}%^?i>*4+>6yu)$cmIJ(J)kuh9e6afl5#* zX+zqQcBDP&Ksu6;m8cArqY7k0Bhe@{l5`@SNe>cEB8U=xVez{(uB@=~kxpK?K-43h zE(e5J|R_7-vHLJz*9QH4jgrQMlGB`5@B{&9+N3b)-qH!dYbg4rV&_ohOx{>aM zWiU?Xu+n-J*T-62?y5M(D^53>`Znn*NX&HfEC?;#vg7K|bW|j7H&rNj9?cw*ou6g{ zC1kI*IH2@-R1|6oMErj;M%G0p6Kc*yFBQuIvh(}ax-26qt&L5Vp}Dk?ht>J$HM;OG zqXp;{v=F_D7NNx?il~W(M3WezC9!njUq^4CH_=;=dJ7glj>HoKF+$4t{}&z>-ZPhL z(RyLo--Tt@(Pe)RmR(P}KepiV?!7`y;q_}4BgYM@bu-$6luOYkBylPFlq5ITV>@|$ zkW?%i_TSJ4kz?sLtN|VD8OvZ(0*j;ET7P8KQ+`@?&spbD?0xJd{6P$iL_CFhakD&nUJ48r%Ub?JiD%}% zM&Hn7JWVo}qBEo?of&yrQ9(W(O%aSH%Vn){3cLP$biNM#fXq!;N;`Yc0Nz+(BC^aUFwn{*!v#k+!Z>qhDWeQ=!nywQ+e9WM!OEF6dN!JrtguSsCwZJ}Df_7rxfeoj}K$oow z%qB}!&0vq>goBgxCq*QQ3<)*woD%%Sb0-7p8OQ!u0Y(9qVL2H<2G-#K97qO{!9>-+ zUIdGTbsW<4F#qR8X3!f~iG*5i0=z($;aI+KyGu%k#NsUp?H4(aX#;n;?qm7msokj

_foFTc@(bB!dfjz_iC60Yk*v=Yh-6r*Y?b4R zWkF2|^aX`fDHw{6^_Xg}E^&ZOJh;kIVt0Q$~9xE*tlwqLYJj$uq=Rhx2egsU_o^ z>0vy8*Tatgw(Z!&6vRXMF2U~kzhDaD;kX1&F>Jvj$Y?UA4wqsp8B4~2@iN9%>Z&NJ zu-eKiT%o3!!T}a)nwHyCao|d9e|me*EW7Ynq+Et;@n}4TOeB-YgaC2zm3XP5m_p%%1$(swyyj1o_XmmeVT@$X=@_n&i|_D+!8%pC~G@xu|sx7gp>> z=ik9o@iaUgKZ|D&LY^bD$y~CaK^x}A#O62Ok0Lu#B=dWWIB14%%J>s zeWHhE?BzOab_d+j;Gp^UiYbQEv~Ab5TSRP}PTwg$F+C%*XI4&r(V(H_)sE5QC)K+#d?YP= z{iJXqtDjuqwgyJG*#!!B1ZWc{xGzEoJ21btq{M11wU!>2DKCG_eK{g!1^TFRZ&%D2MJ$5sP^ z0EJZJhnl(yGbDdr2Hp&B(t22AenxhFfpx4)l>!%wW0LMY!r{g*^wQYA3G1zh4`o-W z)-F>;MhX6qWt!+1>JtHt-xBr_FjqjiEX*o&TFR{rjrr>YEuf4x$qn%|$go*Cm^HZ$e5c_!0u?&ActN@ergw3cI7x zSb@{Jp|oIJjkU2vhGe2+y?XcQ`?MQ(^Q%*z?1pPMw8-uExbc#km+iTPL3u&pKU}8@ z`eZ|K{{dikJwESs1GAr!3$+Iish5Ph!PzH=6%V&aMwFCV%b>gd^n?UHsk*aQQ_aSI z0Ab0ezA-p9p{&;FvRCmE#Al|cQK~vbBV*yZ-tk0*ZKPyWWoA`Dc79eG_!zQMQ-Lmj zmdbqKI^W@#T~hN5WYupfc?Cl93JbV67?7^2YL_E3&pdFzz(FD2XTcHO68w3Q$lp@p ztTE^2_Mrk;4U7qb*!17Kr@-Y6{~cFRT1`v-gS1yE2s_l<3BTh?oh4NWfklG6uawj{ zTnPDef&9=ht{R%|3F*L51$n8E9)ghAx4tc8qmG%@YU;xY$%LzONTvl&ije5Y=n$~{ z=%tBP`9J$#Rb?&ZoBr1ketn&z@_WPINZ@~ZsU?l3^^pFc#FCz0pZ?#Q8T+}-l~n*~=#Tx?Vb7!W;o`|9lv>l$g?z4Tl)X3Qp)=%v z<2snUIFL^4^Myum#*#cJNwR;ry#c=TQiRL2M8Np{a84XH~&( z2ymc;-%O}g4ZjYk;RII}t>J*1mZ#j)g0`uF5<7T3Y^W02Q91&pQfM!o^ z)ON3_S@>eVtYIslw!lTmR7bI`tabS*03hpx+$ zd^WC`K<65TVbiO>ZMeBQ3Y9v2vPp(8$iJ>d@pK;WbPIHYQ ztPa2Hq@hxc)LR-Zjg^|ESlS7GqNOHCg-Sb1`$$_tX-{c4X_~Zc!+Z#Pobo|ek4l(l z6&tnKKq&P{0v%rk$bo~`PL}%dHr-!ThC)Cx8ub~~Xtt)i3j4v%6nYYLf~QZ^;pvp(7O*mUsR(#o%^Oc!zwa}x{1<7-FVvk=tOCvo}vsC zBGS-&QIx0`q~mEV7ueWv-k?-_%{T|W|Az2Ep^&WVl1ODpv|0nF8?~8e9fWT8<82`v ze5j<>FSHOU{pF}gflZ8Y=9hy1r3B4ObM)J70lQeG!eNW zfIl0(1kU-_!2iA+LiXz+WdAYR3ZeSXzzcf>g7n|Qd2$h5gW&vK^Z>&0-Vl}#fPj2Q z9EKyn`J=~45QOgo?u>zOyD!JpaQB`FH{RJ0Xnzea#j7E#{t4cN58|T`Oh1pWLIC|w zhGl%2K&Cy@6~g6u#tdQd0%j;v4ngtp%yi~OW)XzI*D;%zUCbBENeFgdWA3mB0^7lC zCsqYP>{K>~9mJNg4t6p-i(SapvFkwx>}QX&=O7gQ2PcAHa|ow`RqV+XawQN@p3KeR zUgK7Ao4CE)aqc{Kll$At&#RqRgqOi9%WHsFxz`x48D0y$R(Ngn+6Nl?qSsxKNE8g~ zql0xDC>kl6Aetk3L$pD(Q*=~xL3GF4+q<=Qgm zw-9#}M~gGWgT&S1sp5s=HRA2!W8%x=2R<^NFdu_Yj!&u2IG?#b%YC-^eC2b|=Yd2n z=_W~(6i7x&+>%9-^^$#(vy$5_TD0igBEChx7Pc0o#iADPwK&k?e2YJP6~5uVslG#e zYkgnzUFo~i_Z#2aetv#k{Y-v?{9Jx7`mOfc+jldZNl61YcrBx!yLgt+8!M+gWWtXnUp|(=NPS zUb_kHmbE+7?oRs-?K9igv|rqQPy6c~f;*TxjO?(W!}bnWI|g)2>S*h@pyQ5?KZgW` zm_sT<7KQ8$x!I{*r=Fc^J1y;WxYL8q-8vU`p4xds=kG!#q59CW&;_BpLvMEJ*rjim ziCxxqITPj+rVpzKdo^r-*uAdZy7uq-T-T4gUg_4VTUNL6-PU$H+ug5wa`$Jt*L6S9 zL)1gxV^ohfdK?L7!ehfL!k2`96~RQrMc5+Vh&ZbBQpPK*m37LmRlX{-YP4#t>U?By zWS_{Xksn9?8r3CgP}IDr{ZW6bW7U;#x_zrrXnJX;YPM)@MTbY1L@$Xx5#tw=5i=!b zbIfnr2(4B7ruKAfKy2UG=VEuq{uQT-bHuHWyQ=G=8?Jj@_q9GypR1pvKM?N~pAtVM zerx<6hB$-E@PXlmQDq!uTw}bF&@I85usq>HV&_Cl;?l%(Ng+wYlip4`m)t4Yl3bVk zqbbx>YFc5sZ0=zmX-lw7`>c|z)mb-t>3U7-wYRr#@BH4c_5QI>cppcfEq$53 zz52e~_iT1pc6Ij0IVdM9=jEL5a=Ya|lly5uQNP@NOZr{P)8tLe+n*nhU!1=t|87A_ z!Q6sx3%eKA7Va+cFB(#`y69g2wEpw^pBoT0VA6mu2DTY!8@PFp_n@LdD+b*eoId!K z!54?b4w*6J)X;82#|}L>tj(~>VcUxRi!H?;4)+?~fB2f=4=p*CI?J6AStDK_akC_~ z=TPTnS0G$4 z57lvb zEuD-fkC?oBO6Zj7Q!bKBvdS%Sk8*!GRXuh7)ZeG|pSERs`{`u*g=aILT{}ZM!!hIQ z=Zw$2^*r~y?fJtqV`eU%`S+~SS)b2V&t5qD;hd5=pTD4aVbKeZUMzd@@Z7k$Z@wgY zsrsc;^OEPSnlGO}e*T4*`@XzsLCAtx3x0p4_?3eTwF}>RRq|@>tLGN=S+sd^m&GqF ze)w9&YbTeaEZOjSyVqyFe(#OaH;%n&dUO3-?cbXH)}L?N-afrFbLpnKu5}BSiI$CC zc4>Lh^8N4V-&wt)&5GG8{#seR^4zMtReM+KRzB ztzWt!c*E@X(0jG-{rvv$_fLJ$`-9yd>OWlnQP@YXZw%NtYZKlyZqv<=D?UEAdEn-w zpY-}<_ZH)pjh{w-x^io$txL8AZJWDYvVHpYM?1#vxU;in=k;CXyDsc5-u>;K0eepD z?YH;vzTW!|e3tRqp8e+iI}Ris*m}@#@RQH=pMU&C+!vb;#U9%DW$c$5562ze^p)4pW}iHIs_@k5uZMhn?sVztE8kRp^V^xxXC8b@ zz=)sqo$R}X-?#g|?uQ;fY&aKpZrk~^^M`)S|MA-kB^R#!JR-N`~U3!=j8`u9(q4q^jD9+w*1}u@3W7p9z7!M!S&;G z4Q&XLrGZy-nX@(Q#XM+o+eM>eL@Y+wo<`w zSx3P21iqrj^8xaco!{F!4v<6j;KQTSrWZKub{E0m?U4g=Dzx4z84MO(1wabNqGtg@ zI1{XpR{#gF9Blym<0F6zeu6#)z~BzBLJmW`^Bmj|?*c4P1o68TV1M}I4gdx;0R%7& zKz}*7AI|4te}nN*fc;s({+N$9;{Es#K8%mxV_H`9p;Wx|+n z0O%!w?UBv&2T-7K2%h{pdRn}etZ}yS3m&aApRWBfgyhh zVT4!6LNblKN*1kvV8RiwypF-YN62)tn7l?_hkqB-z(VJLj{s2T=l@sF`*%vT zQod^(jUWFHH47jb%h!|v%V)ty{~i9Gx)W)XmJh~m)f}BimIyO+4xbk$!&-;W(cq}8 zz+MWjIg2YK-Vmz~G53}IEW}|hqVr3Ul(3C)m#g?14WmQeQhc32OjM|NqoJbk`8GQL zHpvsxchS^k_#VCw5Q=5w9g;}8HVvcw&4xs*HCaQ}l6BAGlu zb~Gg*kS@;7&x1I=-9Z6KJf4ecy<%BMk7Qc^p+{Nk2KEZ<_R0|!M-#LIjr$4ofz6a= zML`oLsFfk=Ny?B38m$(R`k44hlj?UR+-$-C`NVW!Ix-=kY&wIw=|bKk?~@P6hvXx& zk!)JYbVZ$+?o1CR9P3dT`Iz{-aXUBe?8bdy);bXt4aN&-0y98FLAv2{euaHZwq>-f zT!`VRD1T3#$wHS603qXO%LY(}K%$|!KvnsVBp}9PE3bYE1FChZXDnSxP?Da4XWgOC~#OoqtF1XFikWLQ4DKeU21WZ z(%GYodT=#?MFK=#_?%xmq6%scYSK^^04?f4vpkAbn4ggPtVV<^z+oL$CxlNt03SJD zz1#|6lzI>j%rx!WlTRs~U<#Qcrax-I3_yLELCjz{Lg~>cOcotVHf3MdvfNs2t91&D z(VYR#0imQ-jl)KR(@zwUqo4|QlM|=l3Ox)uC}xH;7U*OEQ;N?pR#ZCxuo0D|4r_H0 zM1O(t!6lS#wM)?OAs*n1aB9;H)O?78A-?PxF7$Mi94C8D!ezYz`m!-2;oz)fs+ek2 z2E%?Fdorvse3ol*Rq!<^IKzOCiI6!GLPR7O5lF!IN@&zbuW-K!fI3JIXFcSwg_EpGp zLH5Jhcwj&?kS#NXA%HD~o*QRKu!Q&~xfYwFj&aii=wIu2I-UmNJ&}A4B7LBFB)y)o z=lSwOPnPotCU52i=0(((94228^xszg{5cB-(aTQ<7S%Be=%}BDITBhfWL_1tb+aXF zoWv%&6J_eHhj7>Ma{0uN09gu%Q8I51`qY!Cqe%PeEy zl%{q_gUmELgPL#1I_4e9(|=lB81_nL6+ndlgC6Rb)s*^wQq`kQ*D~*d;livVr)rOZb14N(>Jh5hI${e;;D$UJ5X^C`2HoFQ<% zS;lM!f!s;H1A%-%A5`>c)*XM==EIRxT`nBE9{ezWHUu@V%cGy^^M>a6nO1w1)#Vr` ztk-9Bz4nvuseL8b9-lME=xO=|bBOtpIm~|lOM?i@)NnZ60GzS;3+%> zKd13Ma*13fzmebJ=T9)!R6{Z#KuZk*s__O3Jn`HDB;lW=5pb2wq>!Q{Kv#K`)KkjZ zddkwrf_A0vu^P3@U{4vK3jsv|;IT+}3s9;m?yVzc}Tj#-fLpHYU1?D5Uozr zV7bzJEmAILmEdNNWTVL6v( z#@^KG1K3WH#bKlN3E+{fW2lAY%(IN)F=}9kwrO5kuWRy4?DQHN(yWkg4iAAEVNBGH zZB~V+PSu4lfxY<`6duO2*<8?}Y>pfIEM@z-v4nE>4=`-D5MbDB5!>I5Tez{b;R*`z zi(!w0pxI*7nYFmFFTD)-k?sxC3vlSuyr00sK^Z&piN>R_o~?3YxOBO(3;?zb^Brm$ zXKL2k!MZ5_PB&I8g}Vn_Hh_IFHl6lLE2v69wbBLi^fith-wZd*PGYA(a~^}v5;qR2 zzdf_l=t!rt&$@B28@Fy8>GSNICzyQ!MmpDxThfuX0%k#a1ic(;n$n!v1?-|HS}%sy zOWe2(ZQYh0O8(Hkskt3$8vS;&A-@f;0vncWnH#tFFuj5@y^>w!#vR-^q><^h?1sm? zMSxLfKcE|XSVqs>?qc@=bdlZ7?qT=3ai|-2 zapSOM>}L?mI^f1#-8jyTb#!?I;J4@bn{fKTbvH80>8z!`$n z(v73sSnbA|wIFt9VPd|=M450nI^E&0 zI|P9P`ysuW?(I_QFQ|WS0Ph6>m`tr|x*aKQ4Q^iWG7--1l2N=j0Y=U%p8DP1a4I_~ z6@Cw=j1#Uoa>xMRW~HSD2vB+)atxXBk=hc&h*Ot^J zMeiB6hV$A#?>?00wm}5AW1Kp~3<9IhVxUtcV6Wlm)1w=}{ zIWg9A5?Yqz#_4XHLD#4kb=?5FO=gH*x198*`os#+-RF@%;a1MCS!!QuWYs#KoEqwN zXpl$G3h?w(tYvmby%GYM5U6#6>cT90%&5mn)(@Hs2jP@3 z^Za`%x8>S{PT;`nVqVI@?oR?dvnEej zV10DM=?W3)s7Cf+^JJ3lo=#%8*hXex*Td5uPfIxb(BsZ8Veq7yg!%=5$gd6A3jh{>Bo_ehsR+>6OLQT)`O4IY8kt^p$Jwc`t$W*&= z9wn16yh{;I2Z6$TQ&YXmIk_=UP#6m`J>HEAsVo)1$?)ED?na%RjML2S^@VB8Z1T~qE%}{^lJF4iw;r45A_;`#6t$y zHR_NUy^x`g);?a^MXia~t5c$NDziE+Ri!nj#i~;Dx;RxvTv~=!myw>PkJA@{1Jt0= z)Z3kc?rFH+*1Je~P3hS{jZW9&GPxoks3mo?KmA?((m>*~G#F6a^5d=BDB8Ab&jY#h z;gyWFS}^Yl3$xM$j|d+aYFb1A-2xPxzPZ<>N2}H5G*yZ^Jx-;L(dbm#l#~orhCU@W zCe0iZ9~+%IxZa-%Q#0up#jigNZ4G$prk&JW^{6lqtM3IxYEc-Lj^Jy{v>tqQ`R8-ye)4ZcxnoJ}gxj}X~|a7$rURvOH8mXmr7V0!~D-R5w*pbx;5ms%b05T1V~DO{IZLUChP z{A%#?3$^$#PhX336cA}(Rah!GCfhb`SOBLrDEvyNz_P$+rlW@E1X#{09osPJ*|k+8 ztPX;S7d&EM#XdfS^8s&=h%j=KKN0&71$;LI_J1rM*%##lCh8@?K5d65sb|nF^asY+ z7m!Xt@C78T;fY>*crBNV3vdx00ADZS#xFpO@eRBJ;*6W|E_?w01Q8N31V(%zu9(QA zz?Xd#FazO>K5THsn+37N9n60C`i=*1uMA?_!&h>sAadA;M=(9hE(7$^$6)gvfG^fK z#2&7AQ@3M{ZQ?04)BU|0Rf{v;^QhS*_!EK$e>6!vBE@{?k8l$*v)FXX))d>FPh zc-SLQEWnt(}4I#}iwi|p)NF;z%JDG|s;aJ>O+^%D0kw}U&weal_pe&KF# zzj1fD``n-0LocOQnU~XRtk-z2iC%86XvgYHUTeJ8d94>oMJ+|0MG*j# zixVY_`iQbcxuQJLd!lWkL!!f?BcfxX6QWb1)1ot?tDp_ul}+l8F`KKyhnvTXB1UWQB>f;zV(h*d)#p_Y)V12Z~3C?P8aB zl6Z>PEuJQxBd!y#7H<;o6Ym!v6n`Q9Qv8+psQ9?}NAXYMOX4fypT*b3zlv{)Z~3(L z(fbVZnd-C3=S!bE5=P=J0p%}|NfeSmNw6eL(p{pF#7N>KsgevyPf2e{UrCN+h-8># zxMYN6kK~BtTgi8lA0+1`7bF)YHzl_uzf0~)?o0lZJZzzC(Z9uv78_e!Xz`b?#MjT) zAK+erzQF+bit*L^8hjIclYD#o=J^)*7WoeFE%vqemiStI%K;2F)%O+OPkeX#e&u`0 z_q6XB-?P3Kd@uT5_Py$R&G)+R9pAqJBo^q`!!Oz|)=%db@7K$(z;Bq}NWV(IYQGvk zhu;Lh=lx#ro9j2vZ=v5Jzt{X;_gn6_)^D@lF~4v99!MF0lXVL@G&!vZ?hoz^b z7o-pU1N^)DC;AuqR|61jg8$q8EB#mbZ}Q*cf5889|3m&q{g3;f^#9ubC;v_^#E*)7=vxmfNe_m|7%!SYt}Hu84z z9&)ujTCSDH$rI(taDo-Q9Ne_6gxzEQqYzFWRm{+axM{B!vs`8V=&@*m|t$uG%& zk>8O2CciDeqv)XMqZq4jE1p-pqNr1>R;*RLtJt8}q}Z(3qS&g~uGp_QsQ5~8R&hab zQE^#uRdG{sOYytnuHt?G4&Vah0UZLu0=fnC2#5%X4$ua~1?U3|0GaC*kRLF($E6-u zdi>VoQMf4FFT727w{SSC!d2l>;hON6a8r0ncv^Tyc+c=&;eEoh!=2%a!gq&X5C1j% zUihO3afBoyAfin~$B5_%ZA4;(DIz5zEuvpUK}1o+z=**SLnF!na5gHUDq?iRn22!^ zQzK?Z%#L^=Vs6B|h&LnNj;M=Ru4I({O1UyX8KkULPF2oT&Qrdud`0=HaI;smRljXClu=ejj-*@^<8% z$a|50L_Uc8EAmkk6Xg}<9pw`h7^R9bM&(5fjdDgUj9L@5E$VR8g{Z&Ot<-JQ?bIE> zV2W3#sI%0C>aptS>KW?i)w9%d01W<-dXM^)`j+~4^F3Ha0F+A8UxsjLna&j-49& zX6)A31F;ulZ^hv_HqI-~JI*JrMVw!pe_V&SkhsoqUE;dNb&m^=Q^rNc6~?*ZUW?lv z_mj>?r_m+pQg!LNOkE#cwk}tfr?cor>&EKF>n7?Z>xgcq?j_xP-2&Z0-6GvPx|O=s zy0y9wbUSprbbECBbjNf*=+5gd=q~DR=^oMBz1~Y7t54FK^{M)F{ZRc#y;EPSAEO_y zpQN9mp9%287xnY>FY8~|f27}`Kd3*Y|3Uww{-XYh{+j-G{ayWi{R919`bY8Y;|=jc z<3|Hbk;G4ppBq0v{+0Me@k`?0h<`tROZ<-b-SPY4_s5@z|2F=+_#fiW$6txR7XM59 z&G=jK4-A|^ZfIp_V`yjSUkJzV?;Exo_8ImY4jR5N95b9Wd~G;mIBU3MxM6r`WQ|@% zZ=;V%j3bH)qCOUA3lo5tJ5yT(6^4-(oY zL?z@U6eo;M7?&_HVM@Z(gl7|;PnezXV#2(H1qrVvtWS6^;lqTD37ZqPBy3CAnXo5e zU&8)`&l3(MTu!)~7?h|=Oi0X49GN&daem_Z#65{;5-%kFlz1ueM&ixH+ldd8m?ZC{ zZb{lCb5dGTW>T-DzDc=B`2hMXNh(XSC5=j|PO3?AB+X1(l(Z)4VAB2McF8@GmB~@b z(aEvNy5y|nqU3?eLz0V=MI%^ z^qJ`^(^=C6(?!!|(^b<=({0lo(|yyQX0cgr?qCixcQf}eN0_6{v1XmwU`{aSmjPRFx42G4F`o92=Ed6!> literal 0 HcmV?d00001 diff --git a/SRC/A2NoSlotMidi.xcodeproj/xcshareddata/xcschemes/A2NoSlotMidi.xcscheme b/SRC/A2NoSlotMidi.xcodeproj/xcshareddata/xcschemes/A2NoSlotMidi.xcscheme new file mode 100644 index 0000000..e297df6 --- /dev/null +++ b/SRC/A2NoSlotMidi.xcodeproj/xcshareddata/xcschemes/A2NoSlotMidi.xcscheme @@ -0,0 +1,98 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/SRC/A2NoSlotMidi.xcodeproj/xcuserdata/ericrangell.xcuserdatad/xcschemes/xcschememanagement.plist b/SRC/A2NoSlotMidi.xcodeproj/xcuserdata/ericrangell.xcuserdatad/xcschemes/xcschememanagement.plist new file mode 100644 index 0000000..511fd49 --- /dev/null +++ b/SRC/A2NoSlotMidi.xcodeproj/xcuserdata/ericrangell.xcuserdatad/xcschemes/xcschememanagement.plist @@ -0,0 +1,19 @@ + + + + + SchemeUserState + + A2NoSlotMidi.xcscheme_^#shared#^_ + + orderHint + 0 + + doNotBuild.xcscheme + + orderHint + 1 + + + + diff --git a/SRC/A2NoSlotMidi/A2NoSlotMidi b/SRC/A2NoSlotMidi/A2NoSlotMidi new file mode 100644 index 0000000000000000000000000000000000000000..64b9aa2e305636fda4b4309fd2072cc5c16dd842 GIT binary patch literal 424 zcmb8qyGjFL5QgEmQ_=}B3O2cblqpjzq}>ZJ-L~GrKTN$%ATolTjTS*xh-9-lZBCm; zv5HzPcnPVktUQIac8cNo_?Tk+Ba6(hn}1^dhxu>jZw;um)x;MU)owiBZ(zFx^SGQbSK&+x;}=#p24ki zQNf$SgLZSCH7_&Il9!b?E08xekT;Wyvp;8_%_QjrTg!9!Z>DEvFxqjEr{dyOanedo zBdI-^#+5_j${g`6vpusjh3KGOe$u7M%jDI%)P%Ci%tp0N`ZnKiEmaOO(s-AlGBX&G z#s(@g?K8>OERM2gx(4OV&U-G9H;1&;hqSaQt0jwMskGQz@BF05s#S~&(KQtwJp_|d7^5yDr(K2Gx48@k0uG3@J(&f|OkS z5TUP&u1xmkCnn2rmC0qEUd9}CFxhe3LTTBzYMCW>Tm!3?Go|HG`G)IhiYvD2`ry*9 zqQeh|_Z&JJJiMpgMg7kwOo2B-|KaC
Z$0-6}DP9GZ=-y^}0nA9`5SMNT?zWw?S z7&z!Y=KjG$h7KG4-+1g~Xs1Wl-qw2JQXfm1r%p@I>a-~ajV|2~(=koATCK~q$6<*w zG509~(_t9GQS5CE^A`ncmt@%Dqt1+zqdDqb1ejf;c+C(tb_kp1XI>r7M*^=5bN@EH z;tBcO$E?QB-C?8r{M)tobB}QiK{Y|OWElO6T0;z{WfeuzxF<$d$PSj0m{*1gd&ydw zmXRj)c89dKwXCkTNTp)6wdNEo#Z2yK{>|EQB~%d#A@ga8qlCW5!i89sswfmHR6@ua z32-WQ=P9hjY)FxQjk0{HKUFKKWlIrbd55v&GdpGZLbEFvlrCTV`e40lyX)HL6<=0- z?h1b@xAS#pI{3=%yD!Q&FSq^B+Ubhq-+i%NzS`M*x!e`(_`agtRav$D3%=@$ofj^+ z4wGzGT!)*lG+(~fe753n^M#AwpXqG7*s*2z#g3M?AFf^wKe_f?zI^d=$6JH(=b6T8 zK;?c?qWcY>mIvpJSX-?-F+$WKE(${p`R9cECaE_9e8?~L z0K=HvVL~*R%1E^3++epQoE?em&ASfTa(pndRD5y!bhI>^qk2(P3iZHHoo*;~KgA5X z-xQ<%Z!YrxFud?!=VWAMOr5fzJ0a9drJ`h27gfHes6#^^?~RO8rZ1c~f9}I$(#AYI zW@<)O^jc~lwdS#V*|c5RrcEC+W68qq%BV|~R4hgHyq7J#E8EN|3l>gaFuOZnv`W>J zqK4edR`ieA#!Q==H4}NGd!lcY`d+reu59yXOqo7sMt5bDrVp}R`j;V$#watUjajgC z!NM7HkU5k}4WJSpy;mD^XsGF*M*1+x_B%>NJ^f>C-PyW^&|P2XE~TMfjry0`p3GWc zo}ZD`J=9PQ)sOlz?O(D@walG1d-0U{(`RInafFUh$yD0Vf5|py{+O8=OEMOYS^6-k zF?0^Jo%SQ$x|ua+%Iq0q7R;Gqv5c8#qNqE`AV~JTA*i~UMNu?$2V9*#cLBKi$QUx* z?$6l!4PeRc5%C%-nlh?}N3wONQlThnFx5J>tAqDb)Wbt5Or8g`7L1ujhSEir&_qxL z6&xBmuJ;h?dx}ZAFSd`G8ZhPIthoy;a~ICZn4W<;qtij-GB9nZNk<`@g9IRSmbp`= z&zRp$Vk$(kv8o?yOBpx>wNcaN&Rd$1G0*bkf-$Bsk5Hk>l!-dL@?VHIZOXzi)8|fG zICp+{7@_y?jply>|4&k2vaU<4neLCrYM<_T&OT#>nbHm#I(f#d-*p~8^wsPy%QufQ zS}ip?ZiAT%nK_l4vb@qsK*oTodAiaH0x~RfbY8F5b@af_UHkU9jvRFDJGk>}mvs0b z*-??=S&&MPj2h+j<<@ee-HCP5-8PkUee)=*XJ%?lP?Jh)^(iCm6FsvsJu{bQX44AFCQ>!^X03)!dk=ad%XVY%fG9wZ>m+fYC009qO^@F z#}JiF8>pONDm~WPWJ;Aw&7_VD3t`@2y;!EA4EXtZ*W=IcJ$@LnS)Xtq$Br5m85Rl#1Ia)__ zaHjl_^f13t8~jchg~-5bT8Zdidq977uMRPFJ9Sbbe)k1+l8$WpK(qPUijzZpCxXgG`l)4x-MKibJn%;p;`Z?_8t);0U9yMs%R)B$OE{U z7)Ig-g2e3xp%;D}NuI<^M?4y&Ez@iDnJ8paL*G{_|)5U01$U z$Bi(xZ`Eb4+^X|d73&C5U^7UIbq%WejjoiBAxKyV?r^AcqS>#b9E!In!Edt_n5@22 zclGRMC3Ujbx9u)_NbzmI%N|xZhW%7=L^1PE3w?TQCZWHc5#mXRW}^MfrIYy8`K>Nh zB}^m%d}X*P7gbcD6j8@3&GM4q6k#FzXQkuM3Jfc{+~5{T!&WE(F65++vtFgBT-o<$ zMR>H*occyNBPJI@s9bQF>B42UAG4eOTG)G);oa1nCibE58%28+H(ybgd z+N&5esHUzJ1?2NZe^$J_R|y(~(?x<(QLZ4iLQte)BVU2a_z(Q@->eu4EC}gxKj**s z^DEPxfAAM%<`<_Mt*x!6W2IBvFiFiVz?jI8VDtS&fASX= z_;(b&J%p8?{ zD)Yrj7>iIB)9WfdtFi5wUz0mQ>lFE#^xF8=C-zarpu~UEX9JB`Szqhrx>lIh-X@=Y@dey zdwm8!k>W%@q3MN^NQr-XE8rk9piumbrZS@~8={!>>)f@0HJvW9rbRwiw|~PE7N7L7fv*R<-?j z%1E}@@0rN{#qXKK{@HIs6HZf;tsgpK>!&B5c!wVk zV-RUG-L_Jh8FMnHES)`L{`{rG=VZ*D(Jdwa@@v`V2al{%k6YqSTaKQhHlF;?|D!_x zR7J|P)G71kS!OVdb}cGn->J1UAW!W-W$SuW{rl1Xaq1lEv>e60RV&5vSN(G+Nu~jw zZRy&zrd1#Mi$3;OP^WoH8vRZ!{!%Q|NuKq~@o8GD(tyT-7MX#3SwElePmg4>j5`%+ z4N56R4Q+78Zk^!F3)LBJxK+M(+W8iO*F96^kwJ|xFoU*Fm9?8@Nj*I?(-I)E?KG!m zJ808(OY&}R1VnNa?8h8s)SBI~zP!-;6HpKTR%o=_5CSlOsr7gaf-cxvUO z;`Ds!DyymGz6sZ58T-%l>>>qz%vfO-`EB+YHgl>$GGdq+4YC@Dm-VxY{hZ&-%`PKNd1u0-@(_VQu0U`Rz0KcWTMsV!x%{U-*H)aJ}Eke&A>EbDREq z=)+K%kD^jT)Op?0)Vl(8#+VaJy<0||DS7vE>P!wrz3ZjUeD;~?c8YbQ>g||~>bJEv zn~e)mrWbzf=l@p7Ra!+oP)ddJYeUjiH??j;-MUHcC)Q1DT{jt;%~VcppJrkbcZ2l9 z{TTWPf5$`qiia?I=fi&M|5nS9!ihmzigBr#p`$pONgw6-!D_Q@Hg4+6g-q7XhE0ha zWwKTpE4Wc7yrUd3Cq5tLh?X^VhD%oCA9jo?Hd>9@$m~!BzV7c(@9AeZ=JmCjQ|U4r zS#@lq^3yb#nbOTv+Nw+GJ3*fkKOuHx-^t_`&;RhN9ho>;KXPE)NRmEsApS+tyMO=V zp=#HXW#b*Qxs#$g4OFMq_NlG2P?3+jyw>pI+-TKT2NZ8xn zuhL-eY44le$KJnAURhK&3c3o*jq>8h=^cgHfYFoi=RXPWAYmqNWJTGi)3n@!X&K49 zJJNDA6&mt@QOu2zmW+`|<)}@4}0+jDOp zgKTwCo<4E{i490MTY9x1R=VWrE$hNjU-y=-Tg%HvY;(X#Re>TNwy$fY7BI_lY&?q%+uuYPMJ}L>4&$W~q zU`;Gd^joH+GQJc+YjVX@8YGp`y3UZ7h`|}4t-M57Xip|zy~tN@^3^BpnF9HjB$Q+~ z28;$KJy+{VOpVc(p>pQ;BT|+dG_7c&=pnzJ|J`u3VV_SSeY8&_bJsrIJ`=7egM9`=QLfvShE%nzyGOi#rnx*c zsAe>%IJigZOURuZVMKgT!|ejaG%4I3d!ps$aF>Oc|qVn?% zoklB7tr|{659%=>uAe?xlcY9kEohv|GjM+klU-Qk3RM~+ea0ZpV&u|$OmhlZQ+k-% z*AABl8&^K$XCLyny5g%I_M3eV`%548+dz@l{KB=vFRUHzXmwG@js$3`bJF~JNo@<% z87?BOL{8?@$kJ4omXt4RGjhYh7*e{)&;D9F-ZL| zgsYy!ww>&{Az^IpuEy>xnHb?MZ|nn;vx zWD3c>4jH7xR_P|;uGe>GM0}~AEybcKX_*vi3B7s(rPgRQwXC|97)y0&_BcHf??Bnmkyc+U*AtYSnQM6^;&1BDLuCsB&GCz-VTgt(+Q2Go(d53C)I zzw))iTYX~*D}7+-Sbv?7d20kFtpdF6s=s-qv$@?RU%vRmvIjSBb+9~H5BkxxZ^WBrjm`I6vsrxS#nXM;ZZnfqiR_i{ELJ48ouRVht#776{p`%#Ys{7 zDXm1C1eK9>=*y|&l7+btGFq9eW+19`X%fFsNtH4rX>2*L5)G_WW@`Vl60CK+=Vh*h z_$-Bm4Rn`vLOO?OEh&E|<>kQ<(90gk~3o z%Dl2BBb1{q&XRiveAMyctW;Uja1Tn?TBVBt?!n?LV;DoqPX%?tVsjfsbZ&N?Qf6zU z8l)*zu?@_%+ZB=`k61UmwRK%am8tNhs38e~I(F^4f+;`BgKTr9qP zR3kv4T|oz_QmTQQX0kGyFQKFRlt^DwWz-_aa0c{MqC577JN!WTJ|(|6OS;XLE11~Q zAV$|(VD{B0$ji+6jxSe?IR>QJymf{jK*0X3!gx2usTt?_3cKP+DDx#YO3_ZL(P?Nb z5g5{mC`6^jF~zMyBDZ*SnUbbQPNu1q8{-&cW@^8)1&XtRizP##f>SV<;w(*yi| zrQMBy<>vYFb&AQ8)&8+!&%z2dx9YwIqpUZvo0KYj1G`Zv|5)*4+AS5IDAoc=iy_JL z(c!vEOL3O)P=VaHE+Ad;%xRfR`cI?(Epukfmd}*-Z>WlCfUZA^3za4};G6+f`mvI4 zxP^f`2AI(%1Wt}-G2NCjo_v(*O}NzGExn$C}KST$BGp89fkd) zQdaRt(pnKol;R7dltR=JLV`4?L>CP!5#mqGQ6xitoz&U!j#8y-z%GfIUXpqm5-j<_ z)yFYR^lPQ2F_w(We_ob zKbp6^CzILN&QxB4M9`K+mk3u+GM~xJ(I_qsvjcO;K1;iHD`lU)Rb`(+Y7fsUcc{oi z@!I_MdXS3^tLdRsXW8K z=WlmB&S=|(M>wji*i6*ajPTFi3~;fmIFrQ84*%?(ZN1VzFp9k8X7#aN)sEb zZgb?h2YF6tuyVxG65AnML(86-*fU0RDl->HXlZks?NcFg_L*2DC0&q`6!tX*eKobe zMnaB+pc>1BOKD7QfZR-I$J~%%nRdikR<*m(gBT--EzB9WoX>LUIC_=dR`b5 zd1luu<)unm6tm#G5KE#4H0s$8$X;SLS&e+DVz|n@e_oI=@k(sBHYw$EHQixlCS zv}^4%pfF9xEUfFEl0TV_73&n2+LzQ&oZcF!jgqdSXLGQQY7pPrYJ#!sBgLUT(W?QF zs--T!Bts>U)fCc~suW~7l;z$3*uN?Wjww-?g!6)#cPjfGirkw}Vm$9qu;MufG@|c> z4kOEvw?x5~;?VY`zAdG`6{7o6-;`3{aJliN3JB5hC0Y8m5;85rih&y8urDmZQuvM3 zFCg9MC{a2-z|*%<9C`XcVXmHYoUj)DRpCES*w>X3{g~I4?tfkrUjl0;atkyn7%9-L z(ydI#-J;i&iV{VNLc21JPINa;IFQ`frYcQt6trTm#=3&iUX4cnHKqJ@#Yz%;Hj=fZ z(TlBDNFaN1GAz5{jat?g7*kI}efZvJ#nxNIDJCn1?(5Y!Fu75Bf;rm8IutjBmWy6j z>Wbb~2z|0hj(&~ynZEvwSRp<+FHB%c+739BG+oO~>0-+)%c3@90lCr`Ld4e6}tTZJ0t z=dHpvEAR+3<5byMqtqF$;t30&D@63E{ZM?Oiiqk2#Y55r5DaTq-ofFF9?TWWb(}X1A#Ar=TKnPO_idBBqsBfJ~jaBB5vv*@v>bK5* zjjaLcoY7ifM$@SYC(!HI?(%p5R;rCfd#b;KW zg$ma4W@h*gh2;>Ka>(g%)5>zCOo~RU(0}m^PEqCS{i7=sgQ~2MCsP^ zI_fyJn}Uie>}2vFJ{Y4(rn%`>Zl;yF?*};>_|XhhDM_uaM54k4*trT>SJ`Cb)q zuqL)p;fFV|timTZxhc~~hpJUC-8vm5Yq(6z^`}}a8=7Ge?cd~_gdN~I5dm3Llv7)v@M#be$`Da=ahl|t{7l7|ZFW?*WEdS^F_V4}o`674Egesk zJJ62JQqWDv7W4F3-T|Yrn|2NLIsC1~BzB>~tDEBKCQ0W~7cN)w3!hiQel0M_gni{5g(+@vSouPw{T;=j zlMMS=MII*U4zO^0aZi}6;0S31l>pw+P4f8)x(PgX?6wlx^}iRN2vYNO6KD;?_yXm$ z{HS}7Z=vGUG-22oX{@FR`2NOSXL6J8=3OU)*h_A8(Q?K2gyI}Q@_?@F$R;M|obVW% ztrY$mqMA30&?}|GOxBb@`{ENy#e9Vb=ut2SpIc?#HJAdP;3 zs0zp>GMBkjRc57hSQF^Z&rsOGO(erY!X7z8mY?HOn=oL0WD`46;YT!8q!9XOxC|5A z?`F>|Glm3<+$@`YW`S^;RW}uafT-Z8TfKFLGxV)b)%5<1I_XM*Q;k*VM5`1Ql5N0I z6%$DN6%)IpHayx%isO1@w630&=2uSNg<4sXVU(_rDvK=pzH?IrPO7USSaZ@#f29;8 zrhD^iW2DOkQWter5b6*d$H6?xNf7vf@Rb6cCzKhn7-6wF4iUm?c8&NkK|tVb_E+S) zbd^#Y4VC%kU3Rs?-@42GhVc7&1>|C1Q9wZURfWL(?eiWaPzn2SvWeFvRc(z^ogQ1mSktyvtYNKn_`8|n*~5O7ixv2@(jTj z4}|fBJnsrX9kfA` z)!6f1z9O7sc3(jqf4Qp54a0gf3#XI#pJylA@s{Xr-jq0%9XZEhVx#zr?t5C#`2{e_FNB zlld9#N~qdJ7f-;XkF<+#wCYNzoLahzMl8BQtZVrEoRPTjD2g}MnqlK~Yqm~x`e^K%57f}o^9Mmuh-o zLE#kk5MAQxK^m8C74D*4F;&Ot(!nH+N0H|WYv`h#bdQ}hXczPg`d~BwR3UAdN_)|7 zt&mj^JSb>%1}U0-yO#VRXDw~6@YCePZX8<+HzMl~Q}(BxLY&|A(>A202s-wiTKq90 zp%wXFj!O}!2Gt8a;ZiL7X}PFcE0-M}tK}Na`{+zzAYn0>N?1JAo{nx3hEoY@VyM(o zx#^5St5K`s;*EWA3Ve{^J|_1s?~ya${{PiqAK#%= zMXT?6$RPpv>nX2Hk-uK<58^ZNhuJ^ir`^??bPo7!T zL0@-Y9B{c$=eo|O^Lx*KeD%_`-`#osj_VWm#=NNLnEZ^)*`puGnmg}_`3qpBR#U$X zAMB(kR#L36rJQ8p9ojZ1UH=zQJ(}KAdbUL z#@X=5G%vz|fXj4MZe#JJ0Muavdxg&Mu${DpGPr5aR2Hm@b6v=r?mCt?1J%t8(vsRO z3@xux7BteeXm-x`il7hv!nN2~U>N^H#o6srX#6V3+?~0j#K0%*+pM&=jCA zU}8~CY^B0eC)m#wSaOPQoA@u3HUs;Gg3TVr@Q8X*SPab26jX`S2}$jUMR`GAUJSMF zc0l^x*sXb3`Fbc(UO5`skiC>TQGi|m%2%d);Rc);9y&tBRQDv zFml8u$Zu2Pzfz<%mba7aFq9B<*~Mb|QLstd&W-EgU92+F!m+2>=wM%q~*Khv%P^ zskm3hU?rnzAq7)Wuxpowo!l%vK&*;uHxxlq|p6yyUL$^o;&th)&{ z*=vKjC#WI@3I0pvmE=a4EBLL-E4>?16@ZwTSWl*+D}aSixWlk;EMOQ8 zvJG#7Ns)9InmZZz0BHI_6_kGn*>2K&EF!wFz*%e9_y|8V5sLv0IF8uK9J?V*WzOCJ zo;^V|Y2k~BF8quf#!HcOtpUVJtwwA4X9Vw>R(__Gkr+b-aY8f^JPZ}IN!D=bP-v__ zdLJ&~WF5RoLL2P7+&tksLfCYym03VdP&$DvWi99kuqd^Y4(vn&B6Ymv73w%?zzJ9s zvG=CR-o9at_q2o)Fxg7QufwW-ail}K)6Cy)2zeI!SvLg$6Y1_X%vYif2kd6dk_^i; zr(F~vdKz8+f!|FL6SOcL-Qr;{9>?axR7R9b=%mj38lZPN^-wp7BW!9g-6SpLWUvjq z3u|-0(9FZciUU&(lsnjM5D$|IyGAj?*uuUHM2n2iD>fK%SQ`-+vaz5BS7KE!CG`z2 zfEEq_)zX3-?#D3xeSZe?0Ek15R=VQFUNADE4+Is`!lhIrC<~Gicp%dlrRBG0L1o88 z_~?v;Y(3$-3$y5rh;_iAA!12PN5^$CAx#cE)v$HpKxB-QYJ_qO4|#;wz#eHtTMoO> zHfOFQ647PMKJl^Q=o3+%5$UEPmhC|6XGAeIhOn+oZiT8KaJm159>gkO;Y$WBBrt^sojhG5JoqKCasxi zzRGOty!VK+SP@fcuWnQ6kMNO$IRMDBe7>@OF6>nM=D}AMhPI!a2MT2tG?R`S8^GSG zz7626nm30u>U>318Xo`tIU>8!NM%+44;Zs3sc}|fvwANoZq6z4_isKW5`%z z8{jk(hQLGyj!_K}hZ1a25Xh5*8YL|(QK@e@6B~_IVGOBC8uepyXeNp@)2plQb4F6R ziV)Af!1|Xn)@${+v;e!Hub?(X)~C2)7&~gd zrN!CGXns*W6L%;@O29E^dp*CpKJ6OH%TFWig-mRi@=;fMVNU(#NlZz-qu+s?dP65S z;=uBHX&k?--mK3tgp;Kn4;TGn1VyFNM|jphfm<8`u%9s0vUXv9xb!KsW-L1R4+d!#AJjzmeo6=a+Cwh>i54; z@1Xe?>T!xeU1nnbc&O^-dNSDG)EiM*)$8P;?D5Q-G z8Ip~_WwoKu00wwqXv*lcM?xXfg!JiVOK9H0B~M#_Wuq8F+DY$uf`M0rR^OP@#H6euS1k`}DXWvUzFc+2gL>%-OdPEUmp1qOy3Em8*xa*W z^jo#uO!hMUqt?y6&$Qolht`ubL_KOFr-vdZiQIiSm2(Mf-@sMeL3W9s+;Po^+I5P3 zswWAn6Oki7cMlP{p61GC9=4wyA*uNY$$dUN` z=V}i;PbHA&pM3gHdv4W; zHOx!DUB#@*Ub}{QZuROFIlp{vP0ov}#w5>s=|$X4WM;hd(u*%K6Pcmpnikb(-iqw! zUe0E6R=u44(i&!sEt~mO&dV>1i=Xn#UuLgfGmhz>FsFwx7WMuzd&M|r#>>C_Npt@Y zw3?TFGd}jIPgUd2|4|h_Li<(HvexiH*#k-BxNK9BTa`oz^Qr25zdx#Mqi)>_;vN%i zv{_9yD^Ad|ssxvs@~J6YS&1p75?TYfm-9l!y09$V2V|LN{(}X$Lx<{WVK)@+eVc}V_vIqvXG=2r9_%@-k8aM#WwJpT`Z`2W*`v}vhliKMShmZIy@ zc3a*woYo81+7g5>^Bt9&7g?S)q&{nhv3=9i>z-~c!C|*D$k;QLPs@pc%BS=9Sf%rM zOFYlmms(yk)TQ~$xMce?(iz+k& zrI5VxrOcSqgUzLL*04JafxN}}R?lMGqC>90OO!C*^LzU%d4J$Wx#LH3BkCj#E>6bV zUq@=)S}Guqo%wI_gJqSkroLPJs$M>cH{rbMipiIw8dvp{Zj_LwT~y+$#jko^%`wxxTgrgy_m;y4+#U7N^uEO6^=i_A>i24E3qj)5(rM zu1jU&?gm-uRg~O&H%WM^3>0`i?^QRm?zNybH9>fw`0184`OJGs`DsZNI}F@zXB6!U z;d$?xe8VIdt)Ip$B&O^ocOcYd*^BLqy_HWpbJg%=U)OV6oNwZ=46>KVCzunrH!ZGw zIbV+P8gAq*PNNZF$u?~MdGLMdu~rQ5X?ehDz2nK2rLOeKC3}~a$(f!do0jGz;1Ys; zN#0V++lGuABfT>gWlA(`a?HXc1Fi?kp9OackK~x~Mxrxq2W5R(zI=baEaXcKm}g76 z&iRH<_>wnV;IuD}`JUnqI$sfetCQY;m7~_TN|$%R!O~ikTHEoW-@VuuN`k_@^L7o@ zb98YE;Ce@Ct_A@(>C*hbY2MbL!4Ym>z6G&(&At?-yI1p;V3f5MnT(1YuzJ-nYisjI#4AvGP5_1!wN)MkbD4WmMCt?#W`UtXn^3SnJZ9GuzBI=7*!WX2%_6T8?sF^2Ot; z#I;DmDV+MHp~Ga5n2BbF6czM2OvOFczGd>dS znQ$&37?)Cs|C~E9fXJ^W|7*14us{j%{CFx-0qNi7iReIgLoUi}J9nqAW2(Lk*R z=b)h6qhnU1*@hw*mDMwS(+s>O3X_FRJ68D6F?QJz_T?k&pN_E3Bkbo#NHV+SNTG1V z)V7ca#s^0VOAua6!mE!I{^p2_$(J8Porc>PN2Shl;ZV>kUG56;I5gpf);zA_0Ql&;1|Fa>*h(go>^GS$Vbe!6NRl! zisC7ns=!tGEh?J&9L||9;7j>ZO{up+>HUhP)Y~Yvx(fc89q`js(`t1MrBeIUDzym5 zjh|Mj|4z~B{c2i$Kt-z$(zF_WAk{H7r8>IUxw~|MXjbgRciVwt){P0 zD*C!wMc;(`=oYP_Z&NgVM@`dr;Z0I#nhxQOYeR$aaK$+MsrSi!gA8mgfAI&6LJ$7W z{@?^5=D>%E(mwgO9Ump~A0@&A!Ak?VT34?K)^f!FUKQTyncoGv0@7cA$v#Ldb@;Kd zb%D487y0^q7dyK!+yR94UcB0Iwt{6NsE)+^GqNM1MpJx@-|jKyyv%Bnd9B~RIfr7S zk|WL@*XvbRdlq}hc7xZFAge zvtZ$r`3s+%_rEOR+!T zK)^3InSFuWY+T`ISNhpier`AWqMxTu134rUFwBsXNxpuA-~Sn$(-!KqdxUTDU}s7+ ztb9;PEXCu}l>ADnSWUcP5D|F8ticn~ZQdZ-f5s<@_Q$Xtv!=mrl^(X3qKTp&pf@#! zoglh*!YNnk5@vf=H?P{iwVI1_qh*VrqUdQq5{o z&D$F}4XQ9^ud%N|J^c68d)COK%@uP*S?^hMqN7@l2JXZm2^$*}ogLMf-~rKht(yIt z$Q@=@i$V*mTDLzDW}4e*c6&9+MatgKZ>uI9*;b7zaO)u`GK)4c8{7!wXdK_vNv#Nt zo+cXN-P-OH`y^<2lV`jjY0gfT?}z>{De%N3!`aG7hSTu5P1^rWwWDGGx7Bbm)qLVO zfNhkqej?n2%OIQ-OOm2VlGh?EPOHF4dOAYcNBi~eQpR{iBjFo6%m7GFK zW=~?9sss3)?Cox_fi$Mii3-<1w@ilX^LVv=Jl_CbKyMnCH=&}jy0vm#@dV-?o!BzI zPP%N}f4tfe(|)|#xDwgM=T}a$kIOfCUc5BP{$ikbl4muTuo{7Uq{zCme3X6>Qexy! zR5!1-f&*Kt8FPt6UXS^ZDay%gqgeQj$o(AzChn?C(S{2`VTRuCv9YJBJ-Bz{fv>KxVn#%duP zxdv5uUPcx67s>v`pQ*N^*X%dd$owrSqhe1~dve;M?UOvqF`UVs7eG4xo9g|isvVQs z8>`vlXsZ32YEXgPDIyf1`Qs#6h~iIGhwEZWKiRy3x$P2SnTI|>S=8+KjEl1xJ(F@` zB+5RPwTS%6?W{@US8Zn>7qP&p>|>=qIG8zBN-VJ){&a zERnuosP%YpK>OXzzxDi%8QRXd$UJA)n=Ex7bKgs9ZX=t$p+qXAHnNk%qRC=mx@hGm zi4~K@a)gSeirAo^+g@rCaR^Eyby~~BH+L{!Zm5_o7A4j&TQ+ciWGXkn|DsakxAj6| zjiZxeE$w$*l(BHO$Q;`sMd3?lD69JG4mq}bwpglCcgz;0W~=Y39YT^EP4r)rwG~h7 zY`oK<4X0+wnN^oc>d9zajv-|>+v*MI)uyp>T)wQ!m!r`!%bNA&nPTc2#V_NbBU6-O znO|>UE^iRFGpjdP%^1fHpGFl-lJvePRS?$%Y{D97UFR^Y(KAHDAgn%`J!9oG;H6ET zvB40>IzD1(#-l(g%> zToG>xs{e>NyvcI)BMg+bEcp-;P@IBhXNnblYJ7caoFNs?!y?SFR$i%QkBM+4H;CLt zbPU;d|CNpQ>R}Dmga`^Cb%!U=^J!%9GN1x+$C>^5MA zx#vmW;Dx#OArchsrY3t*7t zBA_pPk%BXRTQ*oGAK84Pu5P`Tqb=Ft6(T(UnU^mUqC*b*tS2nmu^ucMjTt~&UT}_{ zPJVlTgiR@JC?UH1H)O`pK?cTfH<&NQB36{?0{I-5Z(-LLr-Yx!6P6@`CGlvUpDId^ zZinam$Kt}|Odhm4x}G@>Xx zL==UGMZ1X~E7~8o!Qz8)dLG~ZmtToTC9)=I&%4L3ibw&o?VX(DnYcL1jT|XEtdcW|1WEN|6K}-OK(x4g%){+koJC% zwj!Eo#aeWP(GAu|GygnJ)gyyd4^ryY;dh2nxEd0$EBj5i}pt9ntduh85N{xque+wMxD%$ln(a-<63;*-{Uq^wIk)uXGFy_Iu zhaMjL$fG}d%=GxU@e}^*YX3jKCYUPCjszUFGKi&&h_lsV>HT6L&}x%@;Qne~V_(hQ z7Wwslc^FK;e;YqoS_W?@Tw}Rv*eWIsu9L7&4ThpIf>?$h8jSBfj}4X{jl9Zy4BjC^ za(BJT9Hj>!!`FibOUamHML!$ti)OG|VfW{FY*~?-Fylx~{LcpCHE+7X?g75%2Ro_o z%NFkQzrC8jEdq}{ZeK%|*eK?j8}BLGR!tDr zU;?cAdDcYGlL@hH1a5iOnA$Y8(Q4+mR|9z2a29DF(43(gxQuU^cmOrX z``WAJm`G%&wVXUK>o@M5xOY6s-2+)H`5OsdnE z+)DOx`zlY4eZtC?YWoWq`oxt#RNGhZZK$3<2bc#8&bI?PkF&q%8J`1$XY#CRZv|qG zU~&MqS2u?>Abu(FtyC?A5&&F)w@wwt)$loLcaK2e#FZ*JHh^n>uAXe22yQJBaYKQw zST5QwY!{`W4{Q_VAwcEqK{32Za)F3OCyCHbp%OtswsP?%E2lSEi59G|L9x7d4M*+k zS>w=`751*_2#Wa2H>Sm`Osa8Q7cK*q2Sn}wsi$v54@`pAO!_FxH3yPwa4xb}jdjI5 zk$Lw^VdUs6(QrRO`vmx-a`ZA%Hj4k7DE*rmS`VxPT(Zd;5z!aE5On-@F{qI>q+iTU zVDxZVGKh$AU}#x^Ucd7DYBMn}XkcETN?=*=L5I>41xgRyR){*sg`}g*^({gI6gr>* zA%^*61A<|yx>e=RsJSh*vO|Me+ggGPjg;CI6{avE0<8xqwR9;=dn;!o!>vKv#QcJR z>>(qsf+m!jfK>s?{ub6)!;IT5c!*N^Gbp9ZjL-Ngv0{hln#fGt%-4t&KG8Lt1gk_- zdyQ!3cZlsi(Q9)V5D6Toawn8mi?}{U7j1{O__^>iZYi)r1U-!EMh_8U_lFJXb+t38 z28#ztQ32C{Ktb{Efc!;`qGdIqwWdanL2*qDr;UF>)O(3sq|1rW&eQJq8ANu8=t~sY zr6TjRfScKx8h(jb`ZJ1ODwaNmgO!MkL)e)pBHn2L)?Fe(XqSri@%Ue1)H-_Mbv=0# zD#zi~G=@Xtq`18kV2rThxk0|c(cs!Iwt}x*HWt9_qayjxBK7$zX{KwLpW4al4Xu7wRELITMca~i~Erfs)e-(s>llDNC*2D@E^ z$!?p-Wb;B6lekI9aNouI5Hz0)k2B6KOlXVn5GF79!d8ixz(98F4zc_~b;bE=l9(Ju zH{pf^Evgo1h#$@^=5E4SMeZ!&Cn)Gy(HRiQHc8f- ziUlG;ywnK10&COkdkHY%7T;!*TdX8E-M+|C({t!ZT zljz>4z8MhO0AUwZKOq~E5_cqb;#CAM$ zyW_WsktZ@{jY-mwV%%Qgpl7T+5R<`8UFcII$NG#lx?EyAl4LSN$T|jV#!Mh=$0MYq z5Oj$4u@OVStTJX`xiEyuJ_eu)`_@q4SOs17ssFy^DwGs>VQc+OvS;O@Z4n=rHIn;g6Vh6==m@wWZ&-j2;Ws0;$Zym7Ji(c=ww*R!OpOd6RFPN)Ufusw1x9U z->&V3=+rmz56l<6RmPeV-))!rz&YQ^FTgtJd#{RpQuO_$id_iWmCqLsEFfYf)#2A< ziN@?K)YlZo)?kOV67jwxzY>g=XW?cXZ7Kd7>zy|EMPz3(cP(-hXa&3fk5+#btp0ex z?o!bP!-N6-#$AiWA{WIj5-pEbgkPg|-BK!Gfr0jLth(Y{b=|r(%;55hW7X@{2rCHN zayN05+zawIoj_a&grhfM={%&_8AMqU^T)n zx5QhTs}A6Q^)oui$SHVT$)o$CY8Lowh&d zYn=L;)`AQgw`H5XHlgQAeGRLxar7{D#MVgKjyPxw20sR?de ziSr64;4g^}7qs@!*Nlm+83XRP?<-m$Vi(SM0QD>o+4*oF1sBRRnQfW}7K$U^-kZlo zRqy}fXEyeMfpI}iJtmfvCEZ(H>T0f4|<_Yk!M= z#hwuPbie`(F|tk576nLtZlcBit8D1-E0$V`!#lOR^mDn+r_`xF#l`v*mrQlBzQy5d z<%rn7$h7zZK@a;WQ_76}#}@4Il|sa+Sc4b{AM1RdV!;GV@D)%I_{4~>mclbs@;rj~ zXb2vpB^#=O0kt5G9bJl~kU)`f7%9IcYJjND)v_Axg1*I`F?oW?IU~PsF_5I1j3|FB z#_aH7OLi{kDCH3|i+B{6QtAnmAM^B)AMyCf4~Z#SSVU{IYWBe(yOg}CT4>f-T1Z~y zQO%2aKJjzTzopqFKW6#(GwAKoNfK$+9 z)chP=EOiPZXoM{WZH5?943;(U%ek|FWxC5bTX-?l#l%si z>?@_>=u#|0?2tbkawL|XKc8hN1|Z}a0Z}ths9y|TOa|d7u!7{_a*SsLusJ$Mnqb$) zxU@ytVOp}Vl1GFVC&Y4-v4i)<^1feaU}Lr7GOc5|77(#a;DvHE09i$Z(K$j1J4q|P zsbxW6Ez~*|X}M>R(jE>({#~9MAkNo17LdH+QZ1AXkH`l2?$c=PL%0zoFKH*Srs9%m z+L*|}Y)El3QAvhKzCT3rec0gQfCa(D_`#sazM`oZzMsQ3N4LXom|w}1A_8x;*IF`N z8&i@5-n3^FtjXx`96^anMnE_*FAMNP-NT{4l7S)+o#6;BhM{1|gCyAf2RPf2e&SZSHG<#ZcG3hdhN_b0GY^K!6zvaS@5|2Y?A!r87cUv{kLP zWLhyA6}kEtI8{S$S4_lL-G_+yic%^*om7F*NbVIq4-(%8a$QtK@+9dp(Yo{F{6u}l zymz}ba4rEk4cP#4xWyX?|QO|{HON5(dIqr>5++J<7k$;`=qBws<*-@H^?B4ob6W>;Hm&NQ^X37c`c=Ew($~$J~aI4Nr zg=@*HyUy(FCpMbdW;5Pun#`cHpqtuicEV_xjv*EhESm@Bd1ZU&PwG8c+-@qFK6w*S z#5w7o8%^}?!!^VOX?HhKx%Xb16Y{4kdHj}v6o=b`3Q@1@H}q3xp@1|za`5d(JkVJ; zh3Uko!`#C-B+QJ(X8H}YI5eEi2ood1*^j~?E&oh-kl}@JCI|YdJP_E22$Tp>hwl(3 zm)wTIpZ>-y^~Q$Pl~2q@q%nnj%Q>_31f~}c9iRId9*P(_ZJ+U`>I6~p8GHgM^;qJ=z=)Lt?--?Y5u=ns9y+zKN$COtU|C`I0`M*eu5Ild zXSt4Z*DiCPsmj@8VE#9M?tkj(|IOW}ET()5lVjOl3aPgxa|b2g?AUtuzX5-Gmv0WZ zne@esF9^_lpfvb@^66WgqGg%VEL}0Kz$jVt1)E;#&=q6FQ|YBnjny7@dU}!Ky9*AK z7Zo8Y+DiHMEw1RPL({idOUj`77WV{se+iF_(ka34dV>49)DPPCCz+ahL!ZTEw-|PL z6aDZ08BtUIu4V;2#@bzIi#U7eb9w6zW0pjGFMKp&yzFmHaieT4A_*V&(Vsi9`o(vCwCl&qbA9{1cINrQw93O<&kTIwIiK%*`@E%jM}G00 zp92h&(|uBpz)rMCTbNbjc*_|fZ>@WL--z#XQ#Z;!0iT+-KUZ`Z@1F-K#kJ>OFvQBE z4NHbpo_l@rhBTCMW}r_1eu8|AXXd~DE;n@t88S`_%BZE`kQ4;d9->?D=?%-=Zp$xu zaZ?iwh*#%;AN9%qJih(*HWnTzuY~kgDnB7f<&8~WHy!cRReBmKj#YZ#D1c94l`qml}?hx70M()il?ZHy>@RlIkm(>gtbIR#g<&)pM2QO;x4C z9~?7w-1rF-pLsSW_Bl)3hz|dpfDdFU$cLx(Vz|J6&U0D>i$w{JbvQqmV#WtQS^Wz;DA0Pq9d6>}v2hc}CB873Tx2&sW^C-_q0d-ez%_oj zW-uXsO6=6w_{s5c@skr0hbAOW#C?3gSEfwFMJN*|PsrL6>I`t^IAgeV&aXay%&}ol zVE#@qckeR?PUequTonsG_us#9FT3x_f`JE<4?Zt`DjpVXVxhQdZ=N&1;Jy9Ne?BH< zOv=Z~0EPx>E^B;oqU#^(HDrpv-f-D?SrY_hVT`K3e#rQTdKnS7L9fGisQzPo57BA# zW5-RjOquXZ;)^JVHtFHjhw?Lo^&1i~{E;WdOzggO^TzML$ss=aU-}OSCgfO#bPD8| zF}OHf9xir6rPElPCsM^1gNt+5h7-n~yL2;Q>M1POxe8}o3*isDuT-d%2*;_kls#O^ zmX)&Q1W`tC1vr=Uqf6&MSvqoS(blN#Z*P;b-`@6FHkV(pZ(ntO{+C}hH=jOTt$g4E z=lwJ${ez4(YuEK-v)0=-e7NzWk3ZS8dCS(J!(V#oMdHI|H~;8oxx(Pj^C?GxvvAMe zeftj_{K7>YGMAJdes*SfRPU0}!;NFCytvo5f=fDwZuOgk97og@xR@KV+@~@olci3XwrleUlE`=Ohn|R zkUP+OGU)+pU!CIA65478T!lt=P4*P60STr$H2`eg7_iyi!Eq4 zox9iB3lxH+rG~2o-!4_3QUL0%@?C2&M3OJY%cHd! zXz8Hykmb39knq)dJVaG08(=TS#StLAE~%84oJe}|DX7kpjzb`ZA%~2*CqJ$<#?^5M(!%5|kQiP$ z6yv&bDEkWDt`PlKkjjeI*!`fCiQF;}q!j2~P-My0y0}t>jtc@EN2s{o`)#tKD(j^u zYYBrNH6|0-HIx#~x*4Q_I(e!`Cnum6K>#%STB$gvl%4fQoj?_6w`p!?g!o#iaaL(K zOokLayIy#2r%2veT!F&PF22+O(Boe^?J)G|UF6prJwFx%Mqc+O`o8>o~ z<)qDW!G^tc#58C+o?v^~K*-e5kJ{YTC-@U^_1VkDoUpRJZCQP6;a?ncj8W* z6|Bs9kX~8U>rJ86Y*Y?C;5AFaE7e(1muUW#9GT9uI?swlNfR$UbT~;miIQApNl^{( z580JV%69)}+55rkC`t;T`^@Ao1;o%5uh0W$mMqh=SFOp}%k*^JE8)cH!pK+QbivAA zvmU!@m0!eky>1n+TG`*M(HE~-y$%sBa#!y%!c;&5Tat1fB=9X4J3 z%^Hq^TxHYo(sA7?l?b!&6i$zLRiG@HWpLE1Vdq#`EDb7&NfWy1;F%XL*0W)F$=06kmZ~imA_HRkJ(Ic;S&@b3SDMafSj>SoHn+AHXLTeV+@I*FbN2-lR!ex~Hv$Mc-W^ zZ1+oRVc@6R@CmDX7G-)2p|E#cMgL&A0{Kr&+6&noCh}%Kfx&2?flRP~i zQr6ucQtsU!Qpeq&Pd07cmc4yP&S#(Ji;e;n-E$QkDh9UqC1?T=0xc&`wVwXw+jDI{ zwqN+^=ZhV`T-^y-+sSw^Hz6{iDBlU8JWjsWMr0A~=Ip+XXjh%4A10=+YG zIDil19CMDW4oS0g)%ze{jY-C%r&;!OOPAZs(xtSp;dgm;A?{lKC$BC&mXSy_|G#;4 zQL_IJUS0lUJpbDmD`CW42aqd@3Ks+77Wl?7Nn&8!T#A`aUzsch#Z@JV#<+9S6|eNE zY;YWOElwn6ew_$zFdV-hhG=`1r`-0M$L*ZSOvetDgllJ#q$yljVG<13{BU|EdCtk> zIfx-(4epK%nKW@amp)oNrJRKQo4Z89q6}?}a~!-+mL`r<~7)ixu%dMN-|bznmvl z#z%G&pBqbG&J}&)@a)l2a-edbo>(3qlj4su$b%YErsP9$50c^pa>-}(?}~dZ7)!)S zz7XvbNa8pPNMr*O#iQ{?cf34+uzn4RHXTCoSniu>^K%3wLf0uE@q$yBqj;@Q%8HYkoY#$mJ*Wj&g~Oh?fe<wsj?-uxMnsh>#lzDCZcy z-SO=d3K~e-5>Co7dnYIx5NFeM1jgagO=mPZUhx?M6b}tpu`Lz87s(7-c=|F#^m5=!+4Bp(J2CP~VM@cRnsoiHCCElz6)aF2$$B zXJ^Hqch^pdmpg60W);LEtk4@)sD?S?v)K4>6g~wD>5rcPvbO&4@nz#Hd?-Ic!BaZK z#`eUH?0NWq{|y)!7dK^m;@GL|4ikJ;V-U;Z$sityM+{pLAFhsC zU>sW+KbM+ol697)abjk?Je^I57uUvnhKuU}d|MoCig(5UBxQ@^on!FpPK|d)i|O%f zX99aIf%Qp@M(T^};xW6F9GGI!7`=7_0-U-!cYN^C_=nx`VnRF{5~paeEG7_FRvbLC z(#l$MzLZrJZ`TecFV4y8YP4(bA+Klus1)1dSccKAl8=z4th;bDaslRYP#k8N<*?b!wbD-W~te^MS~Z>1`~H zH!ACxEOGhnB_78D)FE-~+ISYQ%8WPkBF)jz(X6Bc9EOUwd(W zJi8{|Mq_x6WstGCxF+5iCT7H=4dNQo3^FE}~C)NFS&R(E4lqLa1P4P+)++pRbQW57YG! z4X&-vC|ir8ViLLY5~qU+HwY3>VDK-3I|X41O_e<6C;LG1bRO7T(9QWK3IZ>dRV+f9 z+@40R($n}Q=PqyL2v4!Tk!z~wj?~vT!FJr63Q0Y6jaBeWU0zq;F#qE~ABC&*kZshMF=NJ$v5lg}P~$1*GRnD}a;~Hbmr;ew zslt`io@LaY<))ZS&(-sRNZmDIjv)V}4^zLnJeWz_!V)c%#!fo0Tz<Hqe_=kr7Nk!%c#T4slzMp%+dd!pFkg7wG!8Jvf_G9wobezhr^HGebaNU z!QaSBuPXjVkm|na(nV{Iyf#K9)FO2Ov*ldjcecyQUa=GV%TXDH8Izu(lF0up)Jx~jylA^fM zu#Z>#iKH`Gy=R`i2DPTYzsk!Dyyv`{mWdQ=%J^TauFF2mbzona;j_{7HDyYh`Apy( zzM$^lXpp}|J6=HmRI>;bc;9sR82sm}R{j$49|*crpb(#IalB%u1%Dga2Gw-DhMi-T|LGPA2+t#$@ z@HrlnbTUV_JTMc}-nn~{^YA1aAy3G6>?`3`{tBG8`U_U20n8=Z^{SsRd^j#&xB?x7 zai}PRRLEbE{{pUFAl63OYnI<%LuCpX4icP;N&`7(x?i{-gm@?+#813NU!MsAP7h54 zDVn(o2*JrAi1XIl#ChFqs_*>nPM4H)3`A2As?^HL(l~|hs;1L-76;YZ+Ui|aM1TY% zE>5a#_AW}$=f5Qyp49?`{BRMj;#l-F`nJ!A=7V|{hgiSmZ3 ze5~0DN2~b%@k3QMc#cPdUL4rM6u-ZDsT0!mT{D##x;DPOFvsh6N@k(7YsrGh-9My`Q zPN}Sw@)Xol@IO}HK((HNc&4K2v{+a5b(0c}gsm{WBO9BNTOB(Q;6`Jbn>@9iCQnu4 zoitx5P5P?Y(?n9LCU_(k-D+x)sv3pX!yMIETi?V{q;~3dD@k{i8qv(k)9`=Rq%>IR z^<9vwYd&_QszFpI4U!T-w%+O2o${LMj~<0tpaR7P()B-=)C1R^G5C+HkWp1N;9R(q zS!v&YAHh4hRo`tYoO(v;bR7Z3(T4J4RC8UW(mAE9Mydjnt*MG@Y(4@}m@;-P7{ewc z$3zB*38*LnP73bh)HSf*v1k9Cx4n-23M3mTa)v2i+=&9Nx*l?~CJJX61&49X$EwI9 zx7V?kQpbdX#OqjjEk%-I95~F(qfPEc@~*beI~7TQ+f!LtRmWAh%NtOOvPK%Es;Wxr zczJDeRU_4e$wG}}m4B2vGf0`F^IUFzz0~C3svUck1CzSDqg;6{S!yk01~)b}qDktZ ziiNM#LiNNW0G{%i5ZePHoWVF~?=Fn0Iwm!>{4uDNEZ=I+*IZM5Jy%;_ceGWJQ&Sb? zP35)qN7WXo-KA>lE50mft|LF*p;4D48X>vMk5n~zPF8W=jFbvpHUDu;lIj-fxCg%Z zlm$g8$i%U7i2}sxj#ep{M9y2^y>;oW^!eN8i}-(dzGwc|^KZ@Xz2GkkdM}uaf7{;7 zT(EP&fPY#3^~%2%|7*>^oc}ucub=-F`u2TqKmGReZ_j^w!P_6a&Aol_?Yg(y-oEj6 z-*=Y1^VK`o-s!VAYVoASN_{|Llr2ld_%>l8VR)qSCN~foEaZC3I`2GC0_y8(J$0B8 z1na48uC2wB7qaSW11e_7Svq&~?Jg2^yqP+1fb_@{U{r@?=Wq#@erTH#wbp&)EiCNOy}ZX2f4 z-r$2`_`n!zK&~t24JOd_*&9p{X#YTHP>z(tJn%&ii^c2n+5)BawjlVYAj0ZRZ|qrc za6#pQFBhC%aCX791^R`73;Qe_yzs9J$1Qwu;p+?MFI=(kgN5wE4;SuScxd5~g$)aT zz}p84I~R5@^jSn=b}rnpVBf<0h07Nlf9up+fs2fb%!~fE==--uFB-S#!$rpy1->~8 z|JJ_w;hUendFaimH)k%WezWGyvu{doj(zKux9Z>eU?IPd8pprCg&J?UIX4_C?y`=4 zvYVz?c{1b&JRi%0;Y8svZlD$15g*Sf;^o_u4_|eZCqjx4@+H|*;(hBQlt|x4_(u7h zq2vG1y2H3}YbYH>Q02Cxx)CMswQ5VD%f$?wTs2q*lyU?gy3zvv%;f&eT7&rOGB|+Jm zG1;22JbVp|0xyjkolOCqdy0Qg4&*i2-A{qrg4ee9;0DpSK@aEBC?;fsp7xpBrjaM` z8jnWq&l`yfn9;VWEtn7X1lz9gE<+J0()C6`?m|7RYOc?# zy_Rh2%)FKiBy>go^<=fu@ce7ZN@;vVRi-n*T^4GSg;G+Y&)kmd$w*hZ#;LP>^}5|q zLe0$;dNae|*06B6XQv!gJ&Ygb(K~;sF`c!#3x{WTIH@l`ET)a5KujN}4{?1c{gTWK zQEH=g&OsZy*sg{$b_;ydDla89nss3|1kC=@KR|2Ch3T#hvzknkKC% zlNy_*#~3n2WMsB*P;tK|a?nH$VL5Fw?!&Ivc}()du=MEbiIVQaEiBM85nx3}nJ_b` zP|S=lHOMSdVI;_mAc{G~oMu`f7dD{)RQFBVw*Z`xxkRe!#MhSXT6ftH+ZACD>H%7N zh3|?XCAED6{mO4?YC785lFW2jmG)9;`b+}cana`(+kmQd@M_zey#<2fw`3*)V-$TZ z9ZSL===H|r0C<0*lYTFw!Y1r>(2{ ztd-&qu^-RjUF_yLd=a~44&TLYn!{gaKbZqEKINl1%vEQ&RpnRGj@O+*R@yPgWMdmWbN$7TV!r9bi#^uHrSvcQY>4C31*IVgH%OiYER@4Wb$6>AgzmB_q_1oWq261Wv&g5j_{>oTZ7S2nx8P<*O&E;HwP8#r zy>(7b3u*eLftoORW(guX!R*k?VYPGYzQPKYn!|s?-kQyS%XZJ6OwF;GMa`V>1-E7+ ziKv~kpnEnF2H%?faQEyQ)3?TD5t z*J2zWn}so}dUTdp4yzPtBsy>?i7nHLN3@>dayT~XUi`0Kl2ai?={zgQEr`C@Ds1vf zu3UF!88Q1W*P^KE4YQc5R!y1KuE{z*tLAKf`6+w%`4YUZx zyoK^Fp#tz0kWB^PEue%7#9LrC6^OUMj?=Ro$WnsY<~#AYX&lDl>3ob9ZU)3%X;{4; zPQK>OgkBh@#W4|tV;T&?ylyva^7Sh0ab)o zsYT7IgjLiZga4E!)X>`c2aL`OBZQx-Jx$PJ;Bu#$Pb(<0($${!lmpD=bzdq&0g9V+ zxb-eFfopWvHw!JeSIfve9ZrW<@J+NX&N-NGCO^b@$0v^Ui=(MHXJGZKLM(F>9VEt-sRpVJ-PycMR1yh!1DJ5 zKOA0p;#yO++?_Uoa&J_D5COF+l z*E^iPd~lAD&My{{(0p>l<>7EsFax*+vGiVrH6J`KcIx=}glS$q{D5)e|LT1NbZb)K zCBTzPgkR$R^&W%dXK76pW>~^j!SFE7PWt)Cdq~%i%3LD-RJx3w(rb2Q)um>6QZwsQ zGiz@5ng9SXQflT`sXy1H;s%@gR5=)#Qr~w9fD3-?5wDmCmNyDliu*C6g*awB+8MFb z1dr9s-w^RQ_JzH90D)ELhX=$M;DwE%=FvKu;&EPuf1`EP-B$kU#sz~GBzmk z#8o#`RTWYEyqx*;yQ}Dcf8$h-Km=GWl?a}blk5#1s026FgW4m>!)MQl;pfjz&Li=b zfPY(B-^U<5vxEgyw6@X0H0C65taF)~*o@4@E9e6SbbA30N61ypumw9Ju8q>!sS$7m z=Z|ygICFvk6Yg0oe@(a8Q!r?rGOYaR3Mln-`(acGD z?iYLjqrs7BjPzyQ@5(FazOU2cW=AZI($Turc3*lD*o~t5UGV8bg>p{5b}8^MBrg6O7qoiAGc z`Ys$Fm>RDihp% z-9)^VN_&(EOE=T+k@495C<&>j0Ir8^lg{(LB3`KpKUT8%{M~(|41(_fY|zT1XGJ z6Bw1c_yI_Z8#$&e6;cQzAJrhcKMB$lpFjKS^R$0&97H6y_`)o()WmD7Fjg`v(DqLn-uJTy8F||sk+>nkj;3< zt;CCH`rj~ew%UD1*!3gq+7Wim{zX!Mg@&oYZR{o`0ztlTo-P9cxt*iOl+$wk0>P86 zazQPxt(2c2#?WJ&eps?#J#u7CtL#UBa{_89Yq>s`T)K(Cdzgo&0p-vtvdM zr+2Ny_5Tv|W4gqfiSy8;AujIbL+n{1_b7WHsWITc+2 zUV+_BFwpO;Qf8e}J{it6i|OZASso{YD*i(~dYI!-_hWo;YGH8&3Oa6rJ(gaw!r5W@ zt&sPZdx?uzM1^2!EMC!bSTQH8!eeL-IVW}&QG&)w;i7_NS^AYY8+jkhX#GTADU$a! zJ%h7hnLLmn?$e0zoR&4L#5S|+v}T&*?>=8^SZTvmmnZ~G@p|alS9p=94=9|8s5q8X z3+*)hN+t;VV4xd(m3lgFc@ODC&awMef?z^Dfi0Auv=3@3;Z|rh_%d+W!Ij|{L{}iF z8Rf^0_G8ERv19$<-1G`CY1;-POtN5jNY1ZFd4mzszr0W*esgPt)za4>`+<`(Mxdfh zuod$_XKBe(&N{L}>F-uLjb*gtbB1t*2Q0owWP(Z-k=v=-Jy>)q^(QprzE`A1X zFj~oRI*_?1`o4kp-2^cq-n;kZd71N-V@WTnGsvgWo z1*OE8+WnMmdi7~}xeSlT*Q}KH@>=Tf5%5BflzW=^;~32WJ)@~rLC|3-?HNr|2(~ed zW{WkwD?J#{gmkA<2w`&20Pe9uBg8n{;eWy?Z?<}6L-t_w0!$jM5Q>Mj_%BfSQshM- z*cI5+2uK{w7}2A7%zSQLq0#O;$>!5G50veEz&S&@7%fDdo5#!%wCCnC@Q;NmFu(s| zA4Gr(=9;EvZEl;9{L8>QCtu$J+i-SEsur(!#0sr8l7CjbV}H{MAq4A?{XxX7*1F*& z0Et&?0Zot?@Bu1a!#+1u;5ZrsU8XZ#$GEydDYCK45&ux^a-k2F2RvarHXNpF@`WKx z1U7qPjPL-ab~24shownQv-;|4j-^O1196+fLHy6LyqYTv#o^hDj$4LJ&vZWh3cx&K znF6*^p5e}y6`CL2o62;8U<)RcTLdmMG|Gb_QznMW#?3hB9F0Br`?RJ91<-|fdch+_SoUcgSmniUwg0ggO;(5 zo@Na3vK@M=4^^rURO{+OU2&-6RV#gSiSPnh%p-rtJe<75-klX^S3+MTp@mE6>?M-k z`G}49wCs#7>0E+C@@D%IbepyhPahI){ibsXLQl6ZF@06??UL-yB?z!gUUEwf{&q=J zQAs-qMi_Ps@D~F;>ttW>81S~WlO~)&60od210|86v=Zfh3e0uN_x|E#!FJi;TA=(d@ zUyI5mzkC9FtDAqG?d}%eD`Wl2FtsQl1~dmS4suG2LoU+%rwB;;ECu9yr(aG#M@KCI z(^<2Gy|LJ(UBcd6Oj9Oo@a3x?{P%I2Y4i0>ySTSD&e&Y|aoo0z+aKD&ZJKQVz#j3c zDF_Z1d_ddOR&qxm38-p1Q3X*7q?QgRcdXIT3Izggk8_Xr?GI!VIQr^`q-)K+Bjkc- zWsXw?e%=)LDZKNjJnaGH$K5euQSW~KFYh4wTB}+sTANzyTN^0k`J>?67a%isMWE)Izn0bLu5V^P`-V< z0s3A-4@k3Y2cZ`2dE9Bwa7{RS80qN~QRV^{E~or?&bA0>C7dl8WPeA zW)@$jO1{DvY&-X@1Z{LB;?*dBP-b29qW7JH>Bux^f4Vl!*;|NIgM#=juvWX~hJgL_ ziLem~O$J%+T* zA!#M1!-_^E9h63$cpu7Zz5RfY7{oq)~h7ZEMvq?yO|NkejdNSc+r z+^@X!O>_E?KsrrTm+Y114}~)wbx)d)mU;~j!_s^aK#BCL8>Alyi41%Kh=j870s`Hb z7W9UX0Y9(|AgjbfkNmNfU{xfbwe>cC!z+)v&EKHMdujVX`jbLJL;UlGK#^TNg#Py) zI@`tf2YuGLlJ;4N7~nK7q-p3K2UW)8Kou3it;c4%_knQwz z4VyvahrrZ5fsHAgm z5X6DCT@5FPT8KE;#!j@+j}_EUuvy;OV`*Qi1$Zb#^+urkHJg}hV|&unO|V&YoR$iL z!uh$Wk+;HUPmMlzjyVY|%C!^xRDuX83{@bN6OYRiNymOLkN zy>PvPtEqTfUI`UD>>k#!zC;r{Y@pGxUOvU&6WcwSip{2C`GI)S#Aa(^I~uc~P1}@Z z4Hu(g7d#RRwH6KgNURVl1$u_sP%n8g9ox5F4rilc83iRIMGw@(%C+KSu}mMU5Q8(E zz*+e?Bls84;sJL5-6u2P6~}1f`?End$e+&9zpm#`V%ROgHvR?aVLI66FxohOG04XB z$4H+`4^C5X<1}2B0Vz`;xg{aEEr{Hb06bU|LoYj+%xFNs@+PK#v>XKdXl-`4-&qse zoCS5#<5~3AS(aW|VsjQ-xSk%d-ch)oACyf6F_-v0*_t4x6Wc;tS4ZJ`{PE9A+UmsW z=R20N;!+l)rI}RK>NMiPhkxFXDC;cQEPobm6ZfnKXMFE^;FS=*pa8KUOCG$tE6sqh z))(qy+_eo^wyV3RrWx`ZvNDn(8#DbTURlTJ#jEQWgV>nG4S`~j*p#(Fk6w_^og&dj zeh{k(V)tO=SZxrycRdlKs1<=0paa(nLFfziggo@)y!0Ec&|S|Og66JZ3)YLy^{h|O zT#9vuvP)QTF}&;7En({xliv6QFJ>QJ!jh%%CM&+phA&vmvTw8EJM4lbEc*_LklrNU zb-2r8DJy6!_h%|=1?^LQH54MhOlN!iUQh%#BU7Aj#i~!u5)0P@xA{7&2Y7iUsix?g zDW=?#)XfljaBbu7J_RkGO#k2Hgo!4bj5-z>Y9h1#nU zEoK00Ygt5!YuE+rSayv!$2$HjO6t#wZXJ%lC-~!0j{#U;Eo1Z7uo-DVn970BiVu{` zr2ki|gX&hYcrz$(Zdr%d%oVKVS|*=hAXBY3u%;C(u%?wPpUAFK#%3A$uKhPl_buS3 zu=f?-SW z+0Idz?|arGA?EvD`~vov(9ZQeGo4Vp6xqffYNza@)R z=HabO6tIqsJQ8~ZBwdQ*fdcf|)+C$hBMwXOTENgfbFDaQEjE4lS^J;>7dh!+O8wgn zpIbia-mjcPWO7!YtUgJfSgP#uQGsN0XWcrEk{5EliQrC>Am#)0_IJu%77oewRK|dD z)|1n~u0K6(t?Kf@P%>pL$uoh_6bTnJ(O20cgPS3{tY zutCp1@5WPRL)PZ-*$L>4X7=;U^AP(cqKntoF$uV~#K39N^cj#}i|d6zR1Q(LJRK6q z=oml3z5tmpJQ)C_znMi~#&u_=m@-pB-IT|p!${y+y}ZS-|)Rk%vMeJPn9Dg*}+W{|(!mrw)R6+Orq zUUqyykTVqxbLuRm>6jVe-c-QHj0zrxbd?`i7JpzF@Ik~06YZFQB?3?C6LhjZd!p{V z`#j{9*%NdGZ|cmNptB;;@A(sSt_eC^IIGD}f3Ia^B8opmq&$8^Cz$`0xo#OZTbaYJ zy`c*EAdImrv&CdZuOY$UA1GuAp^*?nFS8MN_NcId*$5T~a8XR15HN)3?S+J!jmCoc z{qH?|fB6S5Xns@p;X%{Mt8X5EeAo%FYewdmX+a3JXmwHqEE4fntJTT=&b}@kX*LL( ziOsSfL4U1~>oETG$wE~0g0~6)5g71Cvk;^KR!ow`V2P<{?TcOj68Ij3ECMhc8gU|O zFxfb<+Tc=IkCkvs4uZJ%H`xbHN41Hc}xH9 zXYS&;i@#jD&Yl0Rd?HvvW zmIwIZgO?E6(T@#C2P*5Iju{OmxF05Tcsf0L6%>s9q<)~RhVhUG6{L_df# z^n(J33+=;D2d-5D1ahB%I;@>gR0;Oe|K zb*-$ktlX|pe51s?u!jAlSg$7bBEbbx==^c;3v*zN?zDQz5rHu}fG#U0^a#5o^E z#qb5-6E}~%-5X##M}&7spz$W~K>}!WU^qRg5_A+W+g`!K@N`^0cd29EMnY~W8~lwA zW1#diZ(}kH^x8HU?>aub{ zXh>&vL7+N^o9aRaIsTC1`f_e z;0X33ARpTxZ}t;j#VoZHY$W>-`B1`ZWZFXD)FLgwCIB)nE9)cYYdIzR%E}H)`rWxv z|A)8S;@M>mxIbiAB8QrdB_MzmxkVEXv%P8E- z4Fw8>zHs+pfcEl6y9PUrPxQIH=cOxaGdAcg{ROX7^I1Du6~tea{XB^>QB?{cs!Dz6 zd7N`7(JGS85}hUtH&H1HAgWCmZ%bbeoCATvW?s9rV<#tc=Rgh7-}*E)yQ)ZQJHfL+h3ZhDZfCr@>s*t4e9QFLn(E!LxiNHlq1TKd2c%AGW zj?O|ooh~~60{lFLWp)zoKby7_3pW6cQ<J{>53u z!)}ESW(<MUKOzEIqmzyH;9?cYqT`xHme>Lpel) zP~l#ogTRfIU4Xj1{y7>qKO4yqk0A^`C>W}$YT%;d6KxCkLopA5jCp4jwxM$HUt+Lu zlHIkSMP+E$8kB`J+^Iv8AaUNg>kmkL6aZi~l0~%(@9y4dyxUHMDlS zX!nP-3oU^Q)0>cWIpg?FR=uvgt>*^PT9hO=mgusXa(hY{W)^kn`uIUlp}SvO&a? z4Wg6GJ`9QF*-w!TptL_g_BX9vkAle77K5EFH<^AqJLeSCH;Yl()7iXhcM*P|Z)$6I z`c-R8(aQ8E5EI@Y2ZADVden9filI+p-kifB>X7?DkNgzja)zl}$9PBn(v0CFSp!a1XM3~}@JoBj{5hu{ZSaE+Xf@2S54)AY6+^3+P(pKCi zr&^Iw)Kdn`T%rkuLyVkX5cMk+OD0vQ?p=?TW!s=Af>!!s(w(Ho2?Uv)M|v?Z8{K*V zTk_s;OceA@!$j=f>yncIY0YNT*@h^12l!TL4<(SvgdBZPmU%N_qf?_|_&$^Jl1KlY zw{&cSMA;Qh_SuKFyPhEX>_gkZ<9q-Up*liQ?``YD_d#)GZ4qvK$}(*tAOKkgU<1_{ zlcZC`9oWl!fb+9ff~S(H8>q}>13@u=>PXoNmQm_fg?zZ5xe65pMHs?&dBWtN9L@Q& z{hbD36zSb_t6~&t3^SZiX2}rQYLpEg0PX>qkc^bT1fX2DODzc52zj>^?JZ#_g4pwn3#NcAM}N``k9%6kyqA zH%*?jZDH)T;ODkIY}sZD=EE+=ZezlD^TkQq7;}yE9n^pXe*)hS486|vCPk~LG1xmk3K*CkMEe4pAw2nny3QnFpnzcaK*kNV({uC_zeDT+;MsOK9|flU-`V>Ba>`aV zb*p-Mv~0P39^mxYS)?j~ag-xmNz7&lZE( zEp=`7ms!2pawAuGod8?W0SW;ls#Z35aR2yuv;uY_I>Lv4Z>vXxGY)+C#ajp;{=KbO zAMbBf8n&}YwLT)cojBA~0AM;`@=;qbxuMNRboJielKBpShe|hrp2vPjI@MRDgdkCR zXN&DyNR-~*V*A?i*_IyY-=S&9zIVyWiZRA>azLQ?brkkra#c4 zZJT6+bf9kgCVm*3y$QULPd5QFh7!90OTf?LlA(;RIVKcl+hKg*#CMJ92eRKPA3zPO zA1eDITK^~YRtA>fvj=RMAvg+z z;mi=}oVa5Xpp3(P+-wxFV-pysQ(iFCjW>3}^nOJmN8GN)gv$$HFOlir!7H4C>`A2am!?>7rW*+XfZ1 zaDnwzNcht(rFFV9VK9Vs3XBDJ&|pbz-h@HIKtM_JpnAyYfU=TlN{`%5Hq1wew$|Xi z99W)HBSp%mm=06U8wOk7V=l zvI3rRFa$~jn}lixcz=|o^&{nluo%4>=;}%-ps<@O{J&vPeI)EZ=%|1@F6-QptF!-+ zbpDLVc0nXt946kJBt_h*vy|^r^lAh@&lsD=YS|@P&MqbN5yZ96+QrT#9$%@&3J_U* z^9Z{UN5&Aa8;MpBKLAI8aG%1p#MTiO-R^|PH3KsMI(mdt;Kj3#OW%-~@jUP=}%}6s?g7 zfQo=E#q5)Iy}E&LV_b8Rwj72I0ylPH1&g~2FX!9nnj)u; zX(NIBAxjYaM6uOS;Ad22670=tx*yeaKU$TjK6?Zm1m52|_~STd4af`KZeMa$2=X?o zc~8JXOwD^<&3oReL@9YEkh~{)@}59m=1@%Jn~a>793W`sQp~Sw&(PA&BCiqsVZ}fm zDuz;Rc}tIt2U%fIemLP&aq(wtPkIi^37`ipSYtoslYYG%?1t4lLhbKGH zq5bG$l@?}0%mI=pQf3ZWb4qGVrkukT!I@KX9sq}39#}3V$dGe*Ede~+?A%|*HaStG zp3g;Tn7@tA8q@dbrAS1klR|Q0)5U<5NJKqse_0H$%4FmighmjSP!U%EYj&b43HJhZ zs=cu#qL43DMi9$U#CS#*;#^Yo%GBkgd?&MG3X<8eL|{mnwj>l_ZHdnpuyYI05F~&R zTbMYe048=w2buZUg85?#pbG4X!Q6)St`=fI4yun{Q6O};u95>xAnHY6#f<<+Yz5f4 z(MYd3k!pPd3xKcb!Orxrar+dsM36|->ikonk8~$xkDZZXu7eB>$iOtE5W#V1j_}UdBLUgtub{98B(*bPWnotGj!9?jj=#w0KPG# zzwrAR7k>bj7YE5IQg=vtCy>+4X}n|Gtoqo#qSIh z7L5=Vj1U&$48(R;l#zz(CK(rwQsz+3VgAqySSe8GHFc$z~o!+#v)?7wo#?#~p?3FF)y!8p_Mdk2W>d*EYhJMiW#UD=Q_by4q7I zRY)Gsk>d@GN<^5kCPJU|7+@vOx_ z0ZZOgzE_cNC4tyWw2UCQburPNCcfAyD|Nffn6;#=tE+?}`g##(#!L<)(^)YR`E?aR zG4G|z^TmUo10m8_xd^(qNCNvIkQ?BA>1)S4`8W*xscUrlD!N0-MkLyPr@#0d_p6$& zSxd@4CrmV<1YgOXa>=Y{>J#Q15LzO~h8{n8Bi$s1KfkV`^gcIk{{9=Bdy`>NKK7BP zfBszdC)ND45*bSFAr>(u7Ug?YzUBHnjaH{O`1tzy2LuL%m_qyYAMknp9ev88Lx;=C z-JY87A-+<5Lt;RhFeKGSFp`xGWRFpd7>g*&vw1*10ukaBBU0ktJkU#oaOZoQ2ub8+ z5T=<6+u1#NQBPo%_+W${k?!RTh3#@A6NcQ18K4(Mqln?n539Id5+o7r%K2EkC(qUi zOIviKY#gkhf!#tfMfJlbhLa6v9K0pz_$G@Hh?jEM;pnnYKu|M+$g)z^zS-aM6h&** z;>=z9Tb}J#+_Fcp2R|n|1op$8R4@kfBB9-2=M?LgmOQVDeyHslS?YAn=kzcaJ4S#` z=2!vKFlCg0Jp@XI_%L56TOL*?+4exLVsn2068-H087?+kAe!oA3WCaGcL?mK0vLAK z7gdbf13Ex67nPihmhg=nH%FK!nU<$B6q#%Y0PA4+dey8zS-lor&g zAHb+aw?jxNR_95*@ml6|*0D@+3ytS-l4br+3Sv3ym3=JCQj^i6Qkl zlOhk4g20mPi+9;`#u?&Oj}KPVIprpdt z$k&`^D?tn~az$i+CA9;`2f>muLP%vF7l0~{79g>GTHx8`ImCbf1GXZEhD7~kA}BV= z{UG1-^+d^LOYLV0Zxcm4NB{Jh^BEAgUPl0b$9SBAGXOcv4TM1oa!c3X6~F=g>yJO% zeaZ#fbV%z=inOjTUzFn_#YgoNc0VcX-nLVw<|vVHP~mDKOi8+UA>E z1uJKL81{GR+GhVVtUe4KwN+Zth|~NjX#iXh$bHa|Fv*05IH)kVBOo=x_x|qF2xf{f zsthp(_wT9UhqluaW+TKD&VGdHj-Bw>cpjQi_?^H@LG;QT+dYb`M>2HSIpJ0eNkwhO z8K(+=XuHrctQS~?_w`bRL+2?!MI^hv4YGb{O- zbjPQvzcVU{$CXyOKE0Ct*zK8u=?K1;gluV*5|XRYu9;E>byy}kOr#-&gIwx2Ia11^ z_?ea5-$e_>8|;r(+HbD(v&QN>(kh`)u)8C@(h6VYY8D(e(`MPn?4(NR26snlr7TN} z-5oP3QSis}KMhMDLZ zeYAp;h(R)d!g_tQefbtK2o3#iOWvTx6~TYE1#;8ds@Rb&Xz3sd!4oCA^SdoDGe`1h z;-$g9oH77f3}DC=&R;|hdNAA{4wKLqw=^;sPvm#k`+}6|{3W$~tmj<-rnR_ZFs(Z; zZILc*@dbN^(I}`$_<}%u>d;!SE(yREHl0*1eEVH-*s_)Wf9r}}TzF|lu)2kSm0r+z zt$XRET)nuQe{>rGa#It3!#4N@69T=?ZH-Jz&o8#gi6xfNEuXs;61KFp)gNldJTk4) zai7;dx~*729|C0#j_Om9W^5n_p5Nwx_UE(jW2o=e7&wz?*PI7@?}tjb%I)q5lFzbX zJMB}qi!+H|Lc|Lg7>&QSVQU;XndCZ;sDD5q3uYaKeMfLI4kqO2XajLoA@(M-UA2%I z=7O<+Lez>7oH*v^gU>`?4JI#+`;G3f|9%_s?JXXCF{Iv=?}MP@H*Lq6o>*5HaWKrD z$=noix(ppBWD(vO#J{jcFx3`yV+U|}p zuZS>PpWL+Fys8ou(Dqf}!qGm!Z`e-D;f?J$l;SD{asiI#Hf+(;TlP12YiftL-}blJ zJASrfbjPEOI->Q3YB-D2rOPeU>wI*SCV`0-q7?%utH4=KkP2xRJ)Gx7|aTI59nH|pt zD0gCN&5)iA;L`H?GL@@5!AmaPkeYjXr0NK3Es%|u}(FW3F0(0r724XI0j`RF%rtIu&cF9uvjqI(r-Ni1s z?e1F_GZb~>9e3Pz2b%&fu`Im*+<7PalUskv-hAUvStfhY(px9I?$J8@AOFDtt%^T4 zHnN*8sa|yp^+Is<0DR|~8w>S4ZyA(v*(4R}^9F<&ms4CX?WHvL}bkz>QZGhuh$^^-g9T6*hE=;-Who@Y>2`)vkK60QgCHFzdJ zal3)D6<>$7XH$&8w$ELJ%A>=#1V0I%kZ z{kd^)6oiEO<)-r5Kxj@;*=boxbO7OqrxU}rfOq+ zP$z#`ndNXV$q4pgk{QkEncQ{mo0hqkJhp5Z_Y*Ue)oC(5}na4h9axb^CPnqP?!rG!cjOlr;cPO1! zV~KJefYQOwyY&BC6_^_2^CV$0e38fcdiW zwZLYU0bE|yR=12>LO(a5Q2c)K+v0)ii}_+H!0Et(2tB&fOHbO#aNyu=a(f z8O!guZSie)vJ)S-gInx=h`aHL0>+q>vhc>6elvdT(9WCxR#TZ}Wq6^%t>ZU0R4hal zfzsv%A;3H%5cXrCG!tlDwU`U63#h6K*wmy4YM$K=RVi`yre=N3<_4%!ARn693a)aK z9?Y1wfs^^J@e)}7k%R`>T5+nu51)uu3kAnYb@#?H zE^Nq*4tf>#Ih%`b@EMGy8LPFeom&bk7zOEVbp`SQPdK>5jIsYZ_tFB9eH*XeQV3*` zQ4-mV1}vRt;WZWvr{T7`XM1q%CmonEx6VHHcZhH^8n6?3rl`mNHarXN6Ky{E+zztN zwzJe&K%xVkVxTv^?^|a&shFsyBU&F-RY6qRD|Q+C9EmM2pJ?o=X|DMQO4R!=?@_eW zG%g_nSvkZEuT=z|sBF-7-g76xJ8f?-wXU*p;ahaTZZ9bz91i)QalzHjDiVS$;$F?` zd)=cKnHEmbwR0Ryg>fZ7xYVd(iYm63{#`7f{(&6@C_UQQ7lo#oLg7r{)oUC$oN6HB z4(c_cNn=hz(xeiRKr_G*k#4ETF(Bw5iJK_b34sjMxdZu{g$l-?zmv4);8M@^1HhehL|+n@2V+x%mzdXH#}_^QFnVxp{OP zS^)P*TvPzdHqX33eT}vubxNffTJADg!N93o#^L+U6*e<(SI!6`BrhUV;~vB;vyZ<} zfN6k#XF(-dE^&e%WUzlzO+3_`08s$FMH))%r_VKh^&ADjMN0;;eTCh2n)qhWDRU6D z#mIDYl;t6o;ID@0KU}Nn&^_%X|J2&O)tB2pIr!i_&5->*T7_0Oi z_`;o^*ZEF8QZ?r58}89pI|h*5HB?_ngLwyuiZ10~HSllOt5Nbo>FxTQXe*9>Y~HO| zR7HiF${jSmo4ZI!^nv$1;jHetN*$SXq=SWVVvfChx0rPb+XwFMxb>8pxOF1Q59CPHvjR9miQTi9p^iw?%;#oZAAGop`s- zXLQB5Eq%CpjCpR$U_>=e)anLDg;`ff$3y7xf4|Y~{c(juO^6ZQR69ACAFsU7W%uqwJd zhl-6ar4GX~fBbziq{Zpj?Ylg|{(dCtBWAm6$ZggW|)QKI5eW@TjLN9&I(Lznv|G|OD7nU$M6XT9hN{~^be-&+QB!Q z3Buy9J5X>KbOOQ!nBqZiDWFvI@*Irx*By{^-K~tOjKdwoMc9e+6ZK7c?YxJ2#d(h= zJrV`C-d%ZjU!|g`0O1p zAA?1eLuZd+$sx5idxtWl5s`hB*5cU8DaBT93R!PZvvg+fus`@Wd-@J{tk2-NjU;{9 zJIqgJ?^qG52HjS(d4<)yVhW->IZ8G^Ye&T;t!Gm^X6;ZCT~{^2T;Qx7E@KaM^LJV| zpOt3qKtH2g|I>*FN`IU^eg~dnP+YKIYWn`*47lT{B!iY&oK@HaWK~66`mCH}%2bieyK?dJf^p z9kV0kK`oRMA%99C+K~QF{y<9H>iYoa*CxDUT(L@z6``VG-3R5}WQS2W*b2Ea*(W-9 zxTjWt`ElBlVMTwpKw>76m3td}FdU^Cjq<9N7PaGbzK-S(TDEGq&<|`aZicEiuypLR zgsb~62!!IpBaZ$rf7JjU3Hb8<)c@f_O5jh#(&t|i(PKgT`bE)x0kbBxxh$UU0;{Q+ z;DcWu!2fuH8FiosR*59C0=e67QC!PO8IJj2S}nC`9Hsb|wXZuAW%xxd^B5ESi`;!dmoDijMF6uVHyo@X?MhVEqUigh ze;K_#`rYUcn&Bb)gBJ7(^%UCKdKg8w;amfMg>7(9hIJY08D3o$emnJKa|hWDe$WX6 zr2D^@+&_+&R~t{o3EjSq7Hm#@{G|B!Fqo#P-G)Ji zZg8rOimJuZE*v|>Vz2rDL*6PLBtHa#$}IkuKKS17O!8kLg2`1AV zRrajN4riniTjPvezbcYrE{SJ5;vEc0o+ds)6M_Hl zufA3}1TDky=SB1EDx^s%r44Lfjr?_l?@c)xk)!~c1bq;u zl+q&lb$PPnjP!+Bf^fjm{_mE&!3h78Qte4ZP7vbkzlH_vi6^|gl=3iOi@y{B6*+^Q zkANVIuTwk83VoyTwm(()!_^OlnisE`mN);%Ss~IBXR7nX0h9I*z?!3hps0zq_vsS ztGS9*T9fMwRw9Mv?6vWzk6jmU#|z^*(CiN_cwaU&5)&ZfQ)rrn0CPdlpPxZJe;Bt0 zx>eHXc0X=0Zz9=^xpcc%4inZucOMa=ntUIghtlof9D4qN90TzgZjH0)xG|knTAPWQ zeSbkZD?NBDlF}W8aVP43VGaA&S%_euo?2MMl&p9CVdI|!b^gJxB;)zpu7;-lZ47fj z?mqsHlT7cxkTQPZTY65cSptg0b)p+RzB+sj{s($I)ZuIJC-QeIb)}j?k4!pz(X(o1 zJqpm_Yw#DOM`1dA4gNy)C|HND!CwL8raz1d@}netb@;;Nm|8KMmLfmy{f*G0Av$~w z{svLal$-t-=#_udZu!i?Fzf#kRHXPZJDK~l!=bKF zknt@%!crD3a&~xm%_YREQFvBE(cj&l6JE|}dGQS1V6(&IP_5oi;LWgqQJzz{d&6S) zA_ul7#u&<2CRwS6876_nPfGgC930BXv*j-?urtf=y6N1XK3|9OI0{miorxO4Wm zFby}wg(!P{I>p%et57wn)*1rL`GrjJc!iNwE`pecW8uv5heKhbM$F_N3UyTAz5&^S zHa#3#V-0DyjH>ZJ%H^1-@B7z^>K)9cQy~MO04k~*Plde6Q1JUH{`u_1Wdfii0dh}S9yK!yF}{|mZckQk_$T$fl|8zp$yp#-;yOg13ifzHhFccz8^ zRVe>-C?xaGgmykd0B=Dk(K!ZtXlQM|nYwsDeaM=^JlTk$x5!bT=9jIGn^ZYN8vz75 zpAMDxiR{FXIjWHSyN5$5N4^}|6eu%dCK6-#Fk%#&`AtV|gnR@{M4FDg&8%MYvTf#B zHgoxP=C&q-+*`ZQoD+J|Vlg)U#LVZLp^5+^7&MhONJgDWZ#IMkga(EMg$G9vnI?f) zt1#!C`>R>W#j)(oW|yIJvzaY2v%fb>6=sO{tWT1B58mQ_Z&nT=`Q@l}O*pCWeXC);0lNPi^?5OG8T=7-eAh6oWGtuHPJDUS;&&kTY5 z8r{M!OqAZ8fwyLV8zMavYAWn_cZOmt7+x5{{`R>w&%f~EOKS_)z5GfMSNv+pYo)KR z=gWTQ+VIBj|NAE4|J;&<|BRFZe(a}|zMo1Zy)?2+CA9phh$=e<%d12p0KZi+U|_fM zfu0{wFPCxJ-KrH7L8T2zN3q#&MP-l1-QBGs$`fWfG&)iM#!8rQZ3}sN?1YyAUnhvr z)@C5q`~MCXbuAzigu_SR6H6s)YbR(CNbJbp)NZ6#<>I7Kpt@31b@fzPL+Acl*hxHi z5C!;k_Yke&=_>Ml1($E`Y(CKQ5u}0S+O76Vyx(P*R<(-k*ZND+$f2#8HGZNx)X!z> zd0H(K?|r263Q3@m(n2Fz)&kCy+FEq2MoI5Kc<}IMH3~Wss*foWOlm%+Hk00`YU{C6 zRi99EZ1$5KeovWdg(k38unc}33gBgZ48ZI$h(orR0R-8?P)FIi$n`Hrg6S$oA>DgX z;!3^-idD4oR%z?%2cS*`hB^R44H5$K{SfR~A-pOSqaad#cLrp$_Sa_kcMIGM*F@33 z1RQU;Hmb8~hWy8xmm_P}MLGbD53`K$Z|qyy0FL7`gan{2ISb&FM0zQbua1(Qjl{W~ zifm6BkS*b7gm)dv_QYuzm}M#(I@PlXdsEZY+)B zZHbMqMb+98u`Y%Zpo)TqJF6W3@wzuK&!wu!(0}~+hq18Ywl-2M~0QS372b+YB zNVXeNsE;qdi}b71O|L~sPp57wjdGB+Y90SzYH0zynK)9>ALwpDH_(Pq`Gctk9!v$Z zSO0^_K||bv*@A z`=6Ah0(%Res8Wj*^N|XtewqwDadaH;Vtf1hCDd@t9x+6|uetFcHM_N!FcKYKAxUnBSy z3Haup!t$?ybgWKQp&f`~hF_g(KW#yyfqg88iJU+-F~wt4UxF=~M%M^A3t$3=rh?dy zlI?4feQ5}tR72?q^(WhtlOfyihuHp<;nk_&ty`*HZ%eCFc|N<7&vs0#1V>pEnRB(r7K~a*H)wPi#>&Y zYw5Q&p+BK6o^{Ns&g+XUjzx@O1##2)Ql{MRPQA6ULT_nzV7{$H(bCLF}slHB3+zy1Tyb>v!+({ipkz{wNBF6rcsaKLqb2H7Cv&?2uxhDwR zj}bETn@3f2vq*e|6&QMS4hJX(DbPzb9aWR}AUl6d4R-pDD-LiJS}930{WS0|&{%r@ z#=oduYZOcrXhSJRiv4qydwkaP1J$yb{MmHy7?O*DFA$qC+(-ol zO-64VNCyWDb}6R7SY5PlFSMLHln@yHF~V?Nfc>XQ0|Pi@GBGg#$&a(n%eM%ye^+~& zs$LU$`GNGjKa3ax3hE>Sus_bKC5RtV$b=sZ1AfsSZEk5}ugx%o@yMZN)lmkjT+~D7csB6L@e|G4D|O4NWvt;46{iuM)I{$(hHH~R6>e! z5$2@LI(T59Sr=CkgxN@?{3tukMm))L_?mFCEGT2DeO`j^Bql3@CI{WXfuwpP&<#V0 zOic)?aVIyVw;foRAiw8e#D&}(+STSb1Rxr9={WpvSidl#9GsOVtVWTkS(wmK^uiL8A-Qbiph$_v9YHYXlR;6Mj*;%UQx z;`P}Cn7{G#3?#UMz8Xjvkk1#C!opm5?b!s`)WiUj6YM7whR-ITIA@9F2zgRr%C1jb zZ%eE&lwAwF6jCJ*99YE+kxN40|GHzaItYC zyD}c4O&~OcxFEAyB;8Z7T45VAblBKU8?De#HrThJ7+62Srf^L(XT+iK$FY~OzlLF) zO^m+OfxXJ|4fvc6E59(IIHmN-g$W2y)5%jQ7nE@lNbU`AE2#}Fi}AFcvl?QPW1oqA zIo1^$m^x#M37>GYF+A>!EYmJ$lbZ2t2y`N)3ZFiyL%MceC;ZA^ ziwALafLpBZ6v=JO5h5cYMe2s7Pt~=vDo;|0CZ30^G3Q60+#h}B%$dWYWo%CFoU7(u zJ#T*AHQK4P|KJ|!{6e@+Rl?S#bqtZVI7ZmQ)JJ=xPe+?3v6C_;&6#xVq{Wl&nDnzr zk4*a2r1g_7PMQ=mE9U07r{Zpkc`D`)G23H0W18X)#Ery!6B9H!ee#mYw@v=H$txxo zPJUVDTxpm4fr@S!b?I}B_d@^NZN~kr(`V;E|*5|EnSl_iaS&xHQVv^X< zBpig-!}=jjKGHrzLmW{0dgkS{wPOc|d`fLtP{2J_M(zT{*ost4v&tNyC3rR$B z?xh!gAri&O;Q+lT9!3lvPPbU#I$Je{l3!)RF!GPt@S=~~YI66~&)LHV+cK06olOZU zu_*Q>^GiX7s7HE@HagO4vQ# zd*X7a%UPv{QQMdHuDRMSB|L+dt4LMlOE`*k?xM)j4=orqa6RrE{H25J16Q*zUCowU z%}$-?I4*_l<+-cdr0~6b^t?7HVlVH*onqohC zNa~q95g9rahm0ostT^!(qlEj6$~j-4?87lNhowb*G0cG&);ZUzs^z>AX)b`}MgG|U zCtGE7SU0Xn1Zu%ltw`dMd~+ReRxE~N5L6)BwFI>GJC#`J z6(Xjhmbn5|t~hR&0h6)+X)ZztR5?)u<%XwUfAy#xG^AEL^n_3~(4&=Hf;g93Xa97r zI&6eiebJxLx(W@?4Qyrfws$>YtOxk71iHT;;sS8980pF zO&8+;*KiL2RU35~40VKLZu+AX4WU?W+ID<+96rqIoyV1bj;0! zcJkaz#K=eNm#z}61!6LCIWdSWzCf6#uqp)@Oj05R&;~OPaQn0cRGD+G5;Bq7zVRwH z2y_M9=FWjPq9Ew9>E%ebNfpIDK8HO%r`2TtGS~MJQv7j_uM{cXM2czdbshb4;J)0{ z@!1?a`Z^a`a0(J>kfmSE!pla4*<}e<2Y7{)1|-coHF4`YEE){j_wZr3OKX+`MT7l~ zIZzZd*&A}*>wM8_YN=1F0xSR%>K&M4fqm&Y{p*GY=GeF9_Wx^Hln@2jKc2J21f}3~ z644W;&i;XTe#Wab@V+>acBT+Hgz18old8MD<4SPnmEik~&-XzWd8%#cU_NN+Y&q=n zr{BiZ)o=57n7XV?_H>|p9V%r+16HxJVTyCIzie{^9&ezN#398So-;kH|skc<*v z?H3ZvK=Y(@!Km%AP+j~Tq&wU?eqavYH;3<^!+$nMFcg`z);kl~KPGw(126%rj5pGm z!i04HffsvoZe2X`$YJhOWa|8Ej(Z*QPwa2ZRYE3lNI#yV3Zc36Pv(*>kg|(4Pv+KE z=M3ObTZQ_h_Prb^09Za*lMA`e!5sK-Uz2NpbvESxQH^VIS1~6J=D46w?2mn2h5%4s z5m@$K4kapuCG$ckfYT8TK1J-~u_FNZC=-l`5F4I~3GyKG?J%!IMA!d%o36Pb1&C1?#8bE2f@bA3A5$`|M2y`Rsu zOS7foT*ZhW?h#3hPmn~H%BpkV;VZ?8fw{OQm#@h|pt^miCPy*0)gQ@`zgAMD>YR@E za?nxv2_ULKcpx78i*nIEI9rWiZ-fKB16UKLYz#CD?wu0KzL?#)KAZhTw%t1mVTsS? zCvIWz2ExH?HhCI;9ne-FaJV|PrYxJ?K1<7?u5|A#@e;spX#v_f8LtOS5{fca;KZOz zWQ7o~N=&KH)4Kf8Eyh-evcHur+@ay1*vOla=%rkFI-!(7=qGh*`nr@TY#$c2zOC7L zD*EYwiESRd862R{&{r!V0v+*41l|i46ehi@cF_YyMJJ4!yrUTHL)ki!Da&i(^O~f* zCeef|-YT!@J_p$Dh?_l&VxZ^RB;`=h5x|HFwp8|(nQ&Htd+}4kkFp3q(h+_%lklTl z!jEPVe#9^ZHzrlP6Ypmlxuiy>flG9fb}Ca0CR&BjooJqs=w#nU&^%GqTRu=1>h0eufMUQ^MI)IPR6w+R-6l3|1Sy*@Kn@{Rx zn6JySCuQLm+Qm<0iB7&Gt6hGjc7B#(Y%g1rTUMCskn*yWARfyNVTRdAJnU5^)ndpd zeN)Zzx%Ee~HW`}Awr7?7CaY|7)4_n>9wYeP_lviJo}wTQix<krqJX8ufTv@>H&c2kbAnG?7jIn=3uZ1C zOIX?G{RzazJwu%2qXL6Z&6?b{jXtBrV815I2hS7sV_EiFug1<7%+Jr_^Rg;}!K!Wo zt0S;3*q0|iAq3-Ug(dkATy^r#giu^_<-3Gb{ zjTljTK$c)eWH^?8s$v8w5PXgt(Gvbgt%1}ELbWdbxlG8@)@0hVGms52M=6Fy%>PQZ zb{U-`Ozx`8iQXbahYKeBlmj-I3FWKFbi!R^{G7jmlgs5%w~yE$Nm&tvVRBzlESVUt|E0K9Dz9gz^!Y{Vr#5uKK@3m~tg`?cA7~TH1RJlntvA@%$FE`Ez7`!2KtWjb z#1;2}{2E*B8e8KNNak#(3**Gnr!<`bHYvac{=lb@rUlrn(qlIMb({3K4d;D+@w)BS ziGSq=_7B(kYprmiwlafSHG`sfofC3&y%X)xUBf#qxic7EwRfv$dw+iwW zhT_D1)$GFw?6dLgy|G(9QbLiQ{p2Ico0DjdF;*H|jTQ?mnV2h${YF@}Bu=`KO-`<) zANyR0Qc()~l%>76N8ULT3P!J=Fd}!}$|1HTn_WMR-v>V@pY@@xaCTZ;y6o4qR+UZ2 zP!_{3Nuy+z*7HL{f^uqnVEELzK4GL^=pP(Xvpq{)kS*ox;f>x3y)@msG(rieT;jfo z5A~LXc<*BiSWg6^X@6h^$X=$p;_R~`!Ta9(oY!;S0je4;ygz}O^l1j(X@_s=t8e=3 ziAMHUCJyh%f`Dm?WGiDxlpg8z>~)azJi+UrzSwXCZ&MV&&WjNynDP<*(z z8uCYgQ8PsLTrURB#AD!4LYSAJ7BO0u`HUIC)neT$rl8d1p>Yr4>aW^UK4%YXh05n8 zSXP^{M*FJTK4rhdmQw-j2E?NQPdKBH*5zKf5+Zq1l(tGiZ8;E@)%sQ zjuaoqPz<=f1~g6Z!k<7mpXe1E;9V!lOXK)e5_@kP3b5yXjN4+RSSTI>s}{KJo8Qr# zsf=;&CKPV{wB&tYitay1=S_s2wY&J(eK6uRI{4YZI2^Usdj#R1UkM)!^$iPqNBeq5 zhG7q&=%b>Zq7&@WH1RKZJt3NnolS}y5OU#V^AY$I0aQ{V57?KcvG-16|M1oyH%R=-yx)o!I7;s1XAf8GOTB4Ro4N!;6fNiO&m_hq~Eg0X0~ zFs1(86WyG6tYOyIXvTWugZ zi$>d!;Xp?+B^T3Bg9##LBf%3183A$l%_Ko-5 z)!n>T>gXFjFPtW5x1uI(Pus?@8GGa~jSCASrttalg@d;j*k56xhOJw^pl|u!=KVSt zH4ND6>kNXHUPSZ$y|K;5?n0UcgD6Z;v(~zIeFhz;|6eU6x0N>AR4&~r9)Bj%32(w zo+_=Q1E|(U9Gsp_-sQh2I~0YRO^2e|#M33L+n^(pMudRHqI{AvZ}sTO*@A`yBt1mK52*`JZFEg!-r{F4|@C-J}Ex z58FSuo?_Z2MZ2^+DK?s)9nH^=rsxl|C!)bq>A#)`eBkjD8GzhePs!6JlDE%La~+r$ z?WoP&Gf?JJN92EJ+a>=UwrdQIGMj%s;%o~n+NhsTs?V-fKS!!1=JJgeMZY*W5fH=# z1psu=DT@E(qht1^g)xkJ!bu-s2n=A>?)h}&%Wp1z_v1{{5%FKMUGm>yyT*EL{WUh$ zdhN!g`l`+~Huivd>)WFNd@n8efP~CyC9$f8vgJUPgn>Fmfqm1p zB2xfUTtr21iJ&8jld39o&JBsAGLqD8A+t+~gv^79W+POy8c4iRe2oh(wXO)}f?fK4 zqqNut{Z-ypRlnG_AsFYai6YKWHUwLrEC;5 zrS9!;NM@Uwz8zvNYkwkG*GcHy3MZKy18uay658eV5Z0-we8p`&1#sT!T*RDX%NGT$a~w!Cw%+F&FsVCkh*IG=*Qk(b9b@z899)nSA9oPV z7M%?yV|dIqr$w`a_KFRrp|n%;kUDWBJ$=>29$f%yY~MdcFTG~t(M2f>SZ)CuW%MKt zueG7iI!bK{Z1iba?0c`FTwoX#uqUr^qB>t1JgBCFbvhfy@v@XKdsaW9ovj6u&X$I-jR_!pij^e; z;z{nDmIWIt7ej>KE75oc+?f`^(Y6@jd~J`t{SacseqT_*4Hx4YfQ& z$NN5yQ`KLKW0=r@rzZfp}FNWkC`~ z`&8na&dkFj>1j}Zl&SRu`^0Q^D2|3Z5yK8{{z8}lGNzocghMW2ZZ#I5rA~Wi9MXZD zekq+&eyF8PK&nur0=t1bv1;vVW}8N~mowXCL#Yy6TFz`^ORQVoXp=ud7p&8HJ-Z$5 zkCeLrCT@%s^&OY?c;4khyjYc?UXc2tix2QhX&ye%oAWy<-NOfY! zygI!lpM5e0%#a{9&x(;jjygS5^Peni;PV^X>huH1J(S<*8VALl?M;bZ9t8`~`kd=h z26K$;RdH-toCg;3_Uy+X-zepJGpjY;M?b*nH;dS zqDOC`k?5}B)Opx}^w99gQJhfio>Lp*l|sG6OjYm4egD|#xlJ%ETgkxmnst?n(~+&x zMsC*9OSz14bscjZH){jt1(++D>^;_^-+Li`Wjt~K_==(BOkDv6&f{c%X5I4pHu$+( zz)`(xz;c`HNES^h%u2Ip08 zvDU>QbANnYTx+~Pf7HfJ5bMNl(lxM;OwlGmzg5|<*5+z=P^)x~lyjCu_cpd6o0wNy zPf(w~H3h&L?%*qj-?q18+xJfvU*R^2FUlrNX>lWhPVSHBZ1zkyQiGlPu#b1(y%yn( z)PaO)D6|OTCo_Myw^7%S$=^eRDr4NYNz3*8VlUtmO#Mg5!Khv5s0b_jg;#n|&)?{k z9D06<*B!&_ye<Kn(AVFsFTV@W_Dlbp5yS1k z{a%j=*M0e3Pc(WqCmeb*6pP>H-*}xgJ$7fQCC-asYhnNfUkU`In3AF)fL9uZcY81lo}(BlaGLB;BW1Wr?CaTe zjFNmi)~y^-$B@ZG{UJsU09<$UPjXN49gzP4hu4r)+V6^_y>!X>l=G`YX+=#Z!1a!t zpu*E;+U@*c5~>|>9_Y`3WtgH^)ZLdTVaM*`VL12Hm*fIuYQ3kL)D>C2z; z)<2{#Uxt4ATzWvy-{7?vSVIh6N?n+MDNPN0p$1b58;1%3Q~cmRkhR5l-lLyMRQLu4 zE*0&qlQ5vLEdF^UuJCw!lWT|PNkE+$YFk^uFctbP433SDG3@F%&z;M0oQ3N_eYBDE zD6gSt=5{?c9NH<4jgAa|rfn7DUn$spkt2C^Cme6Mta7m)1+KsGIshJKMY+@1v(--sp3as%;JTe4>sdvna|^Y!qZbr|S!7k3!d zguCba_Ca~&%`kNBy-`cLrNV}SyeISZ;HFmB`5!lFkLgL1 z`oxcPfEUdc5x*DHFUW21xja`%%xYzN%2-Y)`xuNDKoby;!u-25rM)$QeLWg;$jLV~ zI8iU!fmwlRbXc6nby3EYk>#*+kS&{*bVE}l%>L5?Z0Z5dUNXHU)2Bmpa8ybv7ne*o>Fve8vICV?lPvj=QJN*{6@>D5j8-f4SJ z0p}hYA3cA1{QM{i1t24&n$SZ(R^8Hlap;j@Fl|q~n&O z>g(XenvN^u>_C_*c=-uQBGk>OVgZEAdaMY;Mq-J6Lk!U`iqDo|kJn?UAb|lKPxgh$ zkGq#(*HYy(s&M8$QHET`&2VR6dT%Jl=v$~f0*>hYz9Qx7lZ4xyC5+wvS8Zlcp zgqO&p;wHk8@3RabRdH|Q%X)v4FkYkTcv<$jkIRoOnS(SFm>63&1#4O_?(w~b=DrCR zX6y7APfIE_lEr%^6cqP1tV2;G>e@dz+IM<DU`pf z?cg!SHPs!x%tZ+`qmzs)9N{CKw1f{F-1i~&(eDsck57aC@e@2MWwslf0im2sc6?nI zP8}F-DlA`1!vlLfqwMn$&gyn{Wm-xP);54HM(pX7k(@-|MmbiUaPXKEUQplEup!)8 z-UOod^EPE`3Op%T#*2C;fVB##RVW=cL66ENtQ^2!(%8Plb^icPr;O)3lX5KQR?w^| z-Iin6scDxACz!MqFE5Od>`((rAS=HZ89J}Y64iJ@8?>#Q(n9=+J?HJ^Z>$bpaul## zd2PuEL{`y)vHsOCjRLekW%lQL=omC}|*o4bopo zk}kOD@RF}*mlC?PQ!&o$4zn(el)Is3cro2U5FyCdBYUj7jMxw1uWu+W&okCfsD!Hj zqZl$ib>O9tP{r+W=hjk-drh`mvAp3}ax7)UV)4CVg;oKl%mB`8Sb59=M>soh zEa@lEa*K5v`?h(qR%~lYbbZ~o4J?br2`i80tsHSc|JCdZk>|RN3J6FH^hLK(PKJU6 z(T`Bk2(Z5x?>4e4)xB?N;Rb!mMN4MRu~wt~n|RWRbQ>XFFrwI4RPgPJZJt&rL7IGK z#bEzKd_QE>OQ5>ZV)WFBjPf1;sHHfny*N^IaRwR6Nf3o|mg2~wC~-AspdK)h$lJAu zrd?$W;G79(g+LHyL@2>qV5PeQ!g!OC(rTjgu>n`lFAYgbIzR5R9s^D%~q_1IuvGL;oJc@BT9!1#8P_vznaCqm8C}ZPwM|f-sf;nQuek6xIbAY z|Gjk*js<_hu2z#wvZrSfm7B3|=|06ER?mb^qq>oxj{q9<+C~C2psmT`x=^PtV4WGb zV{5bE=0&fj2unkRj|70GY89iIMB?K8DZM#*v4v)(dA1zjKpJ-d?1UO+{gOGC3swwj z(MHz=BoA6Wqsi=@of_*CzC1NJUb~6Gyn}nSJJMO11oM|y9+bw)rg`l3B)0I|W zjVDW#fRnGwiC7_%)D`m6bpIm3#eOjjiy|@oAEV1lC|g(O!5v)7a2}7o zwax(%CG5|ygYTI-Pe#O(g`i9H0|RY!o=t`Np+dcDTuTA;L+M#gu_^>-I409qT4F8K_u3M>uVq?l0J`6D?=V( z!TLO8+`>WZHXSlZ%xZMTr$^!S90{7VhDbQO0^t=FA(;2P;L&N=*?U+%| zi?8|0g#8>@- z(?Nn@5ME1j*J4&>RvHINmBe=T#WZ)FZ-L8#7I{n&0`s{C>?(_LntdwGzIVENE4GY3 zq|{Y$z1S!|Z#5!4rEBSR^$Hd>tpel7wKO_6_GTz@kMg!yK2w!YyCn{Aq^PE-x~R7T zJ_dTBamiJ=d#$S$a3V5P$vOwzALg}G%Q-FeAj)>h#{L?2P0KdVXL+Kf@4afwns&vA zLmZ^7c|knlhfxWAyIOX6zOIG_m!yRFv)BPmi=lXjVU4Kf-g6sU0_5-|bGFnJ)w-)V zk6YyG1g5R7YPQ%_ajRP3*BWr(FJptiw=mm4LutVD3vmfp?%$3S$4@kluPzoI7b!^Th%3>TRNdeB1h<)Kx;Hw{_ zD#fxPyzKxc@|g0uy)wqC%qA@5sWfG0hH|LN<+&ZxRH+6|@LC#sZL0l)>2(xQj`h*Y zzL#c?O2uBi)%8HXQMr?bP`QN$5gBjv52U`o7Nt=s^2f-)_6cKsr$$c?_>r?(rpQ?I zSDas}fEE_DIQR0dm4+5iM$4nn>HuG3 z+7QkKw6(zw{yccb4BsE%8q=OCAYm{Us@E6lX=^5QRwaKj)|O9&AC~iF25*@KGB$Xd z#^ez5Kxbl(JFB5fuuhNl++N1&Pq2Drypbhx0E!94#+pKX2|AEO5;SH5o0tV^KbA2I ziV5S8*y~f>9?ZBpPMpA{*w_mLKuPnDN*Ym}e^@%K^4#-}Nyi42KH-$sFDtsFE-;=J zwo01%oW`fYj#8^^)@p4rQ|3ovLL9}-3y!D zyP8aNv{WS<4@d_fdC5N_9T_GOO#XgpKi+@5FTX`< zIUNWI9H~ITL9<3O#|tTdqrex0->^fFRso%qZ-$%E3EBm2o&6Ky{)~Y>?uWwVI z(yc1-8wN*|a1p<#uOhG=QV2*=f=T&t;;vA=Lo{R}4nc>m{b}{ofwqiKc~Cf@xk}bsiKp} zi_Qe0(mi;t4^Yj0noXVU{wI4dsUi@a*_%|*cw>@Bso0t~_=xA*RgVk|nmymF+U*eP zwrzhFZG8F6nft`qER;T7dz3-o>>#W;s4FG`n}irRZ!pyJt#wtX@(qfGa2VynSb-r@NRg>ToF2WC;QCQs?rK3!vN1C#UWrh$}0|SxzrTu(ys`?v+9N|Q)dd(>tC3v zM1X}&ev8K7bP25qc66N|)^&^w>$=bPjp>f{^$z3GJpdF=*E%|=>pBGvPw%O(1c3=K zhE0k~YCkp1wD$ee&~a+i(5#FGb)5Q2*M5FDp#A(%Q1kiE^j&>Q;K9@5Oy|fKhW&kh zmR7&6Ul#@uN4Q%D$%oPYV4`?C_IqGn(|CATOX;`h#jEqq6*jmG%TyTu!QdBt0u42j z(w`HB?ga`u*gtq$l{zvifv-wYA%j%8b2KA_ejuG&;myDTM2O^=>Y(h$6S#CfDd*r` z*uP)u=;)AodO(z9&BmcC6_rk%T~epFA521ukB1>iMwSx{=8gK43&lgFw;*FGcZmHs z$+;x-G4dJAU8;sJ+;bNj-<@g+!WA|mI9ZKxhtimrBF<@tE4!3qgF?xBKvAl`ZoYI<&Hs(WeS zO4DmeG1X{?9rZGTIWH}wk5rYzlKdF_lh>A?}P?6~#x0%oIP_;Ar&D8b}T6O)5 z#?sV?lVtW?9CQ&<*yoa{*NIjUtXf>WKh9dz%P!2){ws7qp#dnDedQDkJ=?Mra>`l1 zGYdUh0(7?#S5PZ(@v9PA_Md!(0V*IdD0@p59PL2N)SH8I@Uu-GDE#YJ1>rUr`}Y%B z?q2Y^#4YkynmS($UUZuH7K&?~CYIv@I5o{dcx=Nl>i%qK3Skr<5-Ei&_#ad9+iPIW?Su=RWF=#gOria=V)* z3FcF%x5BW*uo8%aNw@)8`?T^@HWj~#Zv_vXwr>mV9h3LcjxmXaDuK%4F=0bVk3;PzkmV+=*|F7Ga-KxW3j}pU;MW!G zN4+O(m4`Fb=}GFXhao|{K>4Pvz{eX-rg{PaK~ZBU^|!ybs}*vslJD_%_n=w@CR`35>uTNFxmSL@^ohu2rbk2X3c1hx zu=!TA-F#n2m3ePgT1dCCF60r@zvJ&!^9D1}ZCnl&d0dZ-ygC?5&&ZJ4iz$7kXOlC5h#88A7i?mq`Xwaf#GhK{@ zMPg=RB8o-`OgDPYPnw=+ABDN_}v>XTXaaS${?!;J^Q)0p93a3(2rPw_J$s%lM7% z2qh$2KYaR$cBMZIwIXnj4671^3-H9)I1$qX=USOfHsj5%Wap}&KqHaV-KqPH;SU(Y zA2h1_N(K_C1JZ5{T#55oiX;M8?g8!k+%R_I2_*?!<-03(fE31k?g`}=$}orsuBcI) zKPu}Z_bM3(rXcJ>XTzUFP`09~VZ^Sl_z1Gp=Z1;AQl7>>IgAu>qDY?2LvC_J4Py<1 z=rc42FxQM)sAxeG3z|oeyQ-%6MWCx&;&GxRKxTO{qtxfO$rBujBzU*#&^=^SO;L&& zAZ|^Q-zHuIJ3YJX=jKM-UYd(=J8H3mSt&HDU=HhH5I?yGi#Y|~KmHm-Y zrc~pBwr80ornJti^f7bKW78R&xmc__rtPe*hsu&RQfW7kl^z!*68 zF{RhuAonHh*zOc7x@eIda27$*tM8zZv=WKejOl@e=yqT5wzxFKgdmCA$?fo7iS5bN zN|`(3>uR}=Dr)UkaGIVQ<}5kE4Rl4T%X&e6paOK!SM0&@-W`o54q|@scF&~f!FIDK zdyH`IH~RP%a0QQJU$8;8@S#wvQ3lu^S}qE0ntmC>PCc%R@jjd1?ab3G4(e(dcW>HjbT&X;ZGf(Et{LXJ)-9X9RXZXskyb%O^f7xsF=P61Vbofq z?Tj#-%{eX%#h3d$n1&7PYsV`~m`g?%Zz`kR2D=soxl4d4#sFGYWKW@+MDRwCi9`Ld z${6YEAe@`&hS?aSZ!H)j{##2C9i>7Kw*L5P;`&vt!9h+*@G>KFm)PD>pzuQED-M`P zJvS_kidf0$iAb^9R-n9~9`J-UH@!qJ{hoT&Z<0G4v)-ffvzJ8dbRirc)H}G>5XdSI zB)M`$yxZkTz)Ncz?RC3{c4qbd3=CM$E{}E!f*$SUM-acZEH_6>Zfk03->NlFta?BS z67h>Q&9!a9l?KwW&<7dN`4BYDncW|Pv8$2YBoPnfwKTUCBkyB|9erFVB#_c=Im1#& zc!pcYy}`Yi^;+iZ?9QW*^w^&)d`;;?!kV679EP#VXKYXuJMow@*Spr1!e)KOmK@!@ zcKZhwZr%2Hvww{o=g*SI_@8x;_W!{hyZr-Gd9K{A{4IA+?>gH!t3A$YFA@dr%{VbT zPQ1|xJY!6H&e)pYe6*d`7}iD4BO!WfKc?YN^YAcZFc@D**`aP)Tl%cpt(O~QHv zLSdLLzL$eAAV<7Q9;kt`r~%@Q9O!hEmVwu@1KN$S+aX5G4u1Cz>4lx#Ice2Su8~ZD zvWt{kMYf2RJxx(7h{Q*Zvgt=D&D5D@=$x;ndIOp8c!B`{xA+OS7RJnOxF!k_zQyk` zHKbx~m2x3#t;@TXcUXax7hyPvGb zSvRk>^$|1j;>~#VwmFO-x%mcr{SRqzi~=g?;`a{P zI$T%L_p{J!sTGPdb|NMXxWlexre)0Sh*z-;HeQTTE;GX3;&wBEiD9f6JM@dLZ)-wn z*R_6O&G>N&DuBfXlD7fesOk18K$4`)paY`h2qWEjNFyI zX4Ed^^W%4cLCqy$B2N>yz!x%1BH~^t!yn6BBkGHxfqIAr3iuVo;-uPyK_b~Q1Ve>4 zktmUNd?jdgl~1{(5puaCkRSqW)+iFQWtyyp> zGcM?E5z=*@A z8*=2@m$MHfZ9XbLvF~b<`{{k(B*_bT!1ot74?Rq4q41URwZl#P1s0XGSB4dp} zd*3D|-wW*LKI4J=jOqaP?L_&q_vG|LK_`@E_MSw!k)~()8TddDu2B=AVo#jwabi@Q zIM~5%NWcn9^GCUZ9k4$CE+Gy7o!=+^aF^m5H*xG6Dn-Q ze24d)F>zuHU18SaR0mp9(bS@kOl@RzPJUxDwd<3SP4qWGt-<5{F z&v}Fp!`ap%*v04D$SyuVa+hu{U%BtrU240#6;|>t?3G2UA0{JEaKUUH#4%+%<17Tc zpay&jz;`P)NC^Xqp*7{eyj~$=e!K({K+xnl!DE7Uy&R+A9qP~`z3XJo(TWq5sRT-? zvyb4<_^ojFeCfhmaFqx@sVcoN7sv@gQX8)8Q6sRj$N`Q9=x=n31BCPnRrw2ZOJDG? zV9gOmk_JLiY15Ht7$#`u2jdAd4-qp6Cs&3NUj$r@0f!isVjG`FC}X2`U8bBNM}L=~ z>G27~U^ij+&H3JwOr9lhib2{u<8t)nWmZxl>cjqiHoThz3UG2-Ze~oKv`B}At3!7D@euL-e?i~CcL+Vi;#+@O)TnVF%>lK_%j8(nE zp)po_&bk(r-m8eXoc0L#rTd5oaATK^vN)I0?d@DgTDrr<_EY(SDWsq-YG*uMRQKiO>R&^9Lj-2Znx6;T{!`o+dH&&0+ z5@h}>&Q^y8EF~L8BUfhWfa@246}`nps+Xupxz&3{HPKmnNhdLLp_P7}br9D^_Cfsz zg#d0SrE36h(afmGQb@T`Q?7bkjN8QCN9J0X#3-+wsursL`y>E@5;Yy-8J7v%k%a0C zigD3p2z;xdr1k|wlIE;4Z2#F%;ZkgP^(=GXCd~Qitj>EdlkcAmwinI*jDRw)I7*X5 z3@9QFG^l*IhYc^%mPcm+2@j!mDAuEknID1qVRG)BMbHIc`X~+5y;Kdo22hj0qVd3*VKCHU0L%RckChYSF<_;nT)~t8qod0J3DWIS=v9~QODJ`> z=>v8XShXk1b|`(J_f2E++|CM8qpJ@A!G6-E>FdK#i9~Cpvp*X8xsZd*gdBuYV5Jmw zoyM+iZv$M)L<-ppalqD!rCc2(0~(6PJ~|U>L$zSy)_E0Z^d90aXj$)ahdc&kW?7-t zqXNRfTx&_e2YkNs1O>=$aj6iuKNWIQUB?{fi>?aWSoaL1q>XJgL?d)GcYvS-+k;4z zXPAHw8cVwbKX2HV5tX`7TyGh&B$ZOn;=OXXehfhTNg}^U)0XJ zdtjkJWpyYPiw6dv8Q^TILEvVoZTM2Gump%cxy(c&3rvicKCWpd!s;T__82_Xe_mez zDnkf>udyBEem&!!ibS2`0`c5Q`^~uhs;>P?9hmJ9($&|&uC6^GsfQ02cyI}*zhX&t zz<*0S{J5MzSKz~?%UcV$yLeHJ!P}EW^q$Zv`&Xyar|B$Rhd)^ET}Wz^Gz4iyS@ff` zdY_r*C|y3y;d=Sd{)*!t?|o%;pOB{`e>r{LoP%R_&di)We)^r$e_nQ8IZYk$v$At! z1lb$0VUrBJQvj}cyr{txzF61%tS$}TFYU)LY2)xrg;defdac6~9;UA&_!7?`O)R3X3;2rCkvP~G2Pye{+37W9X+er3?YtvRzYE0AJ_&68 zb7EJhF6rAO?wF7P3=Z@4aNOpj>?c!M*VOvM-ovm~R)wCKhhd2T(@-FL!0Eh48-sjo zLlXW_?fGnIGy%c8&3i(%RUGvm-G4Pn9tFjDr1d9cuh-@iFf*}-iPum`#bH~0lnYp) z@@2&_wLf8xsFBKAyeDv62UC^`*UrcE2BP6+qr>@VQp6yW4ORh0_1_?IT3{s8uKSJg z7v~$*JLKS5*P8D&x`WbxIB>6V{{o}iBrP!dd&mY~JUe&l_6do=d%>HHV(*)}J&|sj z7Z{aN{6?XgSkvfmAk?r`M)zH4RL6wHYx%Fz(4m8Z*^$QHnFNFyI!v7dE#WGzA?dvE zpc3+dBW<1;Po6(7uG5DY4CQ5wKLU$*4UYssGyTvk19#-dE$KbhYrv?g*EP2;(6IdO zd)was+uuLf{^5TkqUV3Q+BE_pp&3ATDr4+zt{Zt!3p;sK%fWvAZGBDK3XSPVmO8A# z9uv(DxoI%{TNXER*v)}!On3?&I$iTFO~FIYYghgvrB!%Pzm$}7nB~h1ALzI1l&EZ_ zeVOi$+J8o|c0Qp#7Vo0!zRUcgQZd(mp{n`=pDAi*5majGtmPc`nN!-$Xij%zKH_xV zac26dY}+}XvP~~`M)_;kvjtHue!g-4y-p?}fUIeBgJ!P{d!!oO8VRhsmR4}=0%spo zdTg-WzS`0Oqy*gMt56-rNdi4$$Z%GgV2ghDF zvBG(e)80oU2UIVhfNExLE*ndadL-4Zzuba|&q zwXYIHfrYCT81{#IpljzgRPKv}KgInhauH4$&dtqZ?$_->NogWdH@JoQHE=iRYc{w* zTRJ!}lXU4TGsCc`>%Z3!mHtc4kQ@K&D%*FF%vuJ~o?XQt)cVYoA7`0zW@obGnHy`1 zSMSH!ic#^C#Y0nF4M_;#;?XF5Fc;C0&FtRfE?S3Iqx^3|w=G*~tWxSdp~_bc)mHau zk3l(^Q<{ti)Shg3x%sVRd0dpsWcVoAO1Dz7d^7#Nn=JQ7>fe(slof1b9tQ&tp=~{L z8P{s7Z+lBJbO&3KAxOWJtoF2Ar4{=hAxSt*YVU(cpL!=|wF)0U?%d1}@QHf8w}Y3a|U zvgu2nNne+GB=Gh(w#fwAG?+dr-MOo5_nwdUmREdI>96{9-~Iy!l|zS*9PPpo{^#Pq@(85G zco>SF6`Idj8P)=eZhMxcH zuASbhU76cg<-VBt!aEzQPVN2Ndi`QL$WXBhzRV4;<*i!t;-*hOKYaMqr!T+uX%Y8o zUe4Q}7MFkOU6uV}=1Z${t>N*v-8z9?khXOBlE+h*<20SNoQ~P)DbK73zkk^>tiO9w zmOuUY(&bCz=<4~WQYbJ_BxPcBbcOzU*f^3>GdrYuh#$FlRD zPEC0xm0g(r%!=jdk3YKte!=n0E?mkkS-OIK#yOL1ZchA9Ll~QeXd>^71)bg*#fN$5 zAbrDMGVwji_=qykQX1x6Rn-qFUz4odNa2=P=3^}FPvS;Pj7f=xwMkW}Zo!blnCL3S zO&V7DjHyad^*tKPT;DN*St#J>`TogjsJ4ArSob+`CU*?3agPHbJ|V8-PJ#<}Z0(8q zV<66t*Pp=mN$+w01X$`FgBPG$_CMY8|IaH}>mn39r9P#~)*kOoX*6>w2W}2ksvdGO ztaE0laU{Z(Oq)C3>*A()Q@H6*JD89m(RWXu`CR+)Lw}pIZA;-8xGdQbN})*lY=0;; zuOtX4xAXqc?y%VV4({GdfvNW%JmQ@X=D`1JkCQh7O!GK6IP`Z}Nq$qv>aGa1vtU%~ zh=Gm1GD(iW%w|IBr+8;M#77-2E`f6hzbbL$y#Yhq3m{!AJI05WP7KX!4J}Ow&0lG) zvNemL+$=FmVEk9*TR2CiD|2?HGc!4JW@YBo%FIrelcPfAMKtpDGrTkW7I%xp^awZf zQ0^1W@SZd~YVq(MK6iNi9Pgajvc+#ze*>Me*%BK{4CL7-*-!e z4h55XHaDXZUo$cHXA46z=VYc-X5Pc1oe9*==H&WhDpKO)W=76dqCB9+e1Xw0Fo~nW z$xUOF;l}a#uYM?TX|v|fVSh1hf-XTMiC9m6m}+nlmHG`4jn#8eQ{7xmW5mQd{lqRF4*5>MUcE0i#pyhbjk(xrJ!@`usDNm*@92Y*Hox;w4BIUW%B~JnoS%A9*Ky@%dQh&E% zdCC(j;@EqUb}wd&R&&Zq+Eo&pd>uotY#0d2(WDoZZ#r4{AMCgj2E@d1!B zOmLa; zZQE}NQ-Zzi`-8)vn=eAN@!dwnMoV$Nz^^QjG71(i5crG&%!GLYf3Lv$@+FY0CiM=f zhb?OHp9}au7f9i@#VZS_bcAhjMgdAU&l8~dbgv-I6ZTIEQ>vtUh5eJmls(Qn1RCQ% z7D%BsWv~=tLzw79xi`9vAkxkGu$pPf#{jV>^L0>2FKYE?YL#DB37U=Y_?1;JhGR5EkPx4UpN& z{u4ro)FhA~J`T`~IB-#j0_-ksl)l;cee*_lPyU;Y($$UMU);!F-B_udg$aKoO!!Uw zw;SWW-H1TYUvIRLFO@1=g{#}dRKROyea@X?_pQg?1|^fL2xM{+Pd3By;ec`h)AK+J zI^x2`$+|WM4o-3sH%)IZ^0tA_;Mze{aBanjn_M(~4kd4rv3q~9UV|eNl+FZP?`?hC zg`bbj`Fa@)Ab18-gr5$cG%1X~Pmm^u@$&@?;R3;9j<`=4H(waHK!ED1^yh-8#rFvW z(Uk>@2_9j9hZy2KnGZwbz^!z9SbQTT@hSnSs)<^~*6=Of4pY2m2Kr6u%trlfkd}f3 zhM%z!P0pmRX&d1qc=|?Z#>S{rl~bje8&fZFm)yqsRy_FW)QvJ|aF^eqnD`||s?~rR z^ja(K-H0JZ_Lc+_92ey!nkpNb;BTpIO@hglbv5%F?s8P>H{3Uv=^a4tJAO2r^&PK5sh)#WBD%H5HQ3BsuW4Pm*=|- z+U`;LdcK>sYAH9LPuj>oS->wX;8zsze<*O7@H&5|HPi`VJ3&~xwGqH=bZdtqu4Xj_ zb5KFo#btCkh|;Bt3twV_5(tDsdbrX7NT4e;)!u-bQFnr-xbUOf-Axb{)Ls?Jbyt9a zXDGf3qqB0fu0uY10YY}FfGKaT6%N*G*MhJ7V1>wN^^z4cbc3PvE9sRm2D2rk=YWBO zWLWA#j0Y*+N2QhDeEZ$^KU_mJ0|YZbEQ5-JN1+*kUKK#DC8Du0H8ox=}^?)z*(}sOEG(nGxwgF|a8T-TX)Fu>a?vaPn55;=qL7D7xDLfBBA&zKqDy0E?`B8aNuf4oIFND-w z5^l@$>=hDNX0I3$xQKBXnTNG9Gf@U^$Mt+^LLUE69v(@2FfYbsU`~YmPU^jvf3ov= zsN-Ej<1taL7-$4UXfJ2Q%Q@KiyfnNay`0vE>tD~MAP{k$KU6t{Qc*X+lLk>^{2pX9 z?=vE~QPQpslo=_rKc*OWl*2^$s^)^wp@wsNG~TZ>@-52#nzE6}9bJ&7lPBOmX$3G* zlsON=kTlgs#$5rN)8P}BQ3k(BBtA6QQj?yJ>Ib7jUD?@tx z_O0xn2`A?-U>&E=Ur!8fFo0hUdW7+!&Gv2+<6MXvR zb?|6p``Y=?IvS7PuXB_>zs})uuXC^4j87Rp`|vr~a=7(K+o4N%n}cwCb%pFo0OO}{ z@)2Bh?qd)JzvGBS#W#{M-j!Z4RLdt#jnV^P(< z;iIty{K*9B4YVT>j%NYCKbeYG0+z)YrmUvogQoSx2OwDb_(b!cOr8I6nRCGOVa`EQ z;f0))royJ23{&x+oRbPMES)4&D*MFJXP;iEv4$6=gTYKB{Nkm{Ap?SJ_Zi6I*cHTT z4hVtA{fom38xgRSihPe<3}Z9?T6}w0RVgUMG4!pDz|Bv1%{DUv`TfL2|;iA^nHVu>1-~<-XJB1`Gee}*putf8l16daw!;7KqsAS zpEP`?p70sbz`s!>y-}q0LqS?3G~t(l9%k6AEzW*KfIm^hFD$wqbC>Q6`xmJDjieiq zY&~qePy{-SFDL?$#(RoDd-3lSfehm}7fDtd@5N?FLU$`fko>wLzuAo;?GR~5FN?)9 z!%Am{<(C;TWu<9h{Jc&4*P=8%jK6mie^r!bfU4NUe-Y;ON*vFq=k@^gludRT6C?Ay7OzVZH?Mur#*B z08EU&m>3b57}o395~c#@?SH|`cdn@$*b=ErRxE!WGk}rWMPf^Ih{Tq-EMCu+cv8er z_4&WBECcK}^gXbo+C@ox7<#l}Fm;N6cj`|p)(EGrdI~*8iS!_)<5Bj5ZO$j?0lfxf>s26~oxh2; zv)>o6i#E~j43M1K29QAe90cOoZ8Dj0fd%zcKFN29^ufWCfKS&i5mn!be38trm-(g8 zwd6Z|?1Q3Y4}-M*kJ7DSkhVW7jSqvgeTg&`*8i9$I&8Z5QXF~Y>jz4*w|Fnt2iR)w z`raTP`;+&9mX%rm*#}=sriAG(EBGV z;Wbxa_RrnK*KVV>=53<3?%hOf-M0zd=Y#WU5r=3MhH4dB^ZUAM9S-NK@1k=(9HN2! zO-UL$y0(8kLO9e%)z{*?&RbXB&u#J6miGlnBy1Z-H5P8x7&uxmuzlb2*5d(FrMH2; z8rPcY;VQE})O((5@Lu2=#d{0;RomdRBJMqm^cwFP_HjuAvg9o)Z-p|%=A!aTSf}q4 zm8)tm{KVI(NUOVq{JK_oloSuR$vwPlJd9vtf4$Lp>D^*%X`4|l$A{W}z0t#@rMbHD zy_dKguMGx83}@5(ljeMWD-1QvUdB4o90hYhlh>STNN3?36~DT5^c>D+w zdO9C2@rFQ+$581tOmvrUhV2<8QK>dxY%ph|&>n?@;opht;SQ+}_lEo5l!v-Pt=2I7 z>(TkoU@`^cU(e2e6dJvhc{86$fxubv6e;7Rf+Fx|g(7tpzG!6?6gA!^_s0!y-iRAM zh!`rkD=2baEkOcY3*j2h3Osanm^X^I=|zki#m9NmMz%d)OyR=QiqJuQVzajc!fOL_ z+uJ9;@m_%RytjAxW5sYJ6vBnF4Km7};~HVsQqMK8Q{VMmLmFIL7!-`0`Z3bUU-kt! zJQ?JLJ5+aHZ#}%eR4GB?DlAWL1Li{`XYd+S7IOmA$#h+=U??$h@Oc7PE6zV_4N)^F zP>OXwl^l9{u652RxJnrCFK3l=wwyJX<}BB%5?`pg2imp)F|?LAN<&K=-d?V#lHnyW zC1Xp*m)ucuXGvlSnlyNOag1|jNjJcMW`rNzs*Ui38%KCT*9fNsMwliT!}Xw%#?kj! zvF93Y`(qhL;kTBn!3o@%vLXfe+VbVkE?behFiywJ2v1{|r7T~O zp7Qk5&v&xbQx`HoS+oZA^&2ETR;=EPxl{T;vC^J zpx=xFYW4ZKSzAuTM3N@w_}tx{@1dICRStE>6X1xiTs z$^ua183py9WAi!XJ+*(pRwc~)`TnS_3bZhb!75=GT5HkWD{KO-Fg`^B=0pq9rg6({ zN=LIxLRBe}t1>fzJDsg{ZwR`V}Q-b>^qRby*ngCdMw>03#W z*61n>SI~NRpxF7g1pW61iknwT^!GCTHB^VV51`=(iq%1MeHrZ1r)U^x>`l(uSeX2; zis@K9w1gP*_ZLgQDprPzW|TsPUQm8iO2>GZ@k(H;-(QT}-_X@l1qagUulW9ARBdoI zrx(!QZ)lK~cmLjB{4+MD;Z*Jt*UApu`qR^#FuZ@k zihfaNMFSDaELaSD7Pt_ni6S`a@hFLp`3ZD1fdt3_JOhCQ&{sSIfdnvEuAq4oK`us; zMrn}SE5&G#+o3}ahZk|MA>6v6;S*>9kj8X5glVh*oNEu)Yq#1Bxl*u-%xJ+7d3f#N zKnX38?p-<0{*{03ull%R_&1AiT#o&Df=~;8 zS6nO*n@L3&v;ghbB^bcQtd13nNH(USSm9!xCM<)P%vmjpZ_$mJWM&)w3Ir_9c~N9v zevcj+s;x_Pp!8Nzc^fdP+@kV!wTI_~F6A>36Dw;ACi@#j%?4Wk#sbMuus<3>;iKwAmA4=lN%aTPGZYGnIby<5YSIz3^*N=&JuCy4G<}Zx_%D22RFK4k z@dtd8A&fuhldNI7R$gFWYbj=&nca+|xmpg0S#t(|oxQC#@YKD#-mi13AJ4Q#AXFDX<7>Z-DU!eAVs( zH+3@PpXONyl#Vo)7Tpvj_}%dJa-~f(TNHHX^O_ev&ASntv5+$(WY)q<{TD*1P~X(3 zZV}E@&}AcXe1Q;7Co}Xk;=ljDiu>Lf7he25DGuM#sW=QXW0B(6 zX^~+_cbF+dd>HoSAJ~Gi6e^xO-x(~PXZrC>Z$6Z_6hWSAVk2%P zFRjf<*oHG&lAt}=0^cMTGKXXuqnm8TOcRbgdD4G}IsVW3C`&uxp;T5ZQmMRulME^M z1G3XDH&IuAHNS6eDg@b$!uh_QX0c=UV!0;Ur3|=5C2;y^DoPIbv|z^zSeJTcFSV$ zez$Dv%YM04%9WL;q?crVjf_k;w1JY>$Wq=`{smcDLynzZl-bg)(n=XmOBvuoZk5(= zwe4um+ls+kzcpTDE}}5id~y9&Js0?45NZHn-vd&dx2WFLvT8vRe$nS*RQ;l?hNIGa zW-2PpXOdjnO@ell=;|y{%1q@~%B4&apCN;flAzrr(v1eLmwpT`*N?!ZmEus|YeIiO zY@#z8wo+K9{f`wZ?^EB0ORvbv5Y}HTy(KIC=q8eqdq^+Ke74M{6g$TiOCQPMJ1{cr z%S4jy+b(OAHa*<@5zV0;vcO2$^4iPwmob&DNIPV|4MXsej3F4KGXg)7d9RENzL19F zBl_BbFAj1!j)Z5Q7RW%xu1EW{HkI8j=`~rk9(YZbbTG1!8p!O@YT55rgZUd$2O^b! z4Kp1fdjWDhMGrod@9y&xW~vO)`i-&#-Xl8;CC@-m^M97Bly5rU4zK!}EIxs`fvdGL z77rUFV~kXjGrCyfWd3zo%98mv-)N^R$2aV-C};Mlo4+|gX#u^7#%{LkUH=>jS;9F&WvZkN@{+rSZ`cOqLx=`Eq8)9@Nl5O zu&x4uQT|u`;7sTSSg2-jLd|fK_nc}L8H`eAXVDEbnWHuNs_Zf7i$~S^_mZqeYNGG` zpa&bO6XbH|<6AWC#~Yvi4-VC#E!{))@0295F;6UAyo{)e)P=FSSn22Vlc62bEq9O{ zBwp$yG4ARlG4AdpF%kkKM$}?(*md$FsWT4*30FW=0Mt#ve7KRqIO3x;U8U}ZO^s0d z+mWJ)+3`y#KI9u<>@PL4Q#Y}XZGx_C>)TzV6tjiicF`t{g#V``6uPV_V0#8&9&;$NUB3Mhv9Kt(z&zNsk-+2o}#`%PN<>=G#J zJ_9*sH{bDYmXQwoK!G0eftHzqIrTF#H(Jjcx%q%kQhY>iDn2{_a`TW6Pvicu&-vXJaA9H4; zd0`NWc1uUcT_+tK59#Rt0SRcRj~GIb?V#}+WjmhH0@2)gpt&o{BG8&Pm8oalyBm;1 zA)XyLN=Fs@`d?QffhLCN*s%C|3=qZ*p0FzWfYSxTm9L3X91by&qf`Q=xMjG*ITYgW zk@D{NMHe=kl#tEFJjUjHbU6J+vdCLKQvVj;RJI=~KYV=ezK@j(|Cui~WWTxk6;JXl zFOKSYOWD{pW7(KhcJ3DCws&tm9~V0=Yr@x~-X0~5+A*qs%!b^Tv-sDk_!ql}f5pB$ z(mnF%#HQhgMtnb_aYWmQ<0CBQ8RoP!Y=cJhBzlm|Tktbx@8C%Oz#w#5m(Ra@ougxpJ|UStrA%Z#)3-sn1iw8)qKDYd!39#3*A&`^8=zR~qj1g+h?1DzsZrhm>5RXh z`*z{(?PY!Q%6h{#OYF@JU~{Li`J?2wsrM;&YATnY9}qWJcjJJV9U5iMu!C-gHU-pD zo|tkZ;0S_T_ILyb#!}xm6l5&EHdg zm|di2PzCV23kPM@?0~z4NcNE_pTe9#_t>wt=%FKp-bmh~M+qR`ON;o2_VN<)5OblM5R_cyfx{}Y*9{U@LCi)7yu&I5^0D9 zFy?mIrq2}F4`){l`t|fsCl9*|ri2!JiiQPHTYmVIoG=U)D4hP69 zHI^&m3>oi-Qi{JA_7z*9$nc?ThP!_YG}Cswx8)md#$oLit7LJt8s?aFEj_UaRY9l- zx{-8SZ(6OsDrVE_P+zLjF4!e@)YEe{yubINYe;TT3raNjDJbxPTcke(&GzwzGTFr6 zUse(mn!zt9gU?FhK3#LrWTwTaJ7&%_W4HqLcrr($pf`air#$TA7@y{;Th%!70pMW@ z3wy8g(k+&xGe7^N+UhsS&9e-%y)|4-T52^12h{a74GN-ZKYAyFq1LR+^*Q;@W(0R& zrHLLht^bANY}38f`(q=#H5V}jtlnx2wzmdj?J+xdPQ>Fs?;wS2WjeWIL9h(yaA`y? zz?d32jlpADKpj=1{b>ivG;7?o@`=R>(DM)MJOg;97q*>zrWdU=ZHmbj&H_r;MbiiK zSBnAr7~JbU>VH{%1dh6<0bw(W+5T*@%Q@Yko~CHPfKBv3`w;&}>cC%233xUKmaZmQ zX~?J^3}xrrzDREiki*j_ydAP=-hozR@RQmiJK+(G5&sFyYTIO9;Vf;9Cfc_Gf z8r1PVHh7eLAwT10gq}9Lzr@9Abuhe-RcFjS){bOLA{ZcSMpf`YtRDuwpM4h6^_b>~#Gm$h6##SmV9x8DfQonE{ zo~DumaMQa%X{gLCYEZty6smxR8vEz5*n8a@Sm8E#h}e@0gMUm1`}Wv0g5axhbaJs{ z?x;7gpWdOfK}^m!f52P3(2^v7;R0YZPuX2llP2tOhTS@r9YxO20$T`*9lSuS+ACU? zG?4&G3rmACDL#|KdxDEl*RtqbT|51>;pZ!2`Yxvg{fg3>5JB{5O6t0RmplP3bzPy=1j!E`d`>%WR@AlDw58V4 z@v{`YIoi?MOkA%(7-6AMXlQH~;Do7Nc!w;{wgk>+bP1d=>ZBHZ5DYJ{o;QHcFRLA} zwYA5F56C6i9yK1O|; zT+SyXre?gb>gU`;+&VrML9z`-bC4w@)M|_D)w@sMe*L2cFwDR~gV|9rqr-+qkBEbd zq?WVj*NR&5mZV=|BUnLtpW2D{l%}7mU(&d=H(pHD!e*sXjD^;g=i#XjV@gn<(V-&r z+tS?B*sP^$8^-S_UB7Bk>y|XvU7>6=vXH7zJ=@gMmRjG`(UwN_T&!t*iptY3?&XDS z+GwvODt#Ci4UWJhoZ@?LsdQg1Gs8r+WYVmf?8r&V7+m>%N;LfpQ*K&x);^%j1n-Wx z-9=$XCMltG&BDFsSMlCkHcw8S1aC~-q|C`_TjJ;J9HFJ)xYW2#mYvOG5*?F%Ls`b(YgrT)c zkC*+Li=(*rw)efaJG^%|yhDNOaznY{>=$t!NcT%KV!4LW+*qzL*D)Kk1x~U!oMR1f z3y4dJ@F~1vPIEpM%T64X=Bq55>pp>QH&jQt_ht>pRT?)mjk_a_yB!l#)NB;?#V{HA zC&s6L<|+EeYz_*tSb~Fp{41IeVi0u!9lV&??49iIC6C4orofu;%7}*Ro4w8cx$f}H z=K71?i~c@x7)sYq!XQmn6c=X^jd}%Q9|t|H0qC+zTu7SFp$N_Jc$pm-k4qXhjcWnJ z`7%}{!YNSn;M^Q(uO|Kh51W2F7wDA+h=t&Jk(k|@6o(@J1!P0}C{5c+TJ@MBS4dLy z)m04})3;}FGY(ZYIM!R^H_9(TnPRfHnw!-1ny+9{;yuFgLgg($mgHFH-WcqXU%_E; zORN}!FYhF5<&(J@&@leJ>fM@`tyiEh!YkwTdV9HxP{jzsd3zJ?%g5{6I*$D-p&9nJ zco&WjtGmZ6Ird992{xyP_-!unRkS=~Jo~Fr2*8D{-EG3Y9vx)2VSYN3MqA$ zh9XxAja!CItDd8n%idFit1Zg%N@Xdv_3P5_d%|}3iPJyyRDQLZq=Fina^&dl!$&_; zwCD_oF@5xafUsM%88_BL3J7E2zyz2v(8GXYO7kJU=E-Y4<)}Qymis(o^HtfyN)0z> z!)2MlW^2v5;5;+J7Z@EZ1{J1pM^(>_S7l zCN;|26I5sDX5&emwABcWj*Cd;_@W5smlOShJI2dkwEGjTaT8$_zy<3k(_POXCdw7N zl2$s99zJB+btnEyG&O>!$t!0J0$m2R!P&F7Fumo-k|>xSU7LK8>8<2vD^U5}&h$PM zrL4_XF0-FZ(TOf^6le4f@b`%YqU5%NFf&IFvJdqbORdxLTa(@&^kztv(pJj!$!FkI zrf)tI2@+1jtft?rTye2iM-f4$HSey%zNAgcko0W-H*QmGPde#4!(ucxs~rfg3p#QQ z$kO+212%>e?K?!NKg%M9KqMjv=-3))`?forb0$_8U0x$;DjDTETv0q}qx+Pr-gq62 zgu{nuB)0J`VK68@M1;k`-OLC#;bwnhbK}L1i-LZdBXI~4cb`35*Vaa4)sT{EPq5V? z7Q0QQf4vQ}Kds893ODPd-(nDAyF0#aY-+4&h21#CS`mgKO^+$3M~v(u(1wCQ`xpe; z2%SJ1{^N4jz2pew>4c2XNjEy5zYXtI4%FmoCw(fgft1F&wyhf4q_?hF6rP0@V>=@Z z*IrbKL4|vOYh0{RyJ{4u6)lvEPNKFk)W#!Ilp4*6ZfCiOQ z`QwZL-Aqtxove@0Kx}ScGWHfC*Nm7}LKH**#Z_E~Qa}e9$!bIOjE*khc(B8p>Iz?I1Z3 zx|x&UgnSahG_LyNsy}9jWrx*{sU2epwS*e;@kueJxCXffW#wk&hODX=LSC%c+;3H- z5cQ^|z`SaFq4~vSh4+2H=C3NNDqGhls!#2L`+E0!Gpp~&Hw*i&>QiAGpHgodKX`R- z`{2hTs|T(6DEB{a8>G2c%0uSE-aS-E>RXKqgGmDWpG{PyD8@^8x@ zPoETg2H*FBeel#5PV~U%^%JkxF2v{c+Sl#*_@vlVW(=J%G;2=Q9Cnoj{>W$K8CUfh zWPI_yyyZiu7A_a!2E98cWRN_^k$0j;)|?Zs&lp;}aQv#;*T=tTEF9_ZgkatV$ZV`m zU@CH=cT!ZkiL-epr!!n+6b(?SjfN;S5`%>NcuLwlxyWY7)-WBQ_lC+`%99e=jzsn^ ziK3`H7`S;bk$oyrJgLkSwO zxC+s6vT2!Vw#`_7i-!IoX>|f&fNeW-5>yLrAnnNNRWJ#0{LG%c%DCC?n_`3gL29T! zSTT<5MR6u>9x)b*x8YNFL`-$}@s5q{X~o!$jjax{6_wsIbw~2lpkACUGty%cf<%kO zHZpQFh&sGNm!luM&dh( z+Y<8=cPH*oJd*fjVngD|#NQ@nCPpTHmLR@E10W}EzC{~P)a??zl=NLftlesLP`~_p z)ZnxcansS;w4gX(c_M>o5*P#Tx$DCBK6;nVD`bHrl zb-^T$*=Nli4b~PnHfvmw?N-lJ{{;y1RkzhMf41A`d2qEd)UUWhJws-@+davOiYRft z8g2Nt__w-48gB*!lDKi+aj_=u7VkI;XHoh_f}`~H1V^=52`{r-;p4!_^@{Fg)14a} zc6B>9@YbrAD5`EB_R+h%b+fs?X}`W9un92PSGr3=C zpFqsy{>UrZ@%r7{e{(khBlq+hce~r*ZJnoE@ZVfN3FhoQS6Hju4 zk+AZ2xkXjV_i^^JS=a0xKu{iXagkXzPiR&zLH62CN8aU){Jz&+?jGQP*uIavi?dZK z=i%c*i@fI2*?4#lF(@z=P7Dlj8?-kNj@Pu-0?7}Y{=&P(?{6XfOZ94NMW==~I(cip<@x z`ee#v_a!>NKbZo=3*Gcu{4q+X)SLufGFZsasR`KM-+Lcp?LBfr;+*>)O#4H3NVHDZ z(yeg1php!z{KV#FE%e!Ojla_s3GK+$=JuMe_1A;%1r%v%y&4E?rg=r^j*JEzN{g;W z?iXs{tw(bUa9ImyO!)1$;Apw%m7l(gZokGY$M`FtsKmHK0F-j5G7;-jBjEr&a5Blo z0ogGMJ_Inf7MrYW{v=@f;IV6wA-nU!icMk2+tp3@`Sxx-EPlvfe85fK3#-Y)aB6?? zdt8P=HL=(jwuK3kLnVW?*l69tSouygC5M@o)1H)LnFdS<0oAnGqG4@qBBD|JVhR}M zbMR`gwQNQ=yBH}KutwG}{d9yl(-Oa^iTw*bOO!~a8H67wpnxC+L!jYKeQp7=%rUU- zl8HUG1(+|UJ1A3B1==UtjEFUDNu!If-sV}n%IG5Rq0tmT$$$xx1|Ejjh>HNhmyfPt z?GR;+0ThH_LHH_ok8m}3AFdAyp9Fs_GzEVu)FHh&_&Cyb3wweO3$4L>kyb9$27`jq z^5|KN{q~7o!|c`RGOEo*E83}qEhVt}6R+ahV?mf}{djf}kJ!3B(TL4NJC|leScd5I z0Bx(pF`%^&ZMIwK^$u@6&ba$UN7(4@6-JEWhAR?S8SLHhh;Q>qJo`X=B?wiewLZE& zIv1n@;@5Q1xQq3?i)?0muCm`H5>Ll48=lf+c5!?zp2oW_jz@T)-g?0A)Ohl*5s35c z$h3H)XZzAL_W#-#F#k7O>Z5TMbJ6u9oWs7u`|v<$q5ej6NclusT0~KY(r&0>y&zT} zMyU!-(T#+40#nTNQw*-k?My#(AEz?1p#lmj1H8^*(;#Eg5)Rij=?J{QY(`{c4PnFd zl5BcJ)_#a@6SOzPeaYozq7adVaUZWYfPsBTyq9olyw@6rUPTS1_rPg@?+sHhbhLE^ zJerVHjNYfrxP5mgD2UadcS1FL!eD9hiz&XdBDg7sut9k&;BFz#GO@kNY~bhzN`?de zC@78P8Rz?V;EMLw4X=Ja{|Nrk8I_3%O2nK2yXq_p1c>xzQ`k2r0)D8AfY*UNEC4&O z^a4pa-{&fbzhb5d(HC4TbSrdoXeQ!1T0v!OhvIO1Qg~aE3Rf5CH3n9-YU8R2Kxs$N z$9=1x6camL!f4WpLz#-Us30)JG-diV=n@Nv;Q^hVSZL7I(`R!bYCMdIV21MiyLqy(Sn3?q{4^fn`Ky7>30h9b@d7$5* zJ`X0bm68q9WC?qt-rPGxFPUXzx=Fqqy4jj}XgkvbFTR}#$D4;=OH#)N${zliQC05N zD;R?n#x!U`kLiL|{LzNL;D|bl!w8FX>oCR(7yY?vD30LBeZVlpCQ3$V0u}Z ze){cy+3!|DDPWC)bJG1#u#WA?{q$dzq%=ALA+$AqQ^!Um@h1`cp{=2%qp6nk{e{*J z3L4eg(s8bVSilvHU+WxTLD=2gb_M1GCuw_dFLltfd>5i6K&YDLpo0|Wxea-OuL~y< z8Lh1DA*1Ci%msi@l0Zm91F)&DVvAp7**2DK9IurGrgN^f=AteJ(!0X;8iTCeKoJ`7 z4!)CH4*(Yd!V~?0t)GsP98j(PlZ28Ga~82fxxH-Jc<)}W%=-zyp4~E$w%|ObCn-!Y z#p_x2f${90$755<9pAj3tte9(At_{fM$7gsMS(mxKG@!4bl$ZH694Cp7y57S6S(tU zENtR-W$i@psh#Q|?=C!mFpMwj)fMja?rKn~DS*W(txmQjF1pQbVhwj=M`-mP2|60| zSE8A(T3UU|khx_(3P@2$=BhmiM-7+wwlzC9QJ+1t>o~1XBv)nQh@= zDuUP{lytTRG6RZAg_z(MTQH3v|GE(+g&I9sebjxeVgj}y);Ls3G;s0I)u7`AA073|361AxgI2=sG0uu(46V_y?!uio?_TAfL#rbxu z_ab1??VFevC1#U2Keu$X6}TkOL{ z3nm;OzkwB(@p&xm%)k>1*{}ZRA@( zPv}GdrbXvs1ROB$kqj^$rr`L!(n7R%?e{5vfB%1}zT z@51zMfWCO6z^q9y=6YOf8^{%PBT%@U7g-$jtJtjL?4B{p;=~M|nHOEYY`8SahOv@j zY#1!1zqFp^KEV+h;SZLrZWG#bUXEGz9Ce_Ri>q%Lki25Vv4dc8!bRH#7VG%)@9{Xk zqaY`GSB1{l@FgpWuY=%{T4>4rD_Iq;tlR9`uE!5N3VAFxp{A=_XcA)hy5<*YDPf9j z;RpU`@#rIsQ9HV|V(Xr*`^681qnJ!bF`1&&>HZ2e(Z62>XG&?}2+`LE{0%Lnfff$$^9d44QmjBkc7XOyM42_Jp`q|NC;2J4j1) z=sRa?9ntm(uS&KkSTJq;K+Pnp<|>xk1*}7@zio}}9r{3C!S+KgVY^QQeqaNF-w3ee zyo55e{?1-c%Yl;!8x$!6d?@@qn_)j1riS=scY^-|`A!GMB8?D2Ys5A?zX>elL-wbs|B#9wBOj5>lnxs&WyqA9f zt@Fujn%H=&H9UjI*oTICdeOFv%ZQ}Udw&V;k=kT|-vn!^h4oKX+E)9bGXGwcRl4W{ zD)!J&ov&oXLIK{-wQ_BFOhg_NUa4H+$~l>9K`BHv0!L(f0t;FLtSzO9zUem1-l2sM z<)UpZXfBT*ij(gM=o+%e>l__@(h1n|ni|`$c8>PIqu7%xw(i#s=Qh%wL%7E_NN`)y z8LJO2hPTfS`P1Ve-1hBrLjLqf2=`%wVk=Du`O`y4DoqUe)5AzAeK=%xk(gbj7_3pL z+p#*mAEraAoMk5@$%BA{&Wa2-+roovaOq*O%`*J2_Pzu@sw&I-RaKTsNTAru4K5)d zON1nV2By^{ECwhWTF}u^$La+MwkT@Pe0EQFi<+V%T`yLoSRw>o3M$DfQbmPL5{YD| zDkR}$0R#oZR#qelPtaDoZS(!ldzBby+nJu<_nU9N{-z-J-F-QCJLlYU&xIiEorZp z;8&B_Sbbf+nKsFK{{h>r7&N0guXJ_yT$Mk?E194F;fpW7`s1JeZc)AeY1nr_z$u+g z9_MWN^Nev|jkY+YKPQi~NIoeD*63h`HQpNEni%H1W(a5ZjtiV>Ff@$mJFl`-C;wgN@lQ3|$q^KqoNzPQv!9d+gmN)4*CkLT_G_#+? zVL;124Pxy86-$p=q-x<~>j^e=Xl;vgQb~@~CoylT`vl`pG5$1R3k~lx?{s_{PcmrB zd4-jP>noFtdU>!zH(|}$1$ucnnBCBn7&%!!o1&M)6&K<~>mkiO2^(KePttb)=KH<$ zx`^~uzgYd_HBJ7u_PSO)YWlvvozsTSjLU`no&&<^y~lI|dBlgxbT{*eb(}(uaojLP z)jdcs_zY6aHHsOfm}59DGF4ln30(jKZ5BPisn#?^x9L)~9BD0tQ;!elj;ZlyOM^tg zat(a`eOtU*v+?P@>sl-lSmUjN_xqdq_a6$RX%xvO(G~|;R6pZHT~i7$B*@Hx-#{{u zo3Vm!zzX`NIa2K7h{;dEp%tf10*48hox##6 z#zLhPXQ{*q9IgHGP+w_~-8Iz~5y0!)^_5utjm{vrZ9z!icGgSMsf4_#&dR2Hm{)U% z(0U>%>GCUa0|zH~xFPaTaSzsi0gpj*LtvppICjTW+Jc^7OZ!PQ_V85Wnu#D37iwc) zXVPu%$p%Z8dy2u*EnaO3GuWctVFqv|Wj@Ss$Q)m1AQsQ7zhzz{F;ZhFcTBZV?%D*? z?y07gQ;?hG6Zh-Pa)o#@uQB4uyv|~^26zFN49^p60Ys_5&0}JmB)>Odc zCsNGrY}Pcu=Vb`-2a2<^4g!2@vzYg3fqRb|Mrj}dI~-}G@K`wh(RmP`SU5+(JL>?^ z%l71ogc(DnTxr(&#hIN`;32=F|pI>-2~^sR^F5UX_UyS_4vtIx1RQ@8h} zGuB^{MP~pbJb)4YF;!Q-LN&y@yd%M<(*wx?T;x;itJ&Vx!kV|u&JQ!3XEU4^GMpDP zoM$qemol7z4Clug7=0NSd>Kx<7?9yk)n-7rBY-hNorgh^G&>X53^F0iZt2K`M<#+Y zEgxrE0-2UenU*t|mW!E|3z?R)nU)XH0@IVTDPcC_F@t>K^t@DU&{t8If^S!0itRd( zbKYKO1h<{a83d*k?;3a;2-JhXLSeZxygC+`VWv8| zQdV5w>L61Bjrn3itRu=SPd}64(q-@(Kv=%3vM)kx z653RwnnpxL{h?l#;D!4Ke zOS%!M&k+AeZbz@!o}pno3d=BVs-$UszB1h?bT`)F_WCw7l$P6i)EeB3?f1mj853`# z=ITCF4gpoX95gS--kyrc>-MXGI8{+->zgH0tL_Q30nqJKf&Su&#O@XDM#sp_XzdDZGc$ZScQ{@zmEO@>hHn zE(v%?uQSXYHf^>So9x{Ua&&`?>rit{;@!$F3Q=#$2Ae5*Fa#hPnI6vBma;`}6o#+T z2k!FTez|E6x@}#0c`4o2tf5emX95Ecseo-k4oD7S8Y74nA{uaO z9n8B&jG|iJF||bLvqRE|+M~8uX|VIC5s%9a7Q(X=hf&=z z&C%SRqrE*xcRMB1E0RAXTZB({{@ZAcS5>ajZn`9katLB5C)JWGTAMDGfBVM-J_ zG1NU_=$^4`EW`h?EO1JZmUq_Tisu?j3lIdU6sKc2hWawl0^%jboZ)Oq=|qr27a>iA z*H@X*-Y|rJ<&=0kbGGmsQIZD$1CrFTPO^No?p*jeIU+z(`Tq5IWqCo%(^B7`xK!Ze zLAdx8!UHmmD_Sfqa3o1)F;xn}wy-w|2!gX^@;6u+QSu_=ilZ)c7dVxARy-B##?DkT zvFsJTslvC%u-HnYk$NK){h)S8eWi4T(7d~ph=oThxWTd!3oXTdj{{ONQVQ$ffML9v zxT_jsF%b=B%0HeEscA59#DuG4~aRDun z$6%Kwgjf4?Wv+^#^u$*h^o%7q^h)GgB&`rf39^R&uT#tR#Gjm&Us@uwM?QKB8; z(Ts3&BUox8p0X&;v}w%igscK$xdB}q?q~8{{zO^tPnB+c8Ux1kL1JIlKk3Wbr~q;z z)p%?Yh2~^4V0i5P>5#%e9cK4Mq&j3V+t8;Q2=bGVz>y3ig#cUyG!v+#(rY6q=~7Di zY+uq{RX*thqj^Dtl79}NwiIsa3s;-xy$d;2zYY|ndg>&RTRKcIV4FhEjG)S`pN0uv zOdS~|souljUEYxhYz6hf;Zv%~H=Rk-y}$X7<80XKE$`FxIE{okuhF`K(;nxC7N7I1 z#s442;Hb*%M@f@R{IvdoN*KTCmT80*5;mNHgNg1;0+uh!PiU(&eVt$_$Wfqyo*kV; z*yv>0AP?f>6-r_-GU!8G*>97Kfiv}&Bn*Lsn-JIl5nMkCC6^-zL{h8@B7<6ozy-|s zi^zk$mL%X3wzH!pkQ8A$)iW`)Im1P8g2pzW(U`8>5d3wNa_L zUy|1lKpP35O=urlRoMqsAbB9)d6zRQi+*uc^6^%VFr*J11JFRP@(}s zvpp}&V48Oq$N^=#gHEIAPPOfz+MWkdjQcB-Ykhns_D)0ood#Kx z-C(%31+YRiVC1QyVWDg}^B}bfx{`?q2|t5c_2nXHvd(0Ogaig#IfGTB1QAUs-q|#s z`g*+L6HPuKRGQ-D(Pot>_bLsI5+>3H5A}&RQs(uc?dRZv9w3qaPrY|A-P{mEz6WwI zJOtg#TJFsY8-)|otRDBBqp-fZCyl~#tSq_?LuggbU2qudGBDPyp~bmDy1Y(WUs$%Q zTv`UMlw~e0dKE*DSg_et;bi9lp|@1RQAw|SFI`be5xy>!EM2}*saTp&VK_nzhLmH3Z~9?&E8s5fd|S5~*p^sL0CJbjo@k*c2aU0?l?P9xOX)4{hD z$4$yk{~B9Gq`fV+2Z%WyTCsFlx*@YOYp(4Q^<5YBT{p;{*J$g&+#Njkq#|CYoQ^}~ z9OvvR><*oA?r8`gYZiF#z+!EoNESNRmu6v{R-{;*-3>E(N=E9He9v5I_$^sh?K z%FlyivGC5=Nd{>JSm`O9T1cNf9=$3=&Uz*rItF_eH^>HOkR+BA9!i6BO^yJbFiK7? z2uidV1e;!C5_BX7A0&FI7+)GS@^CfB=;(Mn7cn$`UAGzi)5zk@;J5YS2mTp-dV4jT z%h{FT?9L!SV#(;ryM2NWmjnO6Ub^PKeS)Q{eJ-qN{lQif(H`JYR&ErCsu!AcUv*wemuo8$Rg|lV89+o9;iW zv7KFz!TJE#*m|WCnU?NMOP5&t@aaN=F4e>ZRw8yJK z{r;25|CK&}4d*AUx(K&huL3!)uWDs#yUPF1zm74RoJ zaf&E(en?YT%c2Wh!ymBh;)X*V`3J>X*fj=q&)Pv23=fL1VTVi z%Zi|20nZ&pEIQx1F#u#fh%`>eX+B2y&7BzPU+ws>zv<=v=lB0F1n5N{ye|HQJO?Mx z((w8fU@GMcaV#$0R64G3MFk$!m3iMdOryG^uxEyhbnyebh?wVj?6Si{7yG$A#J}1X zJPjfhpCyj>+zFAVJIZDp$xA-sYfL&~`%J#poOHx(IKodn;vRa0zw=0cn#8^|qyK7} zQA(Q8N5t!ZNnE@q=}2(h3UtcR+Hu~=^s@ZZp0!%MiS?=$qM=6RNhcY7{s($w{^Au5 z+c?AvXdTAAj^<3uaUh6y&BfJ@W^7x>OPg`E<9LR%8CN?Jb-1mI13O1p1NLzcC}2c> zZvKCJ^7LOS5UvkU@q_0e=@LO7m-H*;szHc#q+XhYYMmkYyW=lzadJ2K(0xs=?K4P& z2v;Fu5`f?sCmeQHlhh1xq@dl0Qyn`6Yyl*iaE*jexD!2BAYcetkAby<^b>Y!Me1MS z_Wf{xM>qDX%h&EzeN@S;Z|gs`9{jFvuS#(KK3-wA{3S-@hz{nB28SR*<*9}ke$Kco z2rWSFLRX9_NU|88IxF}H)-laH5|IZ}A`BidwZ6Q3iG!TN)7Qy){feUC8#iEb)3&4) zr5(hrwc}|Yrkzi_nx;uNrcX+roqlh6TKcl|73sf7&q~ir_oN?5Z%GfPcc*`muHI;k zz3F~wH30YpHrVU9W#4lp1ToqvIbumFY-U2k@p9&XtFP4NN66>ftFJdRuP+DDA0|U8 z@d=6hOOt^F_`3Uqy_&O0Zc=O}3AlM+hJVgpNJZQ4R{;g_trl>LJ_4C3Wu0?C<9hBn zpY&CrCGb&j{UWcN(aD9FHkq#IOSLZBIa1_85*?i7oaH0Dw~cdI=SPL-h+%Xyi^$nx zTm^O$I6(rJBuV3m{RGaE!XuJLhL|o`sG^dcr~FXo#oAKYnN-rmXgP%<0_QM&KXRU{ z>vDc{x!YXvWEylg{BxS6vtydgfSZVvQ2fvws)^6y7b|1Ar98LPS>_5amwyP{GgV4; zc6UZVtCzEUT@Wm-PD@KiYG;IZ^38P>*L=&rl7<(8LmHHtAkyh~mRY)-<(BU6Yb1aO z-4Y2iex$IwjCh$wZKO2fh9-wNY1GEjLjU@3pS%#g#RK($gcYtMgZ%J?h>y>ENNbil zU!ite<^Pfwa9VY6$JZssAaxrtQ2~NaELVskRVjD%ta7??Rw+#)%11r;^k@xWTBrw~ z#C3^{eT~U;LEjK5Q;Xa%_msmA2y4k#uV3%HfYXouB?Q=*$k?hl5Q=MKiNySJmn?D+ zev*G640m3D^q=!03?e^$&I{3sdrEE)bY_1RnE6mie!1u3Ws(o&#IeZE4L&d`RY1%X z^}rg-_Xqcx?!Xx*v1#cc{>yuNK!52# zPa*}*XI@v&XMXa7_#VSU@Zu+rf*(I+6lTzczLQEvELI(D;KB`rT~~+#KUa96p<||3 zmxEKHeiB?2pTc#K5AM6ZVBw>GeMhRf6OW2VAe_p^!P4v9L>Jvg^wN!V5&2U#fZ2+P z6T;LSL7coi0mR8KLHA{Kg9hd*u{bD~%lzjPBmI9e=rBe3rzR+b-A53}>Xmgq={nvg zRAPEwo&a+5mlHs4su}*@H-TiO>heZ!0+Qw7bij4?+U3X~kUAeUJ_5BnZO65)F&o+A zxi-C6>ewqBf&$sSjswC$K6+EmpiS18O(KT~0>`9WcT=ukE5(#F=fY8PoJ=tif#O3# zE=v+BIeB`vd|$RaFIzTdW5BKIW#et$;YtbO6ht)Hb6DU_wjfvWGMRJSsa7nydiN2# ztYbxjsqIbnTfCBIH*L;wb5`&uZdFsMStP(gIgW6@Y4dArQPLoHj?I6YH%y!=odQ)n zW}}jyjQd&%&}wHu+kmiDR9Dp;i1ZB52GDCggJFXu!X;`r)nj+6sxuV8b)qIrP{h({BI_Ymd z8UnQf4m5LMM38KIYKmicQsl39ztNWCudP#JP#hEl=-1Q< zjmM9wso+DMEVLaIYL6)Sz^AIVmc-5K8f%57s@7w5t!+wXzJI49@w$7}cO|2d%=w$! z>RQ_crHcM$rMyy)z_nC>!fD6Do)vwgwW{5Jytza;iV@1a0q|mQDC1ZiYwgF?ER-(G zp;1;|Q$>TlKc*6Iz*yzlFjQ;W@nS{mQOr5KQw|rv$iY_5g*x{5u|0Uv0{webIC;EL znHh~I8{MUQ)cSF6P#gZ@mvgg!4tGNjr^jG6>S^&#Ty|mSz+49&)Zn>;6{GIM$e*+C5tz*8TlfI8#wE?aa`1< zMVsciqbaqqt=G!;V+5GtCnVVkOym|As%{7}p*xa>wT3%7!{=5(KuH{9&U642Z z?fGv%^LCo`&9@8Q-uHI<+n>LE%hp+2pWOP(t=qR&ZN0EHY}=G=k8fMN?M39RsDq}D zUIS`AR@uXqojhjLDDoco3y+B$t^70^-DoaSqYoojgl6<;{J-h9Dm6F6pA~w|F`9wd z{O9b^L+v*u)C{#p4zrk@SAwo9sEHZTXMrP=0fL$mdT1u!G@L?+VIhtcPWjBzu z!Et>q(~<`e^T>);dk9xy8@^F_8p`%8MpHLy6vHwOovbCR4wkWGc~se-vD4nB?)Kb~ zs-N0zBgAnD;+Oa+BV}C>@`u2lyF{D|Ksm29%rD3h ztYzyg2-71 z*#!=dAq|2?>PSB`=!~UZxjmrRh{cYh`tHD0shbb0%G*s1U>U|yuLEipu>%Evp)NG@3=Fp{&Z=yBkY^G){41S|C~g75KSmELnm>}Kb=PZ^&F1z8b-hs><~*Yv@@H{x^f$z zxy|iV?5no%&umM9qr2G7=h$3`(kb#0ZLXI~hyV&0?!G z8&nu*XLu=rcaZJDK1xrYEf30;2TRYf^tVi(x0BQAb)m6>M zfVm30YP|1wJwnxq_XWiZnu>sL;m@NU1oQ<6Ug3CioI2jBKtrJ#|7t#`TK(UZO>13C#V&7Y zX|+(@c&yDM5Ecp#{Pygs$1emFg`8ACj&MXh4&oBT4QGkSio^yFmGX}JapU3^$1DDI z@^DA5KSz#Lj>LV^g+Qcq&b%(!w0oOX54@9}Sd1vq=VRSw?{8DAKGhD)fnD4d>|nSl zmk^n62!lqJAtjOdWv}90LTo;f`4DTKmY)!*uNdj#N4Sh;Fv_O5Q_Sl0h#VXd8y*uj zNEfY*(&&do^Uu57t6ltau9WWQUGijac+YB=P1n5I#g@C+Q!e*&uE{!J#~qb$$R`k0 zah|U^&syj5b8?LPc^ALh<@USS1eeF}5{7Is{^k=`UV|&| zj4Ru4O>E823Ku>6(2|$g;N6e9hn9e!ejdLDwmqutYjG_fPev;{tXaNJs^?v z{UAbw?}w0SE|^bZ2?(V~l!yBhMdmst79ZucWrex%3!k5)d;<9^i2&-Az-v+@T$K(csf zqY9MZsRQ;a5uG2qn}0xL_m-$QoX(V4{MTUA-$DObIG_|1t7IR7v)Tm!GrzD%9Kvgh zz@lAH6wG;`NScPaEi59D6Rl!yD)Z#|de5U)#HBp*WoL0Iq<530Nqnd7iItjII32n^== zMPM+a6}lomtVq6sB=XhSi`DgOaP{Pc=f*JGKDUAShe#!b znZb^=-domX0&F;U;aKjoIDx^rOYXDMn*c8%KVGNzb9ORc(6K|?*uU-o@K#!0a%SRL z6RkMd@(lVfu(JLc2})ZmE9Gf$q95+8Ux{9hl*ZP-#18B*4RR{p!AqIm*mWz5N}`I` zpq=>-SwYtABuQrej-6XzeejN*X3n2x2utZqG^cdlV+M@35?Pmx=cr2e?%RLhU_bZj zsL$VUtg)&2xYW|x)_&q7y(znuQ#o5(v-xkMLmY{i9$-;GNQ1Z@hGsF8~ib0V+>Ckw?NT8u%-3opmfwFF|(|Z}s`#HoJAc}H^L{Zk_8XEtq7K044 z;8iVR`CLw$!TEFfU3T_Q7_>C*hB^a3cW6}T#*{TV73jM0Sg%ezogg;zsaBOzhByfD z!>8E(?KBo(-4F+7@;O9YX;#zz?e27|3GkgO zSWVJ)Fi%X~+kx?${A8wtPuj{xS7@xO!)|5V;4EsC+}7N z{nHuzyYUSIEGxeju&n$>_}(+Xp8}lNB);Pe@Ye#C=-&v+8DL*c6hLGBRRE2ZSDd1Z zEF73UnJur(mVc{ute!^Jbal`*%ZaMz2zb(ne}1QTtos)``G4KX|CZK@WB;;~WON#T zvvZO+0tFlan?|93q9_-YVIyd;A7w(KCj-b&EZL2#yyVV8}9c$TDTfGG)jzWymsh$TD@vGIhu@b;vSh$g*d4m`gaTJRA}M zuWHLUYu;MCwDpA$W;(P@90r1wpcfH>9@(L>G(S_hu|%$@eiz@d-FkjIyrA5YFpb_` z71O8;m#!;aFsMH&C5*{W)b&K>V*)r=rd)o>xGJ*PK`{zf2HBK+Y(&_+K__AF{sSl| zty18+_$*RZnNeoS=NIOeJ(15Z%FoR2?KNj5l&Jrfw=4f{oH!AY)|c}0!y-CxCQf`~ z-kOhH4teA#dAtdF4w+;dy3A}hfgd0i;zS`YD?BeOf)-C)&S2tr^g^U1P8@9+Z)!#o zc+q(U2QTRH1eE|SrupXn-Wx<67DGlX8G{{BfFid^v5X|kxAailKA z4SZY)KfVNl@$n_i@g>;xKiN&Biq2HO+cUy-xWOd{l|aUMyffibF{}gvei~3t{0qC$ zmIjyT$sblyffl~By8=-9#qJV6{PKExo5z=cVk<_XZx8|L%B5S;L4(yrJre$+PAU_p zAUViC%Hg1r!#k7%;v)tR#AHKOG36ZzK25@d<|8o*RlqR~=#^N&`OTiiBX4=6pdj|m#dUNXkGj6XzH1YR%z)w%{0K~IBW*i&C&5^qjZtFjI%+4-;wARPy1Kjv)l&t>Rhqt`sDKmVAKl-qR{xOTOh4TxWgQ)5=@Vg3M8^$Y4SQvJrdq56qIwOkL+ z@Y{Rf0P^TU9$%cl&PW)kge1*+*m))RQYT)XqBj+g%k?W1hhzg0M-7IU8>{WS#uRaI zw(CHtKrwK!7k5ZWtO=E8(E4@#D^~s0W%dOrC}faDg6$eIquPY3Q6-atxbt1`MbPzo z5|U>nCM3_q-|U3h2}#K*3CW2`3CYQ`5|U=lOh`_c3CFBi2{V!tl4nrl83;#Y#7Uwc zBqSe%CL-mG83{?VV4s5na+KgUgGOJZ$OVj{OvKZz?tlmsP&;^NPjG-vmWL~f1#XPh-9 z@ovtp-^7)FJZa|SINat>o5$2b6Vl?*p2URZ%O71Hho^&l+~zs2E|ik0l9T6HQR1A} zxI_8`jbeRo@%+c)xkmjzYutfJWS=Z0-?MubDsWD3)3`580(>VQPeiGST(|x+jau4I zez_u!%a01`UMIK{6aW>N_wWnKotgXtU=St)1v~tTT%N}Ru>hyBUGZwGu=@agR za>Q}pRjx)dvscQVT~Ll`2ZO18Ch#*JwmiiC?JRrtte`Cwav#S2>MMN&T71kl5{bf| z=BvCPY#y&^SbOcmwoA^3`L%dc7>kK-cDZ-F`@V91emS374v&`m%e}+gY2|!IxjVg_ zUr2rp^UA%E_%@o#%`B=s^c(?D3y~+$ty#!58sy=PKOz|4B{HM`?3mNs7y~ZT9jvFv zaI^O#Nz)RiC3Efw2JM_URh`OAkM)9Tp&!t*Z_idWpuYexT)fI8c!`f$Qg9YJ+r)K{ z!ZgnEl6c7S3vi)PNvbK%oZc^U;A(eSnPXfYR}P6<+ zK_`~+U+7E|%VeYfAP!ZXWv28p1_j5kyiz7=(PXOGymHgXGLxaaB!XGjYRe*+ia1c| z{iPya?VaV`)9$I|{s{R#oOGu8zm^)<;*-vm>C4g{na`F!v}E3rWz&{Dm}W91EMNB6 zvc3^%ik~q*F)@yt7xgk%KBqj6V$ZuFdK|YXY7@7X`)QPqdp&t3W;-ntSU!?5-|z>B zMJsUo?`aIuhhwrik`lQ^SP9DG9!y)j@P`kuq{U1D#{7pujiq(rq4^Ikd4xhAST=ph zGPdmDrAwDQw4BXdGH-qy_q2Wpw|C&`L5s&d>f-TREFJ_sn(~xh1$P|h)!%Z%YA`+2 z56RPJPD>6s`g($TC5~&u((`KCJShb$S{00xIqE`$g-2a?UgN&NTBA<-xmaD6e@ExW zag(B+3&r{G*(?8bwTc-4^XYS!Jc#a@{C_? zd3vDZZ^`t3;{KOHfVK$Q8FW-C9K>7H;7=C{&>|3=DGoP`lt@}c)ZkASN}xp|Tq#0& zHL(^MXz-^CWu!%B8vN-(nQD=-27kIx0hF5l;jSJEM^5$}R)aw6kKkT*o7|t-ls8;ND zhHw0&gv6N~m-2(uc^M{d>GDUPF#jxbK*gWs*8JjSxPQ%YYqPj)tIfV;o1ld6DlXx? z`wtzito3nRqtyQXhaKFdV3+*amtR{2Pqy_9kM(EJP?Ig2l%t}BC#28t5KOw_@Vlg| zC4whwK6dkYs*hVbi)_3#cR%cxYt90 zPjY(>a!+zzXv4{v{I%d3;HmNEmGqL99Eyjq5{moHlUi>cr=~^NLztw=s;ReIUY7XwvZrt%Ux-BH0PCdY_?Io;7&n4nU^xx<2 zGew~HJ03SffyD3Khlt{>!11{0W6rydI(k@u(Fp zSY5-*vlp+huP&dz2AUGI;9hU(AzT^WyH6q4s%qPbk_6@E_3Ty3CT)?(lu3B3mL2l! z=h=P_tGaaQ@+DSt{xep4m0rIoy3Q_ti3`e%E(~Ai`MRD@u0qkRyP=wIxEkzX)z_|G by>gweY)~!LZGIl5;+Za#TzZJVsT=<{^I7O$ literal 0 HcmV?d00001 diff --git a/SRC/A2NoSlotMidi/A2NoSlotMidi.map b/SRC/A2NoSlotMidi/A2NoSlotMidi.map new file mode 100644 index 0000000..c4f5514 --- /dev/null +++ b/SRC/A2NoSlotMidi/A2NoSlotMidi.map @@ -0,0 +1,21 @@ +Modules list: +------------- +main.o: + CODE Offs = 000000 Size = 0001A8 + + +Segment list: +------------- +Name Start End Size +-------------------------------------------- +CODE 009000 0091A7 0001A8 + + +Exports list: +------------- + + + +Imports list: +------------- + diff --git a/SRC/A2NoSlotMidi/ANN0DRVR.OBJ b/SRC/A2NoSlotMidi/ANN0DRVR.OBJ new file mode 100644 index 0000000000000000000000000000000000000000..64b9aa2e305636fda4b4309fd2072cc5c16dd842 GIT binary patch literal 424 zcmb8qyGjFL5QgEmQ_=}B3O2cblqpjzq}>ZJ-L~GrKTN$%ATolTjTS*xh-9-lZBCm; zv5HzPcnPVktUQIac8cNo_?Tk+Ba6(hn}1^dhxu>jZw;um)x;MU)owiBZ(zFx^SGQbSK&+x;}=#p24ki zQNf$SgLZSCH7_&Il9!b?E08xekT;Wyvp;8_%_QjrTg!9!Z>DEvFxqjEr{dyOanedo zBdI-^#+5_j${g`6vpusjh3KGOe$u7M%jDI%)P%Ci%tp0N`ZnKiEmaOO(s-AlGBX&G z#s(@g?K8>OERM2gx(4OV&U-G9H;1&;hqSaQt0jwMskGQz@BF05s#S~&(KQtwJp_|d7^5yDr(K2Gx48@k0uG3@J(&f|OkS z5TUP&u1xmkCnn2rmC0qEUd9}CFxhe3LTTBzYMCW>Tm!3?Go|HG`G)IhiYvD2`ry*9 zqQeh|_Z&JJJiMpgMg7kwOo2B-|KaC
Z$0-6}DP9GZ=-y^}0nA9`5SMNT?zWw?S z7&z!Y=KjG$h7KG4-+1g~Xs1Wl-qw2JQXfm1r%p@I>a-~ajV|2~(=koATCK~q$6<*w zG509~(_t9GQS5CE^A`ncmt@%Dqt1+zqdDqb1ejf;c+C(tb_kp1XI>r7M*^=5bN@EH z;tBcO$E?QB-C?8r{M)tobB}QiK{Y|OWElO6T0;z{WfeuzxF<$d$PSj0m{*1gd&ydw zmXRj)c89dKwXCkTNTp)6wdNEo#Z2yK{>|EQB~%d#A@ga8qlCW5!i89sswfmHR6@ua z32-WQ=P9hjY)FxQjk0{HKUFKKWlIrbd55v&GdpGZLbEFvlrCTV`e40lyX)HL6<=0- z?h1b@xAS#pI{3=%yD!Q&FSq^B+Ubhq-+i%NzS`M*x!e`(_`agtRav$D3%=@$ofj^+ z4wGzGT!)*lG+(~fe753n^M#AwpXqG7*s*2z#g3M?AFf^wKe_f?zI^d=$6JH(=b6T8 zK;?c?qWcY>mIvpJSX-?-F+$WKE(${p`R9cECaE_9e8?~L z0K=HvVL~*R%1E^3++epQoE?em&ASfTa(pndRD5y!bhI>^qk2(P3iZHHoo*;~KgA5X z-xQ<%Z!YrxFud?!=VWAMOr5fzJ0a9drJ`h27gfHes6#^^?~RO8rZ1c~f9}I$(#AYI zW@<)O^jc~lwdS#V*|c5RrcEC+W68qq%BV|~R4hgHyq7J#E8EN|3l>gaFuOZnv`W>J zqK4edR`ieA#!Q==H4}NGd!lcY`d+reu59yXOqo7sMt5bDrVp}R`j;V$#watUjajgC z!NM7HkU5k}4WJSpy;mD^XsGF*M*1+x_B%>NJ^f>C-PyW^&|P2XE~TMfjry0`p3GWc zo}ZD`J=9PQ)sOlz?O(D@walG1d-0U{(`RInafFUh$yD0Vf5|py{+O8=OEMOYS^6-k zF?0^Jo%SQ$x|ua+%Iq0q7R;Gqv5c8#qNqE`AV~JTA*i~UMNu?$2V9*#cLBKi$QUx* z?$6l!4PeRc5%C%-nlh?}N3wONQlThnFx5J>tAqDb)Wbt5Or8g`7L1ujhSEir&_qxL z6&xBmuJ;h?dx}ZAFSd`G8Zad*D{cDxMf1nZotlX{qtij-GB9oEI30y-4ibRSS>{fe zK4X42iK!6D#;Sg-EoI;k)J9F4J8x-5#yrcD3&xnnJVJ#gQzq*0%6}o=v?&Y6OrJY# z;oSM*VT9hlH=6$m{69&7$+|AFX1YHft9`oXIs1$iW=cC~=;Rr*e%E>Y&{wm+EZ;oJ zXtmVnxD945Wadn^vqnInQ5P* zw?E;TVIQ%1lZ^Y%Syka9cR9~(Cq5G=(=$6%vsmYBf*ZgPFG9Yg=YPm+IvKZ1Zc!0tD>QlAP?YX zVi<`V2okp&gkJb@BzY1u9r0+8woKP?8oQw-(PiL5PZ*@*Wt9f`aB2duYf$S5mbs%V z4bL3b=_N70&d}+i0+oi+Tqu;KZr#3IwIp+{(v7;3oSy7fGM2zsI%zI`57p?TS$wTd zdYbp^f*XVpqjc?6p+ss{aNwyUL|M{P8SJEMY)353PF*IjeG?v<3I4rf3spJupp$%{ha^i z&#z2({=r|6nO~f4w6?aMj+IVv!z4Ag0AnIUlCK7%giCraMymRO!RfRU?$r z&#_!xEJ#hz6>jhsb^6(>{syC4vAsX_56_-(ek`_1osb1GY+?{I{w6*3K5u3EiQnIq zehhxP&el7(p z;8fs+hG{q{>~%$H*H)&NPNnjt8=GgWo7>vznJ%kZu@nnh=G&73ono|-BA#ifvV9u* z@AVn{M2Zvrkm41G6#LXnVYHj}{hMFvT`}*t&lF|mHZrk08SPG^TjPqWqSUD|_HlZB z_Bj13Dz&Licz;}eKIU;tS?2QP%cCZ+4!<__zE?gwj;TYN+G2z|IWf)S1$9nLS=ILA zDI?ipzh@%*7r$o``)9umO*lcMmA2ro9GbRY<=#LWwtnb{t)HHJ;vIfG zj6tN$blXa0X3WW)vUK*0`SX_!pOZ0rMz@sw%dcgdA3U;7J#L9RZ8>_1+IaFm|Bnj& zQxz%GQm4$DXPLn;+O?>ReW%vafIPMTl&$Mg_3uaj$EkCu({dF1R;?7vU-i$SB$)D^ki~W{*f8huI!u5VD`+=Xu&u#ke zp$|i4K8i{WQRj6}Q|}7Y8DmZ?^==t;rsUnvsWUkg^{$sX^Vw&n+bPzKs<&e{s^8Yy zY&I@LnO^v@pZ{ASS7{aXKq(cDaNH{hK}NBCViCS2dmAt*|@1M7cyBl8#X0! zl*w9Ytl&nS@Q!lCocMf{BU;wf87^6kf7mgq*l0CoBeO#l_`1JCy{DhunAg{8PNmCi zWYw{a%1_f|W=c0xX{#=!?*x5H{Djz%eJ7J&JpaS5c4XpY{m6lFBT4$mf%q3m@BaOd zhpJsmmW_AJ=1z+0G*F$YhdPb9SU}V|vVd$r@e1>AAA2gd1X=ADCjCIH_D42r*{-)14d86pZ_GhgM^v9krid5PSbJ^re!4a z?nukgRA|TpMlm-=S~5l=m7_NGk>kpWlLON2mR@`NNLM%YmbK*h>)wu=$c{Y7Sz^jI z*bNSKOQO}&b5AcvtTft_v?tj?OGB-j`ji;6d)j;AyO+HeDV|@MRGeHQ-Pqe}Z_mAb z46@ZldHTo+BsL)3Z0Xg4Sm~0dx2y|CecfBSZY?hxm0xKDllihygv%FE2+s>f$tn5F zmnmk5*P#>}^IZxhrSPkU2WiKH-qxbu4d>{Jvni+dNHL>d8E#N>QTu;4TN`4M1Sxk5tj>APa@gZ%$cb%a4#h?yHjmt zHm}W|==ctnyOK;Ve<;Oy@fiPoit~xP{Mi(z^)8gTh^&`nLZj5Q!8S=2`luvGKG#xe zfHko+(QlcO%J@P#>pDYTA_ixGw(=5Tp*@*=^&(%r$ycAWXA0zBl2DS} z7%&=`^jxhcF*QbChRT`Wk4RZ=(6pk7qKEu?{&&OCj)N{`{QU2SqcsHY_gk6IQ#^_6 z?}sCq{dI~lhi1P|K{|Ujg?&DS^wBsFClkwgc0#U4Yvyv)1+{F?1`3}!(B?HfoLW6Br8-QS5&2;v2%n;iptM7 zbQ-NRwQ4vOJ*dZkxPJO%O_JKEwV-h-&%pgHOm<WZ&=*l+ed>@R)TZv#bI^9$Dwzp!?=qt!(rI})I&&Pns@CABS3 zXSj&C5;>VqBTG|VT2j8O&BzT0V@T;b!%a_|tbsqwYN;3&m4w`c%zCTiSr@PZ#vt{> z5UzR>+jg?+hJ^iPDDZck${r_8Aj2d+I>Kc*>H523D-GT{sVDdt_R{H*)umG-Ya&s) zktrniI%JR%Tcw+XyI$X&5%HydwiJt|q-9d5CG_eElv<WBF6a*35HGQT{>tQe0@LpV6jhL*K3`ju85GHT{}F?W?#yxSB8gq1*v`-m}*!s z1laJq;bHlvEsvxS{-@GG+9XYcAR4uqos-5Z}p8Otn`7QWBqkT=B*K!v|c6oX=s^cX$XH*I(6P3 z*_Z)b>~X{vZIVZw-YJhbEy+W;+|$u=e=hy>IVquH(CyQ_+=G)&7f5Q8l~WfdO9Sn3 zSTi^;Tm(>vq_!+d;+`a-Qydc&XURp4hDYI`jjCm7@GlPDYxtIf9a4`TRGfZ46(>dQ zr?e7n5>!Ukp)aS7OBUur$Y^D~T zD)Y*kj8KlcI7{vw@KMK$vr=VA!#yZnYn3hrxCe`~jA0BZKNZvoi_L8m(Ye`mN|~*Z zYLKQ>#Wpb4ZdXW(JYwDK*4A|yRjLL!UHF5FJ=1Q6s^8eCYLE>D#vI0QiPHl`ak2RF zQH=nFb_E@zN~s2Jn#sy+zJ!kMQzCs$l~Icv!x_+5iSF1R?(hTU`;`3REa^5|u3%zI zgBV?Ff!SB1ATKlLJHA{o<`|G>^VS)D00H~A3gg`rr)HezE9{CRq0E=qC`CJ|MyH{% zL|{lKq7ao9#}u~;iQMARWlEYJIhm$bZj57)nW_EG7AVdNE|v^|3QoabinFjcl_}g} zpmM4a__n-UL7+IRHE;lkw-b-(SYj5T8e?r1G~6tcH8@kch53>m)PSGyk^wmw`HB$B zzpKbGmRG(fJmSYI@e>qDUVTQm#JiPD`8*Im^Ovis>Cze2qj<9HnVz{grf~DT@XMCj zEwc}}75sH;pB}0{YV6!5{Gv-VDCrZ3qk*76XcNCrY5zniP7m<= zm3B7*mYe6x*C{4XR{O__Jqs(;+^YK;jI!RuZc?iB4eUmx{A0zFX}45-qF4(gEruk| zM~CYwEyY>FLj`i*x`1@WGpA)P=|7GBx6GL_TRv0Tzo9Co0lNMuE>xP_fO7^^>BmaG z;T8t&7+^-55I8xS(cD2WEIQ~Q^F_OG7Hm@nHG-SETxVh<$w)Q0qKNeX94k`TcNF%I zN?FApNoz$UQHn2+QVLN^2no`l5?wT`M2J5zN0AKqby8=?J4%(V0lOq-dP(YONU-Dw zS2tf`-nnFEwqLTPsxVQqq@j*sq`6)VparCr{Y0ti-O#>4VfQPnTZxDK8aRuEl|jV# z{b=6uo=j$6J5zZH5Uqm|?e0v@5RaJ&fxpx)+|T)?WF)xFT#p}PW4Sj_-Q(JZQfosFcHPGNpT#?vCky!6p<(9)7!#Ort%E` zp1y8y8{nKp zWv*~#jzOrYUqyjZC;cAvXU4k}>6xlHv|JV6V0pNmkR%tS=XD69kP9GU7+E&7Tuh4e zbI`U9C!3nvg@o`JO1p;U7;QxoiN8)VV|{7KIAoS|UMYHS21iT72#4&m_GU1<+l3<} z3z{a&P&iwUm*M zBzHIu>r{-d^lM~4 zRI2(n=1}ZM3SkYVlbK&nb`btU#Xgh&NP(=*J;!`{-fKgoLsbBlNyqUo+CFpLEK-DP z(yq17fWkB#v#_pvO8#UzR;*K8YF|=Aae8Z@HcGmRp3T8JszH2fs|m)kj}(XYM6U)w zs+PL^k_?qZR#Qk{s#1{UP?mT9WB;lkIHp8l63z=|-l^<&C~|K?iSfKc!HVY`(1^Yh zI*cqw-Vy~{ibLC%`nHt%R*3FPeN#$(!{x@8Dj-D1mt^VNO31VfD+X$W!@jTtOW`+C zzkqb3qeSWW08igaapdU(g}Hjpal%^oSB3vTVP98D^kZIEy8n4id%Y;Xra@o2oRqQP7IL8tV#5do>#Q*OcX*+|xs zMlZHrA%X14$*}B(H)>g1U`#y?_2GM?6rmVjS}uBB zsVjO{A@s>2Ir=r)XZrd#VukqRyfA?&X*=Lh(sV5|rHd`IEQ{KZ1>{O&2oYaboV^;+ z9cOZ*FS*gwo=@s;|C8cSN2JO{RZ&ec&FpEbqE3W=15*3wcNIet3dO&!$a?g{)b3E& zcNMGNq3w8$5c_pSM@g4RZ)rnShSm`M+NHiDxz$0~0sOqDtmZWU^n zpSKFztiU7Cj8kQ2jZ$a0iYF|9t`O0u_CxWBDk7>A6c0%gKrpObeP3ee&rx2`&H##G zv+9)Nkk(B`Blo1$O%eS>(-b`Ih=Ff}nDL>ibxtUYw=3Q%O%sxc6QeaX0U=B!C|3DZqrP<}HCCBJ&fbkxsoy&L zHMRz%b4F`{8BM1qoItN*yUXJNSg|f&x?;3>F+_+$pem`+X;G^BH99kutuvi-6`xsg z7AjcFo0;K16qZ9^$|0x6O)JZlGASCZLjT1xI7OAO_m8en463q1o=j;dpro~OUa^59 z203jW22DoW-U?l}k*I8!Y+g_abVYV(|FdA?n#uD@8PrJGV0wi*?&##-BMZa(SQv;P zMS($%p%o|tDea4_$O%0Z-2grS*J>0AhfZ&BGa#l9`A^hfn&2_*Vz_>=lW3(3DNbli z>Zs$?ZVD=@u#?Gy_+X4CndYWjxtUhxz8~al;72o1r6jew5{U{IVCO1iU1jGfq(ArZ z!w11Ox5_W*=Ll4cCqP<5YoO9h0x=-3blGUd#%Ypo@-r1OF=gwTg=mEc?XQfZrU}}=kOPs!T0M->3N}_YgnZ@uxF-yrW9Ri-ZM+yN{9do zILfWud}z>AQ@pB4>LfyTI(S+-ZwzbTapf~Xtz_IDJA zPBQFg6?vGXJHW#2#XVuNf+M66R04QMH_7KK=qB*kvD->$*Z*F8B1p~CO`tUl;|rA2 z@}urSzJ-cY(}ZDXq_LVN;QJeQoykqUn|GZIVlTPXMavc66N+;L$pgBwBb%6?Oh-%&}La&q#Gg*T&6y~>Qh5nYQ=OcrzSfCi|WZlY{isMF0hH%Ahwi0^B!U5y( zJAaGS<~p>w_)it~C51ps?2At*74sD$phv+RkTbt(p#r|Zv_4naGgFG;=P6)^fi(IB zqADPl$Xw=9RhgC2VNIYrKSN;$H<1hr347!WS$>XBZNh;0kxlGOg&)yWkwWOB;WA8c zzneX?%oq|ZaZB_LPBm7c6RlEMNVWk- zRZJl5S4`}Z+VE&6DUR!r(Ykt8nqN767iwimhEcjgsw}eX`_4@jIH|6RV9iM{{gqOX znC{K5jgc-FNL|!fL8wD;90&6#Cqdu`!dD7(o=|4QVuZ!!I7A4m*)`(F1Ob7!*r&yz%4$_>(I<-5SdyJ(&w|}{Zi*E;Zx#UET&NY6$}%VT9d=d+f#zG{HVe?$6iK_KEh%yq_k| z{7%|F%|0b;#Z7OS+%m<=JVZ0Q)s~Yq7su|TnPzoa@r2?@`O-~mP=f|yq#nTp$2V>Y zcNv~>M77Pc*x?w}1`{38ZHcXh>r`2-N{WV-pp}wZ3y6&vwUo%}{1W5JowS~*{b|)g zPv&Q|E1_x^T|5DkKGH6}(W)z7%i4K2SqTk8hsI^36)Hk`2SabeSC*f z6|KJOA%_Iuue*4R{mot96L;B^yFTk(HuWx~Vw&?eck84ZWU#C63WF;~-jxPcv>z+) zIL2Q%2DdCRT*i{%^o(>UQKL-O|C}OSLR*hq=~E~w_szGmYj^J2{q>%`e+vZb{=RSj zfrFHE=&%|>tyYCXG)-w@RO%SLTBV|CjYg$Xt3ztFdf#`KZV1<3x!&vg?K5{zKY3vwS;4sh0#Jty>=io0!*onSv#V96=IZQ{RB+6?R$3O0Kf!z1cNVKFd6Q&1&RCnU8a7Uczfc`?+w z+X3l&W4GpEH4PMV|Fc_F+k;&@yt@5)672k{g0S$0OTG8JigM)2pj^tpv z!^jbvAiqtC|4Nb8Snl59@w>wE^(`)!-GM#rw(xBE4rSk0ioC=fgH8ft^aV)O0jWB_ zR*35hX;Mo75>=y+7{m4a!X1h`rl?x+)j(ey__ZQ$_kG=kZ<3f_+!o@AUZkz4M&`z} zkF4ciDFNnJw}opY`VyYxUTDZZleF_4+KK4lu~sJSws4sAXn*-OB>+&2GP_6_AD(|w zrs7^1gO!Y?g%nIh!LD5zc5<`y0I@3O;_OuxvCu_q=6#8u1I&e=B!fWF!VAQ9 z2?o2PFvu?eXl~(LNR`r2uQ1WK4%90`4+sihsSqyHun!P#=R##aQIHR0Cn!wE~9F0gYD2R{&yWVm+CPt^gK7;SR&Xv4CMX z$TqwQCPmU=Xzpa-1EA>#RZ#vRWV=c8v54rx0%xsZ<0JggL@Wk0;5cF@bL@sNl{tF@ zc=iO>)?XWbM4Or*QlFkgu_9I%@)OEN6a zoOV%w=xKEM2Yxq2Owht~bc=_*cpRG#QyEb%p_4lAYk=PA)I;4Qj>9-kV+;E-5G^u3uh?M7VQoZQ$i{*iT!~e^l+-u8 z09rTzR7(qTxF5s#_x%~n10W7LTIq@xd%?(vJ`hw$3zt%jpe#s6;DJnIl$PI~1(h8W z;iEGWvh{@TF3h4gBGv(ehKMCG9Ua%ngfuzuRKwPV1CcRKsu9XDJme8x1AC+qZ8_{h z+nl+MNJN)0`^3kJqfbP6Mx>jHShfSLr)jAZ^7LVA@oFDWxrBZZBzK| zN<5a%fmk|BZ7QU5Y9ao>SBgOk5`IMrAUhGgxCYEA7=kgUkn*L%ZYA?ZYc@q1tW-+l z8mf#9cfV9hjSYd;f>f1s4r>&lCUU_3Pt3sUZX(=mfd5#@$c@OZi+yM90hd2(ihj@1n!b9R7Ev}wObg%2~3NJS$$y`5cea+2Q;L@<We7%cuZFt(TD5T1(s>hf5kB6mT}#Z!@Oq^fy|T&_+0qKa z4p`|C^at#L-=M00!~XaD4y?g&tuk9W1q-vNGkhvmElh!<1-357aej*;KWrt{jv-@> zZGh8E7y=U+I7T%@97?c7K_E{KYLv9FM5VsrOl&k-g)yWmY1EI+p_wSsOs}rG&lySO zDngJmj(AJnRdj2MLe3vwiFnLTfwXBc1XHb+>&Z`-XW|G6clj9tT@ED-!Z|0rz`)iJ zhPy{D|2rU;AY6jU=D~4egwS_mU8eJ9ij~Q|B1|LPq0v!J6RT zhy%;(rE&bSdb2*q5KfkQl)qTNZ&|&ZKw?YuO#KlXs&xeTRrUK9*Gqr%OwDv8F?Z_) zA4f?hb_pu<;oby89d&eYqoj5`vb7lfNZg6AT$l9hi}lQ~L(HWkK8#>lJ^y08b7?&@ z_K=G%VG0hxBAvCY9zKDW>i45j3uN$xdbG^`rry;4Qoa0`5R(z=SXS?d%25t1tKa`Z zy@TdosK+S=b(x9z&ak$Q*T6NRj-qWju+}BAAXIfhFlGqM6QNxo@Avop^!E% zWJopwm(_+s0~p|ep(&%&9tnj^6Vj)fEunb}mppC#m5pKyX(zqs2?kyfTD@j1eqRrT za`Qs@g^u@1K5|i7O2&C9>jk;<#vL4A)eUjDqsXSg zlu|GZToP}YVRO%h z(QnmqGug}Zk6JhPKGS~J9a>M$5cQ~yoF0mtBy#uRRL&)^eFIl<2iYZla>q3vYS$_D zsh%XPl857%5!1IPD_w5B)^_pg71wUu9X*dbrdK-8T)5DD_LD!dl;yr8;B$w+^n*l# z&kwpn?9HBqH+r(aPA<%bGl%^sk)w6B?A@L$mCPn2vjdayCWj9bnX5hdV*hg3AV=c! zpQE+^7gEo-)dq4L)UBq;kLk8e>R&=omf8883xC(Zps z&}v@x&G^`-K2?o7|3_8$2<=x%%UZ(+We+5gJlu0#-#=l#@NF2s!ZzCQ0~~lgf8KTyfCv|;qM6JwstcPBSAaaFC@7r z%1tGpir@@NjtM?U;h+uQJ9Ss^NPVNrwX1PY)X-gxdZw;o*WrT)|6ZRkM5@@k=kVd+ zo}?j%_Z&HT_`r_(J^S|TKGG+CPyOy4yLRsWdmrPGox936?l`dLxa-)#eSK2uzbgN_ zat9T?W!KL7V13uoKQ;Acct*rhnp@F#G+%^V!CgC#@ccgr;{Q(%(x#=JC6c~2S&FVp z+iiK%a9S^1YfBKm%y(37USxUJkov40#`aB5uY0<+1c%+qAY;!|J}oB(Dxc2ZW0lV5 zE%7{KUut>HP?zQ}p(1S;Ouy1o9T=TRn?$iw?O0FHypL&+qN8t9tn)-h}h2D<)r#YFyP*x=})!c2SA17QgCwHOF9o z)!}P-O{ywG;eEH0uuiFR)d_Yh=la?j5TYxW>2ilXTbxpxD7AA5*~{$9Fx017PbWM6 zxGt56yBlPsS5b2B-6Y|uGEm_8yjR`Ky4Ql%)CA#y;-_2I@aYG95WHKsp!0J`+x}UoT zZ(3YCSWvqL=YtiC1x@8@9I1=al)g#VNf+Geb;GtUet&75bdwo;r)8Kcnfs(TW$Sa! zT-fo?{#?>~mf4^7JY|1|n{0m;&f;Zh{iPU}p?F!D97FITv047MCsgQ^mK3Q6=OVu? zDclyq>dIxQrnW_bDxV#8tZ?|Tu5kZjg#(Vcyj2vA8GC)F51FeLk&ta*ErR8dpzDyi zBqQXtIjQ=9bad17{JhDY>FoTYa*vEqS>^P=6Vp@gczyI?`*=CdGdZ(zx`RfXeF6@j zBgH;((~QC=j~4#=XrS=zqlNDsEi5@&_}S6TlZu`>TJ+A*BKOgvy+^Hcme%X#0r1+b zuIHE5r>^$GhtzaL)_3d7QkxapH;f9owZ6A%eR;LMb+{8e75*rLXXfTvMT_cX9VSfB z=)ieGqxIikU`!DW*g#uO9p$Nd`#4<3e7AOBVXaGZ&TKQ=m>-VfnjLqPX*tSy$rq2W z64xRLr*P_*h7OZKZl2_X8eLeMFP-;{b5Tn{xbWcJ)<`@k8IamM%cCkFcK~A<68PBZa~d zQ`Tr3<*%xTo;e=yc=#DL zi4R92G4&2&r8qzs(wE>#BSG)3CyS?BfnNYyteYp{dS+oQBOfu_P88NczfQ@QI;`f1 zwtQ)UH(hrM zU%=Fg0z#4(MTU4(y7(ixU34V!uhI`|q3f@x4?m6sA`1r|c%i;@W&NBL_4$VH%cNeB zyeFl&dlkU!VzL(K3Nfa?swwINI7QaOH}fHsR;OA`eXLSb8)!AP8G7rdY8CaFN=22^ zDvGCQssdN#x2S09b2w+dfG_1sHKpDPrS~hEQg5Tw>MHnWcEC?lO{>*4luGSWtJESK zH-1{B{yRmh_p5330Tr!2NYiTgfmFxTlTKBCR`>sL910KDV6FJ zd_T=9m8ykSseYhnRhybtom0`O^E9ox05@m5nxZ>Y6b=6-eHps<6}6h~RH^B!w3@y~ zsp#u!6@3%#qg%9!zD?2e9W_ngg*Qo|X*z^At_=;w!xiK3r`{*`4KlE~{KX$M3O)Eg z`-2mNm;)asO8eyBc6^k`f0PIh1TPKbYF)h|Sj!aycvX0(XMPvx3P^teCi@_<)Zxd* z)&=4YT;%KbUF___a0d|Dd+}<=*$S48pgI!s&&ZC58cp#re!It*^D?VR=CywN<{XNR zN{%>tT(4JM?OE(0+YMebr^2^Ny8WJHGHoL7j7W{0Gcsm^GM4qrlVs@ z%z}ke<}ZA5-v6?MbL;IiH`K1e%%|*X_mDE?YsRhOL_3WD*9%a%>lO|6)jn_;Eb$YU z0Rg|Q}QdRVm0xGK}6sUvj$H{w|RqT{~4bs+8@Jq%$f$fReIQBiYAJBfZo&? zc7o{M38!4COPK9h-Mni5)@m-!k?vV-AK$*U8jj)Bo)_)o>=QgMH;-f6t37L)$Fm*P z%(EMD9}*eZ@2Z)N8$D~-ZPnz_zQ&lNVZX1&lw!;-!R%SnM%l;bz`@*Ii>dj=NHwcT zHE(a^G^oOuy~e%<_3+{Hdo9MWxZ$3iH>SH8n_dOBy4O@baqr@f(Jz3wQBZn zB6pZsEeb8LYTf=sm}zdK+3nRN7b$x`zpa{dWLq_=z^#X%$Sm5(Y;Yrxqj7vwC$%Cp zdYWj6cWb*-?31A7O`h?Bq&YiTz90I-q`(uC3}-7R8BW9JHfjGi)sBY!-&VuPRP%}B z0Jc%a`iXE8E`xAVEJ=zcNouQ+qq8SPs@vaGEj68;EH%i7jC@nIebTzgGghL7RdNa` znLUYZst(|HvbVdz2GW>5Cn{V6-7*=j&*Rnh@q7b#0ljHl-h_(A>ek9}#S@5obYjc+ zI_a`?|M6-^O#AU_<4R;3pInX9#CbS2KTd;UpvyBk?2$5>Hi|npXv59R0u}@wI zsm7s&-6j zZ>(mIqp9|9szC*Er-)F5=8uzPA&Ng$9j=Qh{bchB=C(_SWghwjWl^)^GcL|*^i0Z$ zktq9E)*|vNx3eaZU$vcmT*LyWvX7Pe;9%xhDY3+I_~XJUB5tru5%alB`{fb~(|~Dy zvPAlVq1NNY0qu7;|JL(6W@tO-BJ-SGZ?e>V%zZDZxs7c0h7zfe+Q?24izbVO>7tdN zBvwoo%MmJ?Dq@3vZhNUo#33k+)M+gf-`v4`xuIgVSd>`9Y}vs5k*VAO|BFhE-_{F> zHI7b>wY1-LQO3gAB6Dnm6ooIHp{(k!JLK5%*b^R zv++)cHk_IzXI5P*sVAdxIfj(kY^yh*SDVJlarv??Uyeq{ENj-6XNswB6u*pzj!aRC zWq!SZxx7Kx&aB>GHDeq*d>U0SNz(hGR6$%5unB9Rb)CboM$ZrpgRuH&_KcO&fR{FT z#s)(i>-dPdv4Nc-a;!Ag%ug5NXNm@`XRJ;W%#(-NkbmHwu~J{O!ebKmh~y=t?Zw22 zXcHZ>p)z{EMFgiLaK+kEC23l=%QxJibz?W*SU-A-nD#QJ()P4rGIJn9l=_l2El3M` zRE8CaJh2%dcjflrIIzz?wycWYo_3X0ZQv+~Jqxj~gV-~RD{+Hf#4=-Z;G8)ZWy0*h z+T6PavE&+>*SD;OO{(!dRm1kI!D5oC^6~-E)X}@9J*h^xQ72zzrhM)&1Ob$SQPQph zb49!*sQx47@FvUEk1$Z$vgAWZKyeD1oherIsqyuxafVbl4~sCzT6v|KJto4H+#qrn z(J^HEMr4nRRuCtcC-ot;nJu!@MNktJU>SRLgJtyww+6q2QcrD1M#ztoQU_VN2N8xS z&VWIHIo8%XITmDP?3-~Fh+Q8pWJvz%NpOps{pA`XC&}l+SA&!4m#6!h#(MPF?*kjP) zge&~FqTJiK^0?^rg;c3;;DVZ#Uo7G*jjE1gA#+A6D!&>jF_%rO;SQ4eehWg!2Sw=t zP-m{l?5`Al+07I_sm8gihVNP9e5!^?spQ-&%$F=^fK_y^C{If3>88L6xrKRkv)h0f z=AI{ggBRxBhe%Mko0{xNk=sX_O2Xt2;*s*6pTzA)T#8#Wv_ZhJX+m*k<9a?pOi43n ziGaTFMGDUNZP{R%d}Q;Dy1MmVj<#fnSBUWZXI{Qchz>dIv!1YM$9k}6G-d#8dBHh) zI{EGW5jLf?p@iu2-;fzY2N@W{-C({Hi&#;n3*>WLzJ*<1oDzN>Pgs%&mc*lZeyS)v zj<^5Fk1$0}X2nOsFtp&%)Pe56(UiIXZd>%===#wML_?F6WHNu`xXx_-95QO=(}<$* z5K$B!7VRc}tZ0AS28$2I>3MwrVHHEMmJa=&HVE?RgVl-JxHlnhu;}S;dXS_>&=M4bGPf>dzE({aP5?&eS2Id zzWofhs3WftjeP$@fy#=n?xpQMC^a4q{w;8%t7zBTL_h!MF8t5)e;oxh25Xyv1LVS!i*y|@jn}k*SzTly9fB5AMB*U zFI%|Jmp9m%OM)EB$af=uIO-9)-zm|~dfIt|_C*hNUd1uy^SpX6i+3f_gV{?ouNe&2 zEFU$v`$QyJZTX|s>|MVF$OyaS91$#TQxyg zg9)(e=UEd$PbS2+5xC`9V`|gb*9eVu(n+XWMyr|MUJc-7!&#(#Ky!v};4;2t;sMkk z?`yA?VYP%^*u1#sQ`0#qoraaUcA**Z6r|bK`6_J`zLUA4&basJ_%fu5D0jdADSe@R8Cs? zU3Kv!D|5d~$nmT+HRrHrs|jXZ)x4Z-t_E!NynwitYR?Kp{7~(AvAw+-0GXhyiJq0@ zVVq|b9>!yn`Qt`{abxi7nZUMH<9{A7kv#{bV``qjo+m_Zt!|#kUZ@7?!;KiTbL=bm za4Xr%?W;UF_6aLns_ide=o44|P;Fnqx1oCe9AF+WINuKFJkI{2XM7G2p2@SOy%mT# zg2@5cUfmqlfcT}vw^FqfN&s*H-a1tnSHtJ1-8}+<6IZI_*Z{8ixq7m7BDl3k#0>?y zV!3F$uw9gfKCn%chX9qc2gUFv$ps=Bog_j#g-Qek*~-P6teoCtC0ek;2F3E;H5|3C zXN^N&R@l3yBPil8-Q0RaL zgc#QCY&BsaX0L4pt&E4q<1ah*b2UK*;oLxkBa1bgP`fig>{w+ziN$O0n(!= zc(&N(q7X&M_iJWHrSKf#R!U`rSKUf}VX7)q{+Q`V3>f&191fjH6U{mxE3~u2ni%(%xMstnYP_>eT&KJNaF6I8|-!w zCcAASlg$fROyVXX!+jU;L(qIKJkB_`Frh8NLzuka3tJ^(0t4BxJH+w})fMNfNn&ys z-Gmzww5VF3A$~Zwn7avQ6}hv7pQH>9$46OFv5Hju1F87-7U2{m1FH-2U;)M&U|hLF zB=w)G-Xl2r%CIxGw^e(wJe;d8Bl)+WYRmgu@SYR_U9JP0nao^bD$itJ|6 zJ1#mhuJE!T^&lgQCA5y_)KX6_8l0M1A>3ppR~W4;&_5MUgP>Qf6-&hOr6Lg)Lq(NX zzC(Kp4cqg~i3<-yt%O;wu7TIi^;fi207uK!72}gp29&Fl>m+L}x%G+ay_U zDi(+YkxLC=-jOh&@sc-0a&?Fp>b^tzp6=OVYJT1e?t6r0TUj^%VetZOZJ;S(RsRT= zlEdqR$F}+T{X0Y{=0cTdlvGv>2b8l++YZ42H8*z+J__sSa*>}V>N#rV95JXB`a=lW zO`?0ZOBGCz)UNoVjbh=aB3DbMV4M{+%gp3<2~n0=W!z50f$;n`F?9lL+}lLU6Wj63 z?T+6jMxMx+H6}?zig9~|gPyVSKuiWVb)ip<9P2aI=yHkeNRr76A?p~d88d;f9gmQb zLeL@F$3_eRv&xu(<-!mq`xt;K>{~;DXE7s%MCOrlAujKQyqvt1>sG8=zHSv+dBRk? z7U9OlFqu|jp=XaG9G9OsPJX0vT=oQS@wfnfCl-%0I=&;F*BWta z%_iFC@j0UX38vpMqvyk%kbS%7BYan3h=cLJTljH)qLX1M2Rp+;PNX`6Q^VTl(iYAa zeY>_BqEp|Pi*bgVhMT z+!AkTt~!AK)z9c4Bd6eXC6Dfls&TaHC|Cqs6iGJLkmPtI>yX^LI~je~)F2&cbTFR0 zDnJd>&T|gDz_)cj_LYlPTrSbzwY?e>Doe#O5LXk#`(iO$^)+U^?S*N^JvlF~b=v-* zuW{;YS_?90+?H+j+Jv4f^);-%#?iyr5nCf^JK~@%koPloy$HBR_(j08A~m-~rzW^@ zCC)3HfWIU@T+rG>Uo$4QW(>IFzOQJ3h+R120o1cVWaqk;1|dLBXul99leWSwq5RZXwou-=^!}jmM+MBNfVEfq#BYmMo8^Wa;qp^a#Q~wd2b#U zRlWa@pV`<42F3+7^_W;vmUM4*sjKB$V1p1^_Zp^CsiC>lEq8B1aR`-zV^(gV$I(L^ z;tYdpgMc85GlXzr(NHWaC9$NFh)b#bp6_!8weJ0W9>4GR@%!&H;>AhOer(=A6u};R|*lQVhv&-e5~_*iUkue!B;><;1eUhS_;ol$@2)_ zqak>ZmTagB2GoK$c62F{LIOp`VWj+)r~#rnSIcU&3;Gs&#^ebm=ZyTm#Xyp3GNSyk z7_-BRE!nxCqm)O?EaFjMN~tGMe$3NHe#GM^KP0ATVG*s-s@VsF>{9ZkYN1(UX(4%) zM>Q|z`NYpT|CVN#{FvqA&!D%b$Kd{@MtBc%coJ(U#!P=GFC!CJng(;h)wdXn0`kln z0$xAcztHnZ&c^|J(g+w?TXH_5BlF}A&scd9O3w5v=FFM?#n4fHv6NmYI0M8tu=Csg zN)E9}wtLBNA5kmgG<0xYMn)#6L>+2UD)`J6N>Xt2m0YJpYW&3c3*aS}9SZF<92XFXzqzmg$Bw(-8W>9Jn*Zy=Y!v;e?tHcH`Tc6-d@4 zos=UQi~XG>Tns2S1{NE!LfftOa8zR~##(&XSR9Qc?Ey9)1at%x*C1Np?D5$eZH?(S zawy?ECOZhO!7&sAm}oWJh5N=nq%2L^b;x;*^fVABDUi2MZ{RFL;aI9zZ{fvI7ZXR7 zvaghiqf4<2u|xiF$dOoj{(P397=Vyx1Vqh1p?)!VF&TuTzzULw%Q2o2z~<;2X@XrF zeN*)nfoDj=R#tz;a%lm$zfsNIQ%e0Q=T0q1yffvfv0Av*rM&}46>?E!D zrj`YPwNUF=q~)GLN_#jE`FDA4fH+_4SU~cMOSMooJR%$5yHBIF58+0XyriAPnu<%N zX=5S>vmwRFL?sy_`Th{e_hEyJ0~Q1q;|GHx`--Mw_XUTev8 zZA?iLc+;LyuqLC!a|9(S83EzMyez;Ebq|LEO9qNSbcQ3i7>0r+50YeegsL_Tl?=EM znvZb46`IfH8$&19L25bbOS7&V57;$aYPPXd@Bp}E zp6O&i`osM;on`+X4DWbsRv0@|AUhdS3y5;*-utaiGFKxtk{`IuHZOWY$w5k>Y#@Z7 zUl0G?>PKFU!wJc!P@cd-hm#|TR0W%Av>mKafVJ*#{S(=qo znpISq$(Lrj6ny;<4(ns{N+l8FY(_uwfui4C9-$*Q5fTzG@%;~&kdr<{)?k*;W1l4g zXr#>kg#ao0>Y)z8Qo$z=JCpI*((Gx)k*jk(gKS!1AZVhQr9@z1Err11yembEpN#4! ziE)++5V(5)$iZ*vmo>RG{qF=^4f`bD9P$)y&4KXu0s&?y#6={+9{?s`mCguZ(N?wE zl4-?gROISo;8YF0T`>_~bsr+)D@v*ObW#OIBe_@fJV<;W$aPT_$&;kZMC;Cv^Aq(I z^WN>&z_|qEG-LzFm4k`ICM0}rK!)_YBDZQ0^;&o(7Y$nYC&xyvRBqI2SsQd1w8Rm5 za+Hqkt{-llzU#><@}JuKMw|Dfr$;7^jiXuW?vtJ#IXQlcC4Q=MgAC%xN$)#Sy|4$b zV}V2bU!L^;9n(AZnQ|Kh2XC6-01&at@A$P$HzzZEGLs_(;0`L?LrG=`EZTTU+m-`% z6E9uhb7FWt#%gzZ0&KqR0p~pd&g(H}oU(o`5+?gQ@ogn{S&(u6VxyUDHsh_P$qYIRx~Z*ZCybWq7-9jzvUy;hSGITlq~4Ro?WU6H zlQ$7XoRj{!(M0b)Tti%tc6Sq%d+)V5A%D7($8Q-(akxFG5cSG_LqBB}3P{5v2j70g z1D$nKm`;p3%sq@l!pvA~rr$7&L&MpOFfk&W{U{95^3Q|^8D0oya-g5e1A%>rK#34_ z_zq!m$!!??>2J(ZZ){jy`NV8Q8dJ!(oHI*LV0!V;@wuPjp@@;w_8I?~$7uUP)P}Rm z%%U!wU2ZlmGs};mP7o!Z!6%SXk0m|~j95AFj!{Y%F-j@qp;HT+lrA6umKA0%0Pk|( z+ScB2mg_io?K1b7s+>&*=700&{->V)-`su5V#>ELIhO6Eka}A(cTn=pj;(k98}O%h z`R0I|NngzPf&k42N`wC=pT5N@T9zrz(iP(hjFLrPu<4}^T`^WXm0s%9SnXk_rxz){ zyWl{1Q4yk|t(0%y;)_m&Sg;_O@x1163*1E^{jrcw{b))PP@TqD0b47>o{&|2>Tzmcn zL##a7uw+Q(xz{IeNJANC2KofxC&*{5j9MBFNkK5}A-V;h-muK= zw)~P8H#O0Kcy$i=QJ?(J|@)MF&-q`eY(-BWyrKh3dSf!_~$#c8` zamULW8memH`=zG5uDa^TvGRs;so_|8OHFfKO>=E|^U=mCslKAAuKsvsRYh@KJy%)Y zR8>0s!7*dUjh`^_nP+2SpR>eGPMGqdPHzed3iI*tHT#De4cdTSfkA##ljba1y!hR; zwHvnO?mO)Hs^z<%JIjej6c6!+($Lh*QPh#6?k}ar<0rmuDaaKZMGqJP4rq0Cri6b? zejw%L%ERs9lPOafH?@NdMRwz3#>P$_`i$iT zT;qpp1{30^#7>QkpBx_-KRF?BXhPyd+{XueWy(ZcgfemRgseTG&H!hQGlpB|{Oa?^ z92@oo=I<19_davrWd1nERk7f6|NR^HviqJa7OaQ! z5S>OpcHBhElnKuyzKDWolOA4uC_h73zabIBA9-TT#O_-+Z~Xq79O9$@rT>6nLXKrf zr$C+=gNwuE;bJ#bI*rA7B2|1bxHxxhIAQF$OE(jyp2BjSt8m7(5dN_HN`*>^aGXj@ z*~6u5St(mi5M=~cfO9!Nx^(`Nr6ac%ZH?Og_BJW|?QNfBbNL1P_EqQSfB98&^Xb#o z$_GAh-cMuFKgd|Kc3nR)bWw`?6c{H2#(BtC3*^N)U(D-8ZTpK=s9 z3-|2ZxBtMwFI?0ib4lspXJ>{-^)4Ab+&Gp_NHgl6nP-{)82{!&VY8nYn{m(nug6Up z`{KmJ*k`8Uenng*Xqh;5;<#xOaS`BHOZ>C(SVv$N|JzMC#_&1W>2#@rCQUf;6#<&V zL_}T+xdXi?lb(pY&|$Bbg_aJ$V~SS#>5NwXQDqOGq0by}o`O;%;mU(^4BUJoh#x|~ z*n)=BxqF?xKp{w4YPeeP?NU`vxR?G=8R+mdb6nLsO9s6F%zZN`1)%OK-?bJ)B>7^z zJX)K9mJT`(S)MxxInUA3Y=2PLSF?=8S;56zxJrOO9IVjb7rPJTiNVDesbZTy5`bg{ zMUL^s*h1hjc~0rV+29@7`i6sLJB-DW`6-1lrw9LKcByersZ%HA^5aTlTpfoXEll15 ziQ$z)F|I3zvajIn3ekTBsjO&?-49Bc$SngwN`c-5MV4%>iz`*=xFFDRgo^9E-zF=n zvR-f0o91>#h_96z zXO)J-WJuAo>xK7risYR|erJ_BzabJ7%op%%Fm>2KA_4s0!|DF-k`7B>n_#m%YO@Tm zS$?xwPTDLNY}i{zOoOK53AUFFgiIa%sLfq{fZhag~o% z@MTU28<;vFfT^ebY6KNz-V|ERM&-~0Ub7^;Qk@lbiRNF)k?B0E^Q>5uH1X0yhm)j}D9Kfp z6x9I#kX^Z?Z1;bby&t@eqND)2&rJSOKnz{+3O#UU$ud2A)ta2WOi$On5>A{hjC>VN z7p&|x>#?g=`9)0E>sIlqmHo{cees&r>u}+!)kYjHT(us%ZiVtU91{8|4i`qe>Y`@Q zVbjImtl=ogRW=lVeG2cM_p2fsq6MI{iF<2 ziQ)hwKzPuJODZ$3%}h(-FR@o=_E-TDd%6o%6dDaU(2+CI1@TZ)+|`+J*Jj3C09b;( zz4-)55JO*^AzqzHzORw*Wcn1}txe@rD{=WYgAd+1q#IeD-<1=qOOpJy+48Vqkk;f+heV&~oxr>*;U4 zJ=gYQ`-Pu=zS!~0rOwM;SFT?F?e`luZ*}*W7-k-tk$G%JM&^ncnY(7d+K-(&gPk*j zT{VOKa0Z(@gDsgcklCwDmD8DJnCtM)kc^cMi`VMC(iP16z?ozPa0a0nDkQ;(xPtv5 z&^sfC1Nbn`G3UtYkTgqIy$|x$m}ES9nq^BnHOKrI_jTmC0gITvd{2j5{}7 z@k*b{2FF3y;zVNR*NNZ;!}0rJh_+{W%5ATC+|HTIbnH+`xOOHo44s6VxR@lNAJdOMkVv@_o#A3*qGT2iCmPEV zjpd25pV*WbTSl%b%KtjiaUv1I(`KI(;*a(<-UnFKSw|!be#ecFNh`a#?pBC zQQ`xmr?HCHmOE+0s(9QQI)qetCy>;PdXC1k?s&E&o-K`MgW_0Y97a1))}tBBbQFzI zE{(@1|3mbRW6R>{?gZzvwr54ZIQDvi=pV=amJp5EaS{2u5->e3qLeEMVpoDXU#=vO z`GQ`c7&nR$Qf+fcK{pcUssv|}MMUnB_=^?sC|WHu9EC0@i$}R=TUP=Ki$;cv2)U7f za*pBK9p6r&pn;?<;iMe1cY?A3aW-8?U>q*pbVj2CR=}$6QUcIlpEwULn8Rz$)db5A z33&MOY64W!ZY0FrN+7%Cd(l>fQP#sABfwmMz8Fy$N&>b6_1*Y*=i}m(cqsQxiMMOu zQhZ8$c2@j(ckPsTxzqM*RzW<%3cX>4YM3)Vi;WLQ;Zv}X{`d(XYwHgmUpBtNhw>v7 zJf%ZyY)|aSo`?VU-++;EaZ|=8j-5I_<`0SPo>myCVcxMbiQnonWIR?M()OcsK zm>$n|Ca~8MSf9jbq`tT=9Ex`3Pysx(i1m7ho<2#bK6N9!WYM-y#JVhF6?1dOrj z#e#U2C6|F$z80VCOoE6%Io>fh-clde1HH%LDK|)gkllw0sF7~`l=l%?nvIQ;nC zH$CSX{EfWys^V`1sqULDU9{%NYhzSGEm9XSTh0}JXS=NI6>D-Hu$`9FvX+!GAm=Z` z+eAP|msPsZam6Z~%eC6I9F$M}i?)k)YO{3S{z3_r6!~;El?2fs zDgd$%`*_8lNIH|%d*<0|P;2`8tGvv>d(NwAnMkpwjQ_Rjy6nST2lka2J{wJ6Q>L_; z&jill3+fJz2Kh_0;}rxzHH%Pz_f3b7!GFGLnWyL9Q^tb2SXq6J8}g^IqCubWuqg^lquM zZB1JapW`t}Cv#-W12Zx0ox3MF4^OfY@`QZHz7lTbufTb$zhG4wz+9qTulfnYhvV{v zE6_n0hl(;th5QxyFW~A0Vr`_oX8HX!RHl&OAi=q)G>~(q`-S^Kh=&qF{KRYY^_d{x z^w30*qM56J5S$!>IB&g8oY&o^`p)m}bV*6aKr|JhO0BFcjZ^rpYC3&qaZs(Tt=?rt z1V}LA;-uPU?{f6ianx;_Espy`0`3dPzSi6dftYUX5nWtGRb5jk*3 zC~v6B$C|Bhw2J>9KU8Id=Xe!IHB^;XQYRWbO;uE@x~9=^;+N|*^g#an;f~ceRB?X} z6{+UNDh|?&`V(Y3XdKy8)zAt-Lseb*F*NJc(bM(#hI_BmV%684rqDh6N%NJ`q_3JiO(dmif=6P}t)>>Ks!?b?%u$WC^-UZ_YNu|ul5|(85zVYT4gY6N zN`sYN-vzn4=3_^y8bo!{ASnT4>z#hxDX*#i=uwyjDo|`7UH@}QJ#g(Aga6118C6vS z&V@UfmG=Gj5xkRI_1&hzsb{24*AY-0Z74rRHP=-tom0wcq$)7knyR?Q<|7b=DPz}y zF>FF|Ok{wVfQlmEq~JbIT?6|ad-mUX+w0h`K(dh{XPENEohaa{>mfI5qHvZ`a2VHo ztcpBxdmVczbxbHoypEOEQY0zHfy2x^+T?B|?`r$JQ;`I?J(ZPJbzFtJyaBZ+Yot-C zs;Z=pm)AB|HBwEOEYwI=`A4ZUgOo`+&*kRVOHB^0+ObzTFsZvc%9YoWrPe}baAQ*= znxr18SolgUR8Kqt;3=;Ou{|Kd8H|JW?!u_5V^UMgAA?%S@~!rK%{A56bG7w#M_Uy+ zHC0jGR9;(uRBe&kU8=Ue;>&{OI`ZQk8g)sc5t6(7NL7>PWEJPlNU6|O^B>0~scxZ; zd*GW-Sx}UMOdKnhC_t?4XqAFVZ{PR!({Dfj_WZXOy#2x3+}j7=u6w)f z?Hh0ReP`J_U%hkfoj!}B7EfBN)CVL+*|J28ZxbdGhDR!Ias#2kLaxWG^Ueb$puVow zQ->)*u%7DX+FCq$A*;Stz^s;J^iI{f`a1EyfcI|j-&Kz~HtICvuoo#4@kI&!s1 zANjQZ7*C3mj^PLRmsR~u>XRHHm<%HZl|>3~ZXBS*spkElcu+PH53;()s+`<zJF`orCqsU~^RYY_P81%5^SmcKoUQ#Vg*$rI&U2p5hJpF@dFL&o=k1Ot9B!F9 zuO_Mr0eDAD*0p&t=v}ho+PrA!23o-#@$sA@UcNo~@Kr~7BBTf*Uy?l~-nTwNiS&Jh zZN5kiz7F55G<(~|#)!DS{ru62lrSYe7t7=-ccMS^_b$@cx`(RZV-(d^l&bXVnR0PX`i`m z8hHY*@o41!ypgDY8Eu=|g85)iuMP{j$49SstIO{H7B$O~X>-cWYeKXmF-_x25HzrLVO_%yUtr@II(IjnP2I@o79? zYHL0yx%`3{4N=!R+{>;Pv1`*27I(L=A!U=@OxE@1*=;JVXN+-dKp zY0`=^sj+E#j3HA*MrI2K758f*2TkMF0Y3&0tfOQfn!d~MmTb(amXT@ePM z9-y^X_^udIQrkDsul$y#rlYMb$xN43X)l$g&m_Pd7k!Sg4X9cNueQC}TOc@oOJ*W4 zM$zZeu_XL~UT;hefcGal>Gv{9{+l3{Qcs=fmbJpp7-ewCsP_`qVkCr}z!TFYelkbyySvquV#2P646c+=I9Dfm z+PbRGS}Fbz`|%v!#crO%7qMIB@LlYtIs9ezlQ|&cQ$Cu*Ty=(9RemMyc-q5|mgxD;c2+iBUHbGn3pb0B4wb;dYi+nnZ&m3jYrZQc83vOoAgu$3x z8^(0fTj%7okfvW6s0ov2mLQ@N%nr>QRy)V;E39CtIs7;5t=asyZ1?QR)Et{x)XWKA zaBDV_h}t;|x@RL{@U7Voch9adeap8*w+BsvO~;(wt$;WZcp;7n;=H%5tF5K2MfT;p zFidN#XoOK4hW+A=**oL_#Ur zj%cZJEynS&Ss1gbM`wxUuu73eq63GL*fOnnMC%zYhhwAe#sBIhITccr&a;Btg6NB_ z!X~fe%5`U!5wrhtEsCn%FpIfr)s$)Nnyk~aYR>kTpR#wKFQNR8ot`CK%|AUWBgRGf zXH)*kTPXh$DgbW**;D}D0!pYryai@cfp`n-I6cdOEG3w2z7vm|#$ha;&c|rsW&f&mffkfa+I6R;d)Sg zU}Ga$FP2>0TvyTLsjnN!DhQ-E6euIYiV)}}4jb#68!D<4d<*1?%7?)R z^)Bj{^a4~AN!l#cdVptE5l7F!+ff_A)mkaUd3&y)ZD@jug(8=VMc)qL?rKge=S>C@ zP(^r^TGXscSVjFY_)lp<4Xv$z!05a%LinlL(*!LBE_bT=w1OflUF~U4Ilx?A_oXrv zptwngTkj$hxJGwcxgsk473QOsBUL0nNe|>}ipD3I8Oob5_uS=}UDpk}_9UQ|Ss-V@3DbF1j_MYJ!pJeYfh6njSg{`IioOtEib zg42z3y~F9t2j>{+{9+*q%_m1(9u7AJGk{wVOYc=!^TFd{r;d+LnC8{P4;VN8uii&M zw=iyrDlGW`g2_>Zm_9Om4lHf^?j!RxZuYg@rsFHd82TpxF0iGh-1d1 zoe@h-@L0|K4H1uHU)Y-m5LktNctDH+Uf8G#OTbdWOuxMhdrlDj+8aj*HAY$fwHnVV zV}l}3Ty;ZLRT0I{%b8EVyNV9@H%|2kM1bW|iQqXo$==|BN^ny>s6CQAeD<6ee*Wy_ zJQ8mS__w9?eGJkwOIScfYa1<0V@?9cI+v-5&B$E5f<90{w-@kmgk04OTd*VI+9;i! z8Ua^u{y3M8Gbacz;hx3v*K~_L1#<>Ch;K$_bsoaQ=Z27>{m#x8Glwv?^xgnr6f;#A z&77p?e!&MY8XTF%NMF|duDpWo`#L>tcEr*s9j#k!_oXL+-6*=>1)nZdD96+lsZkEi zC^~R8?Rgg}VAlznS*ixS_6Eo0Qa#E0D#_`eR*Hyypa}W!-IEEyE+rVb5iAHOh;Dk< z`J&~o@51pxK6xEhpbqdNx=@NyFY!Ls>`hj z*^GDGO1y}s{|zH&tKD~mT|dIE9bwn(UnKQcXqXz@#%@v~5abKz=`s+I+c|nnIW5;O z5IpHB7u53FO8E(53_Zr_hb0TvBS+S>%6z3!OU$xkOf zJ7(l?de=%^4lofzYuU9DX^L?2kdp~Xi!iBq7rrc<8bi?~D=nCXSvScyrqIJH$)tQ| zk1(F(zi$GJoD?nh!2)i+~$yR!2Q4i;o zQ_&UR71-Sb1O3h_W!5R>li^&mn0|hh<#95o;y=`*hdB;)KgI{A78X~apyMXkW9cO; zoE?_m3VDCIm$-OER0x*F;uSrI6?4KWJcj0wb7E%^C1|V^E-F}-rC*7&k@vxj)=%`6 zB6(laGdLTT$pZ=EK8+a9X<5TcY%|MFYo;i9)~>uZNy}g%^4HfWn!G ziepK&&`#5@WP-2{2D-slsi*Un_mEEH9J_BN2qx4M*h2Y9`=F*0ZiQBZF9VkyTp5l* zbOnN%QGV=bKX!~CJJt`*O|Jlxwrw!NBnyUz+|1DSiG?;CjEJ+S(>3ZZcPM=_mPHnd?!`X%(luHzuF*cMn0|wpi1<(t`m_NOwAg5GDr=;2tY9LX5K={wIv`W~)~=WDiC!z@*U%p?Fw}{{n?C zMP3AgU4c!FfW*;^5j~p6%;(k>8tuN5Y(8!CK-ta*oHL}0(L&U@dCVL^du~1h|5&I3 z^ZPIMK?JB^u4!u4=C&EhzYM%{^7SpS4QIEcYVnFktk7yB`DevD_BX8%La+|mA4J@0 zts71Pka)Eg&;*GAAE44T>~li}j-xTqWjfP!jH?@zA{)CL@ejo=7y4j%z!SD(!(qB6 zUl_tfV6!*I2oGRtC(~GUSeoQCtFNx+Sc>#A5VtuT#Qz-2tGU8Z9G<=CxMkS%Oy|?D z0L&wnDPSAr8SZ>pq509hsZ1vbwqQcJMc^_+qdX`wWn!po+?-F~k^AQ<#5nFGCmwDyD@{7+7Y6bMNI2_mF>g#dyUO;6tmFVJlTreXRUgO-*@Y zeO+aFRk>8&+}vDMQD0Seq@kguuJ)+Zbi4u=fPGCm5U`Yfu87Xhrw1>gOUcRqA~t*+ zqWy6BwWwV3%O|k6y7}kX?r!nDGS;sQQ;QN}Kyv`&Ag9DQD*HecVgi+gM1jLn4~$8FoV{h=M)rpfjX z>=Cb;g5Yq$2eeIXC3ggpfU2ewRS=~>YUyxt#~K~2P$1y;IQMwp{y;W?qpyBQy4Kt~ zLN0h#<~UX0=S_j1!aI-3(;iTM+#M4Z_3r2Y@(!Y}wW_tEwW+nfwShvOKMF2EtV4z- zajMRPBH!Q?0*~yMM8Ba&utgH8@(zXkBa#J==k_Ra%jrHV0WWNZS{>`FBb1dtMCLOA z<=e*_pzkI0fHcc?5PCt=xu;!a+AUh##C{c#>Ov*c3sZ|wy&!5wQYzsm(BSZ0VtvxV zAM;HIf6OnP9``;$()Chbl^`^!REob?pX%{(YO7zgDpOTW^LI7Pqrmj#k!vIUi7;$6CtPxRyz;2q{0(}%m$nb2KPexjK^$1y)o^mCg@|))>_i*=SV8>+o8_H7miDDufQLd52QL*+xLkNy4v1Whq$p#{QNSEEqy53f)YuqzCWUJDS)5D9iI3fSBsQO<8ZP z?qkx?3)d^Snu@pOl~A$6?qMD4OEj^=1{xjfw5kqhTRfu<6n>-rh{z`qmA**2e9fj-pLD^IgbBXVhtqEc}u`RT9bri10AOF0h ztxl|dzGEpXE@d%Vnn_iyP9q+C_~#9Yvd)st@@L^TanE{i#`mrVUJ2m~3J@Ezytcm?5GWRjO<5cC=mq)Q zDH3hu2eFzUb`M65)dsP9*Ap>{S`lagI&i%ZguY-;$U{HQOTXa?-Sw;?XzmKOV7=&E z&-w(-rC4VuyMz@N!@GXn61HwJ>5WhDV)o%BELjR~vf|rp_=3eO`!*}S!!B6DvhR=x z=}q!ohr2wMvVz8Pf2Oil&_3l?Lm~3ZbhgLu1x0W(GR65;toqa}v2Z>EJPXIv3G(iXypbB|MgIBd zi_KZg^L*mP<5^51QayY;>){h*9K#nBt|x=*hrz`(McDByl;*@Yg<)O?09ghQ==2I+$I`1=C7X@#NHbU&91&dn&9ZA* zsJ$xDVg|srmPMqvhF!3ZW!HFftmDt3r2eev*5UYjfHc z_&~`_`hT@LsBR^TH-qx#mUVc|T)|qdW%3CIGSzwmYg)kqYg)z6Y?hJl+JCcj z-vWLLdtU)A_E4|cv*twoV!)#9H#!dng(5#TdrO8)887Xs{d8?MssxLo%bcWUPZ@ z)@$pc%6L6{WgTx|udc&xfV>5FrZXY<|rOGZJ6-YLB)~(|xc_G)E2<{{aVm?rBf2Z7K;gD=k zWegZ+Jvj~R`qR_asxBW4B~#XtY=c18dD~=k>y&~bSIa{oi5=|G*+RL&g%HMX&~r3? zH3S+78}$71Zaif+WNi+goq*nGWATz6)QDKjP1o!OX`*_5TgZT4Dl_U75pOO&e2ZS?)g z-Ip^nSmrY6jJNg76cD7WM@PPEbVSqES+z^mevYziePP7Vj;o^YW z1NE5`+zEGi69g-K1pBu&D!m-N2EGr?$Z@LTG|`VNuWLC`-U5~t3935{jUysrQZ(l3 ziM*-;iSE*dQ{E{R$Nk$HV5;L+IilB`G0J*Rg>3cI$pM~9c_vg*EH4o;HNmyW4Wp#Y z!_elUlt#d=GeQW#&}fB03`IXa&-qmrrzJY6T3{{kzPM$lR>49#$_6>1*g#(4V#*eb z5`}JH5GXi#KM?zGKcLsxh{)#A6-0vK4|E{bMqkHPg{#!tmy+qBLU0ga2Kmc<2{oWy z(Sw}fWyc2uIaARvr_NHEj+qheO$B_+sNi8pSNVZu@duUxA4Hrm(T)jNBJiX>K_~08 zC+g0-&qHpRJwZqCrp~MhIx7y~k| zl{x&{8>)~G!WhdkTTE8;8WIftfkKuL8VNDG0Yix1UP!pv zXe^lD|K7v*mw)hr<~M~O9yFc2`sVS+hn)bsW@LVu7KBiXRwqTkA`x%3TAl3g?Ca8z zW`nSq*ev@I^w$cx4&zUsEJQ^wc&h*qfdPLs3qcxS#Uxn_mY9mxzUT!Yf$u@cA^_8& z5htPslZ_Lr4K9`SSP8e}Ac%W^lYQWHRLkkpF8^sb=_l4WN7KK4pp2j#CEagT2KZr{ zxAgCR<}RMQ_{*j1-1+Z1&i>rq@gsNc(xqQ6al0rnIF86EUVDRWLi7*6$k$2icRzmD z-r;ayd4L~2cnP5${n&tXptAnyn9*Q@`(Z+dr_-ZXLBZHh>Ice7?#my7#e*O3ioAhV zo9X_7MPx|Cz0g#!>F8Dl44SSs7uaM)bph!x)rYjOQ1nlS0tWBG<;IK)+gTA|SpEV= z^n*A&^`=x;9BMLYYA6iSds9g`iuH4BY($v011i?;=5kVP{9mth~ro)e{@OyjGCl0%DmTq9KA}kERU=-^1=( zQknMgC~)w_b!GS?64>!Mqrv+)_Fma=Y{uP62l!V_&tb=f?M`5v(#9cZqkkM++!6jo zobzE+3|{~~ar4OAy#cm!M0ke;8gBw0B!ET-hSQTOK}P|z?G-ExPsinRmpbNcB;=N| z!Qc2W21-BkHYUSBuWf_zj#7}SDZ;+75nDRMt@AgE^EP_5f`%v7$s>R9(9$d}n0_c1gHQ5DNiT?RY($p%8<`>`cVb4nC}Rs1Ea}~* zE-M$5)}^NAG@0`@=Fi)RSm>*u8luc%_SWJI=_%A{Kxa%Rtjr+h5@B~@cj*Ry7ESKM zbUNm3ELpIT2`*W*kufO5mJzy7X;*`$2o@*ts?0x(P_eP6P2O>qS}P^Wmf5CbGF8;AgUAycu?x03P~!@QP0mD4S>9q2we0;;9@wB z*U8@D=q%LJ>7w&5z|TWiW+&nPvuQiAa0B2tmD!1BPTRrktWDeL40hKtJDo=gjbG{I zUz|le>{j?-#vnN@fvd>raWBCS04HN!p&m9`XbF(3P zsi$&neWPm7&{#wk0;Y-(4EwJ-jw4g%AImZSym*CK9-_%E{0tearfV4eeC zLu?vpicRNEB``ZpiQ29@54hZiOe7>qPEQ}(H&AOprrX6BHw^D7h6w5T;fyhykDMC~ zfhNX2jHS#hWn!sNqVF*<8;u)fe;_L(n|@?F--!;}be2P(+Jh9uMr;%aIe%XIRZ)8+ z8$>+WAUetH!;n~>{S?^%O8Wz3f79CaD2QxrG1%F1lj*0kb522hvlx{*txSIcG2snzASg1YM{Vbz82TjU%{d&R4!IBX$WIY2XPCNm+-HgS)N=o) zz{YJIw5y27w-0@)ZnU-^t2NF7dS5m|gel(0GoLCFaRN<@75CR7IQCHH0RJY$eG2L+ zZN+VJsudYUJ!QbmC7Mt;#K`#tQNL2LWKxCd-t}l%whf9RXr(VE-AQ_!K#j|>YKC~S?&Id3Nsv{Kj-nKq`9~4*C7U9OHEYl_e0+3|@ zHc*W*NjgQ`fxXNJI6rG8cq*B?fy!Jq5ES#Lj+Cun8KrJj$cOuxt58u;gdu#FCrl2? z(VRcq-)Rs=k={MGDn_BkFvAIDmJE@tM%my2;2w|($w&!I0Lo>%)Pj(Wz{e|YxK|N@ zlO?Tal$IziSxiQlR6?^9o>i|}1hC4d3v)?@{?mQJ?lbdd++I0p8&pbSw+T%pF(fPv{jv@ft3!jn&^>r4^`3J7)&WZY0YJx4$BJH#FUo^6NoQDEBtoxKkr zr)*_Yx2mT{%a+^c0ZxydMXC}QM>$friYZ%F{jeTHUQFF8`8ZOx)?oW>!~kQrCmPJJ zU}n%{Ya@ulRH90K35<;Ah!Sv*CbHvo5`ULAvU0?C(hqKR2H!s7v3jL1p|(J|{*fg6 zY%!SKQrBjGnbn&uH*$s739uC%pb#*kYGs25_m7`PD_|$0BYgPxwt6%;m#Dui9<~V0Hy;bAGHOO8`^wCSMTjDnePyIsB{zPdF+RzQ+-uR z2oj}tw%ERfMCt7 z2vvt^KAsco4_0SbQ2(BD6t!`1pGWM8Or#YV?trJ9mWSveAk$MAp5QI z0o1Vip|UTc^?y=t^(yDm9UnO#>KPlty6DtMKJ!1C^C31#oRScugI@r5G9A46m3<8 z5)|3CO&q1x@DnMVNC(W24%kDpH0G=*bNZ#4tjEkX>77|xvv7;`F+*?vezP!?ebg*; z+eaZWFj#nxKYymub_qLTFn`#Lzyn54a>d%RkUO8keP;QHd^Pn>(nsQxPDlW_%` zC+p@vZ-|6igIX(*mVxnb{3B;O9E4%<q8aY-In2 zxr$!-vGwzECIqsPqMgHv2y+RtQp&qao`eiem`(J1b<8;a5HlV{J;OZ9OaMMQQ5@s& zNH!lYE8r;yL!d;kNvLLk_eWV;KT=)@i_xoruC9~<3cI<&{~HF?N5cMtjtaQrvd$g3 zI{P0<=g*jI7eunfVdBk6QpBA)OZhHEuSW3mjIn8~mR+Ld>{3D>L0tQ+UF=-q@s(Pv z0FlKvkFXnYWDEhjk!S_+18@`w_bFUUY#m|I?M`@HGcW_7qeoblv+ae$iOJxG;Uqst zZHC&C+w`08cjWE3tOJ5lcUk8-CixI|wQCl8z5=&%o7_dRZnpEeV5<2EPVn~tbtvjW z(HfZms0i3n%sy$?s~ZS6#x*Bt%VAhFT+K{@FH(xR3fm247pQH%ggBvR({~X&jpX7Url!?q?6MI6g?o~mgK^D-sf>b&)5F-cqHH0aC!K*?05x*LH98{% zIN&MKOk=Kk5~V~{L#Cs~;k>UEvao3s zW{oo(Y&xCPm(~i-A&y9M{sU%Qjlp4;pg-CbZRKthwnK%hJy-HSqn5DqBSfv)W%EO` zbe0_-S+j2L&b+l7G@2`|$aSN53uSiQDA_{wa^to+EH5UQ#(4$z1$2Bav|6xkE7*I@ z!u{+}vtVQ+aAOx%uy|`X`+!-zxtonN6MnniRioQ7z?{+Xw?fC?3eO&vMce0Aia-ts z7t|D`2heNu`7y-Ol_Fe0+|6!)Yt+}?@M;86R+Ya=wkO zDRSzVHWJ7mvIN0T6k81ienwR$!QQN<`%z8zqg9FOvq#WD;Qg(GKaO+OfV|M{_9a(^ zAaApp_XI4&)V$}_yyvY-l#+J>$$O$F?+N5(4#h;i$;f%h0fJ^O#r(SV3@z;}@*2?} zRt)5!Vkp&?xAfR}kQD~yhZ9Z}7k|d~r01}l0D91ZHTF|Z$^J6WVVsw_ra;kF0HOwS zc(M~6+K(<)X<;_R93Y7zW#*7Ir=-SY$~kNioH-@u0dUymf#p(y3^|9_62P;~&i!R< zlM_Yi`COES`P=BMF@2w2ibP~ODI_O0T?|->MAXCfm&E|9Oh%4DXar#i6>$ZyW+$qW za4%4&+8bLU3i(oH1hE`NjAwKq&Lvf^OkGaOcQQMsAekLY1csDpOF{wGmiT-DJGTH0 zK>`@Dg^6PdU}A@KkeQDym_Mcfs=%HY%x!4zY9R*Xp!(<)1wwc0DmlOeqFw}6+z5cg zR)C!wjr5umsn$2J0Qj07>`ea}w@*P!1c^kg&OZhENOw~9*cmD2I>^v~3`|oxE+0pE zgFaMqO(3}@+?A`x5~(LwBgxgA-e_e?uw3Ao7hF2u8dIl`AvFu@q^~qOLpKfG7z#)KkK`hgP@dc9wEFOCqAbladoqM{leM=cp2u1$tYYv+hCBWoF(2T(P_bq^U z3+=eC0REVV7NDq#p#{Wcv+`Vt=Ku~filXKv)2mildjA_ZwSkBgtfYI9s|ijkc=CQ$)QHPdb!Hl0Bbll6HPQ( z{LVmO(FkF|2w@@4Kx}748ELp~l5ycEWe(*W<`2Dql>&ucQ&)OP4jH2M{bkI)NEymh zF_R*F;Y8>{a<0pCn0?ye3O&r?!0d)#)a{IdncE2F0{!`}GvSghLmtW9Dr}F;@Ylja zSYD*gDn9Lyf&~AZeP<5K9YUaV!LBQQ+)>#6@{hkj1;}uO6b(M7}xdGmnzIM!$kHf&9x<;q3qC1pqM566?`iswT zzpCk)wWR!W!bB5F@RjT-m&}T$K4H!Qp(S!`=<$;`(oJIc^Xn=~?{nkk@4vyhHyIY? zV;_0?=g(z-Qq4~*k)h-sVi8kfQNCB@TdvR3XmxsnkFTG9KwwaaDYRez0iWmJ(Wfjr zbhxbC?Wy@5;w#lRBnG4jLsESNBU#x%_87&8v52xfn+N105FuVMA|>w41HD8DcfPlY zkVIYvVVb$Ho!yfc^#oRl4@T$_>0ZuI*e*vhVaTnR0eVq1iWuJfu!`#?K@!ofoR778 z@@$>3v_&_{#=#02*exVeR6lHDIN5Nvz3mx|b(0*@k)O{HbYHd*(nAaz)k;wcg-BA-VPIRR3jodW8(k$YESkCGT% zmT>|S2CzQpeA>LU`G3}_cS{%Bg6n+pq&6{Ih$g!A;&wq%uBYr}oECWYq4f5&3qZ|4 zX+f>}0gP&NJA{;Cb)FRbL%22bsocy7u-P4(n;DbKQKeLvi!yJa!qx)Oa!bTtH%5f8 zlD)2>s)MV8t^av6z`Gep_Z4J>h;1>ku08-zG5et>Phymv)q8Modbd2f(3pX_6PbgQ z7*d}zDe^!m2rSvYc$YnAoFQKI_+UjnULFFa_4{*e)#S*L%E-53+N_5XI|qjiQ^T#* zCd6EZe9dXL62uTAS48$#Qaf;b5G*MpgjDu%0jTn50TSD%1)g1=LktKoU@LNHNYq~@ zf?|{05Ar=ch}cTcs6^IL)7u2EYY@+y@N_lT2ucg9?K?0#YM<@9#d1 zV5SJ8$`E64|DGCtXge)oHbP9{>_?dH*a?q~=b;IO-wC`FM6b-T-J{5QBtwUt6K=(j zRMcjiajNi#whJA@dVy7VUoTZSbe^KbkNrp-(;l8iGYV-t!{*yw1)ffiH0 z1Okds0Zhzd{QusnMw86_?(@ITbD#fnzyJ3&bl0gf{!$fbUh zBc&{gpIOQMU9?cV!TxBa{pLzPYplK_tr7|ayF1b=t?*T@X2D@IZI*q^PO6k{aCf9u z%CfZB-7%w5Zo@2a*JD+?cVk)ipYVerM*i|&xPR)B`L>F^r3zwoCfW>&ss@mts2=cX zn2D~@M=Lmq7$gHItk*}|mv0e+(9rL;?6~x|d$c)r-sdN4F6mH#PA$Y=d7gA<*mG*2uK<{9>D&SYjF7^0`|fVM|+E{h@Zu zBhxA!_j&E3+lm$RAyDSvs6G{G#s+fW`E3qpe?I#@hWc)efisDA&3VB0eyD`2-0qGb z`79f@(>`^(IFtA#M7)53(fDf{w#I>zNv`vV`Ue!UVAfICcLXQnU_y?LHV{`8VsA3r zRSTJ6E*J|aM6C$HiDP~~_)PTGVDjR)-{=ne@3#@(-r~_0L+V}mJ_tH~({`NciFJh$ z2gB@{%uNxe%g}LBPPP~8sKA)*_?0$o_kAD-LjfJ)8634d@#pQT!d}kqlR++hdwbcY z?d}NkiU_my$xYkMt13YOZC?d09PI=AhV8T*-q?;qDXvl=7vOkq!xlZgWq*UWrgnJy zZGW4+<7YcYcYM3!g`LGaOYo)e5UyYQ!!zG6-dW=hPu;GCi*ZkX6Y;9RRSxctbH_m> z4dwwIB~)!zSzG9oO zr=B}uB>Rm0TI15fGiU6JjRnrSL|>un^E0s4cw&7MP2eQUkY=pi>To*6EEt#0Nl#&u z5)7B+2!amlb(BcT`EB;Er>{SwO=M{yRH z+3{?Eawn$N4C&bbE-kMwQ@P3$y!6uWvjM6HfM)|*X1Mh38NN`Dt}2iH!dU;HkqtDt z)2!@Q#`?!8+&@F!hl>>jkj=}i>P7<_Z6Hl1FqeL6Am))%{Ts(WwIA7y>-It9<9Uw@gE$} zs`ztbBfII6>Q%Q;F9cT)z;~{>u~6UhmO&YpO;VvgZ!nlI)FV($fJl^BIm1&dA<*MWP3%P#c}{k#87)@88IFd*3Wt`?MPSbn*Izt14O z&DOrd^0ynx?tp~EU@lZ?)9-a2IX3(|6L#laKe^+srMKRMj?T{Jc?M;*-)8V6;d$Sq}G-j9?!onbDk{$zA8ZX_^mMG^TD71X@z0Uft1pmFj!R2!W!q4jp%Ku<$YdR}`Q4!RTXlhI`m5-VlvrL=T zn%gbIu#mb`EQZeESDx8iL6M_qS&_Zy)}ou*iWapMEdl@fA?{{2%H(nOKZL&}?j^n; z*9cU?!gQhXlVX6ZcQ3O(IX$$>71n>V`{reyAfH*e8|sS7B)uskqN;9LkKQDITw?hG zm@g||3v6Z?z~xnKb<4OV^m7wBWtosvbaT-|ZAG_GO;f0*Ew}dHN=fSM+)a_o=xEr4+V2nvA3vayXH{-_+?Y#MKHI-Rbh8G&#I(~CQ z#X?jOC~a;K0?ac4VLuj1GlAAsi@CtMfU3HHO-*{B=GpB~l@e!fYS!0mZh$%k@}Zfn z;3_xi!Hj7eIGOJnFM;(RNobHwj!vPWRcFs`z~Mzwk4eTh$j(}8zo~*@Zc8)hO5S|E zwD}|cOhegV1FedI1`jKpYv4y3_%Vv`4byM?XoJU06a4E2yq*K06{i~f@QG-(P;jhN zcW*4?!iLP~pjTm^v$^;NpTSs~v0B^OxuvjzQIOtNS0FF&go8`W82hhtFD($+xAFQd zg+LY=C6Uc&z|wgZUSq*<8g8q5wg=aK(t#Os>+EBHhX^;L0Xv~*ihBHS!?WN%(dLuS z?I7!HJ4=lPBs$P3272TBzICRPiiv7EqV-W#6-1@IVwbVck=XL`iN>y)=9-V7M7{s= z9z{z{;}SBEl|#(%T1DWA$_8!cJ$Dkk)AsgK>na-;zC{P@_L369;gAm+7hLVEA|c2k z?$x}$*FAcXY2g%IJIBFP7*_&>ON}a~sA7BR-^BvzAJ|cV(xaVyQD~Ye6wU-*y~csV zsRlCcpk5=IH0C5EO)3!yGy@zF>6VHd1A-1x&eLqCI>BL9ON1+82PaIb?G z0d>OApFyk{DFjL_`VG&5quYc_p2q}MEDj&BQLCIrXi*W>{wj|gAvA9}B&yk1Fxwjd-(IBig)jH8}-!PxWFa|%|( z1*NxMc@Amhf55MF9?{?4Ur;tIqd1TX)o9_T|Hf1+AUz)s| zn@7i?1#pkVMFp^I^UMp>*JvA3r&OAudVKeh~<%}Rg@*+Yt?m^5l z`}hk5m>PxUA9&vr&g!14)R9?7I#?Jd=Ge=3i%ED-h~hcMKJPJVpO^vs zT}+XO(S1n786i|QwZjDWv;z0^0?0?Ffqa=x0D+tCX*^xERZ4c>XR) zeE{1xI$-O0yWOdrp1o!lh%uOaQIF8WRt^l~C}ExRcF6}Rt3g~mlXx$@V`nWG=d({V z>2VgJS&y>_C-XgEPy*;5aO`v`Wlj3ZyJ2?naee>Y@EO&!gAr34;$05H@l}hM+R@Gk ztD?(usMz>Y>M$(x$KNMITAYsEzRMHr??<9OVz#@6+y+i(58Fu{h%xxFecpqeEvngC z7-m)nBF0~gE7YHV%%2~FCKj@bBpM#A#_8;$jh>{kEF#0yn$1$y`~DRE%!8C!oNX`1 za54-vbLvFm@E?*B{tSI;hFM6CLnDg5H4gFMtiaT!Nr|brbb>K?44+`oVF?6A|6t0l z9elHyAT0j60|kdcCm>vaDIWBe0!lS6&%sE4-2pk*-O8xSINU*8gq=7)QQxH3&U>g= zocCzbBT;bc-IaGYKCZ|61XmLmFXVd%(8WutQ2T4PWQX0b6LV96Hk=2Cu869H&KGR1 zs13*LhEm>QmGPm~C&0conY1HQ(qwOXFB$6wfMMLE+=+%;xmY`_FnqV&J%tpYt_MGj z&)xy^F<4YNboLmQ98znucPK*|5!qL1Esm|6Qf%d>ko5*NOK0{D`-6Y8r|)pb`V5}i zNYa^vBubci<@o#RdDNrtc5VfIE&#GH98_S%pnNR#n8ce+gI< zH{pmRSe~_9uCOKqx*u;^_bKR}J8ifG_V){U1K01pY)Uef}j8Jr=aDUli>ZFl$nq%i`%S zu$r0)KKS(k{EsJ?Q3rZpl}I8hkh}dB#kHK2;g}CLzOVQhsMH)4z$)BfkvjEoi_}HN zywyJGsuBEsji`O_vkXJ@Fao6(q2<#O_@8P+cH}Vp80_x`A54(pj=7@+R_VL^&A1Zr z<95LKI}x&Y-Ol}8%|}~=J)%%`NQ52xsxA>?%l*xVG0umDs!q|j7b*8(xLbTjiQ@)* z9B!d0;5YCgsD{lEqv^YQ=z}>zRQ)l!SbQHphF|0|k1@f&$lVuo>5`681TbrI!@(NTu0&-m zioQSkm(lB^-;MsD86L7fXhE+~PobTyhf#DJ&Nc8?*ain>SeK!m;nii~w^L6xcaZJi z2c0lLy8nC0{o{CfweeJ((CzDJ!RExrPl}HZgK3)DZ5T9Kn3z;rIXf{9eWEV2N0wk|lU$X?~qc!#y$ zhK=(&N02_;WC{T8ul&@vomB^8`&d7F9+Q9bJ$X`eJ-jt&eNeZAz z&<9~kDJ`O3mnTckNMD#G2nQVP|8B_}jPO4x)t*G;1R>7;Ygo{pc*4s|DGvj-_)8H` zku%u&2naF^_CH1VOt`!k;S0s3Ap(LeDD6i0ApNs{7~zY-CBWi?u7|xh!WWNAv;}Qo zzm0>SHk_RiFX-7j?KP@gaFr636~(7P~!%J%2rW=z3B^ zTAL}onyXl)HMzcEB~n<NfYG~Tu z#xMuu?&JSB$@C5kDdQKurRT(&C7?)LC%Vz&tHamef1t-h9li#CB7e71SE?EG$fUy; zJ*#HcqW~Sg27f_%6sE)1;4f5&@<`2J z!isJLS+H1r?33gZLN#s|)Dm{tm>pKmFgGrMAOyZ(%^-Uh<#`HWl9hUxVG>yUq@>Tx!J&*iTmIq#JG1<*`+nwl#7R&6pC_2r&pgY3 zJ7<3j({NKu}$W9EIqYBBtdpMMGh!C;S`r?9+^0<)l z%n-=0(Jk!4MCsibcx(2zA<{#kroxVQXDG&k;e{dWZ=YN9{0lF>w6<{F%dZr1#jlpU zR{HvSzU+6d4R8GZzi$%$&n-##&qyiY$9_ub`>9mYOC!rvLd&0usIp_Qyh0Q+sw*{BS5K8SbndT( zoy3C&QGj1}578Q)t|H%8aQWuW<^w$+K^jP|-DF4GwFS* zwjMiG^$9h{Wo+;n66?J z(!CcYuH%55b-l!mC0t3L@oqXFxVq?aQ3>L}^i zNSxcL$o8ZG*%E$6c-Nt9Pn?Eme}}ORIM!$>tZMYswil;&)5updapDS1S%qv&H4Eg)J7$>6JI0AWc{H=mldk-&hl&uM!ObyEli&38-*V82Us zuu15MWV<1S`uOs@NWV(m^jehkbn2$kC+5Xr?<%o~c`kAb&B*G%m)Oc1JOYZR)+8kKMPHs zD1J>Ot&8U)eLA46Ya&6ek%ro{kpxuexC@-NMrJ59`49oaGrvr|EUZp|EJN)kRr%07 z9r9517Ndn595tgZ^cl2Ue~;okx(;s&mm0tF_X#G>_i}x!-Qa1z8jG}Hze<(;vlsL9 zHG*%EfN%aOEdLrv$LdrS+JPu$_|>WQ(-t%u*vE31$O&WHJSYSM`xR)a%<2S_D z_9QzP!N$Iw>=3S}-;;`xi}J`$VNWvOlWZ+T0gI@B{seb|uSqGh_f2I#X8Bhl>9;6S zI>z!ZWlG&FX6O-?OJMKHgcZD#7Kp|Zi;{|B#b)+6%XhKTi{16mW{9L+&wCqrj=@Xx-Lf}T|(pb~)YR{I)Ox*#9`@EO4A zuM6T_f16Q=1F!Coo{QwyMdF-?QQXTv7irI$>g&YB?Le4alLRn{fWsZnznJMxKo3;} z;qrVYX2*+})M3wOqOZ!6*o{*?7I$LDE0MCvozzhjNp_biV(dSedL_9uH`9DM%WM{v zdxF6I7$HNyc~nI=i^NA*fuTp|aDZZv0=-nzQ8jrFvh&B(V5jf6;s8gXm69~mPXqq~ zjiu*r{EO?ba236mtqQx)kXXELd&^B34!4sBMjFC*ngTdFn~iQ6B7fF{5b2pe2W14 zceSUf>NSyh`6j(U1CD=(_yJXaed5LWiLOxo`b1zW7q3cellwRNJc@z8HgV>)i8+CiEfM^` za~JWn-QqKO;Q5k#a)7;&M$>Fd3XZvo`>yn%Rx#CyfAKi|P_+(mrFF$R?T<^SfCP+- zucGnzrauANz_3T0p5$JKg#PwpofWQs5%Y>m#6l0iK!3l0Bup~QFq`yZBwrgPy%0%G zC8Q`9VNTktg9iqhb#WCzn2l7*kFwKj#FIRSuL&p1f-G?k^$Qcq!C7g-Y8088g$ZrA zbMP-W5nx^Mbb?=Z2?I=QF)-~Sgb)@?I}2^$0`>Kp$lB*4Rn!rpyf8dtbK;={4s;+V zo;D09UY|{X`5RBqK!PjitAT_8`FuesEX;-1o=uQVO$;zO!G1Df_-q1-bCy_+kS7(U z?E1v@w!{iU*|oq+fu)|fDp5k=I7Au*Rd1-=+!@~=)E~4ZsA)NDQ$TMS?u)Scz(p)h z*+^&vd3Q+{Kh^jl4#JG-WHiuJ3gY#l1c{p~P}{muh1!aR|1E%XT`)4X9r$fLAP~a^ z7aKRSE8`*B1VTfI3o@%k(mfTc6}B-$hmGB|(FzS^gMAx{f%Ow?3fDw)MjQ%%9D5o2 zYZ%7a#OO;M*sCnxfY0f$@(UA+Q%av)n1BE^ojjFtK^Z53+-lP4r$pj5Wl#fR3s6wJH^2aS`Ub+33D;~NhB3L3YwI5_< zdAh@&_Wy%hJcJmh1AfWXBc6MkkL(u?JJT5p|pH+tZGI z!ms?bco0_yxW)QTk=(`{Au#5f3HEwQ#~!%5wg-S(ZNpSO&Pp7gOXaL=djHJg^Rh0O*XNWCU#8%7JlA2qzK8t zR&dUo!G~tZA)spDOC+GmzAa5iUynyf0A3rO0;SlT`h(lB4D1M~1A76g>3BZURfC9* zHIc3@?6D;FY`UZNh1|xHTz)QX+1Ls|2u85)Y~M`qoo_&a5ekV z)ojVt?9_RV<5JjOp1ZnD3g62|&ufz+_VPa5S@!bYtNHP()&2&8!tBMCR{z%3N)TIl zH7wmYbjOALI%r$y>UQkaeZ=_rFa;VdVzB=vlLku7=sHV5X93D^P7IqrIlBFUS^R^u zew0gxq@KwWk)cy@$Y`?9iW7e^O1RIcobv_BJ{(hXSX$HoUepcYKkiX<+{H`f7Y#bP)HK_${@CvStP=L=56II7$!1$ewsOF(PC zQ;C&cAz~_OnJZA`isN<}Fd6%w<|2eZl@mo!Zg~3jSC863Lu$1{PY6{5JzB{nh;zAh z_D|=k!$xS;7ySvX>!8Sl`j8}%OJc8^>q);n!k=Fbsfz+o?R)U;w1u61HTzqm*2q9{ zi9O>gaXN@1bm%LQ;$id#`}eu*V^?{`hF^(9Q-Nv0{wci@XuHh0_S~yjQT;6??i!%X zu_XK1bTJNa4fg<0wNaPBP)A5cP7pwx3X%Np(nU3_p6VfBW_@yQCbFog9g^jp!)-`L z$J|V4C(q49jC{m?=_=7$ASNT16NA{|3xs(Jt5Sf$BqdS+Z7}lyw@+I@l{x1sArran z8?R!6Kv%$R?i_d{3W6@1UXFB|R8j2XbJ*i^T21yZbA2Bn#UJPRN|EACq?qPj*U>)* z?#oRbpUuIeuXB+Fry!9AS^Cv1ylh06U6x>VfLBOqK+>#J6SuCzqQRhj41V&KIqwmin|RzydI#-hnw5*q5HuzixP7j(uxx|G$<+2~mLk<2hSQ zPzp{b5j|n*>>r5dXS_-S?~4;@X9|%+m@a5Jsk+-ct^{{p3BJ$xd>?d?r`nbd=7W~b zmcu@O`fW^I{Wgz>sjJ(eUYKpn4yMk-Z1XUG^DsTI8`633M;9jKCyVdG;e_lPZo72} z$tdyFej&jOG*3zwjM^Rx)y3aIy2GvG2j=j7bNK!_{AY6nLy<{qy)%*hW1{CU028pv zcq5%DOi1@1c(FI<*2N=_9Oh0%rq0jixYr^7#Qw%yC1etZ^y4|I5Sm;6WG>kPDZ5zn zWNvMB&HxUzRj5yD-^+mlfaQ}lxsdxD%z+R0HM#azXG8uU)wm{i6?5WXjtlz4{@B-L z2mtjJfo1RIP@+;;GB1PzI31A#nXW|^VRdX*AM>CY-;vJ?f%Q^O`I=muv&plIIpE*C znk%h^a2^lVQO6v(*UpMmXR*fHh&t#z3>+-YKE%i`kv)v)Nx{+r6_8 zmiTOb;uZ#PARNqQlc(X=0c`~WhpSU-%Cgz*v$P!QO83qZF9Gb97NDJz@p`}{p(s-Y zP7KOKRtWK`#FPp>t;-+XVr+#d`&-$<9U2abjl3C&UdolH6G|C`ep08VuSleYFxI&=HSB;Jsi$VbZH=7d>E9bi$~~JBrahl&uq)vb-if zuSv>l5>2?`t@4`gbAauRxY@HP270bdQVs8M+{SNV^Xy{@qVU}OKM~qxI`yur!vK0qE#5(iRKweo|Q1 z-jr#eI(ThVhbM*+ql!12xbwZP!&@LiMHFV9q+U=7&KM0pfDZNd(L=nW+EE#-Vy?(R zzhP}7yHSg^I=)vxH{}~4wDL@z<5?72VG?iGdK%_e#3n6R5$Jx{9|G@M3#n^BNdb>! zvHwwsxLgad)>$T9MYwijKLoF*V$tO^{_J8i2z+%!#m4}T)KCQuwfaMhXwgFcd*8dV z`J`Tk`MNB7QWk!pUHnv*=;TYX+T~Yj=VvL#_Odm(Wrev8DKAS2;<4NiW|)n{!(LTV zErx8;H`P3!TYn^LlcA|>dsf+RvdT7R4U|2RRmNqpJF^@b+NLa!UTex&4!@EL3dhX( zUZ>-M6T7uvrX5LLH$Yu?8hXnmO<=tKCR3Ys>#|&5%%sdezdY@pqiL5#)2@o9T^3Ed zsg`Hi6*Jj|vw)Chl`%8X{nZ%(%7s!4+?aGJi(k-Gi`Yw94qb|PycRsrbEM%x;=3oW zpc{G8Rhj2-dllG(mr;h|47@vtASfIW4FqTvOw=4E3Rp@EcsllbGo_a@C-}s5@zxcw zVCHhMgq3~XpFnKfGsHkE#ZIE8c3}mRO{lO%Y-~_O{P6N1KALBlww%K z{I6tdm(e-GC)`EG&-oiTxm+G~8@UAP!g%R03zhb( zGJPR%M@FfYK+v&(;J-JKloe4JCifM^l8NE^Uy56`LV|!w+J`dOtEYN^vO#Q#7c*pH zDZh}3+dxo526-Wz4kZE=UkH-tD)e!p59eon@S+CJ-*oog`5>rZQz#rdi@K*q@xN1r5@_dz}B|HKU3_JZP6^vrWd7bfgu>`Mx@q0hJ56$Z_?X4l8Qk6i}))ANxWMhtJX4V;W{eNZY#Ha=nX-v_$J zaHxom$zg^l{9+qUoKp+-Udt9JB7GboDJIUz^aJJBB9HSD9;K(ePsB5F9mHmtiw(p}T> zx=rq7%k%KqfhM7v+Euoi$8BZL+m`*}%9nq^CLtw`Hf%_=e~>3$+K^cDJnl<6U$Cuz z-d6j9O%6hYeLPQG1)}#7!X+EP#B~A(q0vnZ!PmMC)qOY?uqTR4JkMcTA9#vL9ZW`AUH#U1zDPxCEO}g$Rjz zs~~S-C{Em0%|4vKJ{!;88@uHrB^2q|Pd=i&If?cdW2LdxXtBVOiMi6)Z-iw_;-nkd z}9Ge&OR#=yzjlwc|GSHpsLZr`xB^1pJw2lcKDXQ z`lipGXk>q7;_!Yf2$-fwwlao9>5*Q~UI$6f6TA-Uiw#HcHbnvKycjW)(~Dltu(1FG z#fN*VA%6rIHA7_2^S5u;_9&zKQhE!M4K3QA2L8ut*c{;ECYbN0Yi zsC-_6WwjZ5B(P!9_H^d%sma-+WnZ7O$3d^lcu|WMMJ>^H!*)RNckDezz%^-vG>NI0DJDoxGh$Sh2kNwYJuCn z`5n!f${6=CIr-bC10yNi$A2P0migP#qI!%=I!M-cw`mGHq(->|TE zw6Aw$81?{)J}T-dI>9bY6aRwO6QbGJ*`&w;As1dYAAwI1KqV#efPHBid+#*%4{!Z( zWBJ?f{Atsl{~~Oz`0EyT<-1j4^?Nl^?N-_m{_p4i=RII1B9;T6#J$azjlbQ|jMMF2B?OE0~z9_=h)GM!XrPCc8O9g_$)r*2ga^XJnW&E*h0K?#akmnjVO? zw;t?l+t;yQInepx1)S9R_Gt6ri-%hdeAm^}bqOA7zy|rxzZF&pXYSo2G9ZMC_2NPP zzCDebmTqFaFwU~6&^uuHTzbWe1Kf>bG1;n#oUm0h0W`$*SkzMJKHsY_aXeXAE*qr_ z0fYLQ3xV7=?tL@2-OT;X%_vsnR++fNE{T!RguLUH*%*Ls7WdbSSD_{!+OHkWdcqRF5J&y|C67)i`GlaG?)1 zt&w&x>g@9tN{6GMAo9^FeKqnzb?R^wa+CD4H8R?>&+$KLNs$el|2eixsE?ZBqFpB6 zO-i8fu>FJUDW+{wv`f2_Vx#%l(fs^qivBQrA{soE{_Bar2Od9>0m#kulss)BdHW1C z*MWJ_j@sNk17$vSME-ZSUGm>yyT;%sv-#&E&bGj!jr#ed`s`ZubEH~gF5hTT^oxTN z0YOYq06+(wqWDifI%Z#57{jP1ob&;PzyN0Lo=-=<{O00!Kh88A5&t#YCI20^YpmDS zUt?pf*KS;@uj*W5lg~=8+IY_92z$kL+5Qw3hy!fpMUu|u*93eN_S!Waz=CT5@I3*J zCycggr?b>Pa*g!TwMwj-oTwy!1}AqT`^>c-qZRbY_tKINNXV>K602$`TMkr77^qVe z*f(7(G6gWjMN|Zr2s)xTsj5Qf+>l5rBT4NRGP{&W$UK;6HbOP4fy5id*SO$P>xy75 z*ro3`N{emKU*&C8^^0vAf^puODB=udL$LKp9uW>bv)C57K=_3{X@T$<{XBu60>O!u zMAngrno_Ac(c-rV##AWcTHb79f4Kle^%@&=fkHqiZb)QbTrePyRlFy@r9G{vK@vQj z{=KJG>fR2AWVWg4+acz%_9udMorKP+UR#3{fgh+vetHs z8nn6-SFIyf8L-@>;dM47@L60RItrGVs?j-4^_Q3{^KBAm%RBd~4MxHOmR?$=LwmF5 z+ivCPH+;UWX1=XH&&EQ7g{VZU81&@pHqdtdF4qV*&|w5lUIxd*wTJ(iP1>-BzuzXk zv4?-aCjEX7{~!^LLS}tFUJ0gfL_Lv`Ank|+DXi886;#%uc`yWVjS898F*c9R!38<- zaRf;peI&cKuwh`RsR62wO$}`u z^sdp3FpjEs2XIFFOX*^hM%=KYY3wgUfWwcCjE?v977F7dLhs<%*;*j!Y-tGFm;l13 zSXnY4p5)GHS+KEkF+}*i5{+lT&3SpWZ){9B+}%mJzZ@ML?-RhNUq4NYrz6vdKlM-4 zP|GuPyzlckRs995>TyR+VMBdkLwR8%2@(86NTW)G5Zdq8)xUfgK_&Z+hoNvP^Ym!n zsd1Iqt1WDVU68^?*acbFI8YqeSl9@97!rpH{Q$y16fX1zdafR5T&IT13L8Nky3&11 z|i|MrSnn|{NrA5k$*wdQ`4hfPvk&vpzA}trNnxD5z6P{G7yMltB*n3rmIH# zh#>9a86l|t;n4C+vw?*!8Cr6VZAt@i!``h@vX-uq^P{Kx3W=6Y5poRl5R@x#+21!d zJ~(`8d~jsAkSRJQHmM|-nHwRZH!==%uhu!Yhi5#KfwOW*iy6>vw%9L0mr}AH4GOvIBIDgooJ$n=7!;> z1_%4MQ>dh*#B7 z79?S`PbI$T%sf1jo(Aa=&p zAsxu+m(nTahg!-6qzXkUup77&tJbb&wrOO0IkR0hlq$ic<;*s=#Jc5;Hu)2D!8)DS zv)keRNVyAO;>K7}-*IV==UqO;i&Yuw1*tE(_yDhz=HUaqIlq(AJ$#T?ezhjkQ}hoh z!^3?H$F=Zb1y$<-6jq4B>V^Zo!vXZ)E)O{{8tBNz!iG)x@DA`L>InoxBp{Xxz(T9j zgEmgftJ7QZ*(YPb3<+ZMtQZ;OsMA9=|H;AzKEJW8PCtO$L-~!aaZudZ-jwL&QLq55 z&$%vTFvrMV6~~svd0;Vb&wdQ@jZ&^R|vo>H}fVq;%-eWELy%*wF#v=!SuNYd+)D>XhJWlp!)-Atp zgP*GftjFLG|Bd)A_gJM6FNOy-VQ|E47%%o7=+PFv;bpehrzBWzSbn3p4HD^jc(TPR zr)`Oq0=&M}o@|tleDzEn@`VL@!JG~77H9=LGR4VePFWI?60$tFKUO}Fw=x8s} za9;HmYh4^N_s7@8wZ{ANM{V2$u} ziFvj41oin_Qvj^t4!&~uZF@_$eg9g*WqHMyH7B?d3S`*;W5 zYZ2Z^9Y~mlLW>}NGV^zP8+8qt{5>?NGRA$Iv|P_G_5wb^)PIB=jM{aMim+Jh6IH9>ns2OmU? zBfz=!L3^qy3_GmqvkM#V^UO*aw;1ecOQgpM?5)HS3?U0UTpS9U%HQSXf9}N|`Uo|y z?Ow0PA^ptD-|6Ka@bV5?Yj9-TGdV>8qM6 zzWga~{X_clW$35Rr3du<4PJ|ZHN@bh)P)I{($v5gYA~g+ai|b5#Si`iSzCPWsQqkTz2?Gkt;-5$23XiupxpsJ-1k{*3=+_@abS-2k5 zM;l3x@*0X}Zr5YOp`GH`=*aMA+Ey|Cm4eL|Ig(d*0+KN~3sGjQn#wnRWg}6O{CxF$ zfO`2fqWdw*_ewW-0oiT_08QrjAhrO125J)AD6;K)-(r zxgX}W^p?-DgP@jMv|+~ND`$WhT}bI6;1baCCZ?gAfqi+7K~KQx2klHK(tV_$=m_-y zy{0>$HK0ndV`ox}RQxkzz+dLlODhh0jFnv{b6rPT(V6{6#KK5@$%eyiB4GM?zj zbj#q``=mfG7bFFFA*f?`gBKh(+6Xuufn(^-%?s1ble1vaj{!Ju!>_g%!~kkzqf|GF zd1_+U$DSYuEXs+u-&asTVEf)_9S3}xH}&1Z7KTVFC&33s##7?(-#d-aqe7a|<0B)& z(5cbS`f3^1od6q@?ihh{_S7s*60jo13&A1(2hbiW8(oEC5_s}Cd%zZ?^dZNQUfrbc zowoNBaPG13(etOr&yS)|05U?V2|e^<)h*pe4tLh#^vt=78wXBa?+Jif_&N6Bw8~y5 zwhwWuW9CCmIjN0chHZAyCJz)>@7pR5E2nUnruaDSN|d7%4-*L`Cv~n+rH#GWLR>Q> zvi~{4SL=%QHG2YmyFDQet`|~0Nc7yjP{g>;qGAik+wMRyzyL8i;nnSJZFS-5Xnm9{h^4uq+Km!FU%Lfwoi7C^|X$BHm)B$ntm#1Q?W_-q;Wcs+&+5*Wbo zWM7#4xO)k9Emc0F3TN&UWyodR40i^m_l9zezJ%jhLTM1wFz--&EWv?YD{ zqe>iBCatyZ9=U~aJ&2Iz+>&PM`e|JU4|g-JrOOjYT8I0V<84PekZwN0`(elmA~jc* zLixMe4jyA%Q{B|Vyyr^daSgVj)h0L&4mF?zvhs_Oq4Sz7QH>|GLEFkHEySPLbKYM5#_HfD zM*-WF*OrVxWECwK>t7AiC_wvDW`B4J+6FZp_QDWOX{730kAFzeDtxf^PR7tNLjGP}=&W{uItc(s0kN1r- z_BX=_bWMquioG=XfcVdRm@c1kU%FychCRrzH_<*E)&Pqi@;|7K5hQz_Aeq^L?Gc@> z(w#ul-0uS^_JhV;6)!HIB8RazWGi2=*JQgD%Nvd*$5KWt7T+sYXcchE4B*U$mB$=# zgtG(3l70d$w^+BaZ<{A;#kQ72*Vld9z_M7Ju<~f$$`J?jU(LP{d9K^2fPln6UvwMg zWGF}w{RkC}0Q-yaZX>%=-TRgnZqTP(v}EQSYc<-xi6@;%w-Mq6BZ`eh1>dgN=4piz zq{(Mi4E8_7_d`~_1gaY?Mo*o{DDMG)T8g9Eiz7uBXON+s1W`C=DUK|P5?6Bu>H!mp zyj_cE+EvB?&Y5sl2n1n9gc7_3R=PVNj5jGMttLtj%g)BOB0Yq{FF^^kKfoQZ^ng5u zWJQTw01YzoYmib$$=!**1D+s0b>8|J^MtICFR(knAwo>$w)XboPq6I+&j{cqRjc(W zO9x8Tfc#~#i8j=>cEyBEHDfI}A7hAEj}7)EQ(0ky=WcdWmOEggmEjmDsA#r+q5u(* zKV!?MD0AUyR*->fU~pbn(w3G6egaK5qIAeWETzZ)t6A(@S!!her2bFjeGc~|Wp8VM z`;%qz-&-f)Snwz8YBk9udwM2Oxf%PG?o$k6^-SnAsv8OV2%tf)Z6rVg+L|n`3w8Pe z)|r7jwl)iHUi4~;urx&YNC0T6Rxz4MBre{c(wn0fTWD6AXUhQ&q;UtpPN-4VFPU?> zV8x&oZFF5g@}Si-n#`VQsOSvF_-`I7o}mTK4BTz^m~m45k4f;J^~a=&OZG`{6wgdb zw=otrC!aA-N=lz&Tf!JERyI8+FTX(eZBL0;4uhF7&Wk1huzleu!N)RCz&?@Xw10#y zn#MObo*GgHPSptewstgvS+(`tNUzWfmQ{_=-ALGTjUYF6zt>zN9Bv%#`=W1j3^DKg zu9?9rVaZCT&yRvHM{D)msj)uc%Tt5nwVN2sJGfW7Bb}8=Fn@XFL20afim9+#2=)CpC=%08zNwf_mMVcKd3lec->6RhqnP~g zxYoU|L%syFQ~6Cgz4TC1;9HhNAeEfXt>>7DHO5pEkx{w~pRcNXQw?oxt7=Rc&==HdH|x$&RI#uB&oL$=l_eK!v6d^_@1frWJEk!2)aZ+Fwj=#*;J?>D%88iwG=>4lpvIZ)!$xLsCNK$ zF%d)I=Y&+DHt3LI9?3&}{&g~G_GX;Cp-QVkIEGKG+ zGUO2!tj|;Wv2@RfdE8mIo2z6mrMhz56-eOzE1u(4nx4mPky7$FJe^2sZLL&xxzDWF z!kt0UXQ|hfvmhnTfcna+g;$UEO4JGaGx!Z0=)%5&kKMxo#84lG~8d= zjv4h#-UgF9V7?_;k7h(EoN0_rE#ECNo;3dOmo-y7Pu^Ek;fDvFrRzCuCgen*{9O%d#Ag% zV$1kLN?jG#i;d#*RwL3=x|Uv7uV7KrDlm>*OQUmRZ-yfGC~u49GgS$-TjBsmifW3g zi+U^IW1trrmt2***Scx}Cn7_YtaHHqVO~qMoYPVdqHLFJ?5}axv~2TymM2>J-mAu} zX;+Lm#6jAc7sMle7?sest7Vtx>uP9lNlJ)6iyhFk7>aio)`)8EJ-4wXKn`CrXG={{ zt-FfzxJ9l`VA|@cW{X`Fx2gqxtpNxAGByZ&3$qP0lm<+{5H}$me1Q1!JQ==Oo+yla z3ZM!2@Qo@HzJ?KRP-DQcZyf6z0!~@WT&axqfF$ezrNB{M$L3t3EXHw?6yPk0*cUzp zzWOn$QY;(7+YVqNk13zqD`Tw6Y{F8WN>g@bD2J+Cp4%}^m1^JwucfiqrrJN4UPlq- zSRcLYdujHlRP5DTT@Umdl{;w&m0M^Kk?}_VKT~8(Adh&jm*3Z z)Cxtc4dGlsTN~`)&x2>o@cjX-1R9?PaX~1glrZ8(AU;pqNl>tSQu&paV%HL1Q+siCLib zV;Qrcm@p2By*}0L!Hlcp#0gxAjlD1clr;aSq!HEmho!?R&prQ`bZk)R6HaOUvZ71s z0^@06tE8#VX?z;&I4ibF`&41c!cM7cVC2gQ9G7t0r}s+TBcFW+CeT0ohK8og6L-oe z2zm%8KAX+}@0d{bf?&v%3g85l&=8;C30{@IWDadZz+Qic-FDJ!Y)=jqGjMW~o!k`J zz_POirhl@m@1IgPS^nHTrNBM8!0OO3X66xQz+g!;qWE-A5D`^q(}9q{kqQ(XG;1VtypRG&x`!!gO7?ugu49Fi`aKNzeRP|*vLBk(OnDn_t=iN0 z`Zo0`-KrA5VQ@qV7x9bwDgxUfg@7a_n3NwU?h4gAL_;Rx5OnC;pH@#DXv_Fi4u7ZNK>6N8oggTYcx`&iGXT;BkDKZ~8*7}!^(j@%<2AAIke zDmsb0=u8kQ-Gk@)0M*>5+0@zYf3gRYDgx1&y-5X)Hzs+MimiErk9fXa^~k`W+4Ie+ z-43B{+xBPC#+T2Wxlf$ULg~}BM;Qdp4#Jv)x?&QrNr-{-217mHT33ZC-=J6shfyw! z6?n2MLre1p?}mrW6`_N7vd>JdDy?8L4DdWs90Hc3yyDQ7OHH9J{fZDgt8Umbb*3=A z{)MSZ1X$SQw`dGbm(ZGEN7wmbUB}3HKyCW^OXzX#?ujfaP|lzxj|ygKh(VS~%COoj0u41Uok z&`>ie{W($SUZ9|Z{e!1fsUxEj_^K2YGDwv>M>9g`2hzC}-V7{2gh-C54$6K!flKF; zat`i={rjbkjt;4(2SiEMY#h2$QR&p#C3SlH!6c;kco>poWI4fL-l$KxP&`z63o@p1 zhuDvkoJ&Fv8H(Tbfi4csw;xm-a4ivl2O8`|A65zlwI!Fa#oq@*5wV( z6((;uvrLHIa0Wb3{NNv75>EY^N_Gbkt`rPB1vm}~R)V|`tdOAN9xAv8;;rYPrssyH zx|bGCJ}o225YJOhpoPq68Uyr1meYY8F(`rflUfOjsK_)A6`AgFoB0e6RXelaOl|+5 zRoBmGEKQ9#NoL>0K^Gx~eJ+W5ooE%os>Q|o&;wfMmPsstbD46pW!J5&om-X@6#FGWHD`7 z`YO=nLYABa6ay<06_5dDKn@BH8APJ^r7=G&={>aukK9ou zx4UVQU_OOPb*JlQ}LVlR`Aei`?k>DF?lcT7-LBt>gw+6ovrQm zz4-_FhCdr05W3F)lju!;6ILTrBo`ej3dQOoRt1&}2_6jW^C$X4l``lat*U>j zg+e)XWDMHd1QmeF)9J+DOrz89M4_*cK}g|ph&$vUmRn7JV|{)j7^0R7)$NVV4TRgzYslEXA7d5vW68o3 z3P?j}KZN95zxr+^l;j$R7*`YyC+MowV6bc?Ho_SD3n)NWFBA~_O+M#U6 zy9X2*9jhlHF9Wu752;KjGD-)G8MTQKB25!H}cxd18?gK|)!sYO>uGX!cd*#)P1LLM>wJN{lZZ!iP>Hp!O%hrKU>i{eW6tzKxL5o}y> zi76Eo6ivs832ID0Tw3j*iDthuX%aCoiOU$1nan0J6d}eUZ59I>wCLDO7h_?On34iF`MuIRW+bVX6D{^-}}AayZ74IU3Jc>bLyP$EZ_bSYcUK{ zj-&u(G487_Vo6kHf(-_CTPy2|sQ^#Rb}8F_jtlb})ha}?HD_l6e0aud90<2XwO|XV zp!6BUSNg+HD+2e(uqr{g08fmK6ERJ2u9ewjGv4eyV&ZubRmi%U~X2$Hy++z#)R z*q&Uil({p$u9o|#qSkH&r|G$2&XN<{Kv%T7tQX`5DnJ)~#U32*-O*^`Am$fu_e_c& zY&VOt#|Y6bC=)Z@AsA0!*!7rSe1w|*HE z?`UuOP*cv*&OFWHpstp2_olr@X9LvL2Iv~+nqi)6-LmOhwIkvZX%$37AG7xpGo~LG zMy)m4&IrTVoa4e!e7VnqY1qKNcD%BLxny+lrZU=Xuxn9}y9AhG44`F2_7u8F1aAbH zIMg4jjFG+$!nv7ln2j;|)`BtOzqJ(6Q7ZIc>yNJ{u3zOE9ORS)FEcWCiR~Q)3NJ*y z;(&S7bHmc8h?R_pdzzdr8Dj7sByDy@PuV zfvoaCk}FrlyIr0HytKB_UblN_XIAgez<~Aa@@S_Z=+RDo1o3Oja&xrgwx*W$ty=TM zst2SX5x-c|T-zpGX&@a7eUJg24?*Lc+5I6HyBgU|67fJ@OLJQ>@;+wR(Z_{C0x8{= zGc1LKXSj9T8{C^&uVuc@?mP-fkNwHQ*OWdatmz5HVHm4?#s)>P6OSo#y=!eLY}RLN z$HHjKP@Jjyu`{Qg}iDU(v=5M{n1^e5wb~ zB&IdpQULa>Tpjff^`_8X(@tflfzh8F(!_pxp?&9b&}n;CJtkUf9W7dy?cjU+0H0xnBC-4F5|vZQC*{A#w1LeK4Ye1*6e#9 zc{KIuKk{#FeCKa_PPAMF=b{~xx1+h1>DEI4;dZg+95x4v5>-dY8nd_Va^u;$DaSSK zUT}um!8N1;&6jJM8f&`@FuS2MMThzn0@9Sd9cmNNoFFZ6OIRxtm=yIe-|W1u?UZi| zTB^VKENPUqH+}`sLp|#ilCNiyiViR@w>;=4QeGlB-qHvTQp3q5IwXg_$m^lFfv#S) zhrvY=h)?lD2%^f*sco?Ea ze(#{I!*vyXKMT#4TA?^&Ct}ioJM3C!TE^UtcooZFs=5VfqPQUAK~5DBgU{ z$X(fMM(sjAKYkY&)LarK@-%S^d?CXmBJPzk{ISe6qP`d!sE25vfL}o@PO429B$6#d zFjROGi4tkYSAteo`IJiApWqw$vx zOx1-#1}n#ej5Juazf^`}a#Iadh#8GP(j9dWAD2u=6fDDH?+=LDr7Y zFLWO0Rd1MTsW*a&Xo46Pw39|ST>+;+<_^KKT4A3YtM-83)cQl-L$l?J?oe+SJbv?I zk+W_r(N`Or!*;@FImqs%W0jA(DJ&w$R!NK;Yv37q^T6z#%pEY)Wmk+<+PzGn0T=ZQ znl)6I;cSxVG!S-Pyhw$q!ss;ynKVgw8j-cK!6l9Y&DUu;b1kE+TK)RFAFkmr3fXCm zt)AslZV|7^ar^u4Qbvk*74}fr;(oiTgOmZB4N9ydZ0Hx%Sn0PU7g8Oc(&*YhYM1g& zAydAuw7KqUELE%@oG$vj`ET_7JF>o*XDsr;{GxLE>N40~h{w6lCEGmij1)PK`w}~> zX~vg}&iooI4xehQKLZCL_Zr!P1mOD?c6yR?Qi52-DaGF;H8f-$#g=-gc%G4cCjqnr zj5vI{AxEx#Ir~7;=A-fx`>rOrpWgRPlDts*E{QEpa32!cu19jp;Fk>PR?4`5dSJRC zGS&#R_ibYGy}*9%Gak6ls19J?PLwZuPfkA+bV6xn?@5#!X?m8Qfe!@X8Z{9r_Qbg! zCq~7IgB|RK1gx+$f0R4e0qgVc64LPB`F)aadsyO*{0nm-K45!8ukRjq?_BUkq==mm zPq2w|ls|CBoR@a8W0H$s+L@KK8hBC>IYwtnBX@BSp3S*7tGc{98lHHQ$4ejq1Wle3JSJ$@%P|_>p$;w5yH4gDtvFGc zN}!ZF`w0Gw-wJonmoCf&SBdbGs?rN{ft(N|wc)xRH3BP(9N=hx{zkVrKuE7pmA^2z z^aT$K)*N9ZX&@ApHXVtEVS;9UFrF~;5HW*ra%Cv-MZnb6qvkVrGz507D*LdkGZ=Ao5a1N67M?lH+@baW1C70Dj`O|Q zn7rAxI#_oClAzMm)xK=|*SNNppH(}!uvum5H+YWj&cW|7q#osA+!^A_l`z`4Ucu?a zSk*fm8e_HRtZPx}y^4s-X^((kx{rtehgOHvISb(*u+wu!FNu&f^$v}7stwvEI^yi4 z_R_+p&h~z!^zf0ww-Q97l16pLQk}$B2KjbIQ$-;iRchR5RYy_d$hod@D~&ugynR-8 zWA!*KLFT{WY;|bBQnFz*a%GkdxPAdx(OX=kdWnjZTfJvg6P>k}bP_WcTIttW2XSp= zAJl(P2;hcNx(4tT&5VjHg_IjL<*LWUxJ~SRWUhrtjPly4YN6`CPXZt)QPUxwahbp! zNvOV{7#CfJz_%JoYF|JkY0f&s_MZ(EF2#md&oT#Y!knMZ>bwUt`Tp5pd(rIA2q^Q4 zqclmxfFj~RgUW|{*zh84d2|+#@DOT;Vm-Q;`4N~OCg1 zjuK_<`Lwl)Hi_vdo*H1ZPtpt(6ymNc8h2d@aMy?aEO$K&kHKb24p^R`dc^^k%hhRe z|Ja`Qf}IQ1e}z>4l|!|<>VHF&SAo*C;y$9G7w%8wDiTi)A>=loI=>}g1+@zre$e+1 z2SU}QLLWuD%@7K+x%+TA;=Len418ty$#9HaFr9V+TSa4QTYJV&OvH}VffZVt!DO~E z?mj4ZrLn!Cu~}$u05u6L8V{@)216|du-t#}SUE8s16E4P6-)^*I=T#yAl)v7UUlid zgi?2#K43S2ReQ2*htd~%-!vxA?W`a*y7~|h>?d8CzCH|#N32W+ia%GE(KprL5&qcfp4R0}3^e3Slqe~n#^g1A#CO}=grdsmU=*G;Z1PZj681a6kvhA+hmOMvK;%Se{c=f!PirU40$w>e>U6diZdG2bX~Q zE0$yj{I|5jkIM;k1wLH5ytRP4ix<@xygf-o?+LB4e|0*2n$FU7_=Dx%g`_q~Ly%UK zML#;L_nB#q(&f_}u9pw(uQ>kk-d9%l33)p5m(%CXIXGtL%*@&2r{6jK=Vj-W)6@|^ zD?3Ll;;iyBPfi*?P<>eBH2(tZq+HV)5JNEI!u7i-#~&;T>kr@FNp zlElBVlasuM*tjI`Vfs3PFYyf0#3K5-fUhVWiGz)Ckdn`ronBLx7Nj`R&O6fdyFmQx zlfdRbCw7JElDNZxpJDHH{7jLJeDGbl-(Wbxc^imj5aZ9XcqO9ck>HNkFKf!_+y@60YJJ zlFkbcDj^>@(&m}*-2P+r#fBe00q@JIkO(+|xua7TXJlHOyz28^nDU32RK z4a@Jox9$DE{r!XOAO1HYdj6-YT_X?@ngMjDGREHKx{(L9u#-o%9PHQM*4MPH(3p;7 zslyuVG12Uhn+DUrWpN{i-5j{ags0%4(>3qX6g>32cI7WpT7?JoOG!D0S-#BhfquJA ziON>mm+Ahf{bv+w=M(B<@h+tqrF$eKnsX!hE$N2<}Sk-)lZX$8kF zaP~o^#|GQ&t1TTsO2A#d3e{npB+w&<43~CSvg(FpTUz#4=O3IpZ|G@;5$`1hhSB1D zaO`yxE1dT@?R`XYK=lGj&K_e9^I$^`^DzEDnq$PJ@nIkX(7b`&qI*}KX&#QyEs^s; zmv@>}`zk>cSh!k&VSl&>x^`|u<-SPxQ{0at7vYrQ+}u3oe%&6FlqMo|gIkzi19yYI zW`hf~rGo=ANteDdGYpHm{(B8k>A&O*x$(cQvV9lHtYr}G*;Ncet z^gkTe-#nX|`uo&{orA{)$51bVN?8puS}*4Pv)B14WEL%7x|mH|oVq;yiIlN(mpq-m zBmfomM^GUL+RBqUP+U4t9@c?!-~T31x`CCt zTY2|n>l*!kI^Nxt=(82BOrSK6`Cbt>8uaWJ>w!^XTo`Ti9W)v2|Dn;pVM27ze@qCg z(w8R0O!&<;()$yD)C<4jCD!;?s{H4z>e#R7HrhSNfy%-`1O264I9yjlTR*laa8&>|dgr9h2B)Py zvjTh4iu9#R0&jm~n@pfhgXyEvox93*@A-IddBrD{{;E&+?LTl(Idu5Q(Jl<(e=hzj zk3d?ChoR_Mq4|upLAl~#R{xT*;#vq9!i3(%SlzZ##ySfdr?r#`oi!8%vz59AFz z==s0y+Uc#@mAQRY?u(f(ytA?D)ZWjn*Dt1n3>CZJ%iQo<-l{b(Zu<1|!-r3O`toa^ z7IClU<-GlAarvj-RoO3QzO*{m8XkY!trOS6o3K^300x`w8r_c##Z6XH7VB)D+L z)}E+82IBm9{Rw=Z^d9$5fTi9scmb+q|IQkz0?eX4}Ml+Xk;O0=J z>LDk?I%kF&M0AN`ioLJMRze4vW3-;O@N?n0oKQBi{L74*b9NIC&$$G>?OWLw}c*V|-}o#L&Ff(9(p^ z{FT-!TeBF-%@VT&#(!15g>z)OGG}KxGm|rCR%TAE%?bBEW@@y?koTl`k_H_$1YEwQ1*K%RY)4OKwfT^9P4%$~jY z=1~6TP%x=yb2BROH4}4xwlE}fPG(AF<~=OhnLzDqPOeX;A|+04X5?%o$^&Z57Z?o# zlQ=4z+%!fRZXBQg>UYBSE|s9p3ud*$YBz~S2vFfQ@U6Lg&0Kz0GM}5w7bo-YC8udp zK?+)yZsPwum;Zb&e_Jx2lg#HQ^WJ2NVzzQF|IA$e)Lec-GXH8azcHCFOrBNd4*?TT z2;R<=KfHdfG8i_!?I7%!b#rA~d~xC2(!#mF{Ae!J5^Wts{(0tNbL?`iX}fb_>T~Hp z#g?QjPQ8m|DT@i2Hf#PI_7~$O=n_Pdi1qY`sRkEOsoxOMSUndt)y>s3Moi3=RV;$dvj69QXw;Xl}-r)gVssZ#3#yZ;zsbm}|$KPv9fikD$WpNN30Wq88z zFP@mAJ@HQ9iFfeCoPXC79f2o0u6tt3Kj_3ft&MHy1p8eKyEcaXG=@DJ158_m4@Q^g zTF#$LTW&7Hamb1g8ZqphSi@_sfUJ zOx%fGcMPRb*&r&bBKHolkh@(kD;1jj%}S6Yn*2E~@x=Z@lVaMx#pH6)F?=guSOl#3 zFGaksi2ZxMJKpb9gZ=lY8Y#4CBjMZOds~|8APmwS$B@+hLLDUUEyAS^*o}7r6~+vY z6r8q)DHhCN=PQ2!T8@VusVPJ{EKFIP@?`45apCjXDeU|wQl3j)@+1(E1-M%PR0k6z z^>-_lr#!JDj=dLY_hPna^}1RAQ5ape!|3vm8tK2JhC^4lhrdlZt6IlZDG~wj4F`sDF9Ifd%>z4&_>Lzvg86^T2ZcSLLR&x z9{?%C1eX~+ZB9Z2oOryC z(wH#bw*8hcCD_}(KR67!`65Ic-)&TEv=rwH{K^6;qhRp@fzK$wOqeI|_X?~pUjoT$ zQtyy@*rFEyxq$z3ffR0Ayt05wN7xo;6rgnTJOPSN_X^THVgIBsrAoS2*grW;+2g!J zpfUbqffQ;}21_9}go#d+d!yS3BHf%1tC^O33=n%VUk8QsqE>&VRyjvCyQqV}%lQU@ zZxs0R0)Ii^n*{!%z&8s~UkgzYb;39eU{Zsy7=;=HsZm&rqK$%dURaC=&I{57VKE-l z0GX}qKOuxjO#%tx;{eTw0~duT!0zHk>6?w;H*a+JgWHT%u4k#Be zJrA^?BQ9K=tZQT7;3Ow;)AaTtZyV?gt{p@L*H)ak$wkxWQ1T`jyZ0CCH8>(c=}f@& z-qyEW`1#nJub069f@d&A`03zDlfwA>1Zi>@KVQHQE)YECi2H1gNe`e=dkx ze4juNU0JZ0;1LFRh#}6C`7ksN+)B5H#WzwCuM&`|ny6K54d3GJFvWXjpx>0vY}DTd zX(>ox_!%3~Y}(IaQjuG4&F6$!)A}#e<(t-6(?wcljNPiCPEoX6PokwMnML+PnVx4KXUXiT-(F5JsjKb zJ$AU_$kB=eNB#Iab{OvL;msb-?BUB^)0gB&;T1LTSQAY2y4U@~s=e+maEX>H@5@KL zO|UWy8~Nt9`9Br#s|xs+3iylpP?YK7XB<=^oxP5qVM6^M(HNIKmhYks0Yhw{N|CgC zdA`e_?H-k{=eudEmU8p?q>cQO1^m(ienkQQhXR)guk&|WL!A(|6NI%}8v)!#w{|Gv zYF1M)2NiT(Tt=6JC|$a^@FgZFfj}svhbtX`1iC^~?G30Ibth_9(2iCjzhc~c~Y;aq!#+`jONDptY z4FlLqF$E}zC7OXH5~BsY=Y{&08LJzLjn<(<9PqYvxWJ4)YN z?{ImKJG{pnuI{4z*7YcXS*rbZrx)p$CC9W%$gxT9_4HZ;L=Jnhc$B_)`qFOq;0gt9 zU*GFTpDF9_S%3ffXVxPwADIZlAT?Lrf$%kzx$LZ5&obpGt(UMozDFJ(o+p)kt02~H z9!YS504)S#8&DRTu|F(NZ9<{u9(g$ZP^?EDl*vAq!t)Rm;)oWfQW~(AAC)Kd+RNMX zLP*Ue;kG=_ULk>H_KG2aix`)Yc~~nm6J_9bT+f##?~E zRsa)4=@ZCJUA&B4k(vtbG9J5e^0-?&YtS+sTgr@O3G#@4{9au-dl&>$Tn5;!c66no z7Zl>B>mFqg!Jy0*fgvic%vDW*bL>i&XxB9C>xwIZX11&oaq5PzKXRc_?ZJr9SqNVb zZwl=_m;in`UB^vX*LdC|&ZF!xbL2 zGNi|E-^zZg1fO;d1YI0=yoigMVi3gSpMh0ra(28$1r6>yO<5N{h{p=JX!5vnnoZ6= z9~)a4(}>YHI&k3F=*U4-8Rcj(Hcgz#+6mX00o1hd>AFRaKrl#qWcYe*c)Iq=0qd{{ z>SVxNAoX*fOI`lk<>|zLybrhcr4lWR*s8dm|5W<2Zp=S?+EVtnX(=l-mc5@al`lkI`_KG_>|$Z51)fAhg*-d9lC_KIS9vBSIDje zFn$UrAHh}kZW4X~jtj_IWS3y~+m*}kS?ob*#2B>+d$v6Ygp~4CF_@8Ru+EOWoYQA? zHKT^&E}yZ%y=rlv(eTx{edK7|R_+@y8m7TG=lhP9|0)d}%?}>UN00W*E!`WNpJ762 z|Y}z40BntC)T+z z7FF#VJ{nuVpG=_MKsyrQcoy*clc{(mU|F1D%4#Y;Xj)%<0D`5DPc;9@)cGHmIR{K1 z<{UH?UdUN#Ds0NhFctsFIjIoC(n&(4vQI31_UVNhYj{yQ7|cY%FJ8JFG9buypMfln zT|unofDm}xzc{?G5dll7$oJUAFgD|_#kYr5m4ZSXL*MEMO#PwA|1nLVZ4X4bGzBDc z2VW>4B)70g0)_CGA_)`%Xw~JL*wi96RswBvTNr{-x9^`2hVA;-KALf-NpKy<2B^=Z z`;yqlQ~o!o&nQrz13`WE1NGSx)Mpr|Pcu=U&gMew4N`KLKgd0bJ-H68!5Nz-mx3_` zbkfQ8NyBIA37-)S{2N8m8%1hA6r@E$6Mh-!VTR4x;_OER_!C9^!lLUjcj?Zse}TH+ zNV*Zp*2BgNMWEC8f+7%Uyr&4X7ynKX$S{6$kz}>;UTlUWbhknT$*(K&o81`F4v~iR zvRFJbtaN5rewh(dR+<*Z&)dX*ElShF_N-xDE(AS>^&{D#WvUZ6I#ybzYzf_1#!M@)ZbsSnc_B)EhY>I4bZ z*9#KrJ}2ly8OJj0{Q`!Hef;?qsn1~f+6vf*@fU@%sSXQm!dA|wlF_M zzFY5OpUo#c_+6R*Q0C`ulD-ikWW6f-=eeh1ge94OUxx8UvCRKXrX?xLVln$4=1UL{ zOJhq6z{Kc_i4lQ`VZDwmVJdLm{ujJ_=bE~KEs?rp#q#Gd0~o1YB(_9{NNkDA;`MBa zCq)cZpZ^QXGQfUA-vdjkU6jO!p+_4AQ>O@cm(J&ou-A$REXTJISWavsu$p3 znO_QBOTNR$J}64|Fi6|~DBT(cY5TL%_%KM@mq=4#{f}v)!={Tb#gRw8exM|Ki}!MU zfUWkf?+x;?PkDseb8X-HzDiSlKW{%j>#lWmXBKsq@?{QQy|!<5*)@1=7#yG4m+R}^ z5h%aSMQ``Twyr5nYZ0>@YZ0>@YZ0^khDnb0bx1tf4GFBdC-SA)Vf?~;X-*iQnjcsR zy??S2UULOz|J+S{?KWy_-X?16-c8ijeVfpIJ~*Eiafnu7s8*phzpuO2;c&kCE;`r4 zAsX1lW8r3vfujWj+xI7gajmHyt}^RGz2~_G?**<=ytlAlwGBQi;@-nZuko&7AD1*BOWvaLRwzSkE-Jr- zb^1v?*&NDdwZ8ZRt!f%AzUciAfxO#t`TM}^;`ox^TOKbCP6ervfpj5z`t-Tv;Dbw!|=V}0;X)h{>JrQDtyTg?qp5ULgrIm6$j za%br4%h+nwrS#|e(aj*gL7nesx{FcBsIL8z&X;K^D^h^3EnoiZvK6Td<8;i7@HBQ= z%JLQIDNjHBd?#Bybs+ZV?~mH5Knt@NtP+NywHDpI!Y0rP<5MJHPP8Cx z8n@i0bTqppRFxu0E{WLM)l!N?Z0!^DhA$ML9zGqMYN@DUHUF~Yy+mG8HMS-;D8ks4 zzLgYdjjqCQ1+9k%ik)vu(0_lRxOt^Se=pNtLv@Jz02+RvSRF*ym%%Q5iiUy4-sGH( zg~|V_n2yCmONcRlf3ftdVr95!Mk!?I1?5Mjbc}}?uLQRG{l&=r4P8A|a3G!jitjH* z)dp8{dIA0Yh6ZVQ_wW71KXWAf3`ziaK&GH=#cqn8i5tApuZp=GPUS9ft?a<9KRwL} z!}}Mk=ofWXG!UW8g2ljRfeUe(D1xINkCOP9pFl?wNPrx`GZ07seZ?~nNC1Q73Ytd| zrp<&8c!m@}J`R@|+fnvl`q8&|22#a0GCxk)FrAfHh`2LzUXiWR7ReWMdkN6)xs!!ZL`-oYkWE7TuUhX13w4K)~Xh z7e)5v_voRa+PYK+N^cdFw*iyNEh=wUdw5RhQa%$gv9h*cvcFN(Y@qdTERYNZ`$NK% z36iluN4863>^PTw($^xe52E_6ig1SnqVF3K_uq)lA(W?+P{{ic4b@qPoEq2!w#(8l z2;J1FM6*sMdaOh;n)4f~BVZM{Xp^)Ro)=hyNTg0+{!Lp7Rb{BG{jBytcz}Fit~-`= z+7G_5z#xcP44PHnNkFseI|)__N6drRm1@6PE29=``$qGNbY5iAP;dp^Tf;rr8l2kJ zfV8S_#lUu<(VBGP;pB?Vz`>`_Ro_|)jb;2N3%^FVcGZtGJQ$Tu zPvXc+4;*>;%_#7Gj&$yG@2PYcZ+K6EPTfk?YFOJpI{sXY{?E+V!!mG5)90v<|H9Wr z1xZX8f50ah!uW$e$r{EV_lXQ&<>No~@e6$X{XX_@KI!o={!5?qL>OQF)Nthz_-P{R z5WjMi{&_h3vJH2D?t1jjJso5z2n&xk&6f*t(%K=Sg519|kRx0$MYF${0*jFL1{i<9 zSM4rvQzt|IX`XdJ=}2>F(M?f;-wj_cSK2hQML~ByuX*9qyc@w83pq1FW-Ywbe<73# z^-YcH7U4_#bGqf$eo@RjECp8f3jiIbn7`r`DNFRN?5I$K*;f36`g!vFL0-(dvc zj%-j?)A|_$*%5cJb0$WcZ6@b0hy8fs>Q2pcw~}pJgj0u3Lq34t^3%22@8Q!p!*GB6 zH1V4;B}*}H>%JD65c~{tx|C*gaXx=)@9B<!{xbK~D;lTadP(Nj$jEd<8z^~=Eah$GUy!9W~T7kw^9)i26w zI4aF&rlQh(CdsAUBxpB@uFevr%v64*T*@Tz88Y}N3EE8}-Du!?>Br!5{RmuIDGuem zCiDlyCOWfWD}{C1|5&l|KJ|UL^opzuVg1F@Te8xRZXzkUhxD?{XUl9#v2$Fp^pPCC z10%z}OeE>P?XpH`)5Fal(Hz<#3yhR4uf1G<8B^(sv_tmWFa#gT7=kf6Bk&`c_sYoN z3u!n$qOTqJ;vkpfNO<;Xfed8qdbCe#Q`zm3UXxYpf!Ab72O}G)fy^$gmi=xun7<)) zAX53)Fw+sT7a+$|^x#AJ?mjM`KI&j@T#xL;uDw~ zxLPY?@vuQM#z-|eql+a@=3kekESY~pj*{MxakNa|A{zF;A%jdZ?0+4@g9K#wjdr?1 zexW42F8dAIE#}8c8S&OLsBS=r(IM0csROUm7=ha1%ynac!<$u)=&V+7&g=z*T)C@OyjS!6nFda$87K`wVbzD3i1yz%M(;7}df(mhoFPDv6Q^Tg7{%ZR#2T^Os2m3~e?8QLM; zatFyl;-yX!zTHJiFAwr2q5 z@k+VM_Km1X$}qbjEK#TWP0-kgm)w2zmF!s}{soGnfMTc*RHW15o0_taO!|sknbOnn-6!9o9KP>0v~pp`+d^=zWoP%N{F<;xBq}owy-Ha=lFk2VUj4a z>J)vGOza70$$~iY-|eP8Yh1zn-NuHd$HBdUx~}wqCKbgCKd4DX@x~8nQqjXcoipS3 zF=s}a7Y3ndw{&#eb<)xCkdFQzkbs8zh#>^o4jR8vw&NKs5Y3$jn!B6V_W&5G>!^ijT`&g;)pZQ`#_M59; z@g(2!;;5dtl#N|8mW^3u=WbDMd-vA!ak1mFCVV~W?NQRG9i#fkY{-2%i+`Ppf3bV` zSM19p-6M}qY#M%O#P=f_N3@MNKEh(2VNOfKHfTgoq6gVb&VEB_V{|?-!ec0HOUe(M z_I~J_gR9gwDBNcaoN)oZf^PPjX9L80qS@2KLFyjSLjb|e8dyzmqRS9vTb$5awN-9U z=nY&H9tv>FTJB^I+@em?kb5wqO>+8200c#9E~5YYpZL$Oix6i3eEd3b%!E`P^(cT)p`5i z5q+@xGCTM#VIXVyHE-W_PsB9NLVjJ@r*8!a%EPCDzZu)p9W36>?>6GV)pTt$I+CC? zm8}G4uZfLVGde<^>b0mtkW{&b>6Hxv#?Xs=J{x*LVF$HT44Ot~GZ(}ske>f1QPYSV ziI@i0=RCar7^m@$`+G0ChU5mdphSb8f&w47MfyX~Y#(nZ zlTG~nWhF788T^7W_^c%E(=`W8W?GE8W9CdVhAUu?Cv!9kdJ~9p%ELa6@oApARgEJb z03N2Wu=hGI-C{{P^Yc%tt$vf-Jj*cKTf^0)rB-urKwV$cpdgy|qjxeGYR$S_pOf!w zMsNpKn&>gp`d>KCHr-pjKQ_W!a}iU(>aE6LduuS(93v&LO3pIDp#J^#SYGk|A$VcW@PdeKVLrkHHuETD8< zG<`6CwHUCE!M)z2{+HE9;HYaF5H_Qj?awB=oYM{JX^I96*hCMs5AlDb4*bQGfM;`H z>1vXdhK%aLPF9ibxdIOk9B?u8p)G3;L53^u96G_uxY^BoTp%SMd z^$SPhX(~AYH@zE_hRWQc2IVVEp$cfIv40+mz1O{g6>gJBj(P+8=^Z*7#N>SQ2fW1#ElKhhE&xXJl-)HoX~G_7*sWvPQRECQu!W%5!3)Hy zy`p7F6A7TSurw%>;xjqCC%6c8EsM_8wbM@c?0a@6B->y#2U$Wwt+vQsz5Dd-*FS0i!weiWm>m@}I&5h4 zh&Z@NYB`I3t*9k$N%|!=f)%9qshxOFY5J-9C5=maEL^{W=OZb@_970N~<3#t0lvrR2+sr5}AZD~}`#hTWqs674R zUS7zijrLli(uZ-;;0R2@DZclXO84b5GfY%VCe5nJj+~^7!Ij^qMAOeO<)%ev?E}h8 z@a~A)T@-d?k`hYSEZlp374N-e^W@Y?@W#YV%AA}={_xhhF!*o~YT=^2lM5%YiB|zT zoW{{#*&~;QMxV8(Eqlbd;chg(U`i#vKmR`SmKk3~e>Jb4C%6+XC6hb@PY)iWnh7q# zHSw2Fk{Zn&sZzr#f$khBIc&dTYYkk6u%}1I*jq(WGw0~4R?i1*CLv@sUZ`N>JrEx>kxI5Cg+c7ak%|>Bg z43nXMVto2%o}z!u=Aa;pB{=xUzoH2t22mH#!Hb#A-pT%6@@ULp3akmQjA*#N+1u=& z>kiLsuD|HL=+Ku4ANvpad8&Ws8=BNanREmfG)elg{1i$iqH&?m)U{wxTImz zxE3&+FJna_oB~A;&drhbYT_U8u<5sRfnI5VSO}gMiP^15aVYX%KsL0G(zLClRgWoh zg(O8^UDdELeR~!+<4|RTW4$$gqx=$-DJFZXxk+8G`3e>#-Xk0@RNew)Nse{yjlnMY z6&wb)#ELQa@=n55KAEcl4ddUd-mQ7rdIbt2yfR*|x0kyJRg55ROwe7wG`lM60vd(wfnB$rnA zm!s5UC~~FHxMkS1>N$$J>^(KO+M+D4RF+a(zb^g0Cv1nGIQ>IU=*L3M_1HlD;uTaD1@xQJAaFN$z}Ingh;W4sJTyFcL?HxWhwT(EvJ-SrG& zqFk{nX{7_{;X|ffcjCW9QzLkqymH1M&}C2?oIQIB(_4-#iGu0TwaF)$-b#M90+rwG zOz%Tc%Gzw@GW*FCo#^sLaYpX|f1g+&N^Uy{GjsGH`%sUu)H*G{HR=69Z-!JUZKX_~ zdVZ@a@eXJUoX`ZEZwW4JoPi z1X~?qvD;Mo*V{1r)2eK$aI;SOEe0XByW{J|rpB69*o{-H6=5jS^q6vb#KE=7t44uZ(L%}SBx)N&Z9FnXsnMM1b~cP+PjsdcW`y`B9T&f< zYh{FyKh6ly%>=d9$@&Nl#O4MjV{ajH&4_6wL{U`YyFJPI!L8mNxbueN#&!63adGjU z1ADQb<1etmDy;wk+%*C*Lih&4A;;W~d7HqQ-E+0*QW`bL2Tg;Ib6%qfc?;2{p`4Z4 z4w4h0n>h(i$R{C8^9QEyrb%&W#1nqOR2c;5$X{;IO7vUPo;`qVDCuXnFEv-*yFv#{@~J{7j{DfPDT zgID*q4}LtddeEvro>-6_HgJ3Gn1wG`LUa2V^X*jsTC|XtmAiLy=H|3sX}yHSZ!f+r z|F-<`^hv>I@O>}X2Ty(BL=SvkKk<6)LVRAYechgqPl`Qd#?To3IGcBJI>SXq(Ez2|XoylHF-XXdr=-o3i)@B$4buU7Z>Y?rJSmaw zNM!$#D2mF1ftv>t*{2f4lgdnSi;|?LIgy5eH)*(z(>Rs=U83_Z+LI`GM7fDdy^`om zp(N+R&WsarqAyO|Ownr4##34qMkQW6h4qFM@iZJ$>`8PMB(m2!zfmcw!fk8d0c!bIBRwV| zNVHgNBO^zHsKZNFDb1n~9ceR)`{=+F(6dmBi8-2ZYvP`SdlDZ>Y)@R0_(S5Agw=^} zB)*flEipfFcjErUBZ*%oHYA=*{B2@pVr1fH3F1360CM8yTeR^+-7e8fN#7;J+O1Xx z^~=9U4Ne;oHyyoA3yK4lCo+g8fidu&yDoh1qj!lP;z4h{bM9Tj%9AEmwUJX8TZaQk z3Pp?k9F8KkGc2wv!4lL01pmjv?Vb%f($S1yz7)$>59O;x@@G`p@TXqU!cxAHB<4H=FC5_Uju0>rT1b))`p0pB`AZFMHxHhaOlLkjnS5>Rp5qPvD{p`*y}m?u(eo3-9WVncN>S zll!Ii3B*k9kG!HCuiw4>H+K^-a!NHCCaV2RZ9~`A|O#I`%;4Q7gOwszOrC7 zRG0x$C0qH%wcR}>@Zx+TU4cdA7?L{b;WUuXX^uFT7j){ua`|RIj!+o@;0q zO15v8fz%71;A|82wAR(OG*etaI;6nR@Hsr@O6k3C{T4Nj#uBWE#{{17SkfHukxMat z_2K_KH;3&4LZkY877HIfLoAO66P;^z%eNkLn!{IlU3J~n96NsOI6%pW zGde2{q@c|pt<65&g)P9U_V4rS(a31nfcav&gEB=`pnamvh*;B>G`a}uZJx!ej4tvX8chL|445Ek;9+=;xCjt@ z`RE$f4pG(^KtTu=gs+142v>vm;rgKPN$|%)Q}Cxk9nzbFk0WijuqXJi&>FlKY2`v~ zFeoT3kDkTYZ=dKj%wC-?quN}wqMb_EQUa?#@hYx87KFLhk7pP0h^^Zbjo3`Ib7@9| zWr$7>(6&k(16m8wX1kSM@9@^+jJscSgpKZAVZ2dj z>!a(Vb3rN~eoYsRyI9Y=$Y#dpD*Ig`@pKHc;VDgK7suz~X}s&=c!US)tq1&0jVJ#a zfjHleOp7;qwl7U%|F4Y!^MA9YJ{o5+7hONXIqW;U4-bSE>Tg7clux9kMHF=??S>lG z3u5(Ql&a7a-AGs`FvUzi#o(&k&h$g~aVjGlDxjb;!0Q|~4KgMz;c#7(j=&4dW<*BT z5H?IN$)-nS?T7d_L3=~omt0;Z3K3Zt_wjlI7}$rzdkLq;d#z#URn$;=51a=0-Y^A2 zM_X6GqX|jH=zYqJ+jn<@f>;fDCsea143;*(nBqGtf}3&(8hcpcco z0I^oF0p_Z9?)r>l8fusBK#T+ znTALgGq3?50t|wW1*CDR2~rK6kO7@C3&DHwZXzl8!RZM|H!1QyL-_C_-f-);-Kt$d!MC1*InOUFm5Jd?K)V7x$Fv)M0 z2l@@_^I#HNDcLYhmasSK&AmhPl37Nko8-%(o2{9Lwlh8O;@g>Uym|PwBz1hC?BSmo zRpoBIf+1*utq)bcs8>+cpX;s4`|87x2B1zN-(i^@ZnCL=s@F_W$W9wazR8B(L(849 zg&S;YiWhkmhbk1@Q?4P9tG(YTRR5VbR2kv5a);DUE3BKrsi3zUz=W%p^jFP16nM`8 z6@|+Lb@Q^J_Epu-*kA0Vzg+Vln(xAAJkekp{q@j%`{3FIKc&BJyMg{r~zcYr+rhu9@EudzE_HBiS#brzn?Yj>gJ1r|SLhFY984`>J zrk9oJr{DgU{ca_c0@f%vC*2PP>)4*$Pyba(N~0qXLR;fEb!0Gs+Mw)jPsZDZNS@mfh>I_FwzF6v?+y(?_5G055t z6rlm{;5)hX0B{i?JkcN6`spai0oCe1Nhk?1XAwJ;+sl@X_wMD&yr1yv*)0=k3(jMD zlEMU2yq;wr7|;HBJT|4=@y+YmiZZ1Ul0v3uv~1r}6v%_)gY7*==Us~+@qg}kq5t+i zfjjTT!X|E4)=mVU+Nlom?!p5I!}zjZUExmet_G!=0$7~V>SSBuqTB2y)^Im=gjVm7 zprb*b1s#JLrq(BZAaKSy3Z2^q^b6s2VF(2+h|zQ);jeML_ekMU8XS!24aEQk?`MU_ zZp;Gz3piyPui0-<@UM#$La8$d)>VOqA9S*gfC=wzd2h?ME$^dU(mDrFfb!ErFr^@# z*%ltAB8VMANoQ*yGoYwchzWkN1=9%fuNzTPsL_+vN8Q&dCSV(4jYFkG0~ZfnjT(|K zd{rmZ5cr|G0S)&=>Jc!5AH5=&UfLuGAcQa`QJd<3!@)!>Fkvt`VNHfAoF9#5-@Q#% zoNvc^F9H@#enW^?+Q2Gtr~z-&*4BY{I186vc%xl`StFdxW)N+0`!IDA$-&h=T3M*y z6Z#3&{aOl}ikiLUvoeBY`(k>T-7u87sG`wx=mx_U#UK&xGZ7vHgMwaB>|rnoi+Lux z#XelLV8Q|N8(47}pU2Y9ypENaW#NWp;l^bY(Y}Q}JxMk><3^(%y`NMmb%z#}zEw#2;&tjl6nXpA;A#t^^kXggM|4NqUSpF53U(51uv3x$uzr(Vx z45f7YE==zR=!-WB%$fvauE({ufm~5H0)@+Yk;PHJip@IC?isTzPR!t$dC}#|hD)Pt z7%M5phQU($OY2$g6C9xt{$SbaHlaP|<(Os9Q3pD?xcZg>$ty-2I|wExT(oUqv5r6g z9*^TY3UZ=%Rp^WjU$T<;ItVVQg_hjEl2zf#y3MZbdi=nnkjG*ZYP!0GCLxBeYkrZI z5~kP|e&CN5k3P~EwWC`rw(i-wU;I!wipg{olPOA_?ypc2{rgpLrj#a*5Pf~%uWJXC z7MjwZzv0ZP=V%W+iaohv>wfKUZX@kEgnMj* z1h*xfvHIX*c>C;-KRq78ZQnj8vVP(PxZRwM~e&{n%`^Zn0zl^AH-nV#SGn{U4UrXcs-eK~hK=iGD8g-Q>-aj^!1 zw09a8|4P>5l(&3Cg~fWRETlZO8XBM_qf;8CtIQRT#)R(xtMj!IhuhaY0`nHqHV^q2 zF*)_}sS>|WD`=qJEjoo*yw--Iw*YG2$b>^l!-8*BMls~uEJcaDq{9{u2{zKOwjHWi zUxC)(m%*Afm{j8`&0{ljOUfAPmZ{oSH^|I@H< zfPhOnn=--G`sY~_z#46JNqicCx%m$2qwO z{iB8bC>{e^{&5Iv2dG$j)FMp_AA4`8u~Tbbnwv&)q<)F{(mW>^e~R&^30r7@Bf;#3ro^bJ^4U~_9I3bvFUA0A?kU*#dV7<< z0Wjb0Wzgv}*8F1a57#vZ+B@po@Tlp#feua^J~OTm-t-<2PVYaa8_XjThy|z-ZdnTU}ZOSxmJ zg>%;?n)gmOubzh7tRHz^X4WgjlX-~|Pv&J7r!~qeg6~7R>MSJ1&MW~v5{A453COTsg-()#tK-wwGb!_i+XCi!@Nib5uSXKOi z?(Ut=(v!_66RAR1kR^85_p{Hg^BZy*4z`2*D~x}Yp__tJaazahQSzvOQC?^178qD~ zudwDqCO?*H@#L`PK|aqzh(B18lYJ22Tc6E*PYT>S+z3ho5!jJPBSpr+@sF;9_{70E z3f|cVh+cN2R3^?EF6Bvk4)~?UR1151o)}@tuA!VZGT-fcYRb7$d=4w;Mx$stN~>>dzO!hV=xeDKw>Z|15uWv zl;J4pOY%Zt;?|4HY&Z3*N$$C!D+8(a`zkinvOp@(YZ^b+w=rDAnIWvwb#MF2F|Izr z5>4ISpU%{9Nfuo}jPM{v_=i+o`3lt#@A8fYpUwaz2XK*3u`lNO+KOslx47QVbe+v~ zUC4A@%ygZ}bY04H1v6bAW@7YZV(?|U=wd*oCrz6P;f^522z4F?N%Gt*TrV}i!<}nv>|_GQ7XRO zMXC1dK+cJ~!X2q9VZPaTu=_3AY3km>4kI#t^AX&3s$dY9R=jKBZ6r_+0SkrY&GPA3 zaF&JY=uTbB4MV*IP6ZRq+9qz8{kocY^_$FqBuIzE`YF@`i(+PFrCJuGKahU^!UZhD zylno`n2huVGZfnj)Ptt#FhE0!5hI&lVs;(UTL2+-Y`(0FRz0l=8Vg_=hB0wO_{jGI zkf0@bnSLGzp~1Aogo)eVg-@(nBs$qPSKk9BQ4ehF(Nz1-DF^-gf!+Zo!==mMHGr^u zTV-E_*(Agn2wuBPO2H{-a1s4J?je(93Ph3$n6Q-@Vj^+fng=-Zxqt1L7Z4 z@9V5((im#w7EA-I4&%K7^Av^|P~a=Em9y=U?7e$ZEx{95c24$UhUt0>8Jbz&jfj_f zz$&;h8%w$gsm~DqNbW$d*q@?dI|j=zZmOhf{r+;@7<4z*;f{uOG?bRx2GkndjGgx+ z)SHrSqvq<~R}KMHyc{$y$6lX~$Q#~N197UN(AK>ls>~J&X2ulXxS}`Z+l1jB2g?ik zJ)2=tdzEF@&g|nYd#VwX&y7G(J~xtDYiGVL`eGS5&$i6=IvB!K&KeqxDp7$E@7MH` z-AYOD8t73HK_!(7YE_AP4Krx~bd@L~ojU-@8`M(hx>wjlpRB6m1%{i0iJNB|SSQ1? zPi6k>Yg5)K#{xSTU|D@nupNNzpb88WPb79ffik#*uy01+UCxbbR+dR_d^2YEul-Wb z*Kr&v(obt=W|1F{*uqd`lXMv%bXerAh^nK3lFuFyGY?Zlx~CnMU1EZ zCXv75t8hucJ9?R6?ywnieb{90Zj@shWn71vXBO{Pc2S6WQ#RO4(SuYG%wW2N!l(=W3Ir43T&D%HZPQe2E&AQrI4#DwQIx@0M6C5 zB!=HbYr~6Zjh?pzhT5Xd;GYQ$JfuRl4LKk=h-r)< zT8L=Ct#>ltJ~5hVdB^lprOysYqw0>@(iLg5TVteFfi)|=4*_bib2}>YFaRe8>Q}CZcMIbOs)>5DOYF8 z)!dq^yERvHd#?8OT;1*HIL;KqYfU_dmwk9G6j|IVM)KNQRoscTENoXmiH6gcwy$89 zt1B?h9&0g)cdiPlC=&#jLZ^#TrBh8ssgT%GcDG^8@Mys95aNrlJB0XRM(`{P1(JNL zJVmL|=)`dMM4)@dv+)f7$FtxmMOxlfhbx}ztgS#0q%xe2;TY=kU@M51R7<9-HMI*t zPMuzw46iS;Vtf$@|H38l4(4j*H=`sk00tzfb%SL6V8gk{bFw~2Qu%?6cx8D}>yuLd zpSWD$3$m6if62hx}vMNtSPX zV6xvvvHgghpvKlaZ>55r#Org0s3>Y4JcP6ATP=~qw5vdN@%s%|dMuPklBygs{NF@MQ1I+{~sr1?? zO1hkqKHZ;mPqklq&tzHDsN|nZs4az?`@_}d`R+naH7^4NshK`Scvo~L0b46E-?kHp)Zz1cj0qiVXS@SN7XvQ}9f~B?&_y@g@W|NCemS!^vemfk=u|L1a|x z5WIjHe-U}Gmy!is!gh7G2J?clrqS;@AGS5h$|T^BAdC-BV;{`)#S0@5-9HdLaAWi_ z;WjEY4@mMl0%#Kfv>EL~tE&2;3gqv**wP74mjj(pTQbpzlg1cNf-w*$=|CU4ny|FH zn)xs$pIwEl6lzf>I`h)oQd}iB>}&*7l{Dhi2e+w*MR$T+v{qqeTi-@lL4*IdCskY}q6yyHN6uW^)I2u?3rH{w!@wx-=p1spp zaHmn$hqlL+|!9#uGjg)yqc>6iHs250N;A7t%OgBHw zkne@u3lBl}^45FvBgWvwG`rVx=NPQ7o+)Fn94m{i(->Zr^A;V(x(tkUdw6kflrC?O zHWrodsgPEHD`lNei(cjMBUWrS)i~L?U+627a8%MK-%D4NQiU(eBx|?7Oe&EkRT_^F zgW-AW0_!5{{niYKpe#)MeHH%Wq6hRyJ>rYr(4F05H$N?LsZSmzRHVB1eD@cBq|*qs z_H^)V!*P?c)4#;l5NU6Z>jh$thgPiJ)*i_0%$aY$M19vyeb)oB=Ox-YFn5Q}KdFe< zDW~I5ImfxWi+aK*tST`8+=jK>SE?RacQTy!8B{)nG>x*2N;qtsV1E8y>PmTWXPhG6 zA^oe;v+}dxSS-FXZi-P_1y*`$mlo0|KZscqCTG1a-`UYTY>`D z2_;8m-Hmc=SvS_A?qE08gC5m1t?Dk>xT?oin7|h!W?8p0i8!m#{H6q) z96A!zpaK7>qL=$6V)1;_`A>TTQY!myNgrlV{5-U|tnUu?^ zSFiC9V%zWY9rVB`CozD!%NMUeMp!6mynMdb(|rEgMWN>SiH<;1)A1IO=NsDU>rYj; z)fe(7yKssq^n4+FcKHHqmxa(LpU4&a_FbS;dWaSDdWm#~!DC_;#%nl54x}RdxCUKZ zRRlsnP|J#-U?I;PMJzhsx-kG`K8Q3<$7w!9_|2Ud?qBW1FTd*J{^$4qF9hgCAG|L9 znLH0C(9+0;RbVO=2=OdF!CW?>XjLU1)s=bw1Wcp)qZ53c9u02d$*0$7-FTs#RDM9q zJrVN_$0qn{#abny_<`~P2L}Jei>hCXFoD@8+GE78`ZE{D2@_EaB7{R`D{d4k{)=M6 zTfsx(^V4+LQSrRCI2wJ|67Bn8(7Aa>^vG~ZB^dc<1h=lVJ@_Xb<^GXJ4 zK84Bp{3&{ni{?WU!t0>WR^NIe&{p3rl)Y`PU3;yUbB+}?1&`o?6^=~l zkNq+gI8TE}#V1J~+7>5lSQNAgpS_?wcC*gui4wIm<$7?1Fik9dY3;qN>$kS3`= z&Dg)1W{i?%>=E%gU=p9;O+FIZunL`Wv~GfLD!nZKq<6g*Z(_Zug=nZrdD2NnpZ|d# znZJ02!!{1_0$PW0ucIZ)dK?JiUGs6ZqXpa6@v;_N?Kqz4YQfcxBpq(+;=s-s(TIH< z1PU0DpIZLko;(AW3WV!}RQ%vMNV-JO&n5jrxoQw*9jTWlp;~7c{_gmTTb$etK6GD` zYySk&Ai`CMm;@m>#tDZ#H6%4d94Tn`;Z(hiUFRUcI{>+AYYZvemR>#Gu6pr2QmBY%z&IjWQSV!$ELt31^( z!_O6;4WR|dUFb?M1xXg;V^<}wXPq;AqY-&1CBonVQ|t4~mpI5NJb9g**RLoFzHtL4 zFMV5jar!~rT05Tpe){?JtLd5yQ^u5xxf%Cnq-U(iSe5aMjO>j33~$DfjMj`$Mo-3P z8S0JJxSQ^m)&PK?VS~MnTlT$I!VsgKk|UO+!e%Bk94}`My823Oewci|zWRDI^ZIfK z{b4qy5ucE_zcd+0fUl=t*sCRnvoVn2bir0|I3(P5?w7OLnJ*Qo&1d9n61b|#H9FbqSZT<)<{KAsNU4gZ{O?dqIiH{vEDB^2Mcglpor2E?j3ZaL2_ca^&%E9CD1 z_e_)0Ts>WSX!UYcYzTp+)n#q%OzYD7rrumvaoyMaD`{jAIHVz|86uqlSGl#@RblP< zwnhSo&@GWT>-!43%Y>I{)J969ZfJ6tlSXYUD++9k^vjFUTRc!7NLb-IGRO~qnE3d# zm$YW7^A&2hRsJt|0jE_5cS3zqEK;`<6BQu%$a;k+Qk8O7&njmsXO+?vqI}eYPp{Sp zriFU&NnDq>xR;nbAM_28GIhueb5A+^fUuT)_4@U$3po84SVDk}iHxm@2cft=j!4YU z_sAj#;V1b!!bsNzNdLJm!XWa~@4679xTocXKxYnQfte4L}`3{_M5}TGzR1{t82Iti#$X0r=s&4+#$nab1~1$|*mZ{~@N-2M z8arqEbh$Vs8X&<{@hMyvdGEgKixxlf*LS4aJMpNv9^q6r4whc-A-d=`qL*%@i^!j{ z0nAoSo)n?x2;$`VNgz&s3A!)42Q)BOg~dU+ToyQ=6czZBQHLqYKQT!m>^^`%R-df% zOV{x}p%T;k{3MW@znlbeQ_b-Iz6m5NO_x7*3y>@?rvt9D-yuhZfYkY+@e!!qX$P)# zjoZwAkY_iDWzPM=At;dD?>rzJ`AaG2|^EBrLv{GzoOCB7h$H^3< z5GXz<y5aPD4bqBbNnVWsC9@FS8}rlV-!B zYw#R#$U0UmnA=}vzr`zg4)fMr4`&09;#M`4nnfZUl;bGRtM-7#9xV;==T;m8#*fM>YHF4 zh}95is)ri78-L-n6!vXNRnl4rw6_#@2t>=is5l_OL)wbfVGofhifg#c1};SHIf&h;JjkV!(kQ-Kb@&|ckKFSL-M zTm|6j+uDRvehRKdCiQL5tKjuk@Mu*>TQ$5Dj|%>kcXCg(BaXkm_E`IgW^V;oQtf%? zjL0h=D5ipn<#M=u^n;ata5l6ZZ|T@aK!t;@9`Ge)`}TthE~z7c9;mJ^KL|g?QD0qM zUo$wUy6&bRwZ?}}wA9r%1X=*DceoQ$QQh%VEtSp3Pu8Pz&|4?kS}JS()h$QKJpj7) z>XU(%qhU}h;6O76cQhh{{+Fsd`~)1_)aY+Am>>$g02Ba$TwZWR6t4>5z1q4K`n8ka zS%TUtwYk+D^+%7lo$iO@D|NZ`9RMW(R)uU`02#c}l6#`LroK&q^(*bUfx3Dn2E{=^ zfPQVg&~*H$nhHMD$wK=PXzIzNt=Vu5LS4-`1{V=Kps(60f^ge^W9V z$y}hNy}qqOP^uVcQOYax3S4U?D4Y&F>{;1ATB|z($6HE;qZpywD*!JBhcb@UvDR^1 z%|hw2TpDE+wbeA(2VyGm28~s&9YeLY120yz9mSl(JLPZzj2vtgT)1P8AKQl)EzrM5 zg_Fmdl$p_VvdL4nPpu#K3bo-cez`aM=W;i+Lg{O7UG9?2wxyfRiJK{xWBp7n;ob1B zKiiU8QK-W-sBWtZXH5MrkkLlQDfs&9-xZXpFvuvo>9>FXe$26BLioYIOVO6{EoZkxZoPf$ysbajI(y3tTeoiAv9)&Vdt1A=&d4v$e>4C6{A>Bq zuNUUee0|~TPraUQd-e6g*WY-(H&<@TN1tG8d+9#Sp2`~w4 zrtbFKk?J2i?4!g9iQ>3KV6E6z7v-f|0*j<;CX163g@O$coug%42=a%(p1Vby3qU!q zEg~Sude*xs1YzHK__E+8PuyPFBxb-NrjxONyt_8BotwDS z-~iRZ`0Wl9Ravvi+FRMN30DJxn}AmJBCfw`3i$6`n?juYxcLmUE!$B>3VBXv}O8Fi+z?z~=5Y{X*6QGHMFs?@_rRPov>i^o)z2?UP?^7!bg zjV0yxz4lJpYl4CKINnf|Wz^xuxW$n3ySF@2?>iuJ^^hZ= zm!^7FI&eFnR$^Tmev`xFZ~*KMhi5Z=H#-oyCC}ljVi}uYJ+{SRH?Z&KiBrw9^YF#7 zISmIf(yV0Lw9{dMG((;_S=j3lwsWnuM_<~&oo?a8=h#p4?2+Qn@bNU}S$Jz6|9T!@ zp2s)lLAQTP9)FxbE4*NPt}0uG93Kx!mRX69k1r0pw^wLOp*GEaQz0G-{Z3WGF zomwE&#*2vbTot-OML4zK+7de>S zZd<*B&)VT}DfTrx_@{QH!qHRW;B)P6MClP7{HqWHv)g{Sga6SE2pZUJKiVFFvae{-Lad~vbQY4$TNlq}W(Yx84O>E<9?BZ*_R#8upZYN`z zx>;eOElT^3oe>wPDJ$$@}|D}U1Db7TA)ANoj=@!rTh%VGImpx1&q7+bcM~E=w zU#bGT&i#Rt5LqDXkh61eH?A;xT)vwxtIk1a6 zLY)jZm8|%n!ZeiFq({Da< z=Qq0Z&$x4p*TlAh>`2ke4=;V54c+^QXLu?2NnV^}_r~pIEu~pVf(W79fV;C#dLvwM zwff&^8ce9mBU#Vi_&uHnNZtAxUPUtr%Y*MLRC%O|wZ`cED9H&N&!Ekhk7|8=M zR1eSnqJ{HxRe7g+D!ZyYy;Yv>Dor@lft#y>SLw@F>9?fW+A z1J(ARO*#PyWeVqwZsnUg!|1-t=*}PR_E+hoOWu+0q}WcQk*#xkLRBoY6c8|C)4u@% zss|*Jz8yq}@a+&X&j<5KECrzyh4OHJf*b~67&(Yp1{W1YE-=mDG6B+qDn{vUsF>>U zb;T&J8zQJ4$h*Jlf2D1u^3iV`EdL2l&oUc7)#G{C#*g-T{?W$Adp(cX_}O01qc;Aa z7f2QlZB)ThJaxc+C8G1=_VV|O?A}rphtrv}O8y#*20G|J3kQ^fVwLQ}a8|njVCEMW zi^F(rF<7*VibJ{g7fUlxx5dRIa-vnt9Yti{shEotpZm#_^sCKXEw@GqkOPY9^WWyEbMMq!%xi*xNx4_sGK89pF`G32dBlneRs%Q zw;MZj!$FttKebUes#VYqc~)BQz>`%y0btE8APS*zc@Z zXkIvfC0nrg!TZ3hUr-EY{lek_Nusq%CqAo~06N~Q?cV3&kGS{>7cRTkxB#nzOaw}b-4L^6Si~Jd6Lig@T_*v2yp2IGPW*>I#`MJo|J!_9H z$^LooVHf`An3D9Xp0R)KyN-v+-*%z?E#)p>fHJNN{@lYcNH5kjti#olpFJ~<+28OO zSzwq{T9g&)T<^POLl(e>a~F=~J&h9>oV(;bExiix67u77`95VQ3j`fIw1fTYE&y+} z^*L7-o;A^mL#} zy0|pDm<`!o@SqK3-ENX(=I_|O4b}(l*lpng>BflEt|Uup*F6@%csr4GIe3n$Z2uc? z9ymC_y*la-G#+beZaFTswzYShI7x5HZs%0a*7h9!>*x?iBBlpe6cExNu7@#36Zym4 z8dx}u{s&}%^umT!B#UBHq)$3Ez6cU%Xxy-he}h0-zu)D%jOP6m;tUW)IYXi->v0W@ ze^HA;hFS2U7P0(pm)+?4sr)uO`zH)q8h688!Jj%cDs*GZn_UWYJ$S5FC!S6eTlh4a zN-0Ae1o+_-?9H7t9n}dKiFdx!Zwjy3Db5)jp3xsZhSI-)pfj#gOtzRDO!xfxHk`1i zd;kaCq)5 z48+R%-g^t-gZZF*`$J@Xi|QY%`g4dK~z#O;GT7oIB7dCg3 zb7RVW_1{08!M_{dAi%QnYXQs3Z-j3>1NXpqv5r*F*s{)?WqC zSb4>1%E-cj+2c9#>KysEYR4LAWX)6uU5lKgdX9o8jreDG`^I~Iv77(b-TZH9tvL2C zyGcf;={LKl`1B~?2-q|V{S!^Os04L0a70?Hj>3pn2-aYpBwqLGYS zC;&0Xl4Cdwh8W6_Wy+AnVu2yc)FI21AGAtxmoCoM}x|iIZX!tq!qi1=xtNd4o>E z-u(wqP+FzH4GGz#tTMCQT);0bD1WSgUs8}&(AQ_lPApabt?W?#-8gadQMTs_3L^BK zI1?wnF>fotE{8mFl{{VrJ%>zkjNKNtm%tAY3-O|mpB^hKauX z5v4%bL?a(x%1eJvA9L9vw}(N~CobQRLA=%AtMq8A-^0r8PVU>eTP?^4T=_t9PImiSWDO9RS~{R+Spr-j?%MlKd7 z+*Iq)$n~(fz@{%f{8)i4suWowzeX@sm1wjS-u$E0=VLvr~3d`Anpx; zvU9pC#l4$3vfiBf|BTyb6wUlSUhvaXy#VoCuLZ}(aC79Yg4^~FUW==nAM0`T@FtJv z9xvV&jRgb0^dG#obya+1m2((@dYUw>@{AkT7;tP+lGlCVi_1K8u9B``w%_}vcR%a8 z&d#%*uHLKH*rzgdvC(TDHJ^USNXqRx3tqe0!v@7K!>KVV^Rhq?sRjgf7^!|^Jy89` zpjxh%XZY*@6o5=yJ2MqEydp>$T;2 zrXmhh`ar3OS9fQH@3d!nML;j#hm+2v z#FZ-^UC}=x%?Yy>CMCsl3!T%hDb~{XL1s^1S>&B`~&Gr7k}@5mb{cHz*zWTxUsY@Jh=`LxM}JRHuf%ihSbAPeUm&GoMXQF9I!|4Qu<)qs&P&{9SZmZtKOd{h z%5Ui0cy3DcGvPS@J$vQ9u2!*wU_NvHvIo#TGyj&-Pp7A2w?k(rs zS5CM+Fi#J5{4JUOPu%}f2+$TmJA;l&g@bsD8vNp_+qdtOs|zO&%+Wr&AAb>-z}nF>pz^ z5&if1`$SRb%P!(>5s0Wa{*s8gh{t(D>?+7K)_ndVYpPYKHHC^|fxzW(O8$gGDqas$ zgLu>m7p$(~<=Kl@*cX@2Ujt1ET5!Lw>=3RD?|(xf*Q)C}h>`^5=JW1X$|h}*$dpNV ztd - If the file ends with a single character which matches +# a DOS 3.3 file type (A, B, T, etc) it uses that value as +# the file type of the file copied to the disk image. The +# single character is removed from the file name. +# . - If the file ends with a three letter alpha extension, it +# uses that TLA as the file type of the file copied to the +# disk image. The TLA is removed from the file name. +# +# If you do not provide any type information for your filenames, +# it will be copied as a binary. +# +COPYDIRS= + +# Add any rules you want to execute before any compiles or assembly +# commands are called here, if any. You can generate .c, .s or .h +# files for example. You can generate data files. Whatever you +# might need. +gen: + +# For any files you generated in the gen target above, you should +# add rules in genclean to remove those generated files when you +# clean your build. +genclean: + +# Do not change anything else below here... +include make/tail.mk diff --git a/SRC/A2NoSlotMidi/main.lst b/SRC/A2NoSlotMidi/main.lst new file mode 100644 index 0000000..95f5ba5 --- /dev/null +++ b/SRC/A2NoSlotMidi/main.lst @@ -0,0 +1,304 @@ +ca65 V2.13.3 - (C) Copyright 1998-2012 Ullrich von Bassewitz +Main file : main.s +Current file: main.s + +000000r 1 ;------------------------------------------------------------------------- +000000r 1 ; +000000r 1 ; main.s +000000r 1 ; A2NoSlotMidi +000000r 1 ; +000000r 1 ; Created by Eric Rangell on 7/17/18. +000000r 1 ;------------------------------------------------------------------------- +000000r 1 ; APPLE MIDI DRIVER THROUGH ANNUNCIATOR 0 +000000r 1 ; Copyright © 1998-2018 Eric Rangell. MIT License. +000000r 1 ;------------------------------------------------------------------------- +000000r 1 ; THIS DRIVER IMPLEMENTS ASYNCHRONOUS SERIAL DATA TRANSMISSION +000000r 1 ; THROUGH THE APPLE ANNUNCIATOR 0 OUTPUT PORT OF THE GAME CONNECTOR +000000r 1 ; USING 32 CYCLES PER BIT TO ACHIEVE A 31.25K MIDI BAUD RATE. +000000r 1 ; +000000r 1 ; THE OUTPUT IS INITIALIZED TO A HIGH LOGIC VOLTAGE. WHEN IT GOES +000000r 1 ; LOW FOR 32 MICROSECONDS, THAT INDICATES THE START BIT OF A MIDI BYTE. +000000r 1 ; THEN 8 BYTES OF DATA ARE TRANSMITTED, FOLLOWED BY A HIGH STOP BIT. +000000r 1 ; THE DATA BYTES REPRESENT MIDI MESSAGES WHICH CAN BE INTERPRETED BY +000000r 1 ; ANY MUSICAL INSTRUMENT THAT IMPLEMENTS MIDI. +000000r 1 ; +000000r 1 ; ENTRY POINTS: (Note: Origin must be set in Makefile) +000000r 1 ; +000000r 1 ; $9000 = INITIALIZE - TURNS ON ANNUNCIATOR 0 - MUST BE CALLED ONCE +000000r 1 ; $9003 = APPLESOFT CALL TO SEND ONE MIDI BYTE. POKE THE BYTE IN $9004. +000000r 1 ; $9005 = ASSEMBLY CALL TO SEND ONE MIDI BYTE FROM ACCUMULATOR +000000r 1 ; $9008 = APPLESOFT OR ASSEMBLY CALL TO SEND SEVERAL BYTES AT ONCE: +000000r 1 ; THE CALLER POPULATES LOCATION $D7 WITH THE NUMBER OF BYTES TO BE +000000r 1 ; TRANSMITTED, AND A POINTER IN $CE,CF (LO,HI) WITH THE ADDRESS OF +000000r 1 ; THE DATA BYTES, THEN CALLS THE ENTRY POINT "SENDMSG" TO TRANSMIT +000000r 1 ; THE MESSAGE. +000000r 1 ; $900B = SEND A TEST MESSAGE - C MAJOR CHORD NOTE ONS +000000r 1 ; $900E = SEND A TEST MESSAGE - C MAJOR CHORD NOTE OFFS +000000r 1 ; $9011 = RESERVED +000000r 1 ; $9014 = RESERVED +000000r 1 ; $9017 = RESERVED +000000r 1 ;------------------------------------------------------------------------- +000000r 1 ; Enhancements for 2018: +000000r 1 ; 1. Disable interrupts during critical timing sections, preserve interrupt status +000000r 1 ; 2. Entry point to reconfigure program to use a different annunciator pair +000000r 1 ; 3. Entry point to reconfigure program for hardware interface using inverters only (not buffers) +000000r 1 ;------------------------------------------------------------------------- +000000r 1 ; CALLER MUST POPULATE THE FOLLOWING TWO ZERO PAGE LOCATIONS FOR SENDMSG: +000000r 1 NUMBYTES = $D7 ;NUMBER OF BYTES TO BE TRANSMITTED NOW (1-256) +000000r 1 ; ;THE VALUE 0 WILL TRANSMIT 256 BYTES. +000000r 1 DATAPTR = $CE ;POINTER TO THE BYTES TO BE TRANSMITTED NOW +000000r 1 ;------------------------------------------------------------------------- +000000r 1 AN0OFF = $C058 ;APPLE ADDRESSES THAT CONTROL ANNUNCIATOR OUTPUTS +000000r 1 AN0ON = $C059 ;PROGRAM REFERNCES ARE RELATIVE TO AN0 +000000r 1 ;AN1OFF = $C05A +000000r 1 ;AN1ON = $C05B +000000r 1 ;AN2OFF = $C05C +000000r 1 ;AN2ON = $C05D +000000r 1 ;AN3OFF = $C05E +000000r 1 ;AN3ON = $C05F +000000r 1 ;------------------------------------------------------------------------- +000000r 1 .proc main +000000r 1 ;--------------------------------------------------------------------------- +000000r 1 START: +000000r 1 4C rr rr JMP INIT ;MAIN ENTRY POINT - INITIALIZES ANNUNCIATORS +000003r 1 SENDFP: +000003r 1 A9 90 LDA #$90 ;ENTRY POINT FOR APPLESOFT: POKE BYTE AND CALL +000005r 1 SENDONE: +000005r 1 4C rr rr JMP XMITONE ;ENTRY POINT FOR TRANSMITTING ONE BYTE FROM ACCUM +000008r 1 SENDMSG: +000008r 1 4C rr rr JMP XMITMSG ;ENTRY POINT FOR TRANSMITTING A MIDI MESSAGE +00000Br 1 ALLNOFF: +00000Br 1 4C rr rr JMP QUIET ;TURN ALL NOTES OFF +00000Er 1 TEST1: +00000Er 1 4C rr rr JMP TESTMSG1 ;SEND TEST MESSAGE 1 - C MAJOR CHORD ON +000011r 1 TEST2: +000011r 1 4C rr rr JMP TESTMSG2 ;SEND TEST MESSAGE 2 - C MAJOR CHORD OFF +000014r 1 ; +000014r 1 ;RSRVD1: +000014r 1 ;JMP INIT +000014r 1 ;RSRVD2: +000014r 1 ;JMP INIT +000014r 1 ;RSRVD3: +000014r 1 ;JMP INIT +000014r 1 ;--------------------------------------------------------------------------- +000014r 1 00 SAVENBYT: .byte $00 ;SAVE AREA FOR NUMBYTES +000015r 1 00 TEMPA: .byte $00 +000016r 1 00 TEMPX: .byte $00 +000017r 1 ;ANNPAIR: .byte $00 ; ANNUNCIATOR NUMBER TIMES 2 (1=C05A, 2=C05C, 3=C05E) +000017r 1 ;--------------------------------------------------------------------------- +000017r 1 INIT: +000017r 1 2C 59 C0 BIT AN0ON +00001Ar 1 60 RTS +00001Br 1 ;--------------------------------------------------------------------------- +00001Br 1 XMITMSG: +00001Br 1 A5 D7 LDA NUMBYTES ;SAVE NUMBER OF BYTES +00001Dr 1 8D rr rr STA SAVENBYT ;BECAUSE WE WILL CLOBBER IT +000020r 1 A0 00 LDY #$00 ;Y WILL BE AN INDEX INTO THE DATA AREA +000022r 1 XMITLOOP: +000022r 1 B1 CE LDA (DATAPTR),Y ;GET A DATA BYTE +000024r 1 20 rr rr JSR XMITONE +000027r 1 C8 INY ;POINT TO NEXT BYTE +000028r 1 C6 D7 DEC NUMBYTES ;DECREMENT COUNTER +00002Ar 1 A5 D7 LDA NUMBYTES ;CHECK IF ZERO +00002Cr 1 D0 F4 BNE XMITLOOP ;LOOP UNTIL DONE SENDING ALL BYTES +00002Er 1 AD rr rr LDA SAVENBYT +000031r 1 85 D7 STA NUMBYTES ;RESTORE ORIGINAL VALUE OF NUMBYTES +000033r 1 60 RTS +000034r 1 ;--------------------------------------------------------------------------- +000034r 1 XMITONE: +000034r 1 8D rr rr STA TEMPA ;SAVE A AND X REGISTERS +000037r 1 8E rr rr STX TEMPX +00003Ar 1 ; +00003Ar 1 0A ASL A ;SHIFT BIT INTO CARRY +00003Br 1 AA TAX ;SAVE CURRENT IMAGE OF DATA BYTE +00003Cr 1 A9 00 LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD +00003Er 1 69 C0 ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS +000040r 1 8D rr rr STA BIT7+1 ;MODIFY THE XMITBITS SUBROUTINE +000043r 1 8A TXA ;RESTORE ACCUMULATOR +000044r 1 ; +000044r 1 0A ASL A ;SHIFT BIT INTO CARRY +000045r 1 AA TAX ;SAVE CURRENT IMAGE OF DATA BYTE +000046r 1 A9 00 LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD +000048r 1 69 C0 ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS +00004Ar 1 8D rr rr STA BIT6+1 ;MODIFY THE XMITBITS SUBROUTINE +00004Dr 1 8A TXA ;RESTORE ACCUMULATOR +00004Er 1 ; +00004Er 1 0A ASL A ;SHIFT BIT INTO CARRY +00004Fr 1 AA TAX ;SAVE CURRENT IMAGE OF DATA BYTE +000050r 1 A9 00 LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD +000052r 1 69 C0 ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS +000054r 1 8D rr rr STA BIT5+1 ;MODIFY THE XMITBITS SUBROUTINE +000057r 1 8A TXA ;RESTORE ACCUMULATOR +000058r 1 ; +000058r 1 0A ASL A ;SHIFT BIT INTO CARRY +000059r 1 AA TAX ;SAVE CURRENT IMAGE OF DATA BYTE +00005Ar 1 A9 00 LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD +00005Cr 1 69 C0 ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS +00005Er 1 8D rr rr STA BIT4+1 ;MODIFY THE XMITBITS SUBROUTINE +000061r 1 8A TXA ;RESTORE ACCUMULATOR +000062r 1 ; +000062r 1 0A ASL A ;SHIFT BIT INTO CARRY +000063r 1 AA TAX ;SAVE CURRENT IMAGE OF DATA BYTE +000064r 1 A9 00 LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD +000066r 1 69 C0 ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS +000068r 1 8D rr rr STA BIT3+1 ;MODIFY THE XMITBITS SUBROUTINE +00006Br 1 8A TXA ;RESTORE ACCUMULATOR +00006Cr 1 ; +00006Cr 1 0A ASL A ;SHIFT BIT INTO CARRY +00006Dr 1 AA TAX ;SAVE CURRENT IMAGE OF DATA BYTE +00006Er 1 A9 00 LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD +000070r 1 69 C0 ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS +000072r 1 8D rr rr STA BIT2+1 ;MODIFY THE XMITBITS SUBROUTINE +000075r 1 8A TXA ;RESTORE ACCUMULATOR +000076r 1 ; +000076r 1 0A ASL A ;SHIFT BIT INTO CARRY +000077r 1 AA TAX ;SAVE CURRENT IMAGE OF DATA BYTE +000078r 1 A9 00 LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD +00007Ar 1 69 C0 ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS +00007Cr 1 8D rr rr STA BIT1+1 ;MODIFY THE XMITBITS SUBROUTINE +00007Fr 1 8A TXA ;RESTORE ACCUMULATOR +000080r 1 ; +000080r 1 0A ASL A ;SHIFT BIT INTO CARRY +000081r 1 AA TAX ;SAVE CURRENT IMAGE OF DATA BYTE +000082r 1 A9 00 LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD +000084r 1 69 C0 ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS +000086r 1 8D rr rr STA BIT0+1 ;MODIFY THE XMITBITS SUBROUTINE +000089r 1 8A TXA ;RESTORE ACCUMULATOR +00008Ar 1 ; +00008Ar 1 20 rr rr JSR XMITBITS ;SEND THE BYTE OUT +00008Dr 1 AE rr rr LDX TEMPX +000090r 1 AD rr rr LDA TEMPA ;RESTORE X AND A +000093r 1 60 RTS +000094r 1 ;----------------------------------------------------------------------- +000094r 1 XMITBITS: +000094r 1 2C 58 C0 BIT AN0OFF ;4 CYCLES - TRANSMIT START BIT - ALWAYS LOW +000097r 1 20 rr rr JSR DELAY22 ;6+22 +00009Ar 1 BIT0: +00009Ar 1 2C 58 C0 BIT AN0OFF ;4 +00009Dr 1 20 rr rr JSR DELAY22 ;6+22 +0000A0r 1 BIT1: +0000A0r 1 2C 58 C0 BIT AN0OFF ;4 +0000A3r 1 20 rr rr JSR DELAY22 ;6+22 +0000A6r 1 BIT2: +0000A6r 1 2C 58 C0 BIT AN0OFF ;4 +0000A9r 1 20 rr rr JSR DELAY22 ;6+22 +0000ACr 1 BIT3: +0000ACr 1 2C 58 C0 BIT AN0OFF ;4 +0000AFr 1 20 rr rr JSR DELAY22 ;6+22 +0000B2r 1 BIT4: +0000B2r 1 2C 58 C0 BIT AN0OFF ;4 +0000B5r 1 20 rr rr JSR DELAY22 ;6+22 +0000B8r 1 BIT5: +0000B8r 1 2C 58 C0 BIT AN0OFF ;4 +0000BBr 1 20 rr rr JSR DELAY22 ;6+22 +0000BEr 1 BIT6: +0000BEr 1 2C 58 C0 BIT AN0OFF ;4 +0000C1r 1 20 rr rr JSR DELAY22 ;6+22 +0000C4r 1 BIT7: +0000C4r 1 2C 58 C0 BIT AN0OFF ;4 +0000C7r 1 20 rr rr JSR DELAY22 ;6+22 +0000CAr 1 2C 59 C0 BIT AN0ON ;4 ;TRANSMIT STOP BIT - ALWAYS HIGH +0000CDr 1 20 rr rr JSR DELAY22 ;6+22 +0000D0r 1 60 RTS +0000D1r 1 ;----------------------------------------------------------------------- +0000D1r 1 DELAY22: +0000D1r 1 EA NOP ;WAIT 22 CYCLES +0000D2r 1 EA NOP +0000D3r 1 EA NOP +0000D4r 1 EA NOP +0000D5r 1 EA NOP +0000D6r 1 EA NOP +0000D7r 1 EA NOP +0000D8r 1 EA NOP +0000D9r 1 60 RTS +0000DAr 1 ;----------------------------------------------------------------------- +0000DAr 1 TESTMSG1: +0000DAr 1 A9 07 LDA #7 +0000DCr 1 85 D7 STA NUMBYTES +0000DEr 1 A9 rr LDA #>TESTDAT1 +0000E0r 1 85 CE STA DATAPTR +0000E2r 1 A9 rr LDA #TESTDAT2 +0000F0r 1 85 CE STA DATAPTR +0000F2r 1 A9 rr LDA #QUIETMSG +000100r 1 85 CE STA DATAPTR +000102r 1 A9 rr LDA #iEuoni~dwjw5@f*M?)fX>n} zijGz#E*KS!pl=kyCYvl1MdHSms8vkdO|-ZqP3^SP&3o^;k1{0ho$s7E-|yYW%)Ehy zwxz9S8Dkph7)wVh9bP)_p=12n#;l;j(z;D`rRplx)uhv@uy;IqRFyv-&zKpxQ@c(U zTTkr2nuWJaG^XUpIAbQh%QR2kV{Gt-%jjA*Y2p`A#Ku@>x=05kc$3?hLNBtRU4A#a zlq?&{X1Q6OEE~!KZg!F^8_MRoSr=J0bZ_A+MCP3@QYTVA|6FpOrmU!Kxs@h2cEbYM z(FsW}bYZ-Ojj`)*0~50Kc5z1`zGmvl?M|U88)H9hk;dUxkxs~lJK!M47m4eEY`XIT zh46kh#-%CWA$}ACamWmii^geM}Ncw(q zUd!*-A=(+|_mLxG*E}HNT_%!wP{esi#6Y4Ci_1dNkB|fEfQ#aYP|HQUZ6cYKBF-ui z1BtE{mxZJsB?r`Q7sU~w9ux7#t!O3zb&^n{8f}Nqs-{!yLEPpNB_rhH=z5=kW}bvP zYoJCo`V@RtHT^VuFyD8Xk`XhFuJ>7JrUU9c2Q{kE=i#%e=@;08xzS}xM$EP7dS8TQ zUV=I=LycHP z81F{cXZAe$OSfI0tN%jvOVuN)M^%rh9*4G^fI454~S_uR4vY@3(?!#L+ar>okAZ2|Rm;YU)p&M%C#W zo#tYmMm$~Rluo1S{53j_^u$x|Z=FWf_fNqz;%J(GF-=FerGE$*dt*0$ca5FVjoqY| zsF$b3tZsXy`m0zwdURh8_L&~t*MoiJv9AaF$jiPhz1X+BSNHW|ALVkr6}|d&>cu|F zW#7tPdktUL^x6v6tvTi7l#>I8a&U6W$$>*TI639yz}eQPoId6BfkQbseah(rhjMWG zl+y>!_I~B`E2keE%E9SZPCq!5gVV2^esFf=m6KOa9vsTS$tx!h4&~tFm6HeOg8}6X zC}#j1%E1{>&Hy-+gEOF<0dRH>DrZnRgWymO&Y*Gz!J!L&_NfhjMU+ zlrsbl<=_k{X9%3#!^#;}&M-KXgEOq0VQ?r1XIMGI;OrSu&WLhGz@Z$R5#@}4LpeAj z${7J?Z_Fd#pXD)+e1A;LBTpvE!HIeBYa8>(_lI(DVjg^+V;=cDe;8LzTsd)YC9H}euhbGm|nN!RXD&if*^$~wvq_|>Pv~6)wb*MU0QXdG-o)a!* zsSO268*8c~tn6#*=Za_b2ZJF-&1n jG^=)Ypro#rZ+pzl`uZYXlr0F<)rS3Z14W^RV9@*z3AS$t literal 0 HcmV?d00001 diff --git a/SRC/A2NoSlotMidi/main.s b/SRC/A2NoSlotMidi/main.s new file mode 100644 index 0000000..066a1a8 --- /dev/null +++ b/SRC/A2NoSlotMidi/main.s @@ -0,0 +1,265 @@ +;------------------------------------------------------------------------- +; +; main.s +; A2NoSlotMidi +; +; Created by Eric Rangell on 7/17/18. +;------------------------------------------------------------------------- +; APPLE MIDI DRIVER THROUGH ANNUNCIATOR 0 +; Copyright © 1998-2018 Eric Rangell. MIT License. +;------------------------------------------------------------------------- +; THIS DRIVER IMPLEMENTS ASYNCHRONOUS SERIAL DATA TRANSMISSION +; THROUGH THE APPLE ANNUNCIATOR 0 OUTPUT PORT OF THE GAME CONNECTOR +; USING 32 CYCLES PER BIT TO ACHIEVE A 31.25K MIDI BAUD RATE. +; +; THE OUTPUT IS INITIALIZED TO A HIGH LOGIC VOLTAGE. WHEN IT GOES +; LOW FOR 32 MICROSECONDS, THAT INDICATES THE START BIT OF A MIDI BYTE. +; THEN 8 BYTES OF DATA ARE TRANSMITTED, FOLLOWED BY A HIGH STOP BIT. +; THE DATA BYTES REPRESENT MIDI MESSAGES WHICH CAN BE INTERPRETED BY +; ANY MUSICAL INSTRUMENT THAT IMPLEMENTS MIDI. +; +; ENTRY POINTS: (Note: Origin must be set in Makefile) +; +; $9000 = INITIALIZE - TURNS ON ANNUNCIATOR 0 - MUST BE CALLED ONCE +; $9003 = APPLESOFT CALL TO SEND ONE MIDI BYTE. POKE THE BYTE IN $9004. +; $9005 = ASSEMBLY CALL TO SEND ONE MIDI BYTE FROM ACCUMULATOR +; $9008 = APPLESOFT OR ASSEMBLY CALL TO SEND SEVERAL BYTES AT ONCE: +; THE CALLER POPULATES LOCATION $D7 WITH THE NUMBER OF BYTES TO BE +; TRANSMITTED, AND A POINTER IN $CE,CF (LO,HI) WITH THE ADDRESS OF +; THE DATA BYTES, THEN CALLS THE ENTRY POINT "SENDMSG" TO TRANSMIT +; THE MESSAGE. +; $900B = SEND A TEST MESSAGE - C MAJOR CHORD NOTE ONS +; $900E = SEND A TEST MESSAGE - C MAJOR CHORD NOTE OFFS +; $9011 = RESERVED +; $9014 = RESERVED +; $9017 = RESERVED +;------------------------------------------------------------------------- +; Enhancements for 2018: +; 1. Disable interrupts during critical timing sections, preserve interrupt status +; 2. Entry point to reconfigure program to use a different annunciator pair +; 3. Entry point to reconfigure program for hardware interface using inverters only (not buffers) +;------------------------------------------------------------------------- +; CALLER MUST POPULATE THE FOLLOWING TWO ZERO PAGE LOCATIONS FOR SENDMSG: +NUMBYTES = $D7 ;NUMBER OF BYTES TO BE TRANSMITTED NOW (1-256) +; ;THE VALUE 0 WILL TRANSMIT 256 BYTES. +DATAPTR = $CE ;POINTER TO THE BYTES TO BE TRANSMITTED NOW +;------------------------------------------------------------------------- +AN0OFF = $C058 ;APPLE ADDRESSES THAT CONTROL ANNUNCIATOR OUTPUTS +AN0ON = $C059 ;PROGRAM REFERNCES ARE RELATIVE TO AN0 +;AN1OFF = $C05A +;AN1ON = $C05B +;AN2OFF = $C05C +;AN2ON = $C05D +;AN3OFF = $C05E +;AN3ON = $C05F +;------------------------------------------------------------------------- +.proc main +;--------------------------------------------------------------------------- +START: + JMP INIT ;MAIN ENTRY POINT - INITIALIZES ANNUNCIATORS +SENDFP: + LDA #$90 ;ENTRY POINT FOR APPLESOFT: POKE BYTE AND CALL +SENDONE: + JMP XMITONE ;ENTRY POINT FOR TRANSMITTING ONE BYTE FROM ACCUM +SENDMSG: + JMP XMITMSG ;ENTRY POINT FOR TRANSMITTING A MIDI MESSAGE +ALLNOFF: + JMP QUIET ;TURN ALL NOTES OFF +TEST1: + JMP TESTMSG1 ;SEND TEST MESSAGE 1 - C MAJOR CHORD ON +TEST2: + JMP TESTMSG2 ;SEND TEST MESSAGE 2 - C MAJOR CHORD OFF + ; + ;RSRVD1: + ;JMP INIT + ;RSRVD2: + ;JMP INIT + ;RSRVD3: + ;JMP INIT +;--------------------------------------------------------------------------- +SAVENBYT: .byte $00 ;SAVE AREA FOR NUMBYTES +TEMPA: .byte $00 +TEMPX: .byte $00 +;ANNPAIR: .byte $00 ; ANNUNCIATOR NUMBER TIMES 2 (1=C05A, 2=C05C, 3=C05E) +;--------------------------------------------------------------------------- +INIT: + BIT AN0ON + RTS +;--------------------------------------------------------------------------- +XMITMSG: + LDA NUMBYTES ;SAVE NUMBER OF BYTES + STA SAVENBYT ;BECAUSE WE WILL CLOBBER IT + LDY #$00 ;Y WILL BE AN INDEX INTO THE DATA AREA +XMITLOOP: + LDA (DATAPTR),Y ;GET A DATA BYTE + JSR XMITONE + INY ;POINT TO NEXT BYTE + DEC NUMBYTES ;DECREMENT COUNTER + LDA NUMBYTES ;CHECK IF ZERO + BNE XMITLOOP ;LOOP UNTIL DONE SENDING ALL BYTES + LDA SAVENBYT + STA NUMBYTES ;RESTORE ORIGINAL VALUE OF NUMBYTES + RTS +;--------------------------------------------------------------------------- +XMITONE: + STA TEMPA ;SAVE A AND X REGISTERS + STX TEMPX +; + ASL A ;SHIFT BIT INTO CARRY + TAX ;SAVE CURRENT IMAGE OF DATA BYTE + LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD + ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS + STA BIT7+1 ;MODIFY THE XMITBITS SUBROUTINE + TXA ;RESTORE ACCUMULATOR +; + ASL A ;SHIFT BIT INTO CARRY + TAX ;SAVE CURRENT IMAGE OF DATA BYTE + LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD + ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS + STA BIT6+1 ;MODIFY THE XMITBITS SUBROUTINE + TXA ;RESTORE ACCUMULATOR +; + ASL A ;SHIFT BIT INTO CARRY + TAX ;SAVE CURRENT IMAGE OF DATA BYTE + LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD + ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS + STA BIT5+1 ;MODIFY THE XMITBITS SUBROUTINE + TXA ;RESTORE ACCUMULATOR +; + ASL A ;SHIFT BIT INTO CARRY + TAX ;SAVE CURRENT IMAGE OF DATA BYTE + LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD + ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS + STA BIT4+1 ;MODIFY THE XMITBITS SUBROUTINE + TXA ;RESTORE ACCUMULATOR +; + ASL A ;SHIFT BIT INTO CARRY + TAX ;SAVE CURRENT IMAGE OF DATA BYTE + LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD + ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS + STA BIT3+1 ;MODIFY THE XMITBITS SUBROUTINE + TXA ;RESTORE ACCUMULATOR +; + ASL A ;SHIFT BIT INTO CARRY + TAX ;SAVE CURRENT IMAGE OF DATA BYTE + LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD + ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS + STA BIT2+1 ;MODIFY THE XMITBITS SUBROUTINE + TXA ;RESTORE ACCUMULATOR +; + ASL A ;SHIFT BIT INTO CARRY + TAX ;SAVE CURRENT IMAGE OF DATA BYTE + LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD + ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS + STA BIT1+1 ;MODIFY THE XMITBITS SUBROUTINE + TXA ;RESTORE ACCUMULATOR +; + ASL A ;SHIFT BIT INTO CARRY + TAX ;SAVE CURRENT IMAGE OF DATA BYTE + LDA #$00 ;ZERO OUT ACCUMULATOR FOR ADD + ADC #>AN0OFF ;ADD CARRY TO ANNUNCIATOR ADDRESS + STA BIT0+1 ;MODIFY THE XMITBITS SUBROUTINE + TXA ;RESTORE ACCUMULATOR +; + JSR XMITBITS ;SEND THE BYTE OUT + LDX TEMPX + LDA TEMPA ;RESTORE X AND A + RTS +;----------------------------------------------------------------------- +XMITBITS: + BIT AN0OFF ;4 CYCLES - TRANSMIT START BIT - ALWAYS LOW + JSR DELAY22 ;6+22 +BIT0: + BIT AN0OFF ;4 + JSR DELAY22 ;6+22 +BIT1: + BIT AN0OFF ;4 + JSR DELAY22 ;6+22 +BIT2: + BIT AN0OFF ;4 + JSR DELAY22 ;6+22 +BIT3: + BIT AN0OFF ;4 + JSR DELAY22 ;6+22 +BIT4: + BIT AN0OFF ;4 + JSR DELAY22 ;6+22 +BIT5: + BIT AN0OFF ;4 + JSR DELAY22 ;6+22 +BIT6: + BIT AN0OFF ;4 + JSR DELAY22 ;6+22 +BIT7: + BIT AN0OFF ;4 + JSR DELAY22 ;6+22 + BIT AN0ON ;4 ;TRANSMIT STOP BIT - ALWAYS HIGH + JSR DELAY22 ;6+22 + RTS +;----------------------------------------------------------------------- +DELAY22: + NOP ;WAIT 22 CYCLES + NOP + NOP + NOP + NOP + NOP + NOP + NOP + RTS +;----------------------------------------------------------------------- +TESTMSG1: + LDA #7 + STA NUMBYTES + LDA #>TESTDAT1 + STA DATAPTR + LDA #TESTDAT2 + STA DATAPTR + LDA #QUIETMSG + STA DATAPTR + LDA #4!K1%m+sf`S5q2ep<3`nN#-1XDc?m{PAjSXU2=cBXW%th^ z{@+IXKON$jx_mlLafGN2tS^ym| z%z3zJ>S2*KH5Ueu_@4(C7t{x3*87dr2)&UjqZbZNZ*^q7MkP{Zd5}vJQ}cS`CFXJ6IfnBRD!<;`aBua(g%@>t zT&Q2E%c595dgR&*J;XNTQp7(eP3UEqKIdzhp3QHU$fRjZ=lFTI@^c#KY88vy3&LIg zu(dVZ1bjVpwb8hLu=s5+K3|^W8GIeA1brGhNS|C*YOd4(&MxKV4l9a=pM&sECSV_0 z46ZiqJTe*(wd!3#d2Qy`*9`pMybKwCl^^$aK8LhKSweHTaJ041b-syR~|Y0T1g(wEP!s zfN`+gtvM}8$-9=+Gr|BeUL9v`cFhqJogl|Zx{|V4$n;8El|FQa%j|K!-6^o+#4U!9 zd9QX$spOk&*I$I?QW0&DCW*cdu(mW;)pR)%rZxtg-~i625-M6MYgowL`4Z&;z10S- zIye8cY%_Vh3V%q+IQ zW9eIDjMj#zZIWoLI5d)Q>#R3?M-A=4U?`ir;1&b0DEIvbupkw5nQF?1PH6qLv8uJuz6@?PT~bJa#BS~@-L zple`Is-$q*s||C#`u;TOEL#hXS*5I!d;I2*kyN8U6Hh^j;J`S8k*D>F^6zkN3+)gT z1^jmUOjq4`EDa8)#_lMFDyzU!-86YWA*!sP`y2K-pW+4*Rc9PQxFDWc>~@}n4Dk7r znWP@;#!aN)|J1BmY~#)3>J(D5WdPmqK=kRKsJTSP$pK9hQO_X{f|z@*O2(1Mak(Cp zh_^t5&R4n(xkpHCc{GclB2yaP-J>s+j=koyJHJ|=hJ@QVjlrD`v+=$ihTl*6OkZUU zn%0|X=Lms@RzjR#jt~-1+=q=dbe){mdvg(6WneVFepSA6x%S~gEv1e1K~t)dBvVyU^!aYgd5Vpt8jz?>rXHgJwlYu|>~9I3HfxwD z@Y}JAicGqiD1oO_%*63^*S^61K;+Brv{fzEPt68;s?-Y@gdBFRdjhS?)Y)jnM#8&i z9O~vT#XbxmyR@}(-nmw$97Yfs9SlM%Qua{Ta5W2VvlHTEHuU6zJD$!knN6WS2nT0E z(;Ut<=pNyrFfVneT#JTOS<2F|m6DoB(4tY`3JDpO{0!2$(Z>2Oi)%XWcjgtOvs8BO zsB#x@xzO9NQrVlTc`OOZzE9KTD{BJ?-V9TRG>jMZdOmgimLS<~^bWuRw zC{Smuw86ZZXtiw}(!x14eK|H$E|2Gla=%>kTuGs*T0T}A4m!$Nv=^$raC}hy5MRN) zT|LRaM4u!#E42(r9n95gRJ1O>KI^)GykU}ke9eq@SRn_QU_gt!vi#w2E*3*aQf#20 zW>F^U&6_aj+lYPNPzLU6Ct4(3ntQZ2k4UodaT9DKm>GFV%IIp#-9H#u@VvJ&tQl!| zbM<{2%{ATI`g(db_hmoC9R9p?nuEq8yZ50F<^-p%5r3P4d53;|Ve?IxH`(E*3b_G_##d!glu~XAly&we z1AkkoOkMRLnIxH0L{A0f?rBR}nC~z)&x2pG#TKan@psy}1s?i|F!a(0|>m?QwebkSM zzwmdw7vUzv=={x++P1Ye1%P?gH9_it(3Xrwm903F{QD~E-y6^_m z9^yRvNdXQW_5%CKS%v3&T^sN4GL}u!mlwvv^D+l%Se8;#9hb`;Cm(Oni$|1&ZyPiJ11`3rF>) zQT1B464~b)b%^Yfh~80O^JA5U=r$zatvGxQ@jX@PQkdu}V0q~8ieN|XikP-4D*?ko zo4#07QcV~aKYHghLAfdg$E8aunWxAf3cFxi;Asyv7OzN104C6_Hr8LFLo*g(tKyby ziGXV?Xz?jsP^<0Vj|9F0L~kX=EP|!Hrr+~Bj9)66MjCUdgQ=Tssk!-USY;HlMYJ($ zCi#HLVqOHPZefv=gF$*ri1dYkHn0GIN{*zZX7v!{%n;aA$AjUv#w)ty}zVur{9B~{@Ddb8gc zZs2FX;T1ADLY7Xddfmta@zgwgCLYB2n_)u4jD(&x*4oHG`9*rvg=PgfGUi*G2O!i_ zXa9U|t=DE-Tq`p0%@O^U)Q^g2n``$R=e}LsCg!Z|EewXZLDhyjn-LCl5-dyALbmPv0lKoIe2)Ui8=Ag#fH9F`J#w+F2k^lu;crl67jc#PEb zTJp&0`RSprBWWugIo`YZzX+0u(FF+T1niRi3d7W5mMIBq3Tp_)@hv}SnaGSFD+U!5?4c}qNV)?yPz;2_Er1nJ`bxoxbso5s6gk5kNB`&}}YdWAA`h^}tfYiqw0oIvN zF8OL10jV_9meiuw)n>ZKm)qoK#l2;Ah&-zegr1sLPD;}ol=v7Yz{(e9sz7gR`uEDc z6Z%?pE(l-`K6->!Efqrr`>QB(r&?Iw@sC?BnLqK}X%24+nJSiY;7TpjL<^;ccBY5& zq&Yu5#AHN~G2Mx9e21A;hlQI3+E%AWB1DYN{Qz@eS1n1_=-IcI^yoTNkonK7NHl8D z@H>Ga44h0V4gXzM8w}zzM%6ij)JKNOWxbqP>{MqGOtK@@O*}P`Wlch85_qjj9E{ zr8&wEk{;nW&D1k_MlTH~EfSxHa43{iJ_~=OwUJ1x4rHc{`>l*9{8E|3gYT|jL)u)~`7-+!c{q8=Rfrn9ua@fc( z=#lqb0w5sBZXjh;P@ZA?lsfx2^HB6@&aQht5W>AtJ){`9t7jLmz|~hABF+KP6509plymImQ|zxVL|~v zOZmXXgMG2n8nbg_k2-f&aFXv-!dvJF3a;%3pK3g01_Pon@f)?oAqd5`zwAKt^^d{} zx|(hf-;h!KVtSJ{`6cIRHUc!4$~LA6eRrufm%u z^RymM!bwWScx27-c&#IXVN9|eRiB}q`$wI+zUDX83(Md56@&v%axR_@ecji)+XY?y z3L+!JccACFVAxSiheWIa&u%9OIwp~42_vzFI!^$P{hXc6F#zNwhneGs#W2g&i5u3S+63_LY0 zD=1ULgc9;qXU)%a6}AuwH`-%;i>@fXqg^xvzH&6y{e~?cu)MF4|Q}WWgu_*ZVkcC_yTVZI7%3UC2G*`q0`gKCrDX(?OZy*#5qA-ixLYc*3mKza0 zwc;6>vd*b@g)OOq&_?$F43AZND4RstGX3~$o?-R20${0+c9vK36w|*`gV(K;ZjlSu zbn{*+(9W;&)H~J~ue3cX?5Ds^Qp(_GGv#(1;bh4x=Hh7VR5DSe$)y4fmK@cusVDZbuhAz~P8>6o5DH>1F&9C%kQk}C zPB}V*Qj+XT-L-XsyWe0vUSs&i)yuZ&+_7M{pFhN^_1{j#JXG-;F8*2!C)gPOb9_~w|z$vB?`zfE*z4|27z2G1}?y=66 zI1^wk`@2rZ!xny}=mV?FDlSQ`t)~a*hW=_8xEoUV4m%gVmaaG@2fIQdSjM(WlP+Q0 zX<_JRrM|lx>h9>7*PvP-u<4Ei8lSr$GM73VEhnI)91qzvs!?}#3g>|Iju^_tU%BCM zM&C|i@g4|fIbqylqiyMjD{_qb>+R!%_~JI71k1FF5zHUVz!uT_6HBnCKI>U+)Fs=v zDS-m?feB^09aq+f91rEeRRWDF5ir$Cr9P5oIs93UsI%=|%1{o&NG<)6I9^q${l_7} z;4z-8oWr8?&fS0+xQ<>F=BKNcHF~*__zV4}MDb3g#H&{SYMuo?AXp)PN;H z+)yd*y4L7u*F2+pXC70`S?Xg~>4tMR_TEs5sijmx$7y(ckJJu|mJ=y!7=iZQyu;B9 z7xpSFNY-b0eweV5-HoDNvziTxfqQ?HEa`O zm1bO##oGd$)T8ER`_mfo!XpL7=qi&UwQmQ#b%5zow@P>I=K~A|tCDY|VCz@8NjPFx?k}| z(CVzXR+0i!c!MqpwAxF3f%xzAcnX&frp)cw6PJVz-}-?FNJT>Z?YPUUTUzZG!Dx{XkrXJB**90dkJ2!x;fv>&5hEEW7>L-8y+i33H$zz% z+uUnHB~GH(tENx;evoo&(;7+6!iu+7SlO5qE{kVR&U>2NDRJ?Z7I&6*ke-3Fq(8AG z2E!T!P^|d;a3f5>1_BDDWG;e6=5LO|4}D4!2B+ZhNjfmR_+Q^pUh=OX)Nq1*du5ZW z_s17l5epI#&xE4{pR;Q}(ABOEH{lBJ>+jT_P^-2(CNKD)(pP-0o?Z&%y1|8YOc3g2 z$m^%xo}8a-nZk$5h=;cdg=3aHo%pM31EjTb>0a`I9J12WM`$8vCW319w*kkE3&rkNk^LnG$>qEWa2s{vZybU%n1c z6VwR!;I8Yx3ari4jvPg<8G3%1O(MZj2F=v1OmtE1r2x2C=E5lTk8mG){y$9|kZti_ z#B;EJqO*yE-G9-||GN+3|Mu}PGd6KBHFI=!_#fS|{%>~|OJ^&48!OlU>)evO9Mo@6 zAfO{~ARyBJ;hd-iXVc={Z@mN=Foa3$w-ubWRaG1_=WS zGZ7qsc8;b11~H~|!5n3z`O?Yi11X=%7bqa`ejYP{3R8_njnFfc(?9Sgk0#VDj#45o z5;C`8CZ}sf`(xkW+xru(7p9zpj>{y{1VAu>)l&Z~37C z;Um}M;g_DQf8%Lyvv37|kI((&$a@N_tNFs?Gi~9&VB*}e;`F}JmDhzED*sjZ?(CLA z7jiAfX&SC(ZCk~T^PozU`3X+;>`m~*b`$1r5Uo>K;c|g7le%M0y&|%*vE|r-T*)DhNnJ2B0!`*bMuk^xt+j1l*!;%T*dwtnT94vUvb=2us#$Q=vT#h>hNhVRci+C*%Z z_uF`J{|Mnn;}(SlF_}#WiDhj>#q)zZ@J&))J3QeZc1Rv@iLT*1#q7MgCylIsCN)M> zyVN>6fImK+oSc=0BmF(ZjXpp_lAJq=d!k3L8XKcDdYVgMWW;QuE4}C0W9<(~pWApn zAvw%fHrwr!u>4{Z5$@^usq<}PZXL}G8)A_m3)_gPl;72_Qs1KxPRH${YRck|?WN7q zjYFNdTv@KC4W6y>UePL)TT;obCa2^^{EV0B8BU(bxI0vqpp^o5n*2%ckZ+nX?(_RZ z#*;f*HyXzxH9+X{mxF{HE9rXxj=97=m_BxspINe>_QZ~@mRn7p_e2luiJtOSQs@J+QAnt= zuJveL9zEX|hONOlc&Uy)@k3>9@T1H|>LI-vxCL<@3vI;}YH!sqVBBvCaC?T9I3*v4Gum=~NBV z@0FX9#G#ON&_SzZ1QXrmoi@xiK984k;@8i&Jxe#*^BH!)F!i!m>N$&Q%2DUElZ*MH z#G>j&=`C?jHk@H5Z&R77#h%!O&4FFhreXyT_yf1?9p!LX`dm)mYsdncryP6PJ?DQd zF6`TK2|}KP#{@+w0@Jcys?Bf$+wJf0DG zw*)2_FbKxuXRuK?Jg6Z#9`ofR|5~Vjh>7{82%V9B$VL(%?1csHEX&W^Ve2>VBqx~9 zYqFtYqoXCzT0_B&(#DBiPQMc)!_ebnX`GL-nXO7UgL{4+`6s#!h`?x~W3%}zldlh$ z%EuK}7~|Z>D2~b|&>5uqtiWd#aVXU7hqE%sYSy*RmAVHL!!%8reVMC@0!FM&VwD7q z#UU$rmRWTg)Q^G^Z};lG^=fGgT!1lHSesa4L4xLXNP8OfC=PE!Cf`r0w;}m2 zJw=~vrc&}XSMlOT&QZS>Igskk@h#l5@-_K3XQi0EB*hDmE0jb<)znL*VwCA^W^H4` zNhMU_Y~m5(H5>cb!g0#etZ!Qqq6p3+#pEb`yN1VwH;y$&v2?8mNm(?0`Bw;vR6I|V zI|W^Z9rtNk24eZqq8cAqgi@Ygre8pNvp1KI#Uap_&U{q*m*~Ztg+lPe8sYx=QyySJ zrmX;-;qHryLIxsDxli;nIrHT)GX>l!2JKgpg7A=Beje4<>oO{};i_c9BzH;30d{-7*$m97}ykDw0P8=SW?9%o3VdF0Z-BrYx-#VD)LxmiMtpJ zCYXeVL>PK(#>4!gzr@^=hMLS8y`1Oek$ROSK`xv%=^r?2;xmPl$rLp?K3f3yRtC6^ zGZkwts{PJiXMT_V{U605a#}s<;kWH&;@gtAnr67F@>Y2J`>kaL<6p|9)6k-|BY)A6 zk@XUlDiKl0dA#rH;~4Pd(yglG?po{QRWG;@3=CtJ?qKxg5^Ez{Jc^~O!!u+Gf;;D< z)xzcaG^sr#irZrlQSdb`JmGEJ@SYI4=(ZT~DZd2o0?ilfJ zcJ=h3dA?&`Tt6XiE?$9TTrZI}@lWA)Me%u=3rOQa@aGjofytJ%D?g$4vVoJ!+Z%WaOVSqH7TP8zmqCSP1*Ht1RHYpYk;o&V}ZOa{58 zB#uvd|6#1wGB+T9t|i5|QW5=2Qt8bi`)Akv!!tMeYktg^{!=hM9dHm270c9bq(Sf4 zxuoa^0xYS#ERXNeo}{1PVcPRsb5f)13hDl}@Y(e9tHu;X=sknjqg`eBkC%;YO1M_8 zza)yfj*sNUe#x}DHKx0ckv|niQ%q#1S&2pF!o0(v&t#J1q~&O1EX?54o-pYk(d2}5 zTFTBvP+}GqK@3tKus-_I13-nT=5Nc{U~~1gcqQLf6~CTLyN-6!L$WBI$;;28M%)hhO zYs|kn7Ms_Y72PspCTgMK_CA{-JaImCp$El*&jQYGA*m`2;M#J1RKDyR2@SrmpM|4U z@G-2T+i-b>gybz3}g7(qorh>=Hbzq_%IB>EDprepyg%u@naov zh3@1wv^i5*L@=*Lum-wd#gLxvk~;B)IHQQ}%+w;X9+u9&Suh`-FmGbA45l%6t}r#? zVl68JLmfj4y!V@RHQd9K?{A<)a z6V5*`;~6c>i+-XRyJ7Z6Htkk@yyUJhCfB3=d^Odv*)lmUz17{DjSb-cxarj8hEFj6 z3i)E+jOxN9l(HaHZ183Eg^bG|H?+1}eKK7iI~q0V0Qs29HqT_qm3Tz?W(md|u!$Uq z@H3qM?aU!v2jh20-dx1W<(y4?oZ8=mD)56axgHe^^zN}6=)`evY(mSS?;rz#f#Uz< zXIb6`&Tdk6Ro1lvxM){W<<$vezocDiOg6`JR zw2=e)_BfUQD5ve8)8!vWui{~uJSl6Ddr5u9ga|nK(E#{+RZu}@OA6Fg*&8pXSeR~M zA=Gpsv_aZ$)?R?q<7165V&19hP7bz)3b(NxrNMxyjH#tbSMz9MDUF#$kdIbo)(tdU zz%SFNK3#(QFessKpR!z+!yP!M7{(SG{V}#DYce&~hySIrSAym-tKXH$w^GC+VM>vI zwB`{@C9s4Vc@1$-sz79L2T{-w^qI`JVg}5j0n9yxD)k$8^eIP3{slt$5W*SM%YG81 zNEnzK)S@KtRaq$7!5O%14RuuO)fy(!W%J(JlM}5Y$d+V=UMq0ajZvuk`0O`IizJ5+ z$Xk1hr1YjxbHd3ooe)lnS@(M&d zw+M27aYywI+jwCUOw=r=AHZ1F;1Bixy?KQA56l0aF9BRC3FMw$fkn@iH)}`F&({Jgki)(`7NjG0474l+^HQLd>7l6vhemKKPJa_qa4SJSyp?wg zu#b~UoVl5cjJz9nW>R6Lt#duIDJ!9-hu}OZp_uSb9TZnyLGJ_$EPwQat~GgV7|HE%U9@;D=+Z95+7wx;#1{bR?j&|R@^ez!+$t^a z8u+AU^cvZK2%*$=URIEAUGPo&eU_t5c8GfSp?}vO zXtFs~HY0x|(PxcBXRwRE3;yUG-kl#~IUoN7lg~U*1XVJiMyM-hjGUZIKE zsT!y=Y3&oGg7uH_YMHDNyKHJq;7*^aPx;o#r>fHmT0D*@Cni#~%kyi9dN)MN9cgz6 za*%+Mz@2XB?=5SdJmW}?=XBfEX=`M(n$bF`q0%2+H6Nevl`C5@II!9&<$*Q2d9uT2 z9m%SV@G+&}4d}*1d;Npc~p`twDyK*F5ePJc*bW`7g}NRLrT;oNuR{+AUCvrO}9w=rZ6a zQKJrPDlKqR>{UUz%IYX%D~-e*!$r~4fOldm)NGv2-Jk-9XfAA`$^XFqQ>vh}7)k)JMs@RF%{S?KNLJ{ueYatLdDE0)XKQY#mc2P#R? z(1ss7!rq2uFKXtVf==OVY%A`q?u=HS(ZMidG(Zr7`fxXU8_fwvL`6_mZ3RMagyp=& z3-LMAqwG5P&}xxfI<2iQ!D207xm>#~C#cc4QndGtbF~HeTLEJAUJW6&jw(g?ULoXr zOHC9(m8ng15YyHOibU-XZ3+}Z5Yy;u0BYKgDwQa^#4<0wv<#AAE^7`+bpJdS%jgMW zRR!)mggRQwnXs%)w1Vwo0!hS-DK)M_YS)C*b_Q)asPoSfavh$$0xDWq8WmTF6|-hf zd;m*)UY07tkjh~W!w($Pi;Xd!4Eg=l6hr3;f~}#S_Wj=~JI;zvdD%b7^v}O}VcP$p zvdg0sx;! z24AGll{JOnC9`VAk!9Vr9I#vbqR(B(N*}{=P>lIa##lMik_(yqY387^+Kd3xT=t2(TlG3I{##2(UVqU)1I87Q{h9$f7NtJa9>C zs?I0Inc*8i!P#nG_1=LGOEvX4@3QSUlkpIRSR0x(>8rzu%XRR2mXH^6 z2)EYRbv>(l8XR{ASsPC^<;`=drCZUXJ$;Sq-4Z=MLybSs01p-q%IBW3gG|L$tx_L}a+DD1Ejn435e%~ex%|aDnF7bz6k=| z`U@5FyhN^(z(-;!J$?5cMJ)5jYt7l*uY)?53od#>LmVcXx7i)IYPv{;lA<^O03kd< z-3o8j_Es9?!sK6ur};cw(1iYsF@GeZEZGoKn&L?lOU9zJbe%9NPq|AS%Iza(#>~6N!0uqbRkEPTTWMeO$@~Wdef`R zs~qhHw&>$p7hF{~yH6{KwFW2a$?xLt`Sc)nHs>9Z3W&ys<)RomI(`MkvLfr9)KKiR zI9K=W?PuyywME)RJU*|d*1YiaQ^CXs9`spnM6yg51G-wHv9Nem2>QtLCxjMKX_b;y zIb+jah{ud*l|+bx$&Jy=VvdX;Ny`hM80#0UZYuP3D6pmVzO_-=oyhK#Vs|g0L#{K5 z!vX$2rsyZilZVga#A40k=?$@T2B(5oau#*JA6}ujxjPX{$V~nfi8Ahnuq$)JFHJjN zNYI&l6#=yk;42B!<9);oi`f?BpF7IU&9J}O;n(6xb9Z)d3#Md+JtkrU)+Nty+AQs{ z3mB*Ygvf$DuNRz6r;f2ZfNS5{T`F9B(>Z7ipQxb{twS`K$%avI46S^RKbol2x`;nc z#*KO`ou2JXdi%u#d^uV7XR+}Yq zu}2629$w9gg+|#D(2;fIS80ykEB&}iyJDKrYi_H&sR{%y&mlwpwci){yJIFHE|p@u zD7JVA-F~u;X{awzQ=#)CKmQHznI>i@*T6tPlK((Y^*;jM+*8HG$exV(KhYkhEa$Kw zgyg5w;Uu+H0&hocK-?I#UOY(T1S=v1PFB>&4+j3XWy)K};lPvBM|7{WmvFZ~Oy)P& zE$FLKWF4v)ZM64ocgC8R!%pSPNzIKQ5T9@b2xJRw*?~NEEZ$9O-L>I>@IoJd_`FIA z)k93*{U!RM)%%VI!#j?2H*9a>d{-HWtNl~-1P<7?=$(y23h6x zODKLgc~gUgWvV4%jA9yWD%8tZ#2pWCh0OR3R~Af>IJklE74G93&pg*#>7jc5G2Z;=!hx@-q>SZG3w=Wk->Ne_6;5Iup+^(xmVE_4=)`C~%Xs%!vhkE~cF ztMRoo>e+0cA7<9AuCDM<(2X%H;%TQk2E4BAfe~^9X!z5_h?(Z+g+osO9r#q2w|lZL zoCvAa=&)Jvp#Z68zB0=E8Du#6O|Z_zA6|E5vvk(>LWR?SyO?UDwFk!b_Ila=%PN++ zfFi_OC~|M@MZk@1xIjDk_%Rg>6!zZbGG}egP_vB9Un+a!9CeTRKyW$~7P@>6a>+$I z*MDhP4XZ|x|Vl|+JbdQj~ z!}Xr81PCOd5)vlTkoxg&Nstq%_7qWwkflh5FY5RVr|M-q2%(j9>@L;@v@5Ejp6l7q z?8x1slxLdjnyR{P2AZ{Xnygf+_}Qc_wm0-rp~&J*zu$fWzTZxA-^{-Qa{Vv%B!RQV zE7a(Qs$c|)b~(TapZDF-3H$JAbX!%#aRg`%O=vb-W%>lru~!)n?ZIEDUR$GWH_wMC zi@u65a}%EzXzFVX`nqUf94nv#BvJ#GM-BxD5q9%1b5(A=&<%PqyIvDx0<;FZ_lLgb z-^wu&%diHB8M{k%h0)0)sj*)6Ys&(p#52kQG{w=cAB{yGeYbu|7X4U45DXk(dx9vy zyoColc;C^ZH*pp0Q~T<)-avV2k9vgpDvZ8_@sS=viuh9|-bpfk7wvQN-3;Xpbz(wk+fbQG;Dku9SxZ1q z#6|`%)oyGe*FtoJ#~9AWXvgm5??sM1_|XUD0YU@6!ZmqT zek0)I2c0v}qCmmlMw8F=svW0(ues;Rfz7lFQ5o71wMtJkqi)9T3FM5~!-h`A{m$2C zows|0u=_IVLEf|%1DTIUY_IAA$~70y6690u8o7LW*x!?C<>48HxP;MM!YBPI)bl4D zY;KK+5sFk)Y=5{)UFXI94ZO@A5N_4XCZd`CI5PbE+n?3=*)3Bd7aMs?m?JG=%?$fn z?`pW#E(iX+2WjzGsfXJZyq{P-7u(Dx69jp|A&k@q`mMM@bHTw!>I_zl$M&Z^owR6z zsbXxKo1ZHi7p9=u*Okk%cHgUbfn4mYT2haChsH?^WuC0)4RE0& zIzWoyGt3rFh6Iss8s|8ng^MS5ncU-?L*w~-At5OW{t_l$?6U;4dlHO8eL^0`eIG?) zWRjQyZQ4-YSY8nOo$D(xORF$7IE}3{re`ciGVD`tK+sWk07;CE-IfJ+{#K#0pDGtb znznQy5ZN{oE(R`xm=>C;KNxkc(TNPHv8o6KzGmMbv=fCNX-U*bhV!u-428Wj+@y14 zEUy0E75-;9fbDXa0G_{9voC=C6S2mnzQUSh?unZ0G&qwdC4tp!Ep?mLO9R}&k}Hmr z8|^Og?BZ#^tlS8<*~Sw#32sm<2q1gaD;{Ilmld;EiN7TC>tkFs>`Ow-s#7k>3OmS9 z^#)PWG%E8JuvtibhkA0o7o!mFE)z}a(h^1%lj&-M-9Ys-x*>Z9&D+LdzhkyhBWB zR?cmVgniHy`^DSB%7~yJPeusfS-G2gdGgaLS)L#aEw=OUAap@$h!)2zL4t}W?2|GX zVcW;O*@iP!N*8+{dMMK(GzvltYgU<{@(bg4MD4xm zI3ecRtHdv>C=r=rGx~{Bwpdr~>RFiBXv<=Wp#l%|6e`tnCF~R%Rbn4?Wrm8`ql0Kx zGyWZL95p43z7~5OOAV?{%0^*hkFmx@$3zbFWED>{#q~l`bt26z>kQ*m**okUYRoDpZNgAzd;SmUr<(N?Lqx@fG#~P_VjIkwvE#gbzsB8tJ}v^mA6`p z;;@kWYXdv6m$D_{7;2!2k}CHXA?fTL^^<2S82hVywG=uW&qtXh`A=3>?Z~hJt`EPJ zl5;32suW0r;d(Hbp;?+R>AF!|eIu_a=K?WmOA>!Cha+;Vv#|SiFo*psH4Ebr%^~D? zpw-QCW;vMv!HccODogO4vMudiTIuk?zDArsttb<1G444cYb_ax`(N|hVaj4{URqT0 z4OeyPJr0Qd2WYF4IE%#rm zX2UPMv{^R4>yq&6@V1=Fg%oRmPv*dveD;yMJoY^k1Vp7U-AQhW%-c&fY&oBOy2=r` zNy}&W{xW3w z6jZ5;jKB)Yl|NYoAr^S!T%C64%&+UT@kAZ>OsX9&j`vHDwg;y1;+@)-z!(oZDA~i| zm`2z%c^e<+arTdWhs}XM@65f;bi;gb_rK?nYO7>?P=BH8{x#I#2)+YNy>ohty;udL z3GE3Q8iQc-`zp%GPHe^(K%3TWt??g>j{U(Dwdeks`7=Wn-syBGsLmV&lB0_>(EmIh zS1xI@RlWZB`RM!7HCi#QAXqr9a>^akf3a}3dpKdDdDvk>$bd7jze!##S<4n~##`i) znZ?Ur&3wvCcOxBBEfs@79-&?`5`lp);iM7IJ3acCykY%&tT7U_4uzXa0$#c{Zfh}$ zCeD?dur(83Jp@XAWQq&a<3N@#rok>PwZVmlJK0DgoGSoifP=#qYk=1?<_1G|g0|4< z1f$Onj~%8GFdQ7gKUo=!{4E(1^<&(J3sc&w4)v=9n3)9hO z?(%Qu9e*2xTcPNP3l8RtbJ5G!25ko?w}M0T9oKH+yisJT4!$F-A=ajH!gMy$PcF^| zhSkI}E}uM~MR0%sd*JOw*oiQ7!%eS>-?a}*8&L?|9d#f+Ys$ouHxL{=+I2maT{=ji z6~QF-X7@1m?QFb@D&bEv8I#q(6FK(!IZ(Bb)2;fhOmEe!3mA4-4i>{EB6&;0^uYXl z)!58x1iDj^4YM|K=XSdM6qTe^ptxOJG~TDc@oN*96V zORnvqv8131E5z!74sJ@UFVA?r(6spmPsZvKI;+wTCs6ws%GIq=o;V6{&aNnQ7<+Zc z39@I>0r`fkY+&#k(rLbytTX!EugttDo|@e+qRixWu2NYXrR@vNXP$YC)V5Uld+x2j z_dqj6WbQZuh6eD2Vl942x42OEUO$Ncl_Ot{c!f#->#4#a{^v;*RZHi8vnrzhF?;sE zX|n2#%7P`5zkVi%Rb;Rln)F;wK_k&~>wrr9xKgcUv0}WcInPTUG;y+2rmIN#OWG#k z9_1i*EBEo@%(oI}OO|^r6^|e5+MlUwftvBB4fKI@ zliwCIq8JZ!{w8%qg+wMwVd3Q9@tT-gbPb-B1%wJ{F=c2O8cgLx#fGvH03m()bMHMT zrCsy2N1V2m<&rZyExDbEtE<=M4jag}^Ed}=9htbg7EVcQnUtn;?_xS4ei~I+ka6d~ zy1KNLDB*nFN>qZ3=O8WLr^pP?Lv^K6~iT>28T$M z_4KzyD*jfTEY5Lz%P!tROiyjL@wZX+7C6)4dmAz9L8-chMs+u|n&rd}9qvqwj&CtK zGHdYT2=2D!%+(uiD^S`3#NsUZCL8W8t*^>8m+v7nC4qU^ISZfzn|kb-_~p{ut~{aF zZg_Fu4NSxj~c`?sUsvlqoirTE~xNCg92_Jvv4n>7DJ)B2x)lyGpiGjjcZ5vil`Zzl9RT$Uxt z6^2b$yT3SDCn+!1P={9W5Q7XyWCLI+y2KSqEDNBhWU}&eqYnrc6!NqN&{?bw31ZJidnI3+qP}*7!}*L?Nn^rwr$(`b8esekJH^_^ttz8zwP}!>~CSN zITs#Rcz1QLOIx2tLV!**M*2Q$r#6q)me{@9Umj3=(#f9j4at4jl2C+DJg~c!|5l4t zAH@F%l~pG~`AZ=NY71mZaY?^vdgBxLo9~A?ivM})PWTx`5ISxdx`{`DK>&TZL4uv& z0Q#OGXtww?L+L=nf;;?f>u=pSx}@=B$r{r`lg@VIOXbm;kIXRRD-9W{4n_LNiUa#X zBeprjRTYM@vGTjp3@=`!Xq)~}bMo{wU1_SrI4pQlneuo<4u;bH{Pq5Q0fNjo*6op# zi@D;oIRr_+gqWO&zf+`)b__b+>sDc%#UeEpmy#g?gwnckXd}L(k{XSvWl1a(606Hp zv!Mjbi;cumf$_2t#Ug*rmIG5R5oGl_W~sdC)F-h9obnCmE5@kTL`IC(zFbSgFvc1) z)FG3nAk)Q!7EfC14CPb3HYk>Z6f5Wq)zns@isE?|q-5CyE)trk-NUis%{c5rDY{BH zMZ8=VmL2X?OmBr*Id=~p9hY~`q2R}i zkB?G1kuFo(w@pj|=x?rxn*fze`;TKQa#HtAC!Owx7D%_!%31f)$p_o3AHDc!!P?k% zcJl-DBK6nfzK;kta3?&^e}MQJVp)WSyZB&d@ilb%9Qe`#uzKUIp`pxdM@k$pu=t7?YGlOK}FjTg4HHHZ}HeG(|)_$#wFRF z5H0NA7qq9Wytm*XBA;YsMsk0@AbehJpT)v=-iYyjejL#QuNZ0#|K^9*;S#buMz9Vx z|rij3mvI7R_S6i_P6@uD6UE@^A0* z{&T{Y-wrQ(`X*8Zzmxv|9$zHQ0Y?8Xl^UtA2Kc5@xzpL~5Vhix1SDG$2d$B4YWTXL zA;=|gkeSgSMGtaivA(;D&Q=!&&A)=tvVb7*173kVkPQ}*)!X@qrw;y_9-dE*M`v$M z0&)2(_D}$lX#^6p3A@Az!QpW7e+d6Lem3BaCj-@VCq^>Ctf{3`!o2iGuF-Lgfs3AWw`+ zao@Ps_arPl?3%ZU*Lev>k>?K3DRj70JC7wkx?a=mR7LpDVzTWy>A{DP*R|H5&c+1VwBKT0c_F}r-CjlGTQ z!EVl4^4ept(qSP~@r`gzk%Ey%WG`cJKrAu#Ip&#oQWfZy(CUGUD)wgXUj4@_D?-cff{%_1C zUa4FpgJbF}?LV8(Rf+L2!gotW?l-UcpLqCxq^JKfpZ`uyDjSMOs>ol|kmn?oh=c?} z^JMb=5WnQtC>jQB1Ja7aMTIde1D?`c&ZuN8S{pM=+u?06&mpZR)&Ba+cy#QY|o2?#~d8Af3M5^4tRy~7NINdYK>s>I8P zWr#t2L?}cNV(5u6akk>UDFI`MgTibCT0pg@Y$nu(XAiCFXb3Wv7|PTr32mT2p?`N& z6weOxc9JF<($dRlm#9n^ZWRI#(n^zysf#@X`CK^CCyZx7jcW@g3K^l+Qq#vMh?P6? z6X&G>Dttuosu1#NN_P@PF=J?;0VH#Qj^-4}*(6QzWh~kXrn4@`_dV@-2ZwhU1F8~= z7AmRIQ!x@!R0VZ+VIe=a_ORe7z&Dr>SOe#SPQ~+D${7ztG`+* z*5iDZ;#W{Ue6wnuisNRvY86`O=d&Kn7MUI0`K#2&OKjMWkCo$3bZR9qq|~AgHjt;+ zdP_O#`HDM?!x>=ARR(4{L?Wp`$<$P6OBT7W*pgs}&!Q|)!9&1W+0>f^H%FVSPqKeHKbQ+<$bh?`KW(jHoM=(F=- zRK}az`eU=lo`H24+;vC9YP!=*VU}(zDmQk=iIaNK36n9n26v1%<}~$&Fm{PVspLIZ z`a1&9Nn$|bmf?tQxMGC#kMvyD^VM;!44Y31Z7q$*W~{w_ohT)DHk#C)G0fiX zJZF#O;DN`TdYx(?zK5L$Cv!EmG&H5r>ZIpa0Bbcfi|7)GzZyVqoSOE*BjDb=luRk| zbnV~KXX`$`u_BS6fpL$khTNqBjHRTxQfO3u!cC>Wr{tYHSg?I z<@jF(<@Z4I=G|fV!EDt)^YSYJ@~%k$_T1XWdE>q4!1tof(0w49$8PmgcE8L~IRFk) zLBOd2voJ!g0)Z!ZJe@#xu1K@T@Lcfty?x;3zHHR#i>&pZVaz~BGw;*t*u_p^i`!rV z@T>?$PTM<*!vn=^YU{nZW=|vw)=0tm@~^?|*egO)s8OGoZ>YOqZkmSC7d@9}P0=2Y zb72jQPVI?XzKhF9Z8eS~mhKHut5|$s{RR^l=hli@4mn;vt|TgL{HO z&dpC8@_kHlf_i)*_ZZwO=|SHNLD=m$#z5=SWSs2x0@1)Y+vO%hL&idTYOzt;)}{n2z0jzM$E-qWD=E~7g<3C0A+`utiI`T2K&NbdMDNW< zbJ;`4)`xsDCsQ?(1Nn?Gq3?U4qPc!SJ7y)NthvYi=cpos?<5lQPx+3i=g{ z4Q=fIDJ>(>BX9#~(gUcaC4J=pfi%*ehW9-AA)$oxr{n$+h;Bz(L29Lqj(8jd$&WztZQq(U={F2&`5+OzEQL@m z*foVIm2gVN*CwP53QP(!GG#awuj_NiH&4>gxVBBkGQP1n@IY{&FUWu_>P3h0%|ge= z8r`J*lxU$2WcdIOeos+6b|VttR+-_~90b{Lv0dv)gNAp}Lbjb8;25Q$C}H@khQ_-; zdF=tO#75qs?v-6BHOw_7BF^Lt z&~eFS!)j@xY4gbP8JIqxyjse2flirpp063oL~|ILO?nSU9_KTp+bz?D!lQqg|Dl*2 zE90_7_(9;S?w3mL2w{Ts?7M!aRs=hd6TpmV4rXk%`|Ac7mCTj}l2<797&~)d-{=XO zD)%Ee<|eRT4+AZ<*e>*#B2z$t)^lK8_MdHLK9`iKYBXGR4l+-wCif`d?}5J}J4{M{{-KZ(98#T?Gfzp;&e*@Gg7Tu-|aJJ}M)gjM}Fb zamkkbbVr}%_&IsKiTB$5;4%Q+pk6xrJNaN9<5RN8Lbl?A#u!~mH&~DsTc-W)dKqftgK#8K7TktI4Vld}6w|14JAzX4 zMV-S8U8aYlB+Sb72Q-Y39Diw;Ln^da|E3!<^W+l2(jTEUea~*7ht5b9PF8UD**tOvDj?z0BM!gqjpq z#e#?`AQ>x+qb!noELD%2NFzL~EuxOi=XR|ZBV!)9w@`7d-St>1j;#8|Fx79$YBLd6Y3l8_hXWf_Cu`R6Kd532pwW5*sFbFWl!o76g$O+ z3=#M3Hk=nN32;K=SIMc9W@`87fenm?+)GS|m}1}v3q0QlaXhxEiP-Zd68Ms;0V`RL zDwA4-=E6n2~U_V^I_+!_Q!Jg z^@?d1%ph$87^BHpfGQNFWjnD3F$Pr@9M*-#G+Lxr!W(;K`RxL?93^Flk64hut5Rhj z2Ol}?T>?t$mGO=P+H7a9!#6ySMMM+klojxpTGP~pplbuA1$pq0zrD(Lp-7B9igsO| zJHoE?nj*^EpS1>D?4lzq+n@czu6A@#xSM4M(CC!cqq!*_Z|S1lOG%Wd^b`gNy4oh| zcy}dH-loe9LaD#{zgL}1SGG5Fvwc$SG#+3dg&j9gSyvJ@s2?SSRb4lPbydIZJ$D9F zVmbN>&KcH)&M|HnKh(UfHNZxFs{VdeMR8D7A5VvFaBrSIE-C4tx|hbnIOUv=S447K zZh`DIB$(-=_zdd0yM~9{*pXM93a%@{$(oiq(Wl0ufkZ2cW10&xIm_R{=*xn@dNe`# z>Zcd^g5A(6KRGcrb#&b?$U027XHK%-Ky0kcs|i!cVY1enGbAuluB$ED$3+^z%)Qkx z)-A8uGngtpshO^6oChEyBE~+Sz8;;fChAe>n+%mUJ`Kf#%k~Gm;iB7ec+IjKdZsc6}wiA^5t1yBqF)+vj>m1dWy+4S@1q3`*D-_r6KJ+21Za#si~Nu|mC z!dT%A#N?^7NRF@!nbyMf)?;RCqbGkkWw_;w{F!StM0#Jv2CyPL!RxhTOnaS0R5s%% zX=R$E+_+w4k~ZbKdRTB`12icDIl?CH8(PCVU5sDv3q{Cyd`rQ@NE>)>3gF1H=D+$K zs@@7@M5h{JnkA>*cq<-zMHz3=5;@TDJ!z1^&6EO~ae%Cba_EpOTz|la!2>Ytu<=yE z%`b~hg_@<=0EHX(+L!sPX!+qK;g@PYR~+wmB`(Fjf1rlvj?qfGqJsYX9I*cl#cPum ze99wO@T)y&P!j#}9W2_BCa0AB{+C8RlckP7s=pHLo>x+n7+bV;)cYapW*=DErh@lo z`5Hlz)in&X>kv=P9uL!8v%hm4lJs{7?LS8Pk|AhMFWC^@-w5mzdd(MlW)r8%dxMGf zOrp{)*4D)Xq?A_I-2p42(FX|j!%d~saohs`^}XuaA0*ww$ABMW19l7=U6w+HGmkME z_cE-HKZeNE>|Xuz_bzJzK>)efRV5PiXC%sG*d;sW%9~)p#4!t-Qq`AH>b)i{|D$fu zmP=u`Jf9hfF!Dl;eLgm-ji;r@+i@^R)NaZd_ra=F7>!!}T}LRZIx*Tj+W<%g~b@+uOlII*`lHQUTOeU=F>-&?!aG;Rc45v^15 z3Ybel-b2vGlJS~G;YPO(ZLFy!LvRsQDIqJK;_O-pa-#(uBA;SdOm*>A#UCywW*Cj` zgv6u3px7}HE+Rmp@w9C2`P`TTV7rc{11 z0OcdbGzK`WcrSaSgm87P$z$Z(RGQ5DKAFp3OFi z!4gC4`{}Q#;KP2Eb_vv=suI>y?bk4qjPhtKO6CXfiq>D?09vQlq@IZC)Pap&iM+P3&or!0 z@P7sYp6X8qr{8Sx3dD~e#QzC6np+!xLx6we|3GDJ2gUE@0mU!P=4k@2pkmNJuL-3E z{1XTi_*R*w`PT5n!%>6*@siCkB+MQ1x0ASfgJ_=n1F9MFry>3}T+f==-aZ_=tE5Zm zlnkpU++5@KJm?_+xEy%s(EzP$OB}R_YRFzwgY@K-3t(#(FA^$ z@(FTL1}K(bm7?@yreHU|zD_S6azR)O9!A@Sfwtt?l$BIuC4Rknl`G56<3V*Mi)$)A zu^0^PT59UlN1)_^+Xi+IY2$Bfx>HjpfB5jL>6&QfasW4q(zlZyBKD1nqCB5WE2|WC@&^p%avJJPqi>~EL6r{ z(^hIh+rs&eqB<&UT}x-z$Grg7O0KTu3*&$-^OZ>V#(3(r^hM@!hH|<%tyW7f6O*+l z?J1g+DCY9MSjdll-A%%(Z*|izC4hw^Y5;HN@M3K(Nw7g8O1-7Y3Sf@-iWmp=ruF5_ zsA*=^kQp~uJ1&hQv;qalZJ^G8?ogIYKn>20gj@?e$A$oW6Rr^pAezeELo~ZQ_r?sSWQ>yyHQXPYd#E3 zdN5xDsINgX+Hv5k#?Wa}*D(_N+F;NbF7pM34ak9#M}GxV(XXQh^>N2<%D}qx=i~Hg zS7YsRM-4=_sRS-@--eanR-t;H53LhS!hksK)|i?xrU5&YT>7_;u~9r|adNXl-831N7IjXayZ z!Dq4+Zib_Otz?OLhRfmoe)$a|fS*H-ZFHFfrVSMjlbIJa)XXrT%3FRy^gWTq!MHAd z`q%XNS8XvHuD}+wpO3E#OoobmNAHt10D7zHSZKY#-JrQ2_=qInwq!azhp#610NnHR z46Unu+R$RF)nr^mHTJJ0LYgrLqZh1+sj)9u z%=V!x(5qLkgEYEJS12CQJ904fUu{W@M6H_8X`%2uJ=1-)K6)g-@A$}&aIg8;koG-> zOH|vIhlN7?X~K*IvW(Y<`(Y#9Ub6K(eVGUg$fnlG4pY9kDA`1nQJdq2l(qV$wRZ1n zLf)Fs%^5~r%%d9f#E0ez_L36J5dB^=MUgY9n_IlaQ;DB44tb3$nOqv4h{ z(LU^DfO!BPEP$k87^9i^3xG1g!^d^^L|F_!>wJXybJ0>#0pi_&x0dq$|8-RnOKEUz6rE=*gPT4*>19fQtTyz}cd7Eh)jZaSAHdDt%Ww$_C)wFG8Va$`V}0bK4boHa2v2ZjA{S*M^ZEH*)dU5vYwcg{^`_^-HEp&ZFo z5|-%~kbll*WeEx%Xx|h_{x`w=pXT+xU(olzEDo@Ba#VEu?n|)#Z;n|(Qx-`dfxB&^ zu^L&P4|x%Kw}MKd!$*}#V_uCaP7MST*JzEV8d!5(d;VGPop|thH=Gu8rXO6_<4*UP zH9~&MLpz+=OlmImDm~M|`5(}G{;UJSpKO{6j;2kt;Jwq3=E*%6t0s{*b0Bq167 zgldsua<(4H)jrx^q#myW4u!JeU|q0D3*VKv_ZsOV-42*(QURqGR!qsu)JAH$QPLFvHOX*_R}05LrCGQes#lbA2*FaV^`)-+qwP zWL)L-#NqN6eN567CF2n%Kdj4I!Hf5ocN_b(!c_}7yDC?|Gm9*Hwfl)2tPc!F0|zi| zgVh>xf1Kr1{$MTH9Y-+D{dzPIOt3Fa!K5`kZ%nw)bo~rL;V9bKzk9`)AeLqmXn@Vk zcC}WOU95J+?%%^>1(#w1$(JvGoldl0sB5kwJdJCLBS??kFP^5)`!OBq zA>GYjgF5zb;;K*_v8-`(^~6Cs%mN1tKnm8wFP4XRr*%nptKj8G&BYW+!wa3VO0Bh? zG3%UO;np++N4@;(zgtX`9_-VRGbcuZTzLv4?&t9%5pkA<4S0~xOL5RD^VC%ZPY`ytH^ zLTBVLZst0T&t?;vcf`?7>1piZMy@n%2{dJey>k(>G>zLs(t3OBs%m4pDoi1i4Ryus zD;TE{ZxAcF3@szY9P#aGO%Ojir4nlMqpH4C_&B6=gG%uF5}441QdF|4ED2XUku$Hj zaLxThQ;EJ%Wx#k2(y92M49C&y-VXMEH^th`R@6kjS)h!2x<3*rE?tH}v$gC4g(h9RZ!<=Tff^q?2|J0cG^j&S$4p640|IKwGE042^=#E)k$v`*u{(X6|?)m zN!M*#o@dKjt#m?r4c?h<{e^YQFxG9LgDcfIV(15KADR& z?J)^w6pbo@g)GfExmT@0saIp)jn#8ut0C|zW_DG8)s5QGAUTl6*g0>a7YMt4x-u%6 z-Xd2}c*s_+`_r49-L`EEneE0V!tOR+CdcSh3xrITxdpmDVU#hNq)Pcx>6z#%d1lPi z!q*KSR`6n~7*KN2a3$tpy4zMiRojWhUElUc!)UW$%hEfrdV&MSSjs&oDxt$0RSEpsDkF(%83{dMRE) zAR3XgKjmU(W_}ix==(Cs+vBGHz{tejn9cyO+@)4aFfSq5JAf{Hm`JhTEu?r}K}Ymz z5;G7gUOY8dcC2K%M+1S8e@1FQf0&?9x3+UXxm9#>vre7DcX?Cr6zLR4vjQc!mhK^+ za5%8qVzbf*Xg2$;y(iL3RxAyqTZXX?Nf7Jc?jc9)7264j0)M<`TS2)AZ)G4Vb-_G{ zG;Yo^DVNC2r&nL2%#-INnr>|Q;ze}jDu4*)!{lq#P zXNG$nWy8w{_zr#C`OZo!K)nX7D1Mobbef~7Lk|zMG{Torx#`s(XO<$ZsNby=1IDCi zb+DD7G>pa@{1K!@*dVg!UCD#Ee{4)glJQBn`p=A&rASZr6UOpX9@9wNE;~qmN8?b= z>cmz*+nj7ITS^ApUMx&7IYs1#2SeDdj==P}2i5LLN4CsIn!_{&Oz~I zuq*mbw@dyy4sLYZxwS&G_~oa=x-aPI5z~Nl-}&$byzFiXogEgPoi(@y6ZV$|Q`dOp z7?;1Y2b!C3ieT1K3Zd>ybEt>%p5^cobEmI|;-2O(b)*g9#>_L#tw0Mn57D~+TM90o zXK%-e`^1EUCI(kb*{HX;@*a1o)Tbgvc(KCDdoUM>$w$=@rwRpHF_zLwL0EKN&xOMq zj77HOQZRaY;Tjdy-iGeBCoz@2am~8z$-ne{Eo!^LFMred0JtANxc;+wNSQl2S{Vxi zjLh``0^g?cZ$KzhwE zf*>_MnjR5l1)(p6F+B1Sp>+#(2-6%#HJogEFm|-hK&wm}a4q;w7I1W9h(jwj8E(&h z1P<6*w9|p=Bx0vMYewj0(MlMjRG5?9zg5JkI(<&%D~umOS(j(E(X0c02%TG0FCQCm zhOMY|2c;-N)p7^)H!1+{iUWBwWFwo26V=sePpv49SdC5|)M+~u-^!Q_+O@rkzBWBw zYuh%(+pTj0r>`w8ta~ZpO;0TWKM`j{aBHPx*4`N|Dy3zl39*L~t*gPY7#uKKB!w5sz^9c_F^9xY|WI5+BQA~H?fvmU~k z43bn|Q&iEB;^TK#&_)!k0*Y!yIRzo5*x7Ra%D0&{$#9zLMQLrSpwg*&l2*6jmFf&k z?~yon>N+p+&4;EH*Zj3Cd{coYW=f;pk8gC;#B1eO_#HP*;=GN41fLr|Xr-n~(iwh1 z!ZA%g?O0q^FzWfz-XK?`TIMm|58yJ{Wq#MnX;ktLmS{l^ftfryFE}$Q%2!c3q~cJv z%}>I@;i}gybJZ?;4($Foby^*ja*^j9c!QGRFlO}2{q-j0yHcwtk7Tng-J{%517B6<)sL&@9ih~lLB zT7U2{^G)pEx<=Sm0g;mIhBFNem&yJgVA38`xWX*M?e||uNZGs1p<@iZU4tcjQ(sFS z2ase(V9+fIWeO{3R26PEY6*cF(ohR6=jl0#V>2ASlMg?y^#7RW6-&=|^)&35gj4yp zHoAizU{gJw>`(jfHJ^L=wiFYhB>n9+VrjxdplrIBo;O!fyPD>pIxlVpUiIhz^Gqrt?4oM?e2j&QF}pww&-Oz?UXHMnC3l z$^mt9*t_=v77i<6sqjAG&bD#A<2+X8XL-nadsLCk<gyM0}F#xS;BC0DShS{eK0zrm{+lH_zcfK)jcNH zD9;;t6?Q3`pRp#(e6q=cY7>sCZVE;n5WH`0wL;IHdB}OKUl|b#fa}lU*~BCaVLzgr zs*`WGdTo?e&gx&9*n~#q5^GQft#wq6*{IZT3wiNVPAzn`sZimnM(!00<5q;_)Zz6p zm|q~V?v5-pber3pvuMjRuQ?hR{p4l$uyigQC9KhKRV}kMa2LbUH)kXGF3EfkVKI`2i4uJO+lQShYHE-rTvliVrG9FAYvkQmtOGg z5^0SZ8`6&S4q{a-X%#-^!Uqn026kT02j>|SC_FSMWWTylC`)%?a7Nh)##oFwOlG9s zO|*D0j^KX1-(vaX+vbbm;qv1`aTMhrTS(E2?9^(d$2O|7Q;V>Vi(BhhF!D3;SkBhx z?1e>@%lF47_c7xv6~0TfZpHLThdN8bH94hlpn}3Q9ab&At8dkfqxf#Lv>kdfTDP5? zu)K+1YI^KzE`UxwdX^L575!|L&=Jq!Rkc^0h5tJcr`f2&&8n^acs|O#CTnWKAUb%A z$ziN&^%c?G*)uT%KLKrq(F$!fwSrPEr>S1wp4W0bJ)7nbu{c3M$k7 zVD&rJuq29EoqWy2*ssTh2-%}si)da?C5u)KIu<2FhKEYMyz@e(j3Y%SdR3()vk8Y% z(`44)-sP$FA-7(5-m2%JBpm$gE<;m2?phIQQ=K)N@t~A5t+3>!F+PbzIiMR+c73bS zzNaop%S2}+B}$n*{Wr+cZ}lQkc@@4InT)|O@#-@q81F?^$*0@HGK!2SyM-qPa{`3N zzhwueMo4YR2@EzI%#sJgkCz1%$FD_gjagZeJOFb=_WEd*RiNWUXZB(ilexqfnt-sGk zQU>9xn9bpPMC*e|k%{iMFyD1JWwRb0WdnHx1om4tjFBg68?@12D?8^#US4-2YumV_ zvZgfxoW zy9~th5+;-g7Q-k6u|_Qq+IG|R!O#!lvF7YiAF~C~No^xLMc&eRn@2FgW8@2% zO+k4KeTPy`Y8s*L8!4v4Wxo`C^Y6_w?6a-o(DO$=Gml>mOSy`8QNJbm&<5GZMv}}5 zo&hRZL-^w>5+gs5imYEwd9$1Xy;dhVa>zXy7|g6^t* zk)Kd*_P|p;@k@&eP`-_NBsgf(ZPzQw^ra>(`BF?pTi}$~8k&VS*fMQy#jM z>D?sJpOA*2OgRW788i#OhccXsrjCfI-CCLdG8p6CxQCzRojz%wJ;b(RH(dj)ivZ_x zJUWwXGy1M_0@ml(rXQX1xJg#yU2;52J?y`L|CtY)S9C%4{vLiC{a<#(eRIxIZZ7{; zY9*>@+afEYegaJ5CYfNxMG(7*(18pY>KHEbXyVX8e`4b>2+%>;sdF%L=Z+MZ9-oLq z^j^ODe|^0Y$RZn~(00nj>Gg-Hyyzvi(IzRs)zYe*EOu7Ns#ssNwr-tdNs-{cJ=xl9 zxnH&%c^qY2t*6p@y_@~+)^-&Yr3GUyaGKYr^ZU(3F$a_iSJ{gIccR5jQmzjh>~!p^ zH5qlx7WNBP_E^ooNBJ2gDs+Fz-dU?&s>NQaF`vO$h?p|IP`@n-dypw(TJP+*TaQp? z)J3bvgOFtZ?AT0{KLXX5gE*d2L=uw=);N%(tpGvR7z!zr+>%LgSVOoehAh+8+I0O9 z(HXyH>@iNJ<{b7i|Xhn#jG&Q<{ zDElCL9JXQvARydr?B=J1VAsX+rcdu;))(RCrhecx;FO%H&}s;iN;X2{65oMIR-8$B zIORouuEALMS5ODi7jtPTqoQOy<{@i2!s!X|?t)-eD#n%#DkbUzcQK=iyuD1D+vMaC z8gLQM4SBkuSZ-|TGSbhrgb~f6H$CY>0^k07sa14}gpG)XCDb!kYS236St~v8M~Z$_ z%NTOD)bC#2k-c)9#r3|z+)B9rg)pdezW}Y|eCH*y17X7uP6{~HP)YNFtAFkq^ zKOo;5+d&1ToEfwyvU^*F5h9kH2b~iyJQhv$XH%K(oEs&f7L|vc9?X~*uNEE%Sa z*;55lyR|7Tx0TyT#N9T!v36giLvN{5HQO}huttODFM>9LzoSq z1Q`Me;t@4_JncbaaRvO4LY{Qz$+l*WidY#Qzk(xw6Ab?R6cd?ZhbYD)a?0i{c)}8mo$~?$T8Kw z6w(FWYM}Zn%fINFrhb_U)8A8QNAb8$?SaQhpmT`%-7g7d)xuL31RlhPTZz_g zU==knM$RML@|GPtpC~Icd&2z&VQgqM!TV{5ni;Ep3iOempgkPHPKeVJyTX;iN7bEC zBO81dV{A1-7X+864T~?=H_=WtgAIDt&@Z#_<_8vdnNQJ;MYQ>E9IMek3HM~m)6?Y5T9nA>&uPA|na<&%n?AFc~F z9zEt*nNXXq#18~%H%O=f2>bS9b7(o>V{4U47>=PIAkII&%wqXD#iDse-)_iS98+L0 ziFqZBj@21Xh~ti(&ig>Q`rXAne1h#z$j1$NdHV1^eV97;CwO;%d}`I9zCEq7^-viS z+mxww!}X+e%KB)C-oQdV1(PZc6b&)wJfY6m@>}4|QT)JZ655-gc5(g!;bi>?2?>Ig z_<+Uo?nX$KOxx9G5uUy~TE?CgTuYi6ozixhj%Z@6pq#e4p+6Q0a7f)?9%0E8pp-Er z{KB;w#3*_fXE{^n5&15PoHYxLQ9#svYTIs!>Zx2hkI7s?ChPpBYW${_(5*@_rCwIw zzCiyumu<5foctn1Cd;LHEkWw=f zq-Bxhk%P8488dU8%23Fm6nGKpbsfVDg!v-!NKz<5(Gi`PsgW?KsAY-~wc4(AQ<~}N zt)zqynfn7Nzk(>geCb6zu0YlGn061>s~o2OWIG&A7USA10m1ATz|bS-G0DKV%O%wD zLl+x{EGPcesq4viX2L0;x zmCLv^VK8MJXs*xT-=}Y|WL|D&l_<5W0_XI%TcGpXSr3;!Y_)PyHYWx~#$gVuindfA z&o(C(1qPr2ZHbsJt7DO6n(1~Rx|K~Vu%&W%ZhzSCX+VA3sPtqP&vfYI9nz(vMGLjb zj@RG>A?PXTnkhe?kbO!Zju&z$Z11C!NdeL@NhyRpIIRodtn0#o`5g9}0vR}l-`7m3 zn?(GKTDh)x>@(9eyRM{^4?8T_(ptuZc#xPW?rNF3*sF6*rb>rRSTEr&oCe3oquk_}pEbvH+LEQ_813w|kD`S#0vcZPXK7tpEy zscRUvQTIYIUkGh{(_!0N)X1`Ljxvmi2A<9p=b2<8#}*?ZVqXGNlfurq*gmC@dIi3* znsmi&%YTxp;BQx0imRuO;-eMJK$JM{FxICm& zfl5JwH4)e|BrjWtl>7iWJ$?|yD50Ke1pBpsY*@jlOGrmKar*dR8zPEflm4X=p+_FZqMHNs;qWILcoJA zjtD!P0mrX{iaopgjgFkyq5cqo!m5qw7G)ER6uBKI)rlhcROaAnKUO?=C?z<5Ui^!E zTI9bsl=@wC-+edS{V($AH(dOmF81G!r7Wq6EsXqW;g*wHgv8J%2%0A@2H`j;l{XV` zjR-MJ1VWfP%t+594Kx9>Vdw%MK&9pSJ;*7d-F%HLyO`BShz>W@z}sChgu5fs<60gJ zQ^nrje7vUoq_T0fd9_*g`jDO7^g~Nfg&$|t*pUn(hdV&S5$YxuL0iBvIUl^q=$-;1 zSC$_g7h1Z@j|~95fkQ71L62uBM%`m(D7@V<&>6 z<)*kulZm0-*k0Yb`2`+cqtK0PKgKB4=kOVRazVbidAfP34!Gdo6DLH=-zE@;9ZEz} z;qZs3Ma>Sf*`qNean-QgjDIXCi%6YW2SAA!PZ-JKi4jQ^ zvH%64+E2q;^#E<42_bL2??1FFq@`f&1G=DI;iiZ zW&+THu2py+t(>BA6qDP3!|s}M@_wNyw@11dMUdj?s46&nDb`G!qh%MB1YVklC; zNI^5J()+8UIMC^W)pb=<9L6Ta%Kz#^L4g)4uMVi5$=eM=U=_w(^@b0BzSbYMm(zYx zz>!5|D?-FRRs&mcbVsY*tetvh>q|6DKecW7KpYEqBN>Wkgke^?BiNAYG>7hsEb<)O zpXXw2U(8QC%%=!abZ?!nJ+m97EeO{@cTO@`3UiG@lYhC<@vJ~5edj(1vi{R*-r~a6 zqm1T@v@c$lF>6I-LY<_PYW}Csk2CxI`No*nG3&&q<oK}b* z3u-pK*i(N55m6ziAuJcqlBk?ura!16|9zJz@IC%&A8|w@A+BAflAwf-*g`IFZvO0O z+K)`#;+E!yh6dzQEI_0wh>g&QQ121;C1$uWzXGq>mm$bzhP+`Z7{jX@BiAEpIz^=m zuJ99Mlr={J{e_}CtMj=-ub{!m|@i*MMEo@7gTi5K!9IP``Zl!Pd7($F9Q?0P;LD#R>L(qiCe&>d!>MY&Q? z)Pq^~o9{EmhRo03>f)gd|_ zkvv@ZV^G|+T{|qFIiz>8F#1^J+0Kzr_HkvNiKkRh)rw@@a4+p*_tCqe?XnvPIjp}+ zg4!eF&uN*IZ1Uv?!C}1(b5L>OAfWq z2ODsYO@PQQS)oFDncHKJPA1;3D!7M!twOAmzWg|Fv=+U##pOHrn1HI) zvLyWM*E8BbBMFNeUaZ`26oC!%;|J4!MiMekCa&M1j{e_D<4olZ8)RkVuZ@ih85fRa z1r0NDTxfzu&Er`O%2kCNYCV0R6@eNL4nenTDcOqm7^7egD$j32QC3k*E6?j^z#~x&sD<4bR4))o zLV}8e7KNDzmD@!=k?Tg2AsNgs6KI7#mI2=((XR-L5&>Jp5DVdFTX zA;#1`M#HGRMFL~%?mBu)(bTb(xa=lf@J2Xt?lt`^PF zPOrVTqX4i_u3_Wi`K?kWOjS=D;z*cXw=^(h4@izm@G}cR z?`D3ZT{kw$^S>wQlV%Wg&?&!_6p|i$DF6)q4`uHh+-VSPizXA>#>BR5TNB&1jbCg# znb`Kkzt}b=_QdAPx%a-RbI-X|_r0(BzpuKhtE+3*?zPumOT=(1qG+U4;mj&CMM^w| zhXspu9x*lR)Xcm>qUetqCYU~Qxit24!y(CvsYbqU&A@wMiz$n?%KQ2S8g64`nk&Fg zhMi^&p1vir@h9by6is?0j-)(;vTEqll_9E?Cq`VDA2gh;UQ;KozHnPudcBUY!T>y? zWyWC%nh}EDcLtMLW@NVkx}aq?fqd+lxpK{-z2Z(!K!9Ke-EfY8@Nc!KAf%yZz@ZDv z$I>wE_~hQ15rRXyreq_MDU|u&pwM*lp6=1s@dY(6yA4$COEZd`Jftk7y<);CDj)Kq zBihyNZC;p;rXk3c&B?y;)^L3olB4$T{jUZ$_SGeAYmS@p0qJlvD=sUgy@BXpuO@R`gEU3r;h#ET170~BmNdUe0-PV9U{4&B2f zyx+-NNfp+1oR6&eU&5avN8@lDEg zpzR(gxF)~pdr%&Y`Q>+d{P9WeTyPwV2p*jmJ-2biE&I!e^eD^|H*3w9=9w4Cw>f5E_9?p*Mo^`cGG~@-?J4C^fV7Yb`V7X`HjyyV59D zA^AN5U)~!wQsRyQ0H_sh>_!-bp-fK4ZW8}HwxNB%EmuGLU@#r+lUD)sE3oIv+DC^E6%onec4f0bBL08( z_p>gVBoihJVECpD>QP&C%d!ozLy*+=J^%`lZMoD<-M@_y^g39=UmLKipI|t4+G6#L zY!XC%HzYkYEbDW(N~MR!8^0YsU!A%3sRY;HYKEy+(M=B53JmyS z`TB5%<-WOz68do{WUm44T*#6j#GSx5chpNFYbUsXshx&pamG=_kV;stpIvpv@NwPyRbapVhp4u~KPT_4d9<({Ja2H+- z^VgcsQb?(8PnoT!_i*>Ey|y~M6yPaL5HO39a-D#)Lc*red2HzsHye?etS&|z42$&~ zjFVb*Co)cUMOElj4{72|JO)z_vn1GD+_sO1k7SAuea|} zPF`Y5q|#t%|4VzKQ~4Blp@0<^n%P!${+6xsdiYDy1&{i&l{FtsZ?PgUnPQfm=z@j7g){o9A1^EFyuF72yeT~E=rf4)=5&Y#FAfWb=*N_L# z7bjytRzZ}Pr!qXP$v_ktiu*n-Oq8p-0B#HS=sE8$#yhA*0iWWrPB3cjVHf%$L0z^j zSubU_IT?(5LB=r~Nw?AB;y#DK5tBuNh#!N>hd=xW-&bE`V3;`F&23^>e8Z%Y{daI* zr{yZzMI`z5!H5sV>$h*7ua6|7!pc#liKzo>qdo1mmLFt<50^*xBy z3ClBUO&eDne^N7G%XT6R&eQviRcgT!CNCK-JH`=H+_sOSY9({8>oGS_;e6pVFP<#q z^41i0FsD*MBVVB;EqG`vDCu9+u-cO5}@ifM|v<136!jd#JK;yQ)?jVd>*}8XZzOUOm;7^CbteD(o>fZY{}bP8s~+?QMhz% zcVx7e%=G?yOg=>W9R4@)(Rro9;q_-wBQmZEUj9DG87}vB#xzdNQFqWY$ndib`^H<*`W=Wt1>}pd~ z-^{_1cHef7VG2VbS(Hcl1J-rrS5WnYs^%aqYBbdGEC6La7B%V)dl*7@n5%Z7mrAuA zfKHJxK$bT8CzwiL2*Wq5>N&^!liuqi3hx<#$6pdYfGAEdvCn|%np6BMgpANgyBUZI zS}ca#3QbH;xrM}e_z`8wDenPefQt6V3P}6>gHpPx;DXaBiY?Sa&lKFuYB(?kS~U*& z>~rXFgo^^8w3NJ8?J1%zK4itxM?Oe;fhO?k^Ft6k^VBtgpQ2dM~<-#8hx@0Or=+uE? zN@Rp9(=yERwi8b6@A6)IC5%!Csh&Gv&k&#B#Df>hG8HLMgnTaM?iSOTT3^q1|9Au6 z9OdW=j7h?+dJ`I zL+L%bj9{_Zn%yrFi1))OlP1M^U0gl&-fe%-Zzsf%bXv`VHxcBzMH{=eCS#!8)|%W_ zz6Xbb_wE)>W=bdiE8FgIpCd_K^l~3USvZIkB8`a<<+IE7ILK;1SZi=(ErSb3yP7oI zswA`>99e})7uniiVua%rHXLwHnFO)-HPw?ttLAdAT+%iLCsbRxW2Eai^ql^kRv~kE)b9>a=mMkDQW>Mkz9=dFTa&G1(r)iuYDO zSUp~eHNNI z&)=Zf{{;~HzHI*;5Q|mS`$n3P`8_;j3mATes+6Duf>m0DKw~47m4zeaX-bTxd|f)L zPh~djT6N}n_u%+4u5FF`pLdY18J`UnGbh$DgCnh2zR~5}OqV13fcMu&f=hM!8?a35A$WGrqEIYhgaSni|i$zA1Iwh znQlHsw%$KTD1ZC7Z*lnfIDCXSz}+ur{gPNLI_^<*d1nu5xIf%F@_=YY@Q5FWyi=Ik zwpN#IeVV8Hbz;hOrEPAua*rPuB09y$yXZyi(6Mw8q}N#9e%Y}mvF2j^7B@qxuXX{K zlZv`T_9;v^cUt$Uqx8j(RC0>nCfG0>)C9`#XR~v4CV_Lv*;WcMq!lKR)S&xcsq=jk z=&&*G{L>igB$kN1JimpFU;*gn#2-pKMf2f8bwMbN%u$4*CGfKrriIU5us2%z1mt*$ zk<9lbL0kpTYvQ^?>_UaixMZfCVzaek9%{GD`{+>x&A)LoG1*AhM9LSq703dmNq9x{ zYSHGXMHZaL7q$F+WaP$CP#cIsnf@j7&Aj2xGU6Kirjf*EAJ#cx)>kg>E zJmDX2#H)0 zF^;skF$-ugt}&eTQe}H|4bgfbeJg{V6r7t+jeDJXRgGWW#JTa?`jhpWb`|F2+vjw* zi^Y$*^~d=1c$ev`JfCTYztckwJx_;a5tg8WdqoV>56Yy+V+mJ86wW)6h=nNdq++*) zebEI<$B_cJH)LAWsFscJss}f^IHj+ixcQW`uJ{4p3Js6S95V^TRHC);tZod6UTL;D zH7*tLu%2x=M?co`t6W0k&2RB>FvpZC z?u;|jpFOD=(S|F*E8Weyq|as!sT)CXMyk8M3GGr*Kl_%b8K{D&#sXdTY!^Omx$T-Bz#8k?9>6oTPbrX|ol4Ke zbK5@HjrMB}&GzfcWK7&u!D$_J%!JqR>xva6w7+qpZ5ykor*lXz&I z^yvD-xW0^IPE+F$>y~;6+txh14&35zm{;=fu)wy_E3njQFKcEb{7&MVk?4$N>g?^S zx9Z*W{=L>bxFNL2>5j0~Qeggz4KKWjEtu|MsuqJ()n5AJ_RylkSzT3Gky=7Rp`lUk zA<3BRF3q0YM%v}$Rm_j70mm+PIWEP9Y5+W-%4V4)WO6e4C$7 zJCu;^+vBz)zKDH9xVA?%jGGR+-q6xg^dLX^Iel@(w0?<(Jz50DO46Gw zO#}2zo5U@{mXe>ptCnVR&vIDQ1qw*P0Y*ezp75$ZMGt zO#9>~XCG3nM40r$8@k(}&txaI&?(FakWz{ToQrf{mxJ3JQ~in;=P7!c?B1@Oz4Rt8 z;1c1oUZ2}+;v-vzE;dx%rkvRrTNt~MGvKSS_PixGtSK!IFYaX=M zka_?}o?pYr0yr zA5Y!|?J>*IGZXxCP%hw|PFq#WD(ZzBX^vyKIE@l}Q$u$feD$XLSl4me4yrV-tR|kv z)kg^;7SUVv-_~RsQHi(cbb~BG5?;spC!vnA>pex3Pu!s#g8_7rT!vogbU@JUfedRhYM%!`euW!x$#S?~4r)Z#m#fr<4UNi<`VAUFi z>vOqQb%dN62qWpu%Aw59OKRkXZ>g zo1CU0nGH9yx+gXowm930(aEpiI`8)`x^KD8CrKELpv>h}?w)p^IGfh2f<@1ipRdJq zp;whF+oq&X&#dG>uP$qBSvZ^a#Y107dQHf<(6?>{kIH|XP4)j1R{OAE=h~TnS>W^0 ztQytpWKLwv=<#w&?7gZe$#r&&?J==m_US}wRm-0^=vJObgf^0cQKVX&9U}D$4`j|^>H68 zv~sQA526nz$OQNHQbZI23ghEzY_YYzzE!hpKNjY{J@V$(vplnM^Wgu9{deaW@1-M=rMvIjNMq9jaivRHNX7M*Ad`(`|%M7PKXwtVCBuMR`}d*X|D0)R952XC3M)4)(? z+%x6=)v7yU!JkJ^?>SS1g0xq@2|sV|%AObe1;&x$osV1S(@9&1FeNhS)7MG&*bU22CQjnL9lvY52}X-Q?8UE3(jRew^lI zDKTO{Mnse*)as$aS%(lf0c+3XR4$rz+1WJD23uravxYT|$F#a+ya^@C#j|AD*gdfw zID}#w9t!jxmSOG=X*zmVWBbc7WuG%2khUt&wj?a>{ctrBmHr{`v7b5lSQt2j>mKl! z6v9~*{7RKQa&&`gp>-}=1AXo*)Po$7ObMG$c}1PzNt2(Mzz+qA@qXo(E1Sn>o^3?$ zB7ey|8IkGz!qL%gt52!YzY{_Dc7x-%)nNG$(Kk2VFKwa+i z@KLu+zrN zXuBZCxC>UXB;#-*ht@Oa*~#s|I>x?*D;JXKbqb+b!*Bjp=CB#jKt$n!a(v9g9!}r^ zxdVSB_~kCdGfPlzo%x|(vA$#?T<}i#?W`o`N5^&_>&iZ-CK zfox;pE^I6@-he`Nq}9ZtzLNpMiAPUzg?8}to>_SUOq@xQm9mEAu zp-8+AcrgxbALTQ4;oIKR)a*w2mW<04in2EiAj&WR`LP9s8=4lF3x%5;#qp~NL$Xbo zBUA+%!d3({Izb3Vgma@&*9wO?<4T74hgidf;hD_#xMru6Rh$tm<|ZEvNPBj2!b0p4NW>i$~TZ zr`R6BReC#>`O`tY3ZXeo!lm#t($nL1)8jKo7lC%sC$ zRtH@23g-KIzi(wo9h@4MVVy{?U~Z3QezvE(9xFSSLR(v3uu_ZQV!{L=u9N8&moO%K z_Qnes5!W>)#Iq(M(NJ~CBa~^Q-iPDJe(3_F5)>5fIno-T z{@1`-cx1J@gTwSeXM2Zld+p%3VSzkjpxQ+TnhN4PZFIc{{G_hm#TcJDUi)O3aX6NfcdF@dRLEiW+Pi)TS z*y!{TIBv^s%i*F6;_}V5&p=H1z1^^~J+eS|{fPYlN%O|A5iEw#toprzQAFEdfe*WD zMmfY#_O#)5L%i~prY}nN#Jmp{0w2N@3X;P^H?l|m!ClgIwc`?x=0T`&IFuK8TSh4Z)UxM8?$%AL64na z)!JRQL{pMuMycyF+pDt`gy+ioUwtA*QDB1yoHJU}*f&P{g6W5?W#KuO=CM*==Phv% zsF@pggGN%ZLnFqG4x?LEU&voshCgJqaHSG9?(q_Y2fa_hbDPEdAv6JXslYcUo-!lM z3nnAXACxm4HIv*EU?3gCkz`%<<<-+Igjm8zTq{k-w zZ?CybT zCH4JC&SnBwre!JuibZ$NUFaHl_R0D7=ca%DjW5_~Ff{AEXqkE?m*D;S5SPq{x=r;0 zM)p|Tz|dH_6O;kNv=nCtgCRuOtdfOZDA>frrDMy@6J$q+nhbl^m<*^fZt&aBH&b%- zqD0&wq*D4GcO6x4Cwpu%rU?owDrs5z ziL=s7B_4)+1rBc^*dSaQ<$2P3YO$}!DaoV7io}hh_NIq6~lij1*Pzhia|iP!`)N}(Nn%~Yq^7-W0nbnE`U9oUw zp~mlllhR~q40l8}n&0Ig@G}K6rM6Td<-WOf&mXT&S<1Up4{_s#cZL{y^h#4^2gfxj zQ^YAVm|4R$Y}p%@py5_9ZKB^6O3Vfdyq@7I6Yj}QyoI&(O=pO&c`C9Tb_H0!#140} zEXuu8^K`t&m!IO4m{*rn&Gdq^7&@hZ%ry2C{+P z2hyqr+<@N73VZuZD>t!p`-NNMCVq>(-ChvV0U98UUaJ<#4aH z*ESd5BwV8g`HP(xV+g~u@0D?{ie0zGwYu=us(v~(g-5-}WQ}MjLm0@j^9en4rQ>=f zv|dY=r#>wZ_N3YGoS%RgC_=rMjB{+2TfU4w77ABDJguq!nVI%^(rOVJz}RhXOoFQz zsfHlbHJn^e4?91W9hW(6zw|TEvO+o=cuGmN7fL3_$1XGOOni|Te~^$Ts@pA`D>c%byMV%0hR1DsdA{N-QN9?6 zZ>W90FQuUT({yRu)#jo2?Sq2c&|9)t;Y%bC&NcfuVnA= zyN`e5_Fnoadan#AUF{p{`8&_s^T;@>eb}4B(BT^>2FOqGY$K77>-2yTvzZ`AnXV6# zWw{eKpT9pZT2?IM+>BX1vOY!i&_gof%ZWm&W);=SU)O{MhX_Inpwxw{`u{5I4mV8v zx!9EECe?H%-gJFc>_Fg?ItkWb=~52iJR-vFK4{Qy%H$-+W|a(-Rph3aSjNd*KD&pz zIeOxEaKKIEutWE=+V_ua{R@BJSW!Y`WwG!Jqawf}7R7rE1bz#DB=n97HZZV_7vL;hyf zmO@S*G+{tU+yEUeXV49dXTU|5Q5L7%T~(u%a0hGl`1sbg^NiQ&lW#EJnyWu|Sd_DY za-54p9B=W{v1cvy_{~4JCj-^XnbPswds}T4d((x++iKfNhMDQo!$a=8A7+@Z%{Jh(NVSP~!M5s_(q6A@$L%w?LLjAERF zxkk$zj=aWZTUblb@fGLtZ^^QSX5GqJSgQ(-#59}aas}E#NIMq0*-|R{%fvIqk(1sN z51MX%z@s=DM;zkZ6)5*E5bCrSbSyo#^E3d&OKGSsM79?+S6vB-A=Uw8Lgfs&bc1l+ zgx_(h7zlq*ss3spq_rrNK9>(_Mq0tKU;uJRRaK0ZQw~j^j}}l#=^OoNZ8l?j1D z!4^a63Q93!beo1PF1iTlJZxprknSUg8i4>~Xg}zX($c~^EM7149zT{}9d0y-r=v(Z z4F;d;x05=$1d~AV4o?EHua%cHd%6HOH1Yp|Fjne^xPKy5lXPe-TT5&`?7)h8L@w>~ zH}EpE8RFaMVSI7CT#2>}XZ?juqcd>41$Na7Wyw0VSzSji-CDL>Tt`OP4(H$CX5Ftj z{jQC=rP#eCzX5tlu{} zHHn{v>~p;958kfozD9^RcUt3fbk0Z3=YHp%w+=N%}EAMMkmY414rUP+BPP68pDbK2W%`P z-N)s>5SkQ5whQi6sowS#_Y14%v$V?pLLBSko6%}?f6I+%EJ0WxE|fr7y~C`}(>=Ds zKXNJPY)oJEt^=*tQ!F6L>QnkbV_5&l8Dalq8OCpt$)+A;melhVT@whj>1Te2O z;3cdjnkLx*sgq!LLd!$v%rDfHQBc{Pu2W$cbHkDXxni<+CU8#-K3Y)F`t?86sbCgN)4aJKtwP2)X+>Xx% z7X6hjL(kCmX=!ZpTqrU*nhby1b|(AczFHuBG%X{M8%Q^?-%qbEjrxO)`udz2+a++A z*x_y4tvs$7$ffOz_C zlaW4Z(_O4zU=z0h`;wmH3zbpNIYHxIc#n|9kFf@HZO2Adqb|opX{dgI$a_ zCI5rt)Zz>*(Vg`Dg5r5?WY?li2%=4;!5zf99iqp*Q;#RwX?H^9XYQTj7M_FGlE}s~ zoT}|V((v0#4<{7WFP9_(yL>ICx1^5Sh7tR>b`f(ZvSRpH{U>BVc~@xky)is z->LMq-1Bj_jZ4zFy8-kO<#dC8O!&v3T+=9A(;<#I;lEoHMNK3tu+*YjRPl-J|7S19+rKHEn`CcS6S0ig{zl*>18Y)r*|Q*Eibx{Ri*wW)g#rD1mbC) zLPvb*S@w-s67TEYOM;Q|h2&g}^0#C;fhdwP;qM9_6b3Hj8vULfB6Ml4wIrEuY2l0! zWIl{VdIoNEZlg6mV{GR|mi?4)(z07G@D|CO}IcViw08(f|#&v8fH%bd6%BUz6k08ZbO+05V|46m>grX6>0>sm7&tNFFo z3@9CMd`BPwCq5xRQZi3i_B|(a{x6?KILn`T{~T9DM1V}5c+pH{X4mFeCesoB)>6`E zamZc<)AwStjASP2Q6`miEILYYPaD7=OGY}DVbSAO?UOXRldyKk2^boq&R(MRW37}z z+BzUx?EDSswWD2=u^JIO9y3-J7QCY{r2uA2h8R_rZyLLtr4e`6S6hU%c4T15b zuS=G^`!wZ0SzZ$&UDqi5NkKBkU}nACPbkG5PD-<&s75>(;A%+7WD)JEB5kJa9NmHV zDSrA+{IiI3e|SI38nypxniZe1^3GV{H(*YnLWi$kTFwxsR%gQ}${l&v6PG!_*rME> z7>O%7!?oj?`<3PS>V?ma;-q&3&Q)C0w~XP|?$9Z{+|h^7BU8V*cb?X@K=#Y zXumxS`#yYbWbENGFCMD&F$DD@7CCF7=+zZl9Z(%Jib6iN?P0MR1K*FXH zCl)ECtSR@0yY#V~l^d9E#xDZjy3TUU%Gye(rSP+INXH;Y(e^|~llI7KdPmh=o!t#U zc7Ge(U(mnQzF59(*ZkMrz^PqK;FItZoFIrG)jRTg{Wa-z5iq_#92kPe!2DD3f4-|&*PFRcG97l-v5=U}fa$STKXpfLixB!R% z`ger)nrn~iC!k;-Kfnjr4e%N81H1u00B-|e04QJx0BS%K5Dpvwgb$DcB!NW$$pI6< z2yh=TGJpo41SSJ02UG!Nzy(0r04u-(*aWZ`Z~+_x?*PXGZ~!b|GyrQr7LX2{0HhDl z0yKbC0F40~zzXmjurh!L-~?s^I0tk9ZNLpc+W;@X1K0`h81Ml+0p9>m15f}YU^oD2 zKoSrS909}+Py!TzWdOwi3&0HU7%(${1z-fG0~q%;R5iE^KK=_|VE^QmH|1Np#Q+%u zg!lhPx!%RW-1UD%U@LDk=l|7ImJZ{)D2Xu=kZjw?Xe638vK3m795*$TRy9jjY|HUQ zrt>KE)GH0GG)}RGkBqu$yFTBk-n^vUVvS*oP@~p<&*aeyQbUa2EqXU!pxxQ6G5m%sex9As4%FA7e`5VNTXDss+1I$ zCf+8{kh15haZ@u^;1p*p%BeI-O;NCiFY2n?Nlj6@AyWUWfGEaHsw8TONXcI`R*{wZ zm830WjZ<-0teyayP)YJjzH+$6daF{QfQz%0mzAfM$wkLi<8|KEk&K@QyV+%Jcdj3D z%*D`?Y$0j71Ov8}UG{mkug{aB>^BMQf&^>&vB<~A+GIO4IwxX0;&B%GGGw8jiBE94 znfKf9E2~eFN&o$JH!04|Kk4{Ki^l$&O-&B|44BraR`#rkOPlgD6~|C=?E*d?zN|>a zi_1khHiQZTvB!;|PpoX^wgmQ9+Xtb1F716i+C zQmb6)B4PIEquD(l#!+5}J#9;^PR)J!Z=RKql|7EwPX2bBx|E|QQLf%V7at@sv3W65>{gQcH>Pqa@2kV(U@HKDHiM zFH~%mr#^2CL|T$`%pJ`7SDMJOEZXVn+@{^jg=P_uqBP|UqEc_gzqiKN9!@=40*lWd z%aRJ}&4wc=71qhzSumq;J;=7r$n7S;+ayHYJ7Ks|vd84$hn*O& z>3Er~fUUKh6$LkneFeseH8Pk>rY*E-9*=O6IDda`GLl8J!>6{THF9nniFQ()NZ$Tk zvF!+nixew3$r`13SDU6By?C8z|IsY)$q0&o^xh?$)k|n7ImLj=EoXCdXSN3pE_(Oz z`7z9A8@4mH%EJW&Ym;yogu|Jtzd0*EdOzbn@M71>$^W&sYgKuU!_V5D7cMAdi6y0J zJvg#llC936vWo-7*03_mO}oUg=ESlYs_6;-Kp%^eNbqAs@t%%M8P!)$m4=&Dy3=&1 zX>^K6@R^3UaBkLiH~OpFSXWGw;8@ux;%Ly#H>%BRJq75Uf4Sn2d4D_19Mr<)9d0pP zW$7;0lx_2C{FdqUZTYg1$TjB1@_*87?WN^sA@;Cp=)z2_j8Kn0iEh=+;*}f;hmvId zn0j-56^3Iwf8-82V*P8hW#Yb5@-dVoW!`Ln>RS;Q@P+*RWA|%Trh}RHD`OHi2WKU4 z=(fnN_x;wTK<)3eF~-T;-;`VMj9YV6OyMy+r|4@p6}aeLp>eAvb|g`1xq=-5w0Cc# z3M=c>Mg#|Bn>e&6og0ZhO$T7MxD?!W8kXDv#6(q@lIFMQOzl}#5tX-c3b`Z^%_dnd zwMj2T{~vz}!vejypkE)wTW&ChmtZS|UppAtg_p zSKnk$U#E2RxXDojrd*(_jCJ`d_9m2C-N_$VL}K+Cs97+6@cD%klqSn#W!Cz0qSEZg zeH9H!g=kw}=1b+2`{z=nGd7zi_4LO&t9t^m%qETzrhgF*khPshAZNZv{iNn!!w^qJ z7tgsF_TZkc=;QI&s+(}?=UFp4g48$LVbaytUN)2stH)c+F!?h&tdVO14qIg7e%oi# zDbHQ~q8W8Qg0K$p=geo+_a&uFCa`o_tUjeov`3vSRs|~oSTxpNkIa*&wGZ~%?RU#2 z8MNxj42VU=?gbnQ)2imbT?8U%{({o6uFC# z8?C7M1Ca{+=eTdYc1cj)M-FXB8GJGXd0#+?!|exl(nsXY6r*?RfZoX*hoT$D->zWI zX(ZR`&1f%)`6M-woYAM+4zFnPGj9OHxwuXZP=&s4-2$>wN#3r%vll&~&DO?kxu!X>3q}cScImR2>Bgz3wVTYkh^d}3u z>pF3jn3mrVj9NKbx!O!29oHN9rhS*HMs#I<=rPWVEA$m`>xI-a{DnfP{%_}-(^{!W zp2Ji7Gk#}TgrB=2Gh@BaX4mW`ac#X%*HD+#iFt2=XG1+89a^?Sg)254mcL6*b9f?j z!7H>r2TX)f1IEI!C80xtE7>Nrsa=69TLbpkQHd*k24i~v7x1M^+Y_-4<<+ys5ws4C z;ouH{(WT!W!3q80+vCW*0+;R>QlEquD(h9`h{Fk!eg^@>=#s|XNvMy?4qd{>Ty~7E zPop;?XoJ;o&So0@2Xx^k(H+8q)wna?#f^hqah7Y3+Xvop5$nzn01uYDC@&(|?v1jo3i2PC_~T<0T^yCF29jEC*?lv|zh3DtQv0}@Wd zw%xWn;vEAvyhJ>i4QMv|(_t8QCqj9>@|mVIpnYCsjD8xBUmRD3;`B&x^{v1{z3}WJ z8!+M@rNepLAUuLmEoijx{G+MCB;;6m>iqgo))W8nl6I{mhAoa zC-;9!_|iNK7nU$bW~^8hWU0nV=mt`LSW&5^#iYq3eXdK)7n?RCa6#K?!OaMQa5<+op_tbYZ++y&(S~Cx=?ifZN`7YYs zllrGFD)mqO)&bfVC1@yUeGEVO>pwdP!JIJLB%awj1i>CK+oYcPJ7B>`Fajjcko}x6 zJ>u7P!B@z>={r8)e<`0q`;lP$#jZ_)^O4_Eb}YaJDW4(w8DadzuWf?=BEP5Yc!2j( zK7;lDg!vS^wg~P;_LckA-|0p16}hJF{|keN_8zjs8ax9Ngbd>X?E*s&P#3`~$W4I0 zgT8}#L*apbL&HQyByvUl1C6mO1QY=Z143ZJkrB!9B|Lu=WIJ;0LIV>4MbMIHam0Ly zj&w#SyIjB<02(wuU{+Z z8Q1~90F)t5lis7e!hiu%0bvAH7n-q#6YdO(9pHlTFZV!NZ(HM9Z6ScJiscn9;-_c# zNu|?A_v3OFR@R5(k8B(2#XMOHne(-_78FZa3^jYJ5|SKEt<~*>{iucx0MLTgIM;Tc zp(Iy|Jni{uOpG&InU<9@7Q5R`i)PdGR;T&Kuf$5;jb;6_tINF%Jw1N|I_wD9Uc2*o zoJ)qfLn#Po)gI?LX!RMA-jwYCtIDcY*5tUS8nfCmPhuUDHMND?^~Ld?B!Ze#+YAjk zAL7YJ^nmU?ZYTe@Iq^N3M)SU$(vmJl(CtWuZa-+z_%)RP57}sYevdKjHF3c&c(3${ z?DaQ}c-iByTa(TPu$(c4} zGnL%>d39+W8DSW~KV}if$R8d+!tCGdvydrgF+73hL_ofhZ`xEtj9nY!NT|c4JA+Vf zl8bU^+mgga<79nC!kp$!&q0cd05PDVkwQD3dzF3`BZXlR1!WUUvMghuyQq)FUKt!~ z%#2$FOg=PQc1oTaFOm?ls9^)D$mjRiQkUdP_NUB? zkWp0@#Z2n<b|S zWmnoy7H@*`buyp==JUfbCMmWyE^E-=c#1sECHS8g_Se6lCqWaR&Y(s_OrgRK?|G{t zi+mwlOwTWDU@T9Je)MTRT*kgA_b+#e>lic1vb@+Oqc{o}zzQ1n> zFcIFmJQ*kYdUviI%wNgQw9BL>_Vtg;*iTMIu0p(91&T*KzcVL#Hcl7K{5EY}{1v8o z@hQefI5*t@q0CQEdw+6R`FJukcLh$u^8>32?4|j6k7QYskA|{e+l0*;Ve!O5c63(% zp(nvT?zGy;uK8AFX+j#AAiz|~)s#sAlDLS50n>*~Q?E#JdEKz26@0*t+all}<6H0h zJE?41N%pg<#w&*4m2daW)1R}G2a6l@2?)*G0JTFjonXm#uRZL@xZ2j`IM=2CyR_a? zjvm(FA>lh7%acjAm9q8DLyQa6Yfr?l7eYs$ zA75r^jkygyoyD^*)dn~*yI&sJFZqR`U-LIl8Is($ODp3~fy?yTHG#hTo4~Hr{2#V6 zM*og;JwcEQu$;e7qK*0PK@HG?Xn#CsxPakph!;p`7fygL^<#SutGXKE5dXaubNSo{f(&V_Hq~8 zr_bFIYL%Y1Kj{rb$HfgX`;kj;#5H5vkNIkOB4Iy=Quu|CHRNa$aS#I3{`wN^4O4f< zsfK&w_cARE*_=O-75SLx#9lW~gBvaS$&2WFOlQyaB<+g7`VBAii?j#hJ!{!emr}1_ z&1N^oYxa2)5`O0<16RNYCiI^t(Evh@8G>&gUOaf-NBX>c-gjjxu4V#QS4Z~WSUH{d z2~z`Q`*kx5XCFj_~>~>%q3Xc8_DZGMz8D3-``isYExE!~ZXw~~ug4yx2 z9oIzF;U9c=KXgHU4v3!wMmHcc3=uox#0AD`f~W7Bw*Ro`|D_3*M# zjOT>03BYnTb8e=2{{8D_w>v#RZ#44==*Ks{t6A3naSd|de1JIpjQn%Wj0>GGOwSqH zX&@cZ1OM zMCrNUyadxwEZ$)vU4=_1yS^BqIbSO=oK!3^l%$Z2JJnppET=-ra<`~y-a}*jsJfql z$bVTk%nEn!8Ca^iP*TALRS7dGa%hC8GBnx0E+FPoe#;VG5jlyb2D4B@!5m&SHi=T1 z!mW}!i2t4aOIB4(GF#)`iLvK$t4|qXRwQTc|38#{Qwr$(CPTIC@+qUh@ zleTT!wr%4iI{#bM)m8V_=&s%m-^(|~e%UKxMa-Bn=Yr&sE4G_|kx0Gjd^x*Z{V#|3 z^uf#uV@8R_Ln7u7cA+Ht;xhXqCgv1&EhRdW5`|RKa<_@JvEj_Z?exm`33iGeDutv8 zdn3BzG796MGUM#u=Bf9Jv8M{f3?Jq^jJbr0WpMMdjT02e#>(Yj^}>tv0pFWpQfN@e?48v8yE}3Fhz)c^px#qFGa1 zQdzxRT3Mr9g4D*@rScPl=HQHl>8TQmjP+uLX_I9^Y08xoDA@H%)J8N)Rue3Zk*$){ zCNwIS6V8qPtpXm-B{0UtXNFY^$@NT(>00S(lh%_;>E+DJrd5lY#coYU?CHnmRm)s5 zm661+nE6u(t$gM#y+QIFkTmgUS~dJ*F1>?$*ZvX(0H~T2*eyE@X1&0eg`lXKG*T@) z5T|{>D(SSE#9l2s=4J!H8It?VMVc04#tK!8hwcj2bcezU)(nTn3QehXi-{VNtx86} ztQE8fn#(IhW6n@kjoU0$%6~bREE2e+4slAgjwiNDt(uK-7j0OKc@=Hgi~$yLl`MsF z6)c5wRV;;F$eToP{hoq%Q_P~Q)2KvU&7VSCEuTVNEvA7xWe&JxJ@h4yNwc3x9F}tH zOB5{PG8!_?kaTBpZa8uDDyvu%l`%~npI~GFKp2zpuwUhFx?waQD zpp)MD1@wPp5&o*&ufo*kZoU_3=f4HNSpT!i{lB)V{sGhe4Wdm--c~_WfgA45*bbEP z#|NNp(uZXJ#gwZ^$sfT#y8^bRi=@6zqbH@3vdPqL=5^7{|LNED05DBHJD)v2%<~X) zW_NT&LZ!#f?KQIDalG|9v5~gwaW~!V^A4;FAdZE*a|AcFgAzfjN>$&2El3`Xyb(6P zM-!oh@~pZMlZVw0nC*=&0t}n48ess$s#ZT$FGA0CG^o;{Wi$ywoAXO9Vy`r?r3(E_ z-NQx0N-TD)pk`8~OitmUNjyA0P%p1!je62lR8&Qqf|ndviyi}5M5TZ*Iki7}+6Yp;UZ(KDe&3e1hRTTw6gMqgu;o1yPP9vzP@-%3@qJ9GP2_!_+FY-`)cz++Qj@`#gy)Vrpz??VBi>^NWhOG$2STXEz{2E1724F17Uj21UT#yd(iFUkZ;6>D_~{9C`I*$ zQoz7i-L_|Faqzg%-q_sOpuGV<1dju4PZok`9Qa>yI~|F>IQz7|x11OW^^YrbN2kbB zi0v5L1MBd9rg@^)!o)K{Il)1Hgv-XN@xxlo^^dhwj6XmU#%dc&=EZpJ^bT=49@7Lr zOZP};`9|TTLP1<<=4jZrg11?pTqF9j!_wI?P3iS!JRb(h>`i{6UW?}}+d?u-qF(6| zP`5js;w4G9-0z3ka#%*6+$(-i2BzP?Wb&jZI!Mc(yxL`{@&Mipn}Gv7zgMR)qfwQ|e{C&n@{8 z7B|_0InBJ%YpnHQn zs;CAMJ#FVd+4TOEL}a=KXcNB8ecry!eg2KM%DX zms|jz2U{G&gb%!4%yfCm{!#iRAmei?b7*5KbK+8Mh`;)qnl!WjVxyJO;dsiEvF-C* zr2C7|H=qT504J3~o_|7@C6tKrs=LquN6_aNOx{g#2DB6-F(G`c8MN!PBy@i0gC!3xLVamj5U=~v=I=y{sq?p=L zO?(j|dCRF}fzHU@LoEHX@^%=_M@(U5gNGtNS;yzV6FBe|f17fR8JksFe$Yk3X(me% zBZB~^o*@YoDfJR@-g1@{BP;haIw~M|0a_yQHO;EQM56!BjA!3OtJ#rK@00wuk}N`_ zJ#dO#y0EhF>9j=la!F~Q@p8$ms2Z5h3f!@)5VTlawfh)iw*+dH4ynR*>nbzB-B85$xq3a~Ni!y)b2Bo{o z+(v3LkA$$9UoEuVvgkw<8he^H^0onC$w{23TU)HNB*zuhawL^{dnSMbj|qvUUWqY! zGrhqWXA3Z4ey-k-V@wkM8FVvbto3i(3W#E8`8tS2(7wyYp0+e`3yqSTGF;T!URV0~ zh8_)rAoFH00YO^rB2Ozm3hmh&uXnz|at!T$SZ1i*7XPI$2ry*cxf=t`*&7b+r5li` zS|AK>e^#ZA!d;53C0l6T#T&+VP5$SPO-cUilFPH;LKQG400OV>Y-Zy*@Xh#-B2p{H zYK?_S3~T)+jeepjGSdjPv>=N0h@u3O;**$@R{YoH<*-?~}Toy!@Dl5c-(?+LSGL|K`}cs8+McZ1Vxe zQ;Q+$WI%QkC`7oiiAWxk$*`ful4&B`V5$?P=Gr983tjy@+dNf2BC8$kPPm#g8Y)U* ztkzh2(fsixf*<~ZMLNzRwbBaQSN-Hj1zAp#HR4N~M1H^?f}zFwTrfW{6yssD4! z;DA{v%s^V+keLmR+s@ncLAAjrkx1G>83Lg zkexG!=4Z51boeP!4phena@bz;FHAYmpp-oo= zPPYEk2)mCQ7ot8nu*NvQvU#XZO5$bBIWpH9FP_>(dRKbF%CJiC=^lTV#nX+@kq9Hl zEHFV-IR}&drdI7%-xn5SJg@9qUDiR$2lVZo{4WuEuh8=1m&cp-Mgw&5;$y*u%AWe4 z*PATv#$`n~+Lhr>)CIgi#cIypu^&XN+}EI^x>y(q@r?_RVU-4I%dv)O z9t?a*%_BYGhRgO!5P5M2+0KG<^#NV1U8-_-0Zk=VY67D=yc7)PkBpe&R_7jmq|pQ; znOl0AgKLnET63PAJ_dJGF5706Uv~0{eZ-d)OeSdIch5kV`ha1Dq!d7mf#mq z8!Ss*X#X0gK2eZ@b>|((CYKp$_7B;kTxpMN;-$|GA*J<58swyplaG-+b&v$Up+l^J zbxD`KR&IR+<5uuV4*)ABz>C%JcX_liTQ zt^upAgHiztY6karNqu`hIh>{%)lp6CuE+Yyz4nHFl2j?_#{^olgR z?V6BKC!|a#%niG@!3*>y!d9}^@OwjV=8;qyZ@xah1E`H;+9lHVIYy;i4VMFIX^}0Ncw^mz33+w2yde$+1P5T2`{brQFbuGm%4tzGCdSxAY?XSLS!u|% zDM&hYbRrtuN%Vnjoq_Jd6sTY8Lbwjf|5Z*E8UI~6QvI2$x>0SUbeY5CO8Vv5FGT2nW9hp_a@?J(EO>Em9qHhzy$sb)M1BU@`v_OLJ!*EhB53dSTV;ONs|oG~kqhhjG9%Zla~TE&ykD-xMjJ*|9$^&= z)RK^x&149Se&^t@FCM8YEANp1+Hy)HtK+eJ%jpb$vxELaX3+l}7X6(Wl=zRflPCjd z8K_`I9N9cn6i!YfS5Y8uKzJ^l30cF(vM;?Vm}t^k9ruq-5ARG0&kj4W`GuN)`(gNi(jQVt?Ms4MFj%usI>31FY9T&ZSiA3RY(b87l{H{!KVNQLs~CS|c%z$KQ)Z zY>&qMy95=O>}?YLhK|2jA+YP4!)Mw z=Zw3CQ)I+_GE#}R+;*!A_1(lx3mm2?GYc7y4YRnTLzwcqEVR+j2qmu~G>@ebT1AJe z?$;5Yg4$Bb`VuU(=v1{e9)Krhg%jV>TAR~SQ5X7T;=uHP!lDKl<5WeUl``pf5Dn>x zDz=ly=ggP~Jm6sTpQ^;p?T35|E|}0JMD|h^z}i8@X@x5jE}2OZLWiPzBmmuqPi_=3 zTB2Xmcb=vRzC`yx;8VLL_ki2SA>DwFSHQ+Z{8wLk1IEf&m8ZiV=tK7)cV`>T6`6&= zK^iDn+|-Z;*#~+_?)Q*$;{yMlKMfU{b?;BCQEZt!uxW*8?+->arxo}FpRZ?%%fEen zlR6~MQ5jdHiKmEO$pFzL;w!#Tx>6&x3A!K-sba6nw@}q;kdS~jnRV@Rv@ceBn z`==zk@9e&vFwk`y<+Klm&5>6}5Kpx+s$n>7M~t0N(|KJ?3qs>DDWaa!3f643+~YAh zu>(ww!f=9pulQJ0*F5$5DcZ6vtO+}-_{3tIQ(gwl%gQZe6vWe{Oa1<}E^SwgluYsD z)XL~H;sWDJOF2w*_x5*1)`s>679^5YD=I}Z$}3<9SjE7-Lo2c~KrclrO64uvr~bZm zf_DgqfC;L5C=HOZGr>o2)mupIieOeq9vz?mes-Bnq@HHzWdjuSBQYixb_d_z^_R_* zfjV#AyD}C%6{k2~jMY1XSvMU0_UC`Q*Csa9u1LP=;+EfZakl^LUi()B?C(@@t%b)7 zOeG|c9nQu|Su)vz7Nigmal0%jlF=D4lB_htW>@EoWUJ=Uy16|km%lyvEg$fS*O{YF z?6BPjuUnkY|DROxJ-ZcWvZp!4&K9`~xvMCwIqocbT&YFY{V*cllZa3J{@*JxztQto za5w+2RPhIhGn5pp@XQ)Z9C|2r^EA7${y2qKF8?(`X^Sz!+Q1UtRWd2&P!Ox}?Qzoy zxXMbVV3z$8p~T@m{(nmq|Ing}Str$lVKra<6eit|`=*L}GYKgG+x;Oa{%!JisyI&i zZQ^dryDbMuF!g2>g7FX56mCRB(hHzLwT8p@HRE~fiPT;bZqS+wuA`sM8@8?wT#{#K z)^pnfp30Y_G`U``P5m(_2nfNw>#Bk5)B&vRXb!@b(?uPDQ+T7KT}U8)?PR{Tj;sm=S)gcWA-uhXK;maNteGgbdKwf zUl1#Y_g@&Xv-BDY-ywArtv{1wmd(UoS(%F8zgDlAW)4vwKsWtMw)bV;hSBt5Zc#VI z84(^IS=;^%ReYI}VvJF#HF{CIN`gdVvCN@OLtUX#!a)mglaaWv6(%iwOcPJ4b7qM- z>oT?!YC$n23G|ayUSZPIGM6js5~)Ul!$iCsb0?tUY=?7Gs8QBQiMxbHQH0qSG7z&b zDiE_@D$vF+17aIun`8s3OX~&ZMSAz>Myt=I{~2r>vKg)mwoT^+;DvuTWG5sD*AExj z8_HX=h4?-2CgDb*Po{qwj2DuZ^quS7-f; zJ^5z+hO_Uw?;5-t_Pj6Xn?3}D*{8=wfE6UQ3%k?Qhk}I|-QQ&U)0*_6r>X{&G)TcV z&X#^d`$h1Da5rXGCXhFX7x5EI8ZxD)un&7D(pID;;Re+<&DNwP!j^qQi4F2NkaCAM zh;o-=*Stlz1#?5|h4RH>*Jj5is5_t=^%LLwwcH>Oj*b=s?mY>A=#)??BKd?!eF{>_E{b?ZDZ>>ww=P>OkKj=s?~g>A>E? z??Bul?!eq4>_FWj?ZD;1^MvCe@Mv@xJ^hDty^~CAI^Mvmr z@*(|EfI|gSdoybbF~`5U3NuGDqrYe0N)>2N zEG77_=|g*u)pep2iTNjWamYYhDcB!y)(ON6oAzCZ%4njk86$tcG zLs%=x`K}wj)P=9xh4^zS0o@=2yOgH-ws*FO3>t2f@09mB=D>cuq{BY%1EAw5)~F3K zd202t-pNK$QxqALhlkwMq~1#bZ_CSt+fbsxHCa)N4OB32{oav7K1560rAO}~gjx@B z*DS#n;KpA_5a1?Wm>1wCT#(MoR=U;llN_)aF`__l}1Mi0UMss=QVs|>i=S!Nuu6f<+{p;5Ve5^g0gxRXvDE4)U*BV7 ze2})2Ce3t|J;rDk5;0;}Gp<5a;(@V@N;9`%pgqju!gkmBy-u)TjDA?v)Rj1j2CMR@ zR>55Qyy$f2*;y$}XFPU(XDI1e#->^5MA%nB!8&9tzE?3S51k`lY^^3N`ECH5O_M>O zh)o`786>!Nmq9hv2huq%Z2`=y1h-F6wnI!43*kK^B-cBQT(M74vV*F5(Q;)6yni>8 z6h%l_x=RFhEI`(dRfot>tQ5U%06pri9*mdI!oK5J)(22%P}L(ONS$n2GTaK`UAn9C z!rae+sMU9a3Ee$7j^Q1W0zODcroj{cl8GDzUM~N#Y6o4tqf~{LX3l^|j^Q)?nv6^k z^a_jd8M=)0F4lkk!kG8=^+Ra(4+y?}YFVa3Gdvl_)`4b)X6^5;CU-YMK_dgHOL1tQ zUX8%|XcSqD&+umCcd35P7tVg6e%=G1&oCc&>5<>EW-qK;B#FiW1khi-?HF7A*1%tj zHK1Sfw#Yopo(uUF9l@O|#kNZPWb5{o@OnAIG-LRgZi$2Hgsg|=2fdgAISoZu3@3-WY=Z8FXx?sn(nreVlnD! zg}`dV!>D9H!u}R!n6o*fHL@wJ7*p*SZc(1~8!N%q7DD74C1?l7!w5(U%M zM!AkGNdo>7aB{F@K91RQ_J2fiBospPZqW1*7>tX`_K^lvgF4EjgcKtz- za9^rT^AA0KVi+)KZ`$-Uiy?{ui@vm(LX4TvdP_>|n^xQQ_}ngr(pe}K<37C^^efZ7 z$OBt~5opms>K?79WzTKMk-p6e17nrT%1XjevWo?sJUPs*>a?&d&r>?awYM#TT71td z(hWOUodkCtwT5Z*)dh?aq;LU4*AGwf;YbYYtJTe!^fG28=d0Uy*;BQ}lVaLA;Z&LNt>OTgO7$ejKsz2Rv`!PEQCBL zIhGZQb$ttPh&t2E&Y#F3L-wQ2PkF@p(M4iZiq*x2eU^t0YqXi9!!+zPQbr|HuP2oH zt4Z$DCF^=~HT0mK_DC1kMp?efSRFYZJL}E`Kcd&vFabQQekb*xGIiOTThjD1vaZF6 zqO-vymH5B6w(9H(rwrG>QbV2U_-@<*%m_-h)Fg_;aI>R2ahbyaPW3W)AK3+L^k@=z zGVmHrDrDC~S5+Dm$V|sR<-(7@w(5`ksIENL6p3jMStkAQFvW7fB)gBdF=;7nJ%H_4 zyxWS*<3`c^+ZAr>Xw|R7-dhQD$HNm&-C>5?_g(Y~4B87Mqj{ThSN7*RNGBgpUwu8L}(#5ozVxBM8uN-+Y?Bkg^&ge zh0DJ*P{TA$b{NiCSG^#Xz&qR&X%rTv$nM)y5{b%Q)h@0!WjevELz4FsL zroK41f^Mua{gEeXptkkTc=N6$a*jJpNHFV9)%+AEv#=ziNT$JA7H@ zW~75o3Vt9c`a3b9qqtOTZj?ODgq;+^vCLR%3hQY~-`g>3G{#9E9(99coLFiK9`C8Q zfi25%Ru$81@NKrlvFwqwp)}7#%{@Q-o=BLyOfN^9nT%Jv`RPj%60jv~3712CIb0QdZ{-b*voB_WP zfez7zT%j_f(1Lmh;g0+;%R|MN=PzMqXlKgb3j&-%{*vlH-n*^^a3RS4Gh|Q6w(!Fz z$UlbiC6KxWl+tx-&n}d@4JHQqd82MMAHCCyFg3o{=BECA?PCH zS^ym=LBB34kHNiG0ERb6YFRSivxi(T6RFVFpMR}^JJDX@R=zQH&2KpLKg87)ja(fC zoU9H1_v}1LNyB0N7t-faa^)|%Obx5$JYc}ikvfC~34E(~6pVCzTY2?jW3HRuY<)_# zhq~iIyMEihTG^n`T`!?{!*?&t^IP~~E?teEO`kne9Gr>2KBjSf08|H2F-U4yqS>s= zS_)@7hC-aW>Uy79m^X&7p>g*Jc{Y@42N8LW`ni$UG2~mOLu3>anJ0D>f)$rfo!&Ns z9h$GP8?b_3#}xjgX`TJCE`K;F>~spIUp{|rTF&i|FPt??>2i3&%mO^FStnl&384;I zT5EFIaRl_|KK9@RB4yQ_ezaj6h!Tk6UnRinR~&rI-1PR?KD}D~v(al=IA5c|+U-Tf%Uxe!b6jK>O}oy4ebGT^(qh@{TlnwUP&XBQp2J-t@HhQ=xcQ#DNGHw9%U8 zC;ufI`5M^V!-@3=U$>+&GU2P^@M{D{8Yj`gI$%cK)xtN}pIP~6PIFGn|1nkzPoyGktBMzYG|VDqy3 zarwPzntKgiBpFMT=K4TW73!@u$SkIW5A+<%5HXgMS~6UD_Tx>hD|}}hG!0)z{O^~DOnP9VVz?c z34X#uwQ4~lo1UVoYA)c;U4(pBIDKyh5BXp9orFkgRM~ZT-rHQBDF>2Ne&DmAmxTNd zIQY$ZENetq3h)^OEN#pT2b{XGFLdKwp0c+2t!dit7Fn&hGTwL!nLvkD<&z!=Y}Gk{7-ez@bx%R}S|d<W2xsE$-*_u#a-ekA?-51+)_jZ*Y3B8-G5w=0Kh zH))w^?woEvH7#I*m;C1aC$;rWnZeDHeYst`=2O8-)Q`34N-;& zm-8BOJ0a(;pXWhi=FB699GS~HJnWMjmB!^r{U!peW^f*M0RH31ty|CjFjQF3pjB{z zFi!9+18j%+b?%{1^5&hHNd*>1Q@53f=E-#iJ7ZIp*PFhMLMIeD%}aN!;P>2Ub&F{S{outfu}Ql7h|A#*GSX|4gPA7}0(WmcyW)43 zVUR~{X6AlV^*4nG{!i8zBw-p@%WmEuOz`>Ut(x^w>YDQm(1~nU0C?+T(JnF zaek6d0ITi!wvT}G!av@@t zyX;u{-}q*jK}V0o9?+{_+^0+bO7R5Bu2dmBR=2Vt|nd|!-h{?06uUzc9z zgX2DDW3kf|&6`QKC%0;8ML5bkldusG6;1w)0zTldJQdGje=*|h9``2ZRk{Ec7UKN_ zH;ALY08Cc!8bhSg-*9k# zJqu=;CHvQ2#Jc0X&E+E$yu(5cD!R?cM%-WJ5cw6AZ*E89-n?>&=c5gk_CB&hVco;6 z`D~X&XUuxr17@0Ch^~#yUL#3Q0{qSF-EDf#!X2=4D4}k6UTCM zhLu0(L)@08!U5Z)VzZ5ZTE`YqY|9wRk(G7l$V%R`;A&MY$sKN)IwVzEt!ZU3fG(dq zw0znGOv$l~5DqH&4MA^ZRlO5vwX_`TW1Q0z$vW)Tl;#^72<}|^kQdr<^~o5n-SP%& zAt$NRQahV4=vT~E*Pbe*(W#OMflw}XO?A!gM4Z6515CtP&76WRmLH&Yq6>Lj^Z8 z{TkH3>3cYu#4qb+8uqG#Y`aIS71IZ!4*`pr9jf@8NDs1y^o@2+pMgK8cN_?(;Lw+< zIKan=N_;tJ?LPYuK0JPul_2GG5A|52!yqtyVXJXN-N1&_#)7Qc0)8Iyh31-d2ERYR zE>m+228H`)$Ckg+B>s)cyXg1n8U3E=Q2%EqNYuu`@o#4(N?~38J1=S#LlZ&!2^{Pp zL%Qw~M5+7|5|RU=Mk1%U*(=!n(aUsNP&&f;a*+R)Ytv<(#xG*>{^Lt**h{+HcQ2AL ztF!*vqT1{2a`?FV$CQEGFu$hg&X3^0mJ)r{5Pt~)%_Uo*VX&(35oYM+Ae=>8!C|RL zvlilub&R2)u6%ugkT6IAN%I!U2X1I|Xa$NQB}4Qx$fktJNyZwXV2@oBULr)3?8 zB?&0?)`9-8+#d9aiS&~L1D)&rJ z;B}q7Jf&#O>2xr0c>Wm`SEhRlR|}hMZ8}UclZ{7LX10IPvp^FQaUxmX;Ynopu&4Q8{$e6Z2zC&FVr_*lkt&d#W_ z=z!1ke9Zpu4-~c4`b&H;0U*%?LWZD)4Kn-Cj^GLzby&xemJeC6fJs0mzLD(R*y~c(40s zI=HDbboOCd*Pixr_{tp%Datv+?J)~OQOig(!2<|j^P@Jw>j0GIPYW(lsaft65iy?j42`MAY6aJ;aQup~Cs<{b%2+s)fF%z^3cT=%Zn)KJU_ z5S%`6dwFI=phyihb~_>yh@#AYy3dMm_<2Jugu{-5HCEs~;p}0pHyR|mK?F2T42LS1 zQH|Q(tn--rD>4{48^zl%quoF_M zQ8Im7NX)yiL%3R$<>Xos=$*;4D$eL)Xqb9JE4hIZcZIdsGGE#g2bH^}lned7djILL zOZcP|yCP7&Dy$EAnGxFAZwoxyjlkhdwk6_Vdk z_~W~9`LBoc`}f-?hStSM-@wMu$kyJ5_P@dW-~ZZvC-DDiBEzLV$&R9Oh)H=8L+5z-Op;YKh;NuvW+e3*h(K#MnCd^U)S9X~O6pI78TrYmh#Na4|xO+Jtji#FsU( zOk9`9As36ht_lsA-G+rJzfQQv;%$X#*$6=4CiCJ+s>S@Pe%{ z^~1$5L}Qv$1wh1Ac8H2X@5ZY2pL$tqU!I{E5zinp@x+G-X< zu0>{S*hO{U;KD2*h{mB$mWSk1|-Np~5;;+xiFroe^J z3Y8_$nz=?c=gfmdaC7OG0BCM}7V3fsXmjb6kXA`(S1oHjw!u*pr~%8%OdL0}}wVC42kb2e;M_;{kN(0ONslNgu);{p1$H?e|pB-{s4T z6_-_P6*d1GMt=fIQ-D1pIoccNvE%_U6p0rg28kU&T0HZ|SCr9Y9@cObfIb?z-m%@m zgnlu+uF4 z8vyEtUzc`|CU5Qr2v6fPGx5idZF;EuJKO+Y^Bn_N_gVfN`x&pEC_5;h{3suz{bM*Z zwqS5Yf2BbarCS#jaDWem&Hm>{V1_5G#Up8z2-QWD&F1~ z>R;iE6&XcV@m-@yf5#&Ce;$W|e~W7&R|6wkM>8Aizjq>W5;E(2NI}!FA?sv`DuBCk z*%@#Ynm}Mmik5Q(egrIhPl${V0a)wlY(d06rRAbpH-Im4J?O*YkSKThuHg&6GJat(Ohm+}V z1fydbTcx71xgOhrO}yVToajTdZ`E{G0JFXHyvLQ(BgGm?0Y>JmtQw(Aw3@^2$q=OJ zQqcjPBiZQ2E7j8WAr&E#O&0qSQdF|&Y@X>^rpoS47z?CcmdpEB^5iF4PBDtAk&fH| zXPzxB<1qBsSi!V{TQ<^S_GBU%m$N69Hm$tMq15*;aI0_l%J_PqJI$V1LqC?uiW6KU;L8-kx0@04oB5A;IbZ z5XKHA=ZY5e6Nhxuu_;$%2UXN)&TCDq4c5Q5pFsLxxg!2_5sG5kyWstdNTtOls7%EA zb=3pk4z-r;Xw)*D%QT4-utoqFY_HL_$As}DG;`<`k|@4j-#WkV7x_m~u^*CMpDa7# z#x>rk-t04;1ftNuY0!#IOztdjql;tL+q}Z1gREvSFEZn7dImG)Jsp#bk2O{>Tkns~ zwzVz*NB>*SZ~3|x7qP1mPCsIIh^Vcw1vcS{a+t!d{mxE^V_@4h5yG7p8q^<|G{?p-(T+UfvXO#skzwt)x`+tz^M5% zjgJK(V3mk!RZ5=)_$NR=30z&9~gP zQr;^v5w6|6pirO5P}~HJxX2Wshwv#~!v&8m4cW0v3EpGJ#SAa&?+v}vBY)Haau8W^ zSYJ4KKC5!dUOnzydv^ryyycdH^;rg2$4H4WN+e7E}cf(I}Q>_o0}#WD%j5 zXJr!%wX*X_FwMi6WMYRE1rIULehEr3E;yd1>XoDgryG?dKq={#tOipsDaPf6Lo4Z* zum`6{TrO}4ekY1#up+a6%?CMuj_F zf(iAt3(6G{57)OTzPYzG4W=VP@)K()kY9!d`&)TQ<;ez`?V6B+2;_UTx#w5r&1GlH z9xp}n6oE4zgSdbkI|C73I%Me2+7@(HxU92umTsqOAV%*TTYV2KcCfOQD>>|JdA6BNPreGV2 z^U&9t)%7s7CZ5uQ4-@*6JZ=50XQOmQHOs^MVzuaUkJlCp{b_Fem7wxO0TuF4ktADHRn~ zl*8r1&O?N;shnUjQyq^J2tmCJGr@(vrAJM16D7tRi4`_vJPxwo@~jqJm;dTloP+4R z_E2#;Ulk|PnqI>F>Q6vE;Cj}S-GbZ|9BAiodpz>qJS?mp8C?sTmVB#CUCwNvWMM}p zGwj~VJQ!0;G@nJb*`+NjN%nMUfx&cL&fIB3qKmhcH~NjpIB32MLCyf|&R*4$jr1@n z!Dx-ycO@6cz_kGE!dYigUIYgTH}!C{%1X9E4|y?&_Uh=1z|oPwBi#mWrchJ&VrK|n zGs6%+-2#W;MB_a8z!uChkDQ779CaPrOi(5 zjSJkv;a*O?(x#)8BlfX7d~W_$R--o#;=Q-Of_J&~M}b*#RtY-I5zbqbMymDl)Ms zwTLb+vMwbr^~fV)CovaFDb+xklxuD3qp9bh;>@dtd}}BOlLc*fm|sV7=OT;QXc?d@ ze=B1pRQ&v1athIu^f)L$jnbJAQz+V>M!sAZ8oUOt8U#oqBd@)UY*kNA`w2^CG4}~{ zT2^zOUj!M_>{9yyC43n@rh9G|*s+UwcFC9DQQj^yFG|7ZV3u}XFXY3qqms0|yujK9 zv^AruwS1A@UDVZzGq%{qz0j6lN{awV5FJTLCQ-TUZNvzso60>8CWK(mXqdiIbMC4H&HTuw?QVg3(2oDT`JTUm z68(gixlb9XNO$0$E1jXE+)}(Iu807Uk+DaIFf+u)m_RO-ONxZKbV|KaAZIbRYF-GV zxF1}I;$VoFz+)IA!<=EBWln$k{IH#x&Ow#-K$_sfh!Wg$oHy0eDe4$DCOy z1A-WamkpX>k%ev$(JfQVr{IfTi8Dr!N3ZNMGvJ|=Zd*~$sjBo_&A|*`M&D7$aGJ)N zPN}(JN-$#h_h2g*jMBWFdM&kC20%nDMIneSmU_Bv_&$bi*)BA8 zsn0P_Gwt8QSp`06xI%{}jtWI@nYNDP`5a2+%Nb`30G7nn42#<6Et)k?i7dUa9%U4{ zIObbi3R?J`pM?=et6ynn;?xO?BTue=r98C0ITI0};9cJ5t-j-mDy8LdZ&;lCccm7R zPL*viPUE&0%R-KoGsJ~}`nh;EWwa&dUS}W*UUIS1yvo*tiVx@vl(z;=&-9B?1jQM@ zuC0}uQ_qy;y1)sE`M}E;1rM=%vvG9ut-m)=#g&0LxrC(+%hr{;OP@yfVHROuGq-?b zE*cq-tCR9vF}|lXKyopmHc>cBt0D1C#l}wL?nTq8s$nrj#-1;+zIeT=`R$aHj}~Hu zrCmgAwxmsaI3P{?A%EBlmiPR)LzRB-xP*OfLtCX9mw6b1pRkVgbe?4BmHlzlksx2$ zNh5g!0@sy@U}*rc_PF9|k^jLN%r@1K>;(C$@ai+}Zh#Ycg1G*^Z! z*8#DaXO;{VHh-gVtKe%>)Yz6+gEXC*v16~SYv=$0Xw`jzktIQj;UF%%2zLfC0Dlv@ zmr`8Fs2uzr#QiVM-myEgFx=9OZQHhO+qP}nX2rIXify~XH@0n6SUK6FyZ1PIpAX%A z{=oXMZmhAMIiG9NX(!q?PU3cs9=XR+rS+9}mARRk%j{h(r{U`lYPlMEPV8uV)z`{( z8hSIKoX1GFEpC-%tbgPU{z{^eoI2O0M*s>z<5b!q;R;TzuPWAd!2nvE*GlN@ix}&& z$c2R)dShpkaf-aVTxGA=T2(28;4`@-;qTqv)>k&8EcX%e%?H@-CtQqf^%X-XNtbHX zs}gvX6o zb_S%Y=LT0Wz;s}tOE?Dvmka7zwV3*-i~6hPX;C7fvga^nF4tE52DaFlT3oJaHIGJR zNO3AopW$5AT;>*dW>@vs=eJtyKiid){RVWScmff)-T!)c02zI-l(@>|o|>P>mDfwL zXpzm22xD2KF@L|;mxSx$U>6ep(8qICx-aHsHm0Lsi$H15iXNU*NRvx&LOl#099U4K zlHz8dJ{}pFrLGHUy3);zL8vX;V-EH* zSW}HG4qKhrG=_Q8n1vO;++{rzq!Z?gB9CqBqRB~KAU!?+0~MzfAoLRKl<3dDgqA!V zp83KvOu4B?c+6Fz)Up&ta;;ITLO%i+P9m@hLi=8J*xn(C;~<8JFyfFbu^Q>Z6LNxz zR-f-*2)*rS)JCL%;5K%bLC;JR@l{d4BUQ4pRX{WRm1Gsm022b0s(rPFRtlWM(VY;rl_oiSCUx7uPTGq;#2Bwl6H;j07S1EmsMNXbs{^CJ zf#@15*}K51(~aali~{AV8Xb_Lr?jTJGKJqsxdstRh<{TR)w1m9${-6UDjGykqUKi6 zECk3gDg81DaJRW^W+3%hi3HD{tm+jH`MssS#=1{{l3?K?{I-B`e4sWz@1IwtM_B-VV#U~8Kr?K-9N~`S16-2zZXCQ2M za_4Mkpe4|~rkL^+$pT|$^Mm#He~%N2%5h^>e9wz!8`7-je%X@UP6-0P*F)92tFjpe zvKW?5wZzY{5F0W81J`ERGv`;J+cvo)d&*Y9fgh;>Ix_*Y^B?s_DXh}>R`KC~RkN=S z=?E=9y#5%|P(3;iz`~evkB=3{f#HYzK45!9dg}o_dgcp5xP&_Qxnr40ww7<G^xcD2mhp=pmD^E@JiKGls3ATaZtU~+j8QfyL z%BiomYvZ7nDpT3xMd}ICiSjdpMuFis;|ub1Lh&5D@unPDW<{Pf-tixvGNT z56ra#biM_}-XD#zFf(7H8}wScB-gG?yN!T<5ovehWWC6Md&qXNf6pYo0y$Uult?{3 zj_P;b>Fe zh3qPv(-g%w+fTGVtO?%3cmmja(fin)mguqX#@9Ehfd?;n4eX@Mao8TS3f_b!ogN&v(>i?86kDR}x%H&80jmg(+* zO15Eo3z@0sDvTa+6_8&vB9!Ad`{ioQNjL<|x^~f87~Z%Rz#$qCz>% zuPj)isJ#515OrYL~Tp+CS9co1om!tCdQ*1(j93f`h)Z7fe z+v)9&>HIV3iIB|IBjUw(P(3ps6_i!)rNuSLI|G=Mxx%sdSx^a#YVIQfYi?_yb zqGC5X)Zj3FuIIQ}P3<{9azO38k<|M@fJ0uJ@a)Sk36anf3SH*@OI>3q!;Rrl;i*r` zl?<9Dm_qDesZ2HZcXG4=Z+Fp^xiu=_V8HsjiET%4p_{O)3n8ElGVoz1^sw75%rXhs zfA#=m>TunOBAgA;iC)4Ne6C0ZXkp;d3ZJo1!$4}g2hZ3Y`T1e81$TUVSoVy>KqBK# z2Hu;L&H+b$F@0b7;0SbH7U)JisQFjM1=$S?<#P9*h^<1{mK+r|8FRlF4@>wKrP#rm zQ6;hSf_XM)eN$suNhH-pl#n1%U5i*#@3chCfwXBv@;2LVAhFR!kAY&jdt`B(578EJ z-bNJ7FnT>hm#B2a8wEBN;!pzd52`tj8|Ls4(-!{4nhEr5L@ooViWEJVh$*TRS}QnB zkYjeH2pXC_n~@TLF_djpx^0jXecmv<(v!q^XQ(}74gSVVvqN4?`eH2rj5Z!gW#&&} zr8AnJe^m-6xwiG&uDd)IQy& zN`9FO7>%!r5_ITPCw0|t?BJPoi|88Y%Tnn;;&d2_l-J+zLsn2HQA0nVsg1^qX^ zU!uyx{0zya_{qzpzj~gm$UH-i-iSJB$tMAlMBeKQQU*ZDzCsT}Xk@()xG8CxHP}c@ zpU|4pxz)k?x7U(ujiS|PIJu1C67;Ly7{0E(b#CQC8=X61KSVmcV$`H*_k`p@ci-L# zDgy@ZB!i5&LzvW5MdjzQiZhwlhdIc)7{KybACJN+*3TEARZ6_i0I!2V!SJkt*@FSjjOM&nA4kRK`%Q)5qrmJDBQeTWypcB})tK7 zjaTC^Slu$gjTk{iGyOtLwOC<K-3(m|j49Q2X&9C=gn|8Y3|WfYjp!-9)IJ0=k2DHm09c$r z4BIIYO5OMwS^&txr!(g8F~V?-o|R3+DbS2CT)|+x2l=M3HIKDVy%gnpEDZPHLB_!5 z5!W{KKNNjWZM{_;z00^SqomoxiZZ>(##Wz|XRGB~z#F4XFv4qeH!9$^(W=hfDuz=U zZYRgY$#Snx>@Z+ks?m9G+Gi6Qp$<_?jje9dsLqk{)w+~zjwsYWUE%ojj4mLb=aqLt zpkLN-|CadCFPPv|^a-Y4tpAj7KIhZlCnNAI6)|WQ`s`LayI5C#%kDI%gv$P04(#EY zJJhTJL3krsSWgDJr$U!y%|{J;i&YIG)KU?f?_*~l#djrHYk0wA}!h72% zn(t(RJ;OoiF9?Y{p3{5B{nQ;P3Nt6Awofk~kpQb&%(wFuh!#GN*1Q*F`;Vw>qM7B; zvQFg1mZ1YqI_t%(aniBY0_nKPby3hO!acN|QurY3YWkJb#jAK{A0%RM zkwc@jVE|QH zu)Z7~%_CMM52bM4Tw8rBfpMo>Z*AMF_|)PNAwcDdwoPrd%Wd(mMmnXB9-<>n+fv(_ z#^%8ld`MSWo8lR@>~C0lY**{A6d$^|t^%3rDb?t1{k(dDVmhj|_G{nG=V*m~1+zpp z^5?2>7w1%-V7Uioj~21xuOhURb^koXGc2Fr*Hj%ut^Dre|LJPN7$Pf>!L1N63nKbXId#&awm zZ_4!`*H2?2x#47)PnEN?6|-!J<&|Qss&%ehyx$VQe@Ko~0xPxlJdbjsc?_q2$sUWV zL?4T5w;WtO(`L5aX7cnPNHx3tcJG<@ZtdQL$I+Y~-%odbBK*yU2!5OjyTeBj@BbzZcq-fZx)tKQ8HMLGB6B6rP%12+6y~?TNq5y;BG&Vx|enrM|IB!xL!T zIvr}%u=i*pVYTE{)xhy)aA-8t*$mYI)E90#Wj02@txMGxR^8PV3d=yWT%jH{5?yla z3$4{z4$7BS{Wi`Q2tfx&Lx}gCJWn$fvR;vGQR+*>_+23DQn($e0UNR)0;Wzjb9l}` zh-;WV+dJqhtu||2a@*aBv$H9%>X^S|@?vZpAMYxFdj6vaQeAfjOW^ z%TXYCh4&(q=;D>LxzS_1PWEU`@_1ka+er9vj7Np#4nlmH10#Jb3Q8!s=UP%vp-lotxEDm7DjN$O4J~u0LOrAp!?e z1dGChpuPj49&y9emV~Rf>ZlQ{FzvVba1*j$0D6<(p#4%}1)8PIQfUUu{7UV>H0>yH z=x2d53Y-g{=6jkNhpkDdD+Bd(^2;(`m#0+y8hV(6ZRHHGTbual3=@xUFh3iwCqK3o=*8JH%uO4%3M+}M`?X8hUept6n~ z2`g6rDrcV(fB7zL?i@Ler^|NX7{B05sjrGy)0z*N8 zMg)bCOD2XRV`XODFH^56Ua|ZEz;32TwXGRcS&;0k&1+$V;mRE?&cBKXL~Ad( z;d-0bhKO-?Ke1s+_Rs8yL~AdRVFCCLcD~7EzdZ7Q+#J=d+>;Y%Oo3>{s@E1+j0J+q zHweyMwR>HL%8~DblTDg{ad7rmI7Tmx(c3N~?f}Kn+wMY)z`ddGF+!FnQi6claKW*H zj=PFiR`dc-VbZ>V-+^@pjBI`j;PS7AFdg;U#X_V?09km3{gaPupt`nbMf&_LDuTbh5v;F<^BG<~NbHFt3_ zx7~X<|MJ}jTVEOGK7>YH8P?`f1l$JWn^c2n=D|5tE07pE)Nj?)j9mxux2!z}ffpN{ z_H?tA&S}{&&7dnN^gd_i!*VpulG(Nju?AgP*po*22_%|2?_E-cXQcAeX5<+-n;cU? zrmo4RtZpVrL7@w1#u~7LlKkZ3%pJhxT=5(~A^Dv7I8i&YtRVkIUKN72C_v%>uO&dN zOl#E#Cn*Qh+Zqw(gK{wAs>qpSQMj^(FI2(FA6j(Lz#vP|#kz%+6wNaEqwYI^dD^q# z+|=DbjUMiEh168n75OZumv6+&A~#?VH}hb`Q;IB%yemm6Y7q+q6PH+9KW{{^yWqGl z$W1uv0h9pqE#h^K8;cD-(^uTh8tV2{RrWfgst;B$@fqn33#$luBo@S8G`P5z={oPo z61v?Qs~YOa#fexcr*ks$v#lO!ODl|=@4Y_XTkqBMv^1+rXL;NZ$J*yUvWqY2>2PN= z8yrG8rTsDT?zN4x2iyDUD_Xi8HM|;l7Z(>$s)m+S8yGhX36v(=MK4ay@??FkQ$nV6 zViO4NZce9*{LxNq1jNgzm-6nsBHbdR_(fK}&Z@<{DE1Xubz)wM#nqG>E65U5|0v~r zQIZl4T2DZ9>d46{w@XRu#fQ6Xd8L(_t|h=E$qZEaE5h7%BwVJ0-<48z9tz8bx13jB zdhexdD@!_T97*)nxA8Y<&zHhq#(YqEN}x*Mx9#QJ_QO$X*)dV69eueP?Ha5xFlS%A z#v0WDG%P;)WH<)ffOV7`16&*9XG;!pky_qMQGJLT;+Hghd=1hQmPa-p4>hskq!AOa zGN&=0Ht{%)W`QMqV;Kl;T@&9hDKJJMui`B&uGHOeB^ud`oCvbN-RU>h@Sfye71f!+ zOf)k+@q7!-tT>za_E!nY6ije=4i5BlGljnad6gGYtv97Ji3*(Zi!F_mswRMO(ngau z-0NL}Xpd(yDJ$2)bY3fauBAx<6Q8E@M5x1=NefF+#i1@aXvs|~3JsE|8;uy$@#1q52pgds^fKN*nrM z;Q$fsbqvI75{`sSw1gI`DSjb6buep`xmq%O3s<#~E?&`Sv5xrOu=f*0K`ID3a`_{q z&TQg!Bk%pVKvjW>1~BF!sp1@p0G5LlX$KvW=N27F()$Z7OHI$r**1=7Q)U%W7FAv-ePa{4Jv=2*j7{g2wYsZh#)NgLkc)*4 z*3cZt$)|-)t&4fdEXU)M;G{{p70bMN(0yUCLqAQ#RB*eNspGoHx&&4h0MZ{9>9o`) zO`fAXQ2IFXZnw3tlAEZT)(+K-C<&Yq-SFD1r7|gKtt(0HCpi9AG{wvG7|LnA!tAPn1YP9UoH$SIttl!e<K*Hibq2HOi^*p;(2O-RQw-| zb!VB>cWhbXYTxtkcKoD6Uu^>YR_frEm=%N)85j0Re8v>XzLdyVE?%P7NJHEOZ1-Rh z6_0gsaG^5jZ9K@(|JtO z`LzAJO->zqcM)4o9jl~@d36=Dq>6EM72~p6M*89k?j5fR_Qn?0ND!{2i)t9K`XA@m z&f>TO&j#}v9}@f{DhFo8Kc2DRTzM)rtw-j9p9Az$qeDj~rUNQ3O=&b+XOh))ut#{_ ztXr7pGzNW*ZO-$5#3!XsX(PQMUDX@c=owICw~AHNl7>yS(3c&QNzR}evxo-{mJTiHg zKaaoPp%2H*?T*s6&|Fz_aR8=!A`9n3UDT!+T=VSD^ji*P`X z79+=<^+J`T*f3RqbiH*VkXiB=fy>-CSm}RD~3Yb5_&>hj>bT|cTg7xff1m)fC zNGz(kLv=td&Wpm>nN5ppW7&u>g-j)dr{_HiXBhYMw{AE($z^k78BQ0Fzn9{X{|m%& z<+fBBm~vb7`J_Z!(5nlb^QBm?Cc3L>X)q7sf_V_dM86HH+hv*9I$XPOtUIFwW4%N2 zCcA%f>u_M`EOl*X9k6N zy1Tem$w80{?xd-2+56E|L!WMg;rnT*o;#=7#(R3DI?$01nL^MrA~T7hX17|G9|3cv z{5%;^5 zE4Q!Ci_2IbXbt9g`gZht2h-upKVf8^5OFfdy>Wa0<^t()b;9-#m*l~nHt$l!!DAfq zY5+g_QIs&NnYT?c@O!gT5WOyTjP!cJ377U4Wc6#+pfsp7;+(1IYB{{0}{KQw^H=GTIbcbM8E;UrGQ9-=N|mlDIp*L%7>@at1?w$NluD zBK`mpc^M3QK`HVFGt43(d%YH>B;yc<5Dp4_{0q4LM^;IBR$iA|$jigdAebKnm-qtK zk&~vn^!k+;d@^$tik$z4lBh=e-X}ZTe&?#(qI-37>FVw$-==0KNq#1qv%PBl@GhZP z<2j*R)Q)cLZsb8_(53sDP!bVm7lz52KJIR>)gj;V}+LC5lEuX_LL-e8Rp=h>&Q&G)a zQj0w+#UZSrFsvRUx^A3crWg0^!u!IZ%BSls`BgPzWD`)1VPqp*&Y`M8n;gTC9+OXw z&aX?;B}UVwM&pCfnzhrplyH~+b6iMTUauA$xnVo_LpqAm7}_AhY#YStKnQW---6&A zSly?9Y0KFM?LfC2xbDCci2vAE=)n{Sy&cHdpawF#iu|xT1Y?XG{)R9EtD)HYV zZ?@os{2th!$4x6D@@=*U|Dec4DNneuVNGKgJ~-CBj#Q(N+0kD?#0YAsNRedUNc)5> zki=y=B5d5rY=J_`@5TW!6|ygXLt!Y%x0T=dQtB+``6>TK-w^}Ena=7;1x5>bIpDV| zqHX{f-jR#sP)RB=SR=x4$4ne~M{`J#mfzHn;mO-Z2poB#{3$Gw5Xk=bq;z9rDpPh^ z4&yG7{fHQNA$ISngvHSQ4R=Ifpr(o<;+@-;flYBFe#m82qyOR)2YUoMCS+9^E<(x0 z4yk_gfTzs_2UOB%`r8ny?T!F!v;A!Z>F8IjPMSiQ4$$ZvU#!0xigQVt+PGI&5NW6L z1ZGb8plkeQ1uALVY0azW>Qa4Ve2in}9B7}HUPSul92lRM{)VhtdZzlM{|y0XloNy# z6nv2_5r!#v{N#S#XuF_j%mE~<$?7Vq?7z1QLZ_5B7$ zzMSr%wH}qbalB!&v(4@5%hqlp-4&0k+lG;d-gllOjadRY@hsl*pA1~ETwXW*uvlKZ z8!=s?lB{0VKa5eCd0+t1sh5RU3ipCA1o-Wg$DOI#b?1@OTJkyYX0n|r@NP(30R<^` zo@n7zuE!fay1x6rb}JgWKZr_o>yC-cFz`SsR8Dwc4xhlmyX;k2@z}C2QF@hRy=r#0 zJ&>B7&RwjT-NVf@(ywr2^*0ej7$&zIqT2KcQ(Btjlu0D!DDv{lEQ$J$0t&?}Pgbb$ z!llo-@yQX>X$g`bNy6bU25CY9Gbsi?qfq|1GDTkmSE==)sf;#7(WK&3%h^z4JCs}$ z$I`^`tBLDLrVY=i(fdWvgd0?&C#aO&sl&8NR*HD#gS?Sf!y1&JJ@LwjII7A!A?!%- zeuDSjY2qOUj>C8%Uhez%fB-uRkMJt*A-C)gR~}d|-F2T0oic$MiXryJt-s~+ z^d**nndB=iQ2KF6#EpV5LsQ0Rb4jP}n<)pYim@x8w{pp|?YT7)z=~s8MqOi}DbpcC z)1iMf$mxYXj=*ynZpFZkZ-;sLcuA1$QJ!?X7zA$=DmK6oXf|BI5ASDLpyr^oF zd{!ZI%-uAhc8_II1bL-IMNs7LOGVF50we9{2x40f#I zS#wmmQ~_NQ&<_+&D3lcAU0VB>=#~!bp3bf{4|wj+Z{-_Aqlg&Ov)yK;pxFfy%Hf<0 ze)Z`oUePUj>10BMc++eA3kt&uo@yP6i#+V0EdG^|@!Te9~xd+n) z^MZl|rBn;aH`1E8_&-r;O>jnN;0_25BUHPgRwwMb=3GTxxMK&RaI-0q^t1(_tQ+zU z7{Q~0uOx{eymAL14MDprI_f+&B_Z_E03?3dkbB_fY*a33!ZJJq%(Kun;`uW`>pq&^ zY;(RbO zW)v~i2u5bSy;88TR>-Cic$+A{Lpz>#4ZL|rk&F-ZVy_uRLWvcs3cVV10r*20-?{>p z(SEc=bOT(NTCHro+`EzCMP(W}hs0n4vy(~2C=$bChdVqyniGn`O4VUA8nYe?z4>2m zDAUT%?hxB+T5jW+l5g{;Van`;<~h7DlWu08G;SHm@8u>B1$S?mj>HT%f-vn#@>Q)2 zKNSXPcXLvwQ)mAA91%TRY%8?Ssu;yq_>X5gCWTuRyoOExw%KZK6%&P1&a3ahhr+`j7 zk{3bV60LVZ&337|mM0M5A1v$KP%XUsMJurU-}mYc{qXQPN0-0OprU;R&Gs=>ug)Oy zOe8bf=|}|ND{x0*;SW4^0xu3ue}xbdS(A;C`8Kaa-3_j^e76Q~Ism>vNr>Hb|Y zeXSe6vL3*x{$g+X4KZk&TOxUm(QHT30B8}5nN>V8 z!XaTSBUiCQ>6{@_$s-dncDW_a%oCF(pJG?qB(}y{=aqfws`~rO^UHtCIgLku49Rss zlX{^K;_#l62{aJa_1;~kuwG~6=W^OK@DzzTboVfwYD*5>LUpnpEcvRov|F1PCB*_Z z$}`0RiU=Y?k{#N&4gR-O@)tD4zHaLKH(Xj+MQJq-m3lbC^3|iPI-gQ?Q?Lp;e5%cj zq|`Hm^fQ69dqk;EL8;H*0vUA^nPn3xcJfIoreC+YEq>-hNFXj@ASvfL2b|_a{mwl7 zD$PdPrblrLep>;O>C0{q-{YcBUwVXo0Zg!%l_a1|V&&nZXM^065w1>k!r!!|$kj?l z$^sv2$kXs=uw(F4-z^%BrNTU)nsaI)Q-3JmRLcG;s}U&V{YyFX151L;z6;ij11`XV z1uqV=8FXgSjf;d~7M3|#&C1rcK~A51r~snaJ#KbPxIXO@URVDERzcOIMw4zcSU5g! zLe2S5@D!(GHVQOl`mr}*M17hYX;UWMYBP}5AAP228ZR6O`rJss>h_+xc|%C&1Ku%0 z5~0mwIC*aHJLtwh6Y^2uy6;BRn>~QpmJ40a=yh0St9X zB+R5vh+Df;C>Nx;o3c=UYbVqxu4$~J6pp`}lir6HpnjL%7`4+SAaI~a_$sPNpDN+PAVW95V()TQLzEUVyOR^bg+q4*?l&W-R6NSpf@$ibi{ zka#G(`2^&uPsm8VqnawBwNRX(b^p<{Cn4K79k_JL zei=mxb{!b2GN;dj0(z9o<+~^BM z9|)+7Aj=MY_55%K%f<_p18I-YAd^3Kj38<(wx$v*MAV(7YAOk7cGZL=zw^io&v4G_euz>!`B*{7UVCWo3e8_8hYqeW(<2vq)N_-@r4G zxOXa99@4Gl<9;&@6I5IhkWc1j^(Gy-oH^r0=w7*}Mqz)bbt`}4d#8Wf>d5pT$$w%U z{hG6JK}s?VpA9;^C9#iyW5)&)q77dfy_7v zT6mII&+|^N1|XQAy(Pv64yUG%Hj>3YpPDjlw}hv#%6ZGJNHhVh6^PBx5}KosB66(*A!W@8;e&OHIsN24DDGC z%$bg;4&$F@GQ4&vHn)^ z2)ze^!!{NrgMyq<;^4LQA>$bQp@hipb^&Ol=cOoEe(D}G1YyRWHAKO$JykdX`awsQ z*V@6oc0v?tP6Ie?up1Ehb$IM4f(hl9Au4miIMuqK=&3X&(Kj%#v>KxX7xq7@b-}Tz ziXv$)^uN{WLVe8pNB_X5Zf;BPxQ1l!Cx0uY?}T^9Z+~uZxobj(Q10_aE^e*7g+wg0?z!s zC4KLW`A736LM9|b)v(tk5zU8Lnx(+4S8E5pd{E3gwnbQAdxPe9A=PJ*66&v1zo}LI zXZJteMGFtY0(HytVqXY~J8rU9%E~bhLUNbSzyPL#_K)pH$G^UkMs9_Lh(6O*sdozs zw<);3q^*t4Zk@o#O!jfrWXDkUR{?jlhy!*Zr_}F>#3x9Mg5*!MR-!%ejSmiUo0*Od zrhT39Z|U|OLP?ukqBVM-uG*siBuJ&+ZX0$@xg#xv`8N`^Ke=_AfS|9_{=_}f$D(q4 zb8x~x-=aR>79_q$@2jld*|5sN9x+%$#T(`u#gL3P4A^oB+^5`$Rsp3deC`H)|F?_{ z8;Lr>o*&H}?*|tk@_(hhi#ocvxI4N1Cp6+e0e14;4j{}(xySBK!Ur2})GDUp#UgG( zrD#zhj7)vY$5Hn>ZP0$W;sQj3=%ZBjG#;(28w*w^AE)o&MiG%9;=#y8TR&Xm0DF2= z0!{l*Eg2}vf)&hRf!2d^$ge8slvY*^lgn)Q3ePIo)UfcR5}wB$sTqN&#U0(*4dw3a z&=~0u2dyv6k53V-e(rH&Yxg)j=;c~z?Q5LYZNfFOq0fII7tmn{|H^YP-!6*^IwOV0 zjQd!8&nzk<`v0rD!5v<@@A&7vH2lys{`XXy|K)D@55$?cgPV)@|KwJw$SZC!A>jiW zjDsIq=NE5PtfVWK^nUpiR;y|K`qg$}Tt={GmaJ?e^}{%W*(_KQ4HODgTW;h;D($sn zV02`;_0FdZ{Qmj}3iNR&5JnZ2IVh6wB8Eog9Syfq;^j0M_)xmXq8On8n?9?JxCS^cvoGoQfBA4jW&k?jr_b zBIThlKlz#CNVad|dY43Vq4Lss4Ck|8jat@LECn&71+$1BqvxV)yLhAE;g=2f@>U4X z;ek;6`q?nU$uKK%8tIVwc9?@kOPk6@e8sHgnsvb^DH^KUEk0vZ;J~eJ?h=n#HPx_4 zv#+jBv@FBGx)fdXhi`lYpGji@i`D_IOx9tthVc)2Xd-}j8#8&GBFq1nv-tP)<_v<; z$qQttcywkKqjvcMR*abNt&~v4iAlL0K~)n8c~Vie`^5=a)6t%m4*&b!O|meHzY1eX z?lnrEzTVQgrghD~XGG*8(xCL<=$n{)7|ZXqBcE?E3TndSWJUWNwv8CXw9#O|5}Hpn^fSS`pga|+>_+)gQ_cr@0z*k_H^0t^?ZDP`2;Bp zZHAhGqJ%rvdehCTjN9*6CXgE`*>kN&6`0SuWl{Tptx~D4og~R+QH$W=7@Es7Is4{u zV*lkaRrpR?c%FJk69tC}_7i}+?-YJ! zT|u@k8_~UD^8*OVMC=7n+&IY2G8ep-qn%ikgd#*=w`?H7wQlLzD6koNIn*%;|Qn9dLpcJd5k_x4k z8PvrWWuwk&f>^lG)ubo!RbCptMXUCMVBYgCJ@F-v7MDK@*>-^cYehmOCteeND&q4q z$&&vU6>+uw|7Izw@J#3 zQ>$3i&vpPm)G}Gaqj@72cVKm=M@&r%Dc(^Y*6Y|($@oh0#4yR)ACKZ7?qkYDr8fep(1AD3Lh(Z$}_&CT5GKip+x|FOzN9qruh9i+^S&CFf?$40MB z(N#nhMjQVIY`3-0DRoj)87Oat(82Io$CYknqsbKxj$pFdM|v1B&fH+I-w(f@#3E*| zWxnn~-icz*$@!lK82Fm>SFs@e2aChC0`HR9WJFkKr}_=h$R;fu!e#hWN?hdsL*KH^V=o7kYIPF*`W7kSxEY(8a3 z$ndbzukkVOm|Xt&vOdTQ5*kknf&7{0oOdX1yKfFJ(wq|8wuwF;7tl}^y6DgZ@ov6~ zj0Vj6ivg1D0-E-J#CfLKw2Bv6oSZuup zrkK=l=F(&>3#|`A)S~r*xIOD*B{kA*xb34w?$-|CK$+xx4-{1_A(*97pARgu?Tdv- zDZ-lt$+HrSRyq9(f4S?dIGhj)mh2I69mb@Wh}pvy#naObqqn)JoVs{nal_(0TQ()&yGe;wx@4M`f=?)kxX>(H@mFJO`QW}<)QX7`@Pn5 zfA^o1ScC!O@>YjM3MTG&UD8@iT{222e97G^n7G4lQM3*gACC09F2){9gUqj%MxGQgG2wE z$pkY>zc#@}Zok6xT~K`1I&j^$l59n6>c8@g`wfSa;t?FDI(FUB_i>*L;o~86GaU@& zF~oH_VM^k8?mHmdCOHj-`)+4OrZ8-s4t+834M10Hc_CH2T@W)I8~?lAL?NIaa`BTK z@{HXZrS9uYBmB(A-5p2N^ID1@zv~F2-10)Pe7&w9A6bMb{rf#D)p2?sM#B_)7~{=7+!dGLpi)u5^eKx~YVKB~ML{Lf#~} zg_-#Fm$ujcJ}Oyi?8}7&Sse;9h5g`05!+^IH>+=cKxrKZru_;gf~PGEl-JYU4PqlS z*j^X{!-UEq!M2OoRw4sWp4uY@(lnF%M#Q&}b!mA>x=l}|p^Oy?#x*%_u+v~!tt81< z*4?X~kLshOY325oDY<%O_l`#9Qi4x<>;n~4v}=P>@~a5To|7_qW$)U=i4DN-BCoZa z`l9w*Q`%#ycMJD;p9}vsIfZ6dS1OXZ@B;2x6>Wot&z=hT#_J)&7ExR-#Wds#OqL4I zMu$6M)dX8qx!=U8>RLocyp^+{hlKw_$(-QU1+x>Z8?@Ukp` z4rdHH$v3TX7XJC=$W^kF+)pn$HL+8nG*D|9p65IYr4%MtDvMpfJ_5zG3XtdO@#T%1 zUS=Rl8clbl^ufL^MJ_!x3%#LGkPAa_lbbHOldFwCby!p!RZUs^pp-*Zj}ItTt8*$+ zpA)+WO!SHzBTxr)v8*wZ+f6m??3X$v_RcQL2kh7EVF8Dif%M z*r=S74$|}+%1Nb=3R-E{p2+w=tHBr2(q|{(+1$ObF-u`!Mx^_d`OV5m?&}{QS<4G*1Lgq(` zCPUXRD4kSg$SC{hQb&ZdovnX+NSAF|szF~6GdTf}>Pv=|0Ntrz6QQRnt&G^_+UzKD z{CVS>PF=WgWh}|3aLfoRP#N+ZQJoa1;h7#^Zx8vH3&m)WdHsJ_d&lU^qOeIcNe3Nw zY;|m#9otsN<{R6#Z6|MR+qP}nx;-=B%-nD0$DLX0{yV?woORYZXYZ$KS5+Dm|1dp| zS&zF#U|Rf7l7zco)v(@IghKZ$4|?ge`;R+gw|O+XDfm1gIJ_@tO#r{{Vm2=qVK$76_*TacJC1O_+{seAYTP923N(Hf zm#T+A4|l!4>=To8ExrVJ9acDhhHd*f9Xt9#(n?J5xKGr&9459uw&*7u2QXZVNsqof z!<-U|{pQ@abGX(m2WLch6%%q+GFV~Jc?)Xc@)VBpDv4^{xvJwC?{4@h$9Xst zgp_nT3~1{8kSQox2Qe-Os7OYi<$2R)E2kUlV5M{%kt&706#4mp89AVdf5D9WNh1hY zN7ad3^5sju-Wny~;hd(b3m^=VDZeuRt#pb~C*HBd6?leA0CS5q)y*)95cOdj{#C)S7E189(U92+Vd$9gI}Ch%Mqi>Fg9kcA z{|Yt5=9jc-+5n4^XgQLSL1R|^Z}ENg9z67oFlz9N+9$QWD6lT*_JPmM*f8=ilMq7t zE2}|!4(I4xLTv}!sVu&2#+C6R{T9!D3S2)AR1r2u(^(#}N)Cd`fx@KdTq`i^U#dldX0#>~D1*I3aDbJgGwbz1zXfyBxhgENEinFzjc=|E?Ee=^a$ zMf^CF&jxH?3sHDeN(-J@$jZ{jMf_nNsrA=F>s7GfpXjM$CUNM1_zFS-b0l)ktXJ^} z2+DKSp*N?*rJe$#Ps7rl{Zu(S=xpB{D_yA?B-4?;5{lVrd09T0C!QZsxqhbgW5x_w zs{t$2Fmoa$E&NtkK0hb;`cl6_71@S=4%c(p^aesy*XhmqbB*q6=j!sk&7bdLS#X zEa^I6mwPo9%qcL3!(!R|k&`JhL>;$EWE@{cQ$~x-Pv13}xK%;R40J)|3)WOD@4RBk z3{el~6KEpu9O0z0vSR3I)x6kKO|5xPA~=}>aX664TSYHB&{>RLU(pMl>29jqyyeOUPVQ0lo|E)Y?X>u#waX@wH&f7^>*wqeb z2YdL{Yhj|*FIAYdfc4a;Xfn^ff$;ayp&!A`vpU>cvMa3=u1G=1RmSvsw0;=!M7wRr z3V`I)5XG)$~)&Zdwtz-ZJpO}{g?iOl~-oWE9b_=g*8h#I78#+X&dro z9naM4L$Ol>h@>Ncw!y0rmN~pL<*Omy1`w3giubh$>3$WG##lyuimpT)eBQn~x7%gc zeKxQ&Im(7+p#2tqZ}Kt?etn#zhi&<6EJ2>pY>b`YFj z(AuB;SnQT@d_dEG!-efH8NncjUi!?ap(3peCU4AeW_@-8TK&+l7Llz>dq^!6v+@KG zUzl+{XRwT9@)QNN8W-=P`S8SUQWy`H$C{sVr{u;wKQics*>SIaZ{xTocn5dR7GI`) zQCLgrM$weWzOsa^PxpVB(Esg6|A&)^^8a{qYU}X7nTh`2K>z1vqJKbk`VNNrR{t9w zSDli5*FR8_fAoR)|ILH_2cGiObUS@gd-@GdI}^da zA3uMGK^272o0)Kr_gy@gnD}+O-GK6qahyz6=$w{2mMA{HK7N7fg|)+J1S1jo%q!K@ zRc8GntHz~muM7@um%)p<|B;hs_kB`1ul|0f(bk!a1?XF|Fr=%i*ObIruv_Pn=nnRx z>>ZH(p=Q96{zSg`0iQ$rbD#X0l!qf);dfrk6V`AS6McKYN_5Setqdc?E@bz-lt9}X zCduVK+bx52Hjg7KYQOD&o-8yF+z<9JKtOK(jTNH*KfI&=7&A1XJ(U+1`Hv^>bjj$A ze*TMA-}C>uN>~S>;cpbA2PP>H*^oq(78s{bHYFX~vOw2hVO=SuW?d;#(bQPk#QG<| zQmxUV@?G({NYnE92}Pm%yY>2T(ugVX=MMdKXM2{%RO@&B=Is}vO?N`*k>x=F?29_V z^iCS*!4V*f+S8SQI!4k>8B6M7@Oerh2u1Hf4`MydidVWZ>o`R>^P{^lZQTj z*uae@uB(WtQ5Ju^(9NGGS*l*Q3)@JyfG53hl_mx~KyAdMsJHS?mox^&$>x66ag{S? z{jgRu6gc}z5+Ij6-N;wIgOIf>Vc0oUg4xk4#_Cz#z9q|3D?q%>!}01-f!B7H)9MB*zqXP~3~uYF06iKTm$_wnhdD@h zV<)g9foZ|^#@Rg6_y)m^p50a8xE`KwSnT{&J7AjmB>^(W_ND~xtD!dsX)XsX>4N3O zq3`ne(B2Q&IWvr*L4F6Zdum|rtRBht1I}hUbgshu z656_|gQ}T>8jyPdBW4l&{p~l=gEg#ZyU=a=ml20z{gg%x_;`j|wbr_uyV$w;r1ZvE z;xc9gS$wbAU7}tg{{dKh2@}?Nx}47P+*&XvgtaMnGnD1;UNc)6F(&-zC;#x-mg2Hi zZ1(iCns;@4s2OEL#{H1Cvm16K$rr!3Ih=+5QjdwIN6lHn=$? zIEL3@*~wFcVIiFzw0Vbxy6Br#y`g!u^B99L_NDoRpSuNSEWdr#anPc$gj1rG7=H`Y zTeTQry^NfTL$)_HLA|4@1cGMyl%hm(mk@8@z>~f2uavt*)<89l zFOZ!hrmud>EMJ_pYE8x4V$G8A9w0jy!K zehENA#AavPX>VO+yZ(%90}-`v=57=88fEaLp~0m4pPjf4SjcU^z)i+dTubWLi%^@ zB0!`y>_Jr@-IVGqgTx>xVcp+LX4g8;tdEOP!M%dE9@`35#3MmV6gY)2#}LBB9BGiJG~zf2TXU~Y0G7xasJ z0rI=6>CxrL(gnq91vi}sCPHef2WiavwEcf!^WqGM6t)f2tB=!6$6Hn`VC%?##eJ3m zggM9dXt1en>p%N51MH?-lS>*YU)<+B#iBXX-n9-j>I$a#1uFx6w+xTb?aXTQuT9o* zewwWrbn0_EG}Zm7XfNn)W>2hPH9NFou4@gn3NCi)y=#q=hzXvm+Zat`yvKg?aJMrn zC8@Kb7-j4j)6fbuFBm?xe;h<^BVjN0Vsl2f78T07683U&!Hss{pMtF=-u?qsD6&Pw zu;R~|x71+exax}N?gQP#F^6hn!Ovv_6iC`;r2^mUQ8VWCy;X1-iPRgl#w)LFpf*^> z?uu6T=@^If(Kk8b(rRKmJ%51D^0B&;dof9%E2d|n+!bUN{j z809xc!KXdsKHIh0Zgu&qzJ`NxHc7WZ0$ys7Cy?6<7wAS!0TVw?mSzxZ-Q zC?caubWYbO;{A16ana5Sc37Z$K-`-vy<)Bzll6U?A)9TK4Wek@CNXI{ymT+R^6k&> z-ojy#81_(2f~4w_Tnq0=0`^l8cQ`_~xFKuTEY>g7{GcMd8CR8TSwy;aW#b1D=ktHgzV<+B=Tb7YIx%chxf~xqHds z6CT=ImQ$?Ry*R_8$SGbK_vkMQ?#`df{3bR_5A%h`(BX8WbskiTQFx7aCe+*3T)1T* z8`z2&c5)mGv|t^9vF!O+#Izmid8a3gA1rVrLSaj0T2%Q*l71W5DnF!^>Mf@D?tEtW zWxUh=>QhaFeN?Gy?_9a29J%s9Zgf%6?X$XdOxd1%kSA;h3hg()Op|rfZu;!H$VZ#T zvIr>K&7C3TtHy?^+P5&$SCI2vEyO7X;mHZ9)-?IC7Z0_Yv2H5biKwD5#n zo7HxHIy;g#J(W`I+vsf8K~|$#o@?D$Xw)NAW(t?W7Il}y9^--IreY(k&K*YrhON)Y zimqZM(TdY!QlTQX-Vn}t0jrd5mKALki7<9kpq9Yel$?6LIk25#HJga?;o5TDx264Y z$z8UAz0ET|H1zPd4wbP>M;9*NKs%gYNQvUxXy*a4RPzbBtQpfFbIA=Rrxr=L77(HM zZ&_LL0EqT>W@IT3atWGEXeU3_lLfX@lvpTo!=`hFOXTe*Y7>J(3ndKOE5Kl*lup+q z&Gc_o)jJUlQ-66~g9nHJtCXunjhPX z3#@}bf541aE{V*DOBi1=I%s1w36hJ^xm|qn%EzOs@;UVzy10*PRRTGuTb*mKI0~&p ztOrf={8v|~lRQng#Np^=Gt%t25q}2d6Y^PVqs3)qjXY{Hu?h0BV`(8$-45vq((R+9 zwL=*_*Dj#((P7(4-10Mcji44!E08UBApQ< zah5l1#s>Qqwk0DC=Smq@cnaBHf5Ax-rtA7HMZK%iyd(aOKyYVtt&OBYm(uJ;4jUGC zm}|>bl;CIlbZ2hh1=8W56j)bM1ntg}?}{wqRX5}5?u*NmC~t(u>8U9m+U*MC+)2JV zrm%;zN!_e%i-47iUPujp#06RI$?R^`Tm+MU)e1g$3WD#u#_nwu^M05WHds zqFhcHTDhrf!%QE6f9|Hru}L<)px(6qQ31|R)bb9~qy$+|7CD6yj$Lc@bNPABzaO0{ zJ59?vOAsxqe~8gNc*j&VyX3?mr5#p$aJIrk{uz_FJX9gZpm-~09ZwD`DjuYf-!VTR z`WFNhGP=wu+-jW^VAS+pLRazh?xLhy*$!OVFMmXLhuv&9lU>C(tku;yfj+77^***q zmuHoJ%>wNNgnl&73P-V_x{t9fx;wkS1|t%bBb#i5r?u+&*{MTDvmxfc+Gi@+1(I?! z8QR+=aWrV+uEQ`aMt339&_-4dhD&khJP7p+P{XbFVW#?^eEt+XoRxfI zJTlMSlIHK_!FVby$hbWml8rb;_jEfcX%Yk`@%JxJqk%Lc*7{Y=S8~Chu?)P3HDGXU zRXV!kkZoO^Oo9{PeO8E_PAaZ3sa3A4ocmE#6=8oXpG;`!oFKUBfZ9r$ZY54Rx0PHy z2%#U{6^wE`8f3mJaI|CX7|nqQNU3WKc_2 zlp>j%YGNbE#`s6VFS)i%vF}_sYRYpIyNhjV7M#OB-^2G zeoBIvUgNko{Hn!e&%$61grur{qZ`Sq$R5WZW>|uEl_Ayi$9Y@mfU6; zC0JmDL5p}26x_~T6t<_?>{!HA9NGu7xoHq=ysRLb=c19P&^+(!euZ#Cie*_VrS|~# z16J}3rc-VHk@Rb^{RBJpdL({Ow1)z#u*_g~rEWk32h6>UP}$c~zhOb-#6k5Sm(g8L zj<95gS={)JYMn(~3>*Lxjayi7!{r`Z3<2=H`@=;R%Pc*wPcw`#7bzfnN;nB#BoN(b zX)HiccqcG5*{?iXwt)pc+WU9$m&*3x%HSaj-t=FA{_cUYuW*>tWo6NB&?_IQh!Z|d zk>~l*2N3Jr?b+ekZISK}A0Kd}eZ&VW_e8qF;a}$2z7=jT=#MNjH*o462o+!Aa&xc` zWS!zZ1t}*w?pWmSFy{|c$Mcrjg4Or450Gl}89XUldk_z7IRZYrqV8h5K*T3^RC)3f zCV^MQwvemA<%9=ly=&b8xSd|n%pq#Dw%A{Gutu%mEw=y^66AqHJKh82fwT^|IcUv$ zH)tcIVYSdKAJ~So=qOFz{u87?{6E=KB(>B~;}b_*rKb1SMmDET^f7Z-Ogd{X1+){FwWzGBpbT6EWzy2$%yZ=A->>q}tl2It0ajUXK&%G{ z>p$Trtg-6~L^IDnh+luWCJrWHo_P%UK|m!p?amU@#{x{czi1Ggu+1JxmdNFb5{MSs zu@C9FOr_;>M*2CCGJ|>J(SDvg3$t9uM2)-ytQf=1*`tGRfinN&vMGzv@FaLDgJqE+ zhMyf%uPcDkihiagj^@cT+50S*I;28{cDDnBH@32QIXRWI2CETa3&5Xn>qGEfWRO-U zr(lR_Ks1YAfmU{fL+3x#b4-Yu&6jLxUbWssUa!zztg?VsSj2L}V+Vq;sKfG&nUj(WcYL_!`^my^@M7l$Geaf@4xzY#meRkulHOuyOOn|mvU-c z!ls^Q0g+#)uNj!zuKs7`dQ(OU(%k$H9{xxo6Pky9y6zZmXNO?*nsC65+zVCHybk?Y zCd$W~jpo&X`6;?RrEoVKnFlA@Et)yH!i8&{LHpdT-e8P%glm-Uw4;9J=t+`>&I-;H z=+X)`@17@<+;_Wq1n<-y|7;E(NE@vgypoqGDWZbV(G$A~#LoHyMn)gw`x{}BgBlhkF!G)1_hc+H1NEs zJlw26zAa0HUyQl+*%87w=`u6u`gh1>uKAU&4fLAecGHnyJ0S5=w`lFRlvgxfrxp1- z@oB>1J-erd$i(*TBjQ_0))+xd!x$->Rf^jsDL?AM9U1HL8?^!tab6jI@ne%wi2zgg zE>=E#vYxq6iNVPEB->o;w@AsTeAhZwo1ZTK!Y135%YmWbRjh_Ij%NFIB_E&r0pwV9 z6%AIt+=gh$_<%BR2Ua7-?WbJ z^xh{jzufza2;EVN8(sI<_zSB|Z+q1Cj?$}K2lO?*cSQdO+w=Wjr7vi@^(VQPx0JC3 z_-M)f!BjAvH9K5?E>rTS(H|`^sk7pZ}#ZO3x18w)%Jby`t9j9z_p*6 z#TAfx8)tZI14#Wm`@SRz?iz#2~vfPZBV&9j0AS#&o;jgXR)4GN9j)XMR%98&^{Lk z9!j-2uxUw6(9<=N^n5hMG*#jG1_P?);u(8Q-y?ISGFj?8lYd2pmd1|Z&frdaUTw~( zA`Rw=123!TNi05a{;b4pp1$hF^0cf(1?|F{4w=UT4fiKPoLJy9G7~>fv620vN<&Br zQ|8^B%g(MiEKJ!;U1KYOiY{=vL4~IWX?H|R*nrU<{H#vJ0r*joem<()iG|;I74~sFoF^3+MQL)zBb!sl22oOQ&c}{Fsdn`8bM)YJ0*bh zwfyrjpusvo>KDf2@pb;H@h^s^2ovQbeZ|W5na+$ihT56S9547Ij5g!0u~Yn^bmq7d zI7OZyDkq_m#`wHSK{D6hGK*_v%33n@4XiAGxx=s<6U>vAKt+HB2@Q|3`RqAhnIQ%$ z;S*5^CTwfX6Oc}JeD6f!lv#Que*WR32diRAPT3SmTYTxgTb=O+c7DVkc&U_q%jhI) z6)^Du3*EpAi}F$`hyJrBdS{GGQuDKDTSeJk0q)3>9jo?BT8@%VF4AQ;-*>&Y2NiXGX-%`E5qN?nJ`Tkaq99Wn z@+luquyXH3MGYp^vY^`v(<$iVzoM~d!zBkiNxYXQE6KmRH^DfIipu-As-m{@c8Z zv6X`gb_q(BHQC*)qzmO9q@2UXTo?>8#n8vUL-MeE^RuUP93=(wN(?izr?f9tVkNq< zCnqd0&d!c+oe(+wO7t(D!_y}lT8VIFTBTgL^NeRT#q%O0E+qJE@@DVw<-=@${}qeQ zK|Cb0Lv4M5aex&+x_)Q8eE%;JP`J;Ir^3Gm^;zhD&2|4js0bwgO?vq+qKk^dzudY1 zP!&|QSnWRc9pv)5mHa_cmRN7X*r~UnU`w|1e zsKFLx=7Woa3!3%UZ)^vSBr#MO2oEr@clt7)EQ=OsZf}bJXUa7EW4O4QY|VI8Qka)0hh7 z!E3pVcm8JBQsUOW_V@KkJOdk!SJjoZK2n^^W>(TD%gjqyg_v(zXq|YVHl@(W9;q3ZyM+PIEC59q#B^ z7K^Q16XM!2-e_K|9`Ws2Q4oL$G=dnypHV(8w{TCF%iih4phJz@~CIB~`#)rcaR7E*w8Et)8hsfE*fMw<4d)y8ubP zrvEV^=fy8cr(2fZgcwVy23w4-6TW|Ot{7jXDS>&x*Jo{yow! z*^|IUnuGA}w`DwvXz+n%tirGladEN7duE6+js`(F*N({ifiT>IPSQ01)76)b5d`~_ zL9FDLF(d?|W@nb@rt`xtO+E53;npB0y(VHs{$fzu@yW00nJ3)f=#?CfvVy-Kekfh0 zGeU_3r3dD*9Fp7eeC4>8d8ZPj$3;M(n(<)i!CNPcXny3ZV$kggKU3y=N}B>3)Q%-K zQlvH%9(`{#l4_DR!&)<9t;0yEIpT@5L_S=hGX@s^?j_`Hm)c4S&m`gv+46j%?NLk( z`v_+>>Tf5jM4VLk;4jGkEQR*baLlrzfPn1&6S4orS^j?%D*t!S$p4g||3fbR&mNLS z4OmZYm9%dk=I5y_X`77EhIuw?NhxzFCW+7@mc*_MJ~PX=n$vax=27Xnyiw`Qv9fG?{5r^e@m%?Qb9VX186f!8`e7|zg0Q{Ig8xF?Say^KZ

i zgLVXNm#tp^aK-vQ93}vJA^uvA;V+~2dD=(g*L~b)<(GZAZ6mM+r#PTT-%_3?LI9&f zrNoGFUDQ)I0F=EfL=<6uSg01afNhA6E$TrTB}O(C_R;^bp-2K<5Fd$W1YM{=k&dek zM^=>@rrf%ycgRy&&vRLtV3NFQnfTzIf9i4JO6 z8);L-o<(um3r4GkmaMqqF7}=d(*%p{Cd+s%{`#0klPXJ&f!j2)weio+FEi5sNwY7; zuBHk`@K2)J5ekYIwo>Zq(VQFKPln&@TXGUW8tZ`eH+e>XxQ!4F4H zhv8R}UW!ChlKv_6P};Ns$zTk*h$5Zy-zlq^j8^^|AI)lGBtC;`qu%hvpBZ?%7C)Fw zlh;o{FExmq6&7vA7AHv;Q_dW6qgLManPvyqxVJ@I?=THWfRQ5Y!Ty{Ek} zuvT9E7e9w~>KGnP5t-i;{)u<1Yb@TSo<##kz0}MRs#@WAD&^!nxA*$7li8;nn$+)7 z$Zj+*8E|_*b8OE?Aj~@Mb~AZ#n4DrJ z%2uE%A#@8Lt=@z$-|puGAsRtpRF*b*l(KebJi3tQAYC2wS5e$Ds6@l^W}D);nIi&IXm*`6e@wvDTU6` ziL|j28((-KA;1Ji)xFUkFP`lUwTHGPXmmWevT%fLl}`PGolGQyc1Biqlpk zHdV@Moz$9Dm}EOG91U`%QBw7IHdU+pdYMKh!Ce$&HPJ9M>cZyRbB-{Nwkhar`StK5!+ z)EwKq@=`pX*3%dt&N2!e(@7DmwPvk#0_}TkO6wty@lP!Tif&79kFuUWc}(_vSU=G_ zc!>tbqYkCmY0+q2wd&(()k^E>+&uv4D5GX?0z}z*T`ZNh-Ie}1j z1!BnpO$D&uKd`)10@P`!^$ui2}KvnnGhJeLNAJA;OPP@S0< z94s%H8|N}z5^ZL|j{TW(9J>JwXeWN^ye`KM@q?r4@&QkidMSL zkWlRyT?G#Ach!>@9tN>hOtiWT;4y0>FObZ5Lr{SH51%iObn&j9WS9F@_2z@<-F-D9(+JOwo zdcTvAWti#I=5W(i0&E1PQORbRgU0$fJrFSZtv(SFMezNmxqp5OwN84z^;6Ldvr-_RRhkt_2hJ!_D_vxt3HH@hJ21j}B}DO@yL` z)W_Ig3xKJb+=n@`gRlotGt<5vr7Zu`{bNf{q&9pG!ncD{K3JOV9USU-#>{e%UL}BvxRO#1r<>8_6=2Q|EO$C`zHB<&iiEsE{a*ATPn<$)Ifv%CVt2%ez;JLQp$cn zSV&>Kpds(jjOGezFSt4!h&YyL0`)=H#SCDO{?2H;OmX!jKm8Fylyvn!88 zBY3rh5JNbO_MFF3zX*#*Z%^CW!)jFwPnhmbRClY$-WFZEZ0*F+fhL>H7+mL9Asm>n z_JPt_2pbfVmnj*we{ z(UEk9P^>{RpGG4iyx#4kNFcORK&l3+hBnsDRT1&I(#dkyow?`GE#EXL4=Nf_WdMiE zCG>*Ta$}w|h?Vx)QkKBy)4o}%sq`Doa`(v*`eU`^v4oyj(yTx?r!7cS%pP8Y2XB^v zYLY_r@5eRJ%xn1yxUOlsR5`%Wr|a7U_yP{+*??eexLvQ-wGyGD?Cl*HaWeU%q0??# z+?}MgbDok@M_%iK2LHs2NIyZ$Q3ux~7Yt=UZ#swO7*etVhm@#c6?m;ARjsOEi}cVA z04t&sc?c9M=G+*}CZ5WDK^Qkqf`e?)Rhw+z1Av}w%=(!oJxFk9Rcn+EZqyc;fR#C! zxC*GUiVb!4g~gpm;07^8v>;$N;^m77F&n9&={vr8W;xVgg32jrKK9zk!zC4YeX)Ak z8qkJC)OrEK`*5Oh!)xwHsVAySk3MeS-r4QpVA!;J6anz~8={FO2$P*S@)uMX^KQjhQ1H1sR34)i;^>8$=0w}gE5 zDm?%05!>NwPu|1oKHE3oy}Rncr69WX9TO zXY}D0t+H4$NW!PRglVL}`8_IEp!L#rGu_8OqiL&`pLq}2q4#S2nw99d!-Z8Uh@6stcmUOuG zq2iSaS>cO_TW?fWd4v>`JY~;HwGDDLh;uG@5P5KLF1iwVd{6=chb!rlS2{z=sp+|H zIqF1GpJIWN)ux~S43h3Itm>)e&Os6VcXoh$$I|i zq8LN5&7IX@(9uCi)h)s!VkheCYN3Ydj&WPY%@KWQUC7pa6yUTMQ-aCUgHd9xG%k`) zd>}460Nb9n%4B!m4RSv)SM{DDTn%^`0rDI)Vd z@S4v&W&v;b4&B+{@6$AMX2_|vVsA;LqRwDsr{HvC6IFr(nq8OVwR}eOM2+!Pwl*HK zq1a0JIdQdPG;SH}d-VPu8GnvSaEd=vZMcIh#W2oOh!~0cE5K)<>)fMqsU5$z=pg_d| E@XQSGPDT4p^PzZ2vXo@i47_pcVH_-?wDvz zONN-TqB@GbnaMiH)@qEM@L`C4{_d~gwrbBX<#OfbVuGA9Zi&ZIzGn45RYT{pfVoYh zGgI4ygonN({RyKX#dE-zgCFOJlZiXk1+ljnvy=Y(A;LRgqPRkib)Jw|KPMP%u8>Eb zJh`N`7TBkv@cUI<1yFn}sN@MpH=@FDXz@gO-LLM(Uq1wenN3iL@i&0075#WLL>-$y z@(_U6|KM(w7*oTu<;#1gV01{l?Xo8Z zz!*RH!$Y3aQ+;65LG!#L2MBFF(}f=MLQa0yU_l(mbrU!mN75kvq{+xhmOA*eN{T2U z$Vc9J=QcW`(5i`_jB^+PXy^IuGR|0qxwE`~hlZ7CYyYM58vh|LJHmWt{K{J9lbnDb zSM3gVmr73Z_gQ&#KfCNMutYWcfn}7PH{x)eOB3-vIBn4R17&|U#?&qCD>|EZ82u@S zle$24=^ni%qOC$7{)NtzjI}{vIF zyQ%ZgPYC8fiJC_hW%poLQNz?I4;f4Y4N7hD@DFSYdUuEd(@1flf3DSy_HIVABbI6)6!ZA6$A6knpc)Wg5o=y1h)?>9(%GO$kiE3A3joC8BLik*M zlLzZ_io@cYn#0EHFKxVkfu@R|vDf{{1Pp^;u_A9`%AYZ}vTiVycPve#%qv9vqpWL{ z($o9{-KR$DSLI7(P+Jbbd+{$MZ|%J<6Eqio`oxZE-XzN$7>xIDjBaJX?Yym*RFazH zmSWDR&Z*pUJD7QqkJ^jNbKPe`^VY^bb?a+=>m)|&)3XQ}UVwt*?k)IfOA-WyCnqZ3 zvn=_UPlVJ~u0N@F#*OmqH+l9Eq*Dy_O^N%YgAc@i=H>oTasR_#LjQlm%Nf}^Ff;#e zu8?Vo@x`%!$pT4mARxm3_i%FV!nO|o(-ZRFl?f>|B{kG9gy$`7WPec>LO!abCP9*7 z-=aezDnv^vdK^g+i^q6vK|;iiR%JVriUb6%i`)V_@+^j#Myj^AH_%V`PiQ=sowM@K zsn!(CB$Y<4kyejuho`M=@2rIF@7Ggykl|YFKAXrOhUhRtIO#Ftv4PiL-2~&LDiP*x zM+v`7Zpy-76*;I=A^>R#r&mFUcFT7-fne9|I*S%$6oytSIC%~|G_xI5S__mVbWzAn^~zHe2P>#l$;Rbc%w-vX}N1b+FNv*5QnE}rK#WA5T!j8 z!lNHWcLvL!)g<+FPP!QOa;7S~rde~Os-qvopMrq894)!qssvgUruYycqAu29?5gHa z^I|A*&G?o10K(!Zn8T3FU4yKct-cY@Op0v%I2beL91A+}Gi%4QD^V9;VU0-cgt@@d z++%Rko<+Ja&CgPHs<9a^orQy)#1H5Gyh`TAX>3L{k{k0)zOg6vC#Exnil)=tQ(C6v zjMAoyG#P7Tz({IMzq$ZhByVW2J%|igK=snv+!c<#qyHhC%_87;B*K6U%~({Xz{Q}a z>ys!0)nalVM}!gP_(%ptpn@=EB$_tsZlD5W1lJAKCWYOLeKqO58@J`59QW#?zm6j{ zD{`v)B=NwUb9buy*t?C+C_p*>j87)v{Ghbs0u9fH62fomQqV^xN*hNN^jK$!;W`1pwdB>&)G)1Ae$UGMyJz)4-i$=~{>VhD!hRffOm@_LJ+<{+ zIYFdce*rZPHzF~V;c4P>e>$%~91^X}j6FMGYD<5L4VYX}8@xV_agb4?3^Z z#V&9yKHi2Ed^Xs5`;^u{CH*!>e_|-w;u#6^c|-@yh>VL(f9RFjyGZ$5eDdseAqyr` zwERbje8_yHO(;SzB|(`#s+vG91$3a7Y%F6RFi#X!Ou{_zj_sDHQb7)kAO9Wc&Bbg- z%(66UQ%rta_#`?JdHs12CwPsJD9&7EWU6-n^VEKfEVd_65dG=meU$5HvC5vl2)g6E zAeETUt$b8S{{#nZIJh>?n&ZxjeN~*7uXz1e%X5c9%Mi!1c(szVj)?sR zvkF#_Djd~7JXhJT<=T5$q!Q6ioeS+SS8+748lND~98bONIaPtDx{wO77?HG(EH6=Q zOSX?-Lf{ISv&f@KlYalc!Xx%RWXXq=$r1I`t4#DJZIJy;y=E_Fgl^%ngo`Es%wx*0uh7Oo5t-|OU~zQ zmwejoF&39?m78K-G|Acbo80Uv3>pE!+nvvz)%Ty>4pYzHQ|uiN?Y23f3@MR>TsMGU z`~xw7xQOn!<(R|A@QyE4-D=2NmzS{M9LU?}7by5|%pQJ-TkJ2+P@WFDD1KN#vk&y% z-&@;1AKcz_)$D~Y#Vj9!h0S0u#vq%ZA7UW3P&YZCA5^}7p>AYBy5p|C(RzHKZusZE zeMAmzMcmc;J?^~zvUn0!eG^7Opu)1``IoJBUm1+z|J6I~0!~s}}GD zU@=1cNGyrN9}vW9iX9R+>=K6ng^|dUhuH;*O6ucqLmRC~y%g9FXo)6c0HKO&Q;Zt( zHyawqPJ*&ef+!R$2>Sy}7?bz|Ou0TFWE0GOwwKcvY!=ePuQ7g2i2Fbj{4x zc4Mb4+bn-xeDSHs@OI7Fw8ubju>2^w_>wwf4V#^%+SYb!p`p`U=RKHqT`PU5(&n#F zu1R0&kWrts7|N;4tZUBhA!eHBjnmLX#?MCr#)352;(Q%Z>p9@1bh?vMBXz=2xluWJ zy6)&cmM?!+xN}WD?)=G47Y&KaB~uj3p9p8?Vz_ydNb6Qccc}(8d>O|@Hu5>ql(@Y( zPAP*h^|tJqy1N8FGaH+#wE=32gV{j;Wc5Dv<>mT$@kTM1aFu@A(P%SIB^I=VI-OG~ zmtoAcxfo_A8XFeUxiW9vfz(8#OGheoYvaWx$ft7SsH9oP#GSbG4IQDZJC_mz^M2N` zzpa&jk{OC=Cx@(}p4JG-9kEWAPO{bI{>D7W3VTGN6VE1Hk-1V=tTei95X}o>s%ae7 zIcjmCNjWkO@yu_QmEP^~F}dia%#&uyL{ux$k}(^$buZUIX&9KGR~#h71h2P9O&Gmz z8#z)d=Y?Nzb$VtK>HCq6?X`511%*sw|EM;@bsP2j+Ru?zS#!fsP)Pt%O zMFg`?t0p4Ss0}Gq`irLy<9jKJNK2F?U@#G>8&Z~1BcC5hXttw_qmo${wk*Ta%xfuZ zv$tFux>ZCn|K;MFh>&nkp3%RzIcHUfSYaOD2F?s(N6<$quw~5GVSvo?{*U~N}g%-an@^~H%zSLXj@!$SGoc&XfWl@-|4X16}cJ8!o+qP}nwr$&1 zrES})%B*zOm)+-&^GA1I^@&)BeX}lB#D3R|ImUR#)plJU9uq$EdQ;bFXKXGs=(IGK z6Az6V??#Yuw~t~hhuCsHLJ0@H3HuQi>X@INUif7FfxlHq+uSJ-!LC2r>>8C(vfkg< zaHaV6_nfvGmBqa~&4|mT@sl}RYV&#~xe^PD-U0f}j4MSSHFlrcnr-xxp-gI1Tec~z ztXbJRX%5j@%?LI8_$>C*E}G}eO(Ypnl`nN>vT;@B;dad`Pp|I_`a^B8%(!$Q8*6+8P~A-x-n`ZuHMpDth%OQLb5ck z?4i9IsJ6!t*;a)nP1dSaw4zLv@%pt@e%i7t-v4IRGE5$RDn${Nf3~# zCGIKkJzxXXwJVlaTlFh!EJ0O*=a!rQHi>Kph|!?mVj?U&YVAyLZWOU*Rf$x@xu(hI zIErHQYE9(TA|b*c%$CdK)tAwOA&n!RCxrKF<}zQ->~FjJqC$#x$0PPn_SPON_Y!zK zvbSj(OvE%V-A`Tn{Jt8S<=!3KWs=->`>Z0;ak9eQ-1HLR$@&v5v&`(9qiyY)i_BZY zfIKgDlLXv$vV>;#O3vc@MoG?@=Y9Zn?w3(+5m^Ti4bZ&9Y} zrCLw99Z{M4m)R?l>ADzy#!GD%+nL8fu|k|}>r$y;X8~=;|12W1Rlny&T40guk?_6H z#L|9rW5Ih)hDp$lDQj_yA-sE0Zqw4AH64W4HA|Cpf3S2)=q0G@tA%BDW2VrObxXcJ zO9sT1mg^>Ce64RFKzPQNOC=g+!JXV^TWRglZMEs+iw5NB>P&WfYk^h&y&gU;>auqc?F0Kp|=%-h-6wkgFaDK|P-`n!RG zodeG!LUX{yPVrNh7CRU2KdIuE2A}+Vj>YjJc6`>TyVqV?x}g#OSDei?O{ssyYkYQ6 zwW6CUIsrRZwlW8E#bzy-e0eVoU~2-%Jte#*;Q$+&8>)k6L%S-=vXN^~-J0%@k zq&1*?D>hA;o6^*vtCMYIud(RWbC$?Ux&@@x`5-imNb z)HbIcv%djOB|DIm0+}x%1HMp87JPaXkO#FhDp&r(3-O9?;*H1^0@D^=&PQxr zbCinlB(;zy#=>?i4ATN{Oe3~4kz8*&x<=2POsBz>hca=ZwLgxEPE1f}IyrR8HQrJY zuu|yjK9jZFBBkPw>!s%{;HZr#hc}*)IvHSsQ?-fXAc?dFOX#5oM1nNsuA6Z=6%Qe~ zH;oxrny=5AT-O?ZzzONyYTCDf{0dEg0pAMwk|s=ZKE>xWG|3+`U~b@Geatk8$5TsF z_I9h;T$4$T+7iKxWdAzC`E9(ogfm%% zJ*v6LR-bLB$co079&=}iN@ystGs)07-F9beu{-t(`bm8Kw*)DmbWJWfe!Jz1D}y|| zeaiN`TZIZ?x8Ff(6Zs@`0x|UsA(F|caHb(NIS3#JT?T4a6qr1v2}50#TQ(_OZD`e% zOo0$e3D2otBd%zd%KQp9e#rlKe7G2`c9iye-)nHmfh0dB;6r ztMWsQ+7aQH3JL1uH=%|v=`B^B)^WpDUylO-Z)04^P!zgSoFF&zS1C7Y9kH6~@pXe_?7L^v+ z#z;K`-r+gV)Q}hE)I%rsp#sM*RLfzFOrZReJonU*H|o28YI{-!CK`OR@jT_S%64@# zPItSuDAQe#cIVc3Fafm}h66Ck2N6_@j*Lo;iNRi<6Mb*?W<3FMFp!3|r~bhHSlRbf zzI!GJmbCT-ZezEaxPh{V5fzSIH(4K>Y3XY|w@CSAyo&Bv$0Xm8Fbw&I|GsV|kf&4~ zTlGv!mGhBb*=_h|UB7rU<_#$fdPsaJaibr!;*rgrK6wjc6|HcE98ZT`5XyR8gFXve z1`JNkvHR1sv36Pi_7rCc=~A3t_Rk3mzbrZLALCKT*vu6(=uSPeqy@Oxd{!uW(dinm z;|U3Q2G^|8)}4xk=ghVu_!d}v%7p(x@i~UXdty8Wd?prsM^1iC+`MUI{x%qEd#$o@ z$|`(u{2~_9LD!txF5cGH1^zroIvdLqAx4jTafx8$mdUl@VWT=jltWe=;krf-nKzbDhqekXdD} zWfW51Onqir5@bI|BsY;;7y3sk{SPYi`aS8zG?8fW75-Z$m=$0F2c$n@w;(;YtQfzu zF~TMgjhSC`0Fh;f-ZJ{*zlqW<9ND_g-HNawhQu9a+l@DDoljm9noz>MKZGRhaFIp*IxBJ0n_xL{!5mtMC+5z~%o~{YK3u8xT&)C|Bl} zD?#awt+wQ5Q#wVWOi8h9eCmYpBxD1$2n@w9TQR$Qq}+6uxT9&aDQwdD;XWZMRUOvg z^sf!idtuix1J%F~_*-$Rzx-?Mi}{;`%Xm-NHJi{-bMOwq5x~L|RyoUN>!WO^4ukDT zWm+RgOdKQJYsQf6febYpQgB8p39Bdws|SYS3`JIoqDP#_8zC5PA#pVw?y99;Iv*Rl z!hy1+7VFAJsy8B;BO#dG33b^bPx8%Dk2$4#&F_eVU=qx&c125ud#>6W%(7pSIb;_n zu~My0px$+mwshB_;uilmd+k@_N_4#tLmRQ^Eme6ORhe9-bdHk5x-qid5Y5)Olf&ub zvu%!X`=kqv3YA$eCEA{tu7#9v<65_K(=dMsnGWY6UL*1AoDp|{kje1*ppqNo3)V@j zm=eye?fLh-X3Pm~V0(zhpp64}%p-CGREQ?|IZU45veJooudlzl*k|&G#v|XL8?>QE z?OEvsNX|?p*D+=svV(bGz-u7*j?1i(s&_{Edaf7VvGtVA)O9V|2?HWN-@o=Nos##f zc;3`V+oKkc70@`8UlnB0m6$4?W@@Iz>F-Pl_CtWJS@qoz`jrIyR?MG`B=1Z1r{xMq z%3Blht~_irLV{wR2ejR&W7mbQjnkGsdY`)kol0_i({XMqgPVLOl51>q1@$W)g06GL zvA=%<0-Kpt<{u~j07w4;;ViRE$b5p@Kl+@Mj*z(xJrC5pXZkH)l2zq-8)zoRpg%T- zLET<`#Lb}3XQ&k)bKT`R8+bY@h7v9#ZvwjB)|QSg?|sjV_H#4Oh86z|u}pwVMQ89a zP-Dkmo%^t|gHoQBdm!J(bP0dzf}%o)L+>cTh>jJ7%3ah|Q%Vvnk;9ZPf54SQz0 z1es(C8BBn@htpzenwsEDRQ-dcaY1+WdN}vVK&^1|6;JwP9B~YXP3Ul$J%sZhp&jw# zhzUx?Gxy*QJ1an1pk*O zWS)C@zNKQ`^aIXt+YzXt%k?5Ye!vkSTEkA-1*(_L86)Ud7HKYJ!MK~wl>Cvmn3)m( zUFb%5W@Ttg_wAYkArF}~1TtS#9wVNH%d8Ooy%tB(zuc%#c!W@nEc?A-*?01@1s>TG zhd*<#=qhs!i?Rbw$qBms`1r~v%o)(vAHJK8%N>$^bA4S*E>6Gx0lmTF=+hj94>-@T znwn6=9RBsCx?W_lyY~ zGCn{az#?W-VadhvXT9dcKF-+^%hf3G-|X;sob9>H^|c5D1bo97f_DsKg=2`LR0&l| z{vj_hq>cq@MN02leM^Qvr0G~kJ9&LZ2d{wxo@b_E#1fD384oJrh&7jEWaVoy=k^LO zv{C2XCbk%{*50%0Za{~b)Ps2I*JHyLk5*syUD;#InTuDlS0qz!r4=_>cckmT2QzE1 z?}E!2i|%U}08FDx+jF(sxBl2{jX%U&thu{oG{t9E>@*s->=`Nq8h4Wo8@1}V%db($ z)eWJ%+$UXX&Et$@W!#xxN^(2jn(bJj0QGM84jC_%+Wc>!t{eKhuAIC5Cc}-`;nD-1JqN62LIx8V~=44ZZp#{8|F8=j2UW(Y+j;cVdRYCD5+=%kUA zktD-Ri!%T8x2;vVIt~yDX1k-^PTrb_m@=~-WmKL(P~beiTS{oong)?BZ-WyhUE=@p z!9tu4TDH#Kp2Hn$6TiJgi15ysrx{6I6Ds^0h8gNW$ z0+JH=Anuqi?ZPO%2lU1okFb%x0s6-P$KS;68N$S9KVwp0yvxI4K`5!2 z>OfVX4|IYlEGBQMfrq>Kk`PK#;__Z`AL<-;g&rZP=pKnVUa;O5O3WWti@O-FO2#<* z0YGM)Rehz0(qe(q(iv(M#4To#63RoHIXSezsH@E6k@1cC?b*%EE9lORvKuK&dDXvH zWKn^uWs4%g*EffJ0|RfHMph3^a_palfE;ze7^Baki`*3x0Q6rKvwB&vpWG%8&^6!x zrWxYL$^L);C;vm>;(_-30eYSHdj0TyXJi1_nS+U;KZP@bqk^hLNo1l?rau=@ZNQ{# za?sD#r7TioW!s`LCc0gX=5_Fj$Xf>eO>Q&R{~BUHeBpd9CX5dTa^NGv$aoX3I-0V1p_o$EnWr{d5u zp5X*ZzV6B3m}c$4C4%G#3HZFDAVmJNqcl<^y9W`4O=0an|F?Vzx)f>}6B$gJTp*R|M zE<-MNmIxyP65c1y2jf`4Zf3NTd2xmS`=cYY!^X@A#`n(Epv+^;XIGp6La&*Uw#BW&EDWV zuG%NX^^MNwqn)@TDrukAP#(?RQK0>e`XdjGt;(Z1`asQ*9nD_-0Y1!LRH0hf$dIL2 zh5!ca_=SrYSvv{Zb|=NzJroJ&(a`MU?>)!D7=D%S6jYjeWGF$Px0XD)stW>P%J;5fiY&SPr%yRb;B3SVd7JWt@ zkP7?|dp7;D5>k6Bd%(^<`sKnoa;e)X*|?;S51l04l%6Q+kQ*K5?{d#l_#vEx_w5Va z_Do=hZM5jHBWCtyrOa@m5Ysp|fHTO*0!{J)Bkp;ZrgYk&r|a~Rvao?b`hjN>o&jep zYaOxtdClVslsYvwb*D_wHd=2C06xq9^sL=bfmf7SbZED9FI-V#gYZ6Xsfs2+X)h{o zx@enKfdmbCX+Ao%wKXaPS4%fXK$p0&4oYlHOT_lax$9*UMvfK3O&xd3gagO^^tv5v zj2brmlq3Z#*Vw+M*t@+iGL(8VzM0=S3bi&G3=Ast{_FggH-sFk_^)^IBxsvrOmcR> zX{p?ea2tugWe$m6->&!#i3YJdsN68pX|I=U*I$|9B2UGF=CeB5^U4ZrDp3Zd2cK42 z;7VY}QanjMBtl2I`KnVC0A>!1ldHsHF(sWa4$JB2a6#mGSP+M5UI zB_~BFmIfLzPFH2a+soe$RmfGdX>bvaL+8U50R5qhZWFF|ye5U%=f6*)#g7}&M5}N^ zfk|k9UkcY&m;v>L2XYbRS${U~Br0}T+m;5bY71H-t~*^3J#d`YgfPzi&VG^TB2Wtv z;r8FPa0B3wONMjY3@S0aL{$Yq(2NeDN14+|+JN<)Nuarq(OXbp?ci}5X4s#>$Wk?n z6{7`u6rT!J`1J=@;I1TX^~4PmL4$LkjgVijek}WooZJALIa3PB{yE80ZC}|+J%8uV zs7@ToOk(rxxbAQO_@8_YHMKFuyT6L87!6oD=B1ZO-@S&eVU;m}*gyr;IB&6{uvpib z%Wwcl5owWPesimR6eXRDG_h|}QYDYMkIGSWzYAH2l04)7a9MF$yR)?mOuDSo*tjGk zJuuB^m{NXVQ|s@Dk~)@+_NIK0C#Y@;zDP9*?#^Viw`oZ&-ra@mMdVm)f;!A`7v+^2 z>KYMPq0+%&dT=KMO5{6(?1qc+7-=G=v)B@F&4RIQ+uF3nzxpKRFa5&^M+_nLs%yl2WZR1@jaf znOKZhbOWrh@;o|+B04610yYhPb&ms#M^~dvEZlMy4%9lsaGK_ef<-cuMLCG?nOY){ zdQCiHt*DaM#$DkiGsO_9V6UmkcvgpIR?!P2w8Y(JUHOMmCq(1Kk?m5n3V#>V*g7*_ z^TnzZoSlfIR4eV&iD%{?xtbjgS^}31;XagyM?6Y}W|h3xUtPhtzxGTODh4C$ za|?@7I?M}YMhp&WaK2FtmLJ@Fp2e0;rB-ktX?s8 zWV3Y(54T@!^55Z4CtuGx!w1H!S{EvZGz@#f?;YWMFas(MeK=8#?kY#uL*sg};8vc& zO1P_(n$EMSC7+gAB}i3+0v+ZW-mxlEZ< zr$1w_C}fJx%J|QnRqPk@Nijxu7S*G64Er2(pDW|v8!<<31<-*rs)7 z?+gVDv@0k`P;Y3O^E4N>s&=vAAH;jEZsKa2J&_9v_@?A^c?RooEwD2A?PiUz5kO2U z;ABlhy|&7s(z;rAptxFJSBIm)!LrssG*sbM8+f1*wLHrQg&yA;;$X~asQj_m&tS%C7f(8)G7i`O0eONse3R$}c*@vQD)BA70AZ!J^l$@~;wA{@|{*qy8Q zjYS?M=;)&N;dE0JeXc_41kQN(>@il&J-i}%F>3dYmgX>-=k%7|b4T3Bff!t}#Q`*z4bo*vXjulX?vFMtk|=I-DN{?}MXAdThCSOw z;Dw|sW2;}Y-cAT0ALev|+L;C8Gh&o|+JYEzku;b|KJX>HB@C33LCa{-e6Gx5>V=hm zq#VDZYS{~{ znk&kZj76U8|f8BpNX!O$B3qt{Bvgapj!KJb=5*= zu^K&VhEbgPm?hL(!wBi-BFR@oZxnne7| z9wR(MBzz*9Fmy*mbc@8*m7&whH*&5c;>Ll|>c*(~Ou`VSi5nJzh!8@m`J)i;uLwSz z5FcJ3+XH89SV&|3d#Ac5NcREL(_o6Q0PUq_3~>fg$0BmYkaH1XmLomtdlO8@>az*C zU5k2URY;sLEy^MyRGnsMugjo28U++r00{OcFNliL2UP7p(oAGzi{$~KBc+#i5btI} zsq~NJ-kUBk#uQn`?Et#cT)4NG)Wn1&Ue{kFBO|wD`&C)0qZFjCZXh5%Q3ruh2Mk-Y z#aW~`L&`_oC9E2je6#`?pEMJs_aT?`xZfm|48^N-fXfLfGeB4dwJ04wARs(J1!9a+4lb@$XC4`p$p#y~MW)#W*ixYi{M7ZlhMX?`FfJIT?vh=G~sicX(kyWSpI{P%Y zyRc9QD>VQT`pYl#EWnTCq6!NLvd2F&ve zlM9mkDyq-$maw0lb#>eFQyX^GTJ%$!R@EBDrA>>(Isp#uVq+560ED?AYU;t;LM)~r zBl`$~ZcgC^uAG>zP3fEe@$37H%~SdL-umS&yys6b%Re%h+8vyd%<9Q+U>|Udn7;P` z+abh3ba0Wz&dqa%bn3qRdX^f6sE}MCNU1(&inr@{%%gwfG8|&bRDZY#x~tp^h7r*!(Xpj0^E$QY7KOB$EMX9 z9P)Y@+IF9tP)p3*TQxp+=IaMedte;_x}UI?*bJ8+LBzzQCToLv5sucQHRQ|C=Fz5d z?bBx2_o3g{$T}*jdE%y^7}CLRp`n26xDPSreMpw4KyEPNAg?b{y5fWz20z^CD^=|% zaAjF&C(ery>fH~~8cvuKOo>OWt*!C_*JRi@tl6Q`G ztiwN~+jYxHhCd17-0-k3j|{`EdP71>o-s^?^-DXt>+0SfcDL5l>3jf6V(B1s`W~a| z&E?vabI3yL(w4`?e3veLP2*XMoR-s;S?VbIWfZNA!g&)?(k6t#>O5Q3ln=Bgs@(KD zJpY0D@Ya%m*IVEAg)3jgAJLCOkp5A^y-qv&*0cgarb&fijc&|}z-MSkuW|i+k=H6J za(N+zJy?@;)GBmiNA=nXshh`$m@7zPdr^|%Kx%uj5>=(DktLFu9FZfyY7{G1gyCSJ z!w1h9R^*9`%+H3K4+g>eCdf}wBUkC5$!D29AMQ7Fo;mlSZ*@W{grB@MrL{Qqq6h!S zk{7?Z?K8o$!8e4A6yHE7t1o={0d8W1!?3Y0?A)Ni5Wp9g=Aq++@;FPL z2=beN{orFEE+Di{vOO*!i1wiY?cYBLgNcC=_|!;;al`}mPo%pd#Xm&fkbjfE;1B*8 z3OwUNKA3QdvcJJ0jL@1|G)SlZB8TlIoqWhQ4HJ-|@uk{4M4gOkE-g|aVV1efBsDv5 zoeXP{!g*T~rAy}3Br!X5wnE7#l^YkIjJYnO#!7BxCOI{3V1;MQC_heHg4Ax2ISQU>@r~iTav;tVF5G`L}g^V`!B;f!z#Bcd;MeUhvt9)Z0QoGH0IsGq**qKk$8CriJs+OF1>^lO|5Pf<9~NkR**$|M=h z(Gy67Usdy&g*&hQP!XghJ#SKJ4lD@xRx}vKensiPSP^KF6LOaNI?8}EX=NjFErDdx zqYwLDs%p~FhbmvPa%#{7i(4Vq|Z}ueYWWRP^lq=~BIPQ%AOSV_e3LUAq>CQ(fGLlwos3 z?ii>JPVOHx^|CwyWmbS>>(Vos1b!h=0ls?YrH_~S^QD-=q1tS!WPK%z%Zo@0s~tK*rn-BvHUma+LD!i zXi3UI^=ZM5DJuvbQuq&vXu%T~AJEwW;#cA4rHx45eArUlxeRD_VfZU2ms0LLsW?#? zqxRX;e@<+-L$mWGq*xooW;~PH zL%hwC!^K>Z6H%nzPS0Tn{yC4C02d>XTGIK$ubJZtOENY@@f<9(Wx5CDl)y4<(SCqG zwrw9WZ)`eHVP3adE3kMXAFO*>u6ucwRGuUL)eqU8d{(Zzz*7GOuR|aOLd8w%Vu%j3d9$*S zQpx!%*oFq(j{K>8Pnfp@E>PeyTgf{ZaB#>xARO1oJ^4Bm^N+DU<>`Ye*EYFpci{ui z!$z*Z#|{XjY^BNTkBeC`iY`gdHrJfH;^fs`EwyBKVv;`$#wAzrC-3GkER&QsvbiLq z(w*N}YmAiWs?5{o>Uk#bEVF0xAYHDoLoTxm({}G6<@(_rsLRH#%j`!3csT26ACh$U zS@NE2VukIL3ynOBVFpe|cyv$NNhh%u{yl{*F?nXjL3~!`_hnqmQ$;FGUJwiXFBf=> zSL<%#07Bc7`chi1f&ifECq~H>e%S-6$8(u44zW=!&TY$anmC#L8RCmIIUYLh$6rNc z5D8?3GptJHN7P4&C1wTDE;GWWX^QxQNiyge@pp6K=9|0A3$|_sI}5fh9qg>Mca|b8 zSMhADJ+^n8anU^;helA3lT3$K{^VSjy5`V-3|St`I^Y?Mb{NuVth2mTpz}*azk6rp ztM|YL$STL4d}HKwq>)YUoj?A2oiqM}MBCv{aquP-5Rk(En}7d5TV?;V{pUwM{_j9K zH5~_BF|_Y-8=u?RY)&hgqMa=YyIZ+qxolxzN@C23#uYiqTTyEj1{oDsuncKdA;mTaqQ?>>i?w=28WbUM+Po|-mpPAqm$R9hf`G4gxIs5n(-XAP zB1)+l>de93Og)vsMxs$S?0XtdL=F^BgCWRQMIjKcrWUHvWJmq<$D~+vY#TV}?YgX> zQ*O8yLa#1XH1Nz z;A>311}g~gX@Os;OU0*}Lx2%$bJofm1`*dhUF>n0^bwBjS(+Cb^Hqvj)2P?&o^p#Q zHw|obDW=L$QY3d@;fk_D&K;c&x&8B29~Uz9<&cfkx?YF(oKGghM8IYbT$Quo8iIXR z9cF4SW=^Wy&hHq4^Fz~#b2n`8a0hX^Wy{N%2(x&+IcVnjMgR?7h_d^(ejH}qLvHwA zmzP;cinbFfX4Tv=8=AQTHRc?3CzuK~+rr8t6EtjwXsm8KZus3syFI2`wT4A|XUYxE z+Q;+@FYJO!rU&Yg6(+tBFT*YMKEtFgg>c;bb8mosHPvA{R7aIDY(dq=xE*?iW6;p+ zes>h{ZbiRZ-@HxGk94=2B6d*-(YptHS3&`KHeHg(5X9!G_*gmi)mU|Tswk;KQC}}n z9hP#K!E>y=T@^!tO$s&g2)bOgXw9GUl|f+(nO>)HVeAG}d2aqM1yrdb5-x+K%ztb; z$bu`*_pcfHNLB!#)FJ#mzd zM~GQfXEK-GC=W|hv@2oEDRP-6j+(F7jr&ZLkW^A?eBy5;i!tsky=g>Z2|{9;FHr<# zEK3xnWb~sxk;I-(=|BF1oA!e-Mi5KrR$)J*XnFTwKdGZp=O+X%C>_J6MwKA(E6nKr zwAjE79{ClyVx$eNx@_UVDPd+iQEHFaD+6kml%&7}!r%a>f0U#ebTl0zQVcn3LDHv6 zBgLVo^>Ue*^73$G(89z`4esBy4TgS*s@tqUh=7=L;59MWQ0pFw+WiH z>lW4c3fORhaYT`|@so+;Pik017AyV^oqq@Nclln%Aa<*V)%$-1eusaD^1lqNs`cE< zMI!5|)gX4g?6}->SroYZ?fd^FM=II*K^Bkxqh5(&O7z0FbHm9 zJ*h6euhs_`M=fq4s33J2OOsJqGi_0(XFF}cz)3$KTixHFJ!mtVVQLbjwzgcEiBn){ zVa|KATVYwJX8X`!u|^#y5TqcO-6|Zn4L6=c45!CggDx^}sEk8rkY@99Jdaax+x<`2 z3K(6vkDVSQn=F(_I2A>LI#cvqm#as~$Fo~9fa|}iS?A}r^J&-F0JI%Pzo12pSna>Z zUCjcVSU(A6%BgSFP1>!Y(Cdaq@!4tnA?i&r^sBDc4Ump_)dRUDQ{a|-6G*tH+iiNo z`Qhs|BOBx=yz`)~nKg%L9&6gVtLB!TbFnn5Sul5Zv#t7-?B*2lDjKuYx~t;AwOx@d z>}i^O?dIL!T~ysR2m-&?b9{yD#((`O3o%kE2CgQiv|G4VGvoXd! zyJYdqax}Mg&e|9AWdG8|G8YtHjXv1$98T(JRiJeCf>P8oEwatS=gRSYX zvyDkL0vtae9^(ja4kBZL;!EUK&2!RF0-BK*uJ#&I38MobZKv34;+ql*bv7}~LqVwz zFJY=n5noXo>d8hN?FVLrwctHsPpi!jmZ!H3Vmr`rSG87@)aAZwrjOU-|crgX9o5;%ry7ByRO+iChn0dFKz|7EPX+vcJ4qW z3zvd+lu^xfX`6#-&miOMgvb^$U$st@4`(4hb8+~pTS9N-m68cZ4N{j$L`asj0bS*;dp7DmSw%9;$FAmNEUe zc#LOk{DdpbiHwYkR)`hO^nj*alUr|##M;~Yo?9!QSymBDSGl6QTEd#w-uSCh+kSWo z%wi-Bs{qI6V^9OQR;m2&MQbQweH{e{b!F{- zO3Zw9kxPu>>Q!(ef*SxbJLWw1RNG8XqzqD2dzv;AElAgA>u*k>&R3I}+1wlZG*0zw zuWi4dI4H`k!9c!d%C0dt9jzjtnI^5|++e!pbxEe%aFYq1Mb!gMso)$%rsXbO&SBC; z3uCp@Oz$9kpc`PK2&w@VysV1Onc8m4)uBBlecqf!FMSG@N2||_FH={OBXk-nSOcPr z^oQXPJT}!%#-iJ@yS|ijH&M$Ea`3Xjf7$i?q?@wv8BzE27JGiCZYDd;ujIy$_zPJp z=pk7x_1td0i`?-{%XU-a{8SDmhTm=Fl=W0BzHocc zg_8!!ue)d^Dgn;7_7_O~)$8aWo34d0TyOL`9NaOA z!@snbejBl61~LZo470iArqSjv2aTH{Z@@k2dQtfG>&8B9hDv3Vv*G%4g=&~pz3Dw0 zW@*NbO{(TULA{z%dJXu2_7EP`M(cD}e8tLV3>|v|MNB;V2zn234D!6QjiWy7YnQC% z3eFe}-2fOzG>O%I3+{X~OkZeRE{#-*hGm#3Lo+^!$tnvdmY?<@*Warb!Qp{4%KeyWq&j#5qRQuWy7w?*h*`)fIQq5w&j0|qqT!f zg0wt@WqJh)AJmIYv_gMHwrinucf26*Zi_NGDaO4}zB1iTpuZz>*C8Em$Wic*w~3vh z*wrc&7!qS>x6UV9l+Qk9$+dt;$Jz%WZ4O1%9H&xe3L{yfA_mQ2rgK>r2S?ELzkYZC zSAS$%`;?3=jOw}`B`P6in(w8SFYzaQ)VFYzaKfNWdLVVLU@)Fo{KS$NC3WQuFEY76 ze7eBG7&x{(Kz?GHA5fZJy0lJ*JFT5sKtR}UF!N<(>9_)ztN{F~!;`9wT~~~DJ~HS6 z-VoJFZ0&`K*r|&RmHM^9@`!6`Iu(5#F@x$VV!O{kpYQT2L8$Lor*&R;bUe2iuayk` z;D^K80>`bx^v>iVmm%4i=jaZfD!wxQ*Llc93jY2F2?)rH=6`8({m*&$UnkiA@q*84 zdHLc!y9Frl^ss2hl_uG0B`X-k(l?kw6K)vF40qc$)PeRkhbaycSV{aoTCLyE+r)@F zPE@pXSs-m~3euf3(uQJ7lw=1WN#ZFl(ONCF%BfKCEs+*k2r~h{e|?#=YS*n1PN)Bi z{92s3_nx@_+Pm+&&+$9mwJ4x0hWAY<=)RQj|7lcY%Ruv%D+_oy{vv(!)QkNrvHVK$ z{A}_3j4AM!{a3v-@FrCe@Uk4RpfLJNLCt$a0piPo;0yDsL;7FI;=lLHe-{?PXXpOe z5)Rf+u!~+YybO_M4(E%Em-vsK8j1Z8kf`QaYI91P#}%iwl{c#!kmOowTFad43N;%I zPWj{AQ*St!uT|NwZ0xKIOP!j>UO4mXouY|!fmjS`U9!i{0(M7X!lNX_#!Uqo3)jVM zs>fyEB^uth|aV2p>)Xl_Wa#FvsRZZTvS!v0E851`41 z!f!=jIemg4ZfGm9@tE&#UyHxho ztfRo)4pR-xr1Bs|X;&>86EaX_BoDy2_l+T*_P& zgjR33-97{@nwIXzu0cdB+{zl-Pha)PO0!&K!fcZ;*O-`A&P%&y`3*CT**Vee+fa}c z-^0;Vfg)FKGRYJ@n%aT1H=si{(&u9VRF1G3N#$<1%n_uxwA^nJI<*0_W-(0q0mA?l zq^AQlcKv(ZxWdrK@EXB^#!TDj@sGt9bqI|Y_QT!tClzRwUcdJJp*RFe5^CDGVGZmX zyW|LLc9n8R>BMt*ZDqxX*598~tD85qMvLrt;B&2>&S6il?UI1DvB%jpO&aDY)d2F3 zJTgee2D3khP~YzhgpJ_1EMp9rcRroC@&DK?YU^^!8TMv`l$a@4q^ z`@%^=m|57819R7~$2$R1{@ZV(M9bbxeYzBei{7RCIPzjh3XZW~=CxYQwozoIJffk{ zd}%cf6YV=vI{NjTx|fcbsJEeJQVC7e!GACEOVRW3)WjEpQd|2VRn&(=bLnYBuy>76 zao9iTduT86?s#2uNUDfkI>`a1W6AAv(x=wQWU#xF?6mBe+=ePWMp~!bmbn;ZxE2od zT@$J37%Q~87&_&XtRJ;hsnkJ$O$Onn5*a3TqAnpfOMzQS{o(&=3Eoi~Vg!;MKg##gy23kYLk56nzq%cmwv^7bry(#{a$)F)P+isJ}B6 zIJMfDkBL$oA{Qz+vT6NqQTuD5WH_Kpa?}tpLL36JuGB=4r=wve*}3J67?G;k5xEj- z{ow()D3JXi-ZT7Iib#W!KyHYV&s^)!)Pys)G5L411#*I*)`d4vdmt-O{5#N^<`C=3 zBGa}@4_5P(OnJ}H__PNoPX*>*g_sx4fxMpp3C|rvj?{$WP0G^MdkMm?r-&ocDF2C! zb2$lmHsMD35Ldxdh&+e0I_~GCkIbvH;4s&Bh`jYngl?5{ar>pNEFD*zA`zO7tKPT{ zk%TJ8g-prJ5)uk1zPXxS5y*qRsy__&pz67x;=ls-kT?rnAv{^^vunkZA=~1`N*r=5 zX_K9#@$kFxoW9!KIq2$d7 zSI`x*lyIw{h8(#AxQibvK(o?olIR_|z@E^C?*xflg_7ecSscWvoDsz_E#l=7+h76Z zyNYF8lNaiF{jv&IzLfVS+Wcl4hOE}`*^A?&2T+M>G_#xP=8bUU@5j(ilX?U8a%4x) zO)+2GIgU7i_x@=k+&ZrLR#FsxSf8Yz$Y{Zp-fjejxfWw^#7U~7vEky#RS`Fm#CNyA z@fWU$U)ggWi%w~XS9qtyc_xnT@qZfUJeHYjYZtkOq?pNmOG`^)7u_2c%TUHZxblzY zaTA`AawjakWU9+;8Y$3md1Y}&fCIwdmtvbn;~X~Y3CUPN!VQ8Pi124Fw`#>!+3^>E zWM;rNshZEgyt1qrSte(;@Q6S7-Zn)Ia|=I*i39&_$rwM=6)1^r;yO$Jf2_Szuqa`) zox5!8W!tuG+qP}nwr$(CZQHi?Qg$l$Cif(HImvzOms!=by8imd=x>gxsa)^6kVR&- zHDQ1<?3@-2a$Z zzJ;K_(t1zK6bSabP(<5LhrUMFAxZ>$2ast4FapS6eC?U;PwZ{In=xY87Adj;GkR8Z z%iAm}i_Wm4Q=x8y>aFWqb%NI4l4(QE3sM`km@MP7AT4ImgNKt8i!*;}u++wTq}>D* z@SyehHe<~|L_Ls21^e&ns{3obJ=;!0!*fC-0VVF-#y*8p(lT0?j`n3VhK5*|od;oc zUr!VP;axw2>hhMpTqb4GUbC^BlT_BmWZu-R()Owq1kw}H`gEMc)T z#fIsI^$EGsPgorxC>NxJG)G|{|5ZW2y9e4bpXR)D>1L;L`0t-)UvwXQfaba(^hp?Q zqH~vouwk+1Kz@}Mc87hK6YmsmIf=}!;r8sFIB#d*lcl;Pfro*e(tL7QgbCH?!+kQ% zA!jynCkg=xu|b@9^=i5t{1P&G7TMj`ONK{^xq_<-xSZKPFA9%KWAi`mndOQU{>D-e zfBIUFm7ort=0!P zIjL*TNP=SXQ-V?`V+v0O$m7$A9{-$oyQe`Wz$Ov0Q3jBZK(%IYnM@c`9KhHAg|CRm z9aGJXPh?wvu03WHYc%i4iw)eAdMbv)oj;MpL7w*WkLBRJ?w5w!73Iev^3zg5=rL8! zF6gen`8@erVjnRpo(6sO6f1>)3L}6dmt7V~2UhC^b5xnW&BO08%YNL7{`xy2dLhwC zQjc!P$&HnQXfpFeX3?bJAiH&~qg0se#dETIHYX2=c{L}007;pJUsSe@gZU zINgG6^j;{7+alYEtt#-RfNwC@->mZs_bnnkfvcj$i|#x5TuHnmw{rfHxTn&#aW6n1++?sH8tPA*zc^dg z&Q09d?DHTqSCHNz+#^q8<;3)&(4!U4pl`z7jthB`-!57G3#V=+Jn~=%cSz6iPNOT2 z&ipqXgh6BQi|)tl-2YZ&qbApxlzjQD)v=CWf!-x-kq@!Tn*9r#!vyb}0xW47GUyp1 zXbaa1g`6D9pzKZ<#a}bAA2hl5s775g%_)O>hMrp_EPcIfYF4M#j2uM_HA2U+8a2Nd zI@SyR7bxjcw(I4R5PzJIm@3KkgTeldowyrZ;3qJ3PNOM=cJAr9)$=oDKN14%QB1Yj zd8|J&^vHSHj7a+cAsQ@ooN$J-s8J$DH4Mkc;xs1TRv3Q4KN`zHb`{H)lOo{33f7^4 z;T_7G<*}RXpsAhw(#>}kS?fTKlC4_0+{mmsQoh%jka|e0Pu9HRKqoJzz zftcgSZaol!=p-@@L*>c6cvc%U7s7~9BWuq`4e5C~~e1FPve1ciIuUUC?b-}8eg=%c)#{9g9 z3-bGnZxqKJn~Ecr%YQZXT-+0deb92~c8x&x)k^27Z4BP4RNL~4D!~&jt12hoQbc3R zA909NhN-pIoS`}W?!94JI~J`_YMiA>RobXjlQnyX?DMH65YwFTlD^P5YyIb1*)H=o zWFvzy^6vw9c%kZkTLT zR*|GX$BvJF-n2#gC7Ft3*HI-O_hYwj!7C5G|Mc@@QaL?-JXB2L#H&1dFRt1pIrRy= zJTz`W^9O(Bsi!%IPgv*6z!UGUk2TTFj=U=u;%ZYrEv2C~to)p>wF>`^M7KGCMb6M` zDgZc7*mH`|R0T%4#Qq58Cdjoja&I^4vffa-n&*}Op(I_!vkPiFm}-}Q z^Z20}@R+_Xcn_aHM7v3}FlsHld$c!zB_wmn1Lm*`&|$a6G`=?B&JxG8SM;|I;K(?N z5TL-3U0o~ir>e(_fPzgj3L9mkf-UuRB`Yc_276tprIEveU{}L(5ZbuG*C_>i4{Sr; zI}-hcQ1Vz_v4?kd?GaLQC|<$K7x~V3USZ9bM*54>@(h^;pISL zGap~7stNagx-%{%G!~p)Sq&VB8UM^t+H)^iljq*lGfi0I7)7h3K|E^1_o`=etA~GC zTiik{Om{5t*|^&Ff-cWV2_pG})bT-`&?8&9|C|zqUsCNs8p5ZpLsg2R_&4h@ zw0`U2ibY9LQdn&Xp8ys3CJopiiZ8i0Z5lux!oZKT`rX`4^Ic$USp^f*k{=t@`8)LA zSZEAKV_fAZEQ2vE#<-&}3k!9Z7v&OH!E-k=gdg2G&tgA`JtuNEPD_n=j>1LAz28kS^+VNT9-(z~}X6#zXMu zHMlL+XvhN*B;-b5y)+naFGflvUpAQ~LN#fG@0jKtWT%)PS4LHQ5(-C^LHgMbFYeq~ z+!1*$uWnHRv$(l?m)CMpU$eMUmsfONp)sYA>H-W8_fx~odn{k>r*8LiQQF)OC|uCc zd~#+et~`PjvH=4JqjE8dU98IoRJY*Vg4wd;(K5;%&dUyU-V` ze@_?1M{>|gx@H}}S~gX#RnAAQGE+cKj7mFUeKr1=YMrh3Y2W~X3;JFts&;AKOaf=+ z)_0Z>`hgkvU%?RlsRMymK#C@eJ2G7mLKEWU1^$oy322-Gef(#bgJAq810nxMfBs`2 zWQ&@IH?kVezjbenSrhzl5Fmlrk_M0xX{hGV5y{p-H;1GpG7!k!p*sc`jTcA?JA>V_YaE9he%%!5X!CofQZL^(ngWrso)f2#oxXBCpGPsHN zHW*Rw#t68n_uLc8WwAahZ`;8S<;kNd>ZILPSCrs5})lk{KDiU~{GPL3FiPJ1|tT zLB{D9(V6pt7DUMrOGk{J)v{)u%1tS+NcIv*xr;L>Ff;=)2TZ_P^CFfl^Qxhb<++QS zO*~mG(q)|)S=uNvW6Q>AnF}A=sDGzxItT7ge^0iv`Nl&ZgH2t})hrW~*)w8YkP6w_ z@dxDxPD(QwUX%r^<!*9w?}ml)(^WCPr$T=%GxTpUUIGF;HWE05KmXT4NdjxQu$^x zA=SOgv5r@4X=q_~#iH*osspT#*PaMXPcb43E_gmHK&OxD`{L0`&Ej z*`#NC;CK=gXRJeAbvX}1N|~T`pM(vhRv z$u&ej=ChPPH$i^UMf4;fe>6Zy+_b#hF+(?@RWUo_AcD>r5nU$jR}qJt+`?4m?!#pzGFt)%9Ax56A7mm#}xH|Y@1d5PoS*JIw!e03hIV*_X>{O0L z1IbfX7B|`67mkf2$967E8$>^&GF4-GP9v&ah!UGvLeePVD?1Zamn}=@v7C6=fu>p8 zptwAKHE3zJHzX{HFCB&|F&P)-`@fS{9y?07s2W*TlI$!|Eyr^$Zb(Zvz2J_1HhG+* zGz+HL3l2EF=LVwu3m=eVrh+IE8GJ+Gj>98=C-5!C4`@Tg2oq{9n-RCn5g@r3gYpf` zqkPBE&Hr1xf2J-)L7#PAwvXnXph!B`eJU+_bM&lK4`>yU3*{S;hWrK9h0+bG0NOWd zhpZjg0;SArBb01l$)GZ%!Gm$uVCIDKh1#byKdbk{qpNxk?%RD_%#3V(c$uc2A~A@E zay^_!{G~CVcdrK8^=|p}PJS#;G)Dls=9Its0Em)A5$1w3W{HWX8r#hgjm17X$ut8C z>NjM2`Fu1qaVA8&p-~ptcSM58yhK;MPue{=?+&(-#Yi(3CBfRtDH*}jdgRVnH%po%t75Fq-I{~5>UVoNI^{%cl5R;U+TayeEn zFzx?s2#abR*D_wY1@{; zb}d!Wp`vk6d1x-Wz4x?8Aa13<2I4e_mB%g2{%SF60%Itc^PPQRcV*)B6 zKN$qvt4GB~QM6in0BkSqr;@`>Hcragt}7L&x6HLf-O#ZLcUz~AXjrc^fn>O24eW-t zaS~aGen;+03XLho;_5lQOklF~fnIw`) zq>P9a!UmW_H{2<^J~Vv%(l)YB2Xyx&knnU;Ha5Q3NXj_P(Gc9t?M;Yk0 zET7jOmplAzpFle%%z+4ZV8$KU%K;nw9$n5FQy-gswLK-PF2P9WjVF+YXKB9y1V8 z8gfIe;fL6DVV@6MaM0Cs;-CP0C6j;e+{%}W#C85r_IYD@C44Shqve>7ufx$cx$CW# ze@oD=r|oAQf3|YYObdk#j4nU9_kr?!%NA3Y+B~GCHKF8rH>D6oRUXd^EyjJdCrkVC z$6YFiTj$J-~r>jpZD!S%ist|d^K?3Sh9I3|` zeCbr6I{68{2*5tSzvY9iv;PPuY_t1YvK9e z{KpIBzq|H-XF8bvGZcoIi?fNXp^2l}{{nVgtjJ@O{ezxY|3S~{|7Rt|{@>#NAH+jV z{(l8L?3Psj0Up=G5m)o_`tN+RZv##En@Y3IV8W7{?|+2IzHLw0d`L6GaxS*p1T56{KcM&o&Ks zm?jG7L+d+rp#7r!%rKfWE**W>=>q*1^&ExIrAEZceUJSxs~@W4_$ZNY=#4S+)_k2N zudYf?ZtxYA$vDbBvqUCD`#7L$=)Ra5N1`eR-p-&AH5>$|7^|Y-)A_93y?duBTFz(F zR^5{DGh?JeF3j1OvIjV@WcG^Y96PvR)C-xP>(1A*BcyNs*lON;Pbk~-^^uwRT1)gH&@gVIe4a2J z-_v$&xR0*o`=ml2=E}hH@ot3M=$53Vb+MP5kgJCVOJ!*Y!gc|?qLdn#$t`C|7ncj^ zm_aTTcdoQXm2QZryg9C2!ty?QQUmZso63nNWc9n-NtAYR!0@|z0ojIP5q_rb9o^6KPD zaMK9h4!=Kwe>fgymc6~F_7m1s_r_e$g?VO2!quQ_vx21w8(w2h1&kgY{;3mmqm1D9 zF$ItKu0RJ^Aa=No|8v36-J1kR;oGmPMPw7 z_aD!jgl*X}cW|z3lebYf7l(5mH6LT8>u5$Bh1zj4e3y!fv`@D@!9b zn~*4MEE&0gJ-iFIYkABaj9j2|AYKxwaNN{*+Ob(97JT5Te*+AH{#-IbZ^+oEyn(EF zq;^zg7Z~N!{!ea5J4Iyc5)=Ty=|8nE^?%!}|ET+G)FGXl2hIMa*giANMmdX05Uh0L6Gu{HrF4Xem02_siS2q{e{dOk)gBicc9+#eJNkK_x~Cf zZ9qq7cNWCu-JaC0+GKN1q;R&FRBhccHg&EkhH{qg2cFn};Qs#z0GK6GH#u`|JaZCaHB2}hwSSZX+ z@x-JtsYNa7Ib@H@wPC}0AuO>7*8)QNcFOcQPYAQl!xu{qq?GopnRiNyL+vB2NG$}y6~ zCvo3SjqQif*`!h_lMOA997^F9HV*`?@%TK6ZUPtcXRI&L24>08EaPMx51~aQpinQS zcxKK-j}9TI+L9F6_4i^@S~Em+o~kxb%ehjhi)fPu3gLytfatc`VuMCp3VlZkn|3YF z?97B+QxD>d$l&&yYYp@^=yw5zszD z1h1rsXj9b3XYT{^FBFRS9Pz)rRF*!WOf@deb{MtnbeMaKiVN?nlXyG4 zU!pJ2rT34a_QmWpI><8T%$}ffl^?jcM;2|AADD9$7D8-Me9qPGt0T|uxjRZC(d=s@ z(LCHC`_vrZR+Wa|D%Jyi1MY{7O`t2dBhaJx zjq$m=$0UAs>!OG`(4L@B^;E0}_*MP`S0I~ZrF#i3&PE$dsY9jfK@4~LL6O6kiKV%N zuYs6q932adJ*`vOLvu)&MwW+bnoHv)JGLz^hIL;x_YC2OHAqQ6Qv@_=FxU#k-h{1o zGjW3mv!}cmK39UvPxdu$R~P6@+L%owVtnlAvxrQ>c2HIuHzL(TXf#?hf9N(KhU0BI zLQpQxU_wo)&|V5$T)0JF;`_)?jvM z317D|zFHAo1DP}2pUq$@jXk?<(xaN$mPcdY)GVf)q;eUm)^C+5J8>m9OlD$h+-^ln zk#cPl;-{frb71A|NE-4aVqmy z7aQiLQD54v3u~M!^ip=WUP!gjt^b zd^jF7%IUu%rGA%V1k_QXcdxDO2ve18EO{UQ`I`B}His1kV$Z_Q z5sF_$I?#nQ%N3anMH)ta*2iJUjFfQp!s&T+Y-rZlGj6)I=0t?K9c>FakPpmuF@Q1)fsqM_w@u*GHMAH`|~_6 z?*{=(l-^huEjuehc0TWc#=7YYCLR&(1GaQw`^p@%&mOh8On+gZIT1w7WJAPaSN1_) zdPJCZ&4j&S7GKMX@M2j(ld5B{r9kUA^z2xkriT3C4Svb!k@tE_>E&MH%|65FY!A`6 zL^=_}iIXMVG}AMwReM*ZGhKy!3XF~&_u*nhA19PH9g1z$wuIA*g8w~Rj<(*|*bqEO z7gt-R+^{7=ua8t+q9RBNGhkC7b5CCpLFCGTklm6PI;xVp67$l2Ejn%HXPYgf>l^A; zs;1!y(WEx}{P(@fGED5+w+{{4o(uH|j(Q*` z8Sj|@7_8Mu*CV9oVN~Z8RsdUR^R6fE}(KK3DDt4^^iU4)71iIdZ`vezTsDnZa z?P4MIC0XlLTx7~LJIzc29`u7tgugyk1)2K^jC_~p-cwStF?{EakadN0l}e;8(~*>D zTya>#Ig2p0~7|Nx=4{{A}YzgOhd-h;)}>$NhYDcm1@mH!U05r z)%_vKVm!%WG;H;*p*QFqAeVlB6zvlNqq@%TV0~-$5e;k#BEdlK^#1bA#unOL50MNR z<*6J2FLuzD98G0&H%-UJ`$zvtAu8q`kL~p4+<$*Qkqx#ZJXlw|s$oP@#;UuhQrFWh zllKG$9QggE)P5=1jrj588dFBCQs042xiujPZ_E$>C`Tdd zNG#tAI8E~h;Uyjt+8=s$BjGg^;u;A(<%&K*t}06*(z+Hrb&B_K{&J>HRgoT3J1}~k z3ja>zVzA@bTB#jdnLUb=^S{}QwB}>5g+#m>B^OZJA~{b&(%;of_+W8-srUu@k3!?$ z_{u5?DgZzYGXQ|}|LxuRzYk&mH%z-m6Vm%%8u4!)n_cR3H*r!w00E@12&4plBPg-I zF)$b~AfhV`Oa?);wCUk=@Jy#lWns;#W@WibRI7JhON}~~Jf)?Ujg^&F)s9xn-dfM= zXD1!)ywk0h2NOmp9zp=#+m6>Q&lS&Gw$t7H-~(A4V7fq?M+2&z*8^NE8vht1+rX4w z=(#ZNV)rrrj*KiF)Hn%$TNbx2y7E2|-AA{ve1U(|w(>PWpR-gqd4b|ZjQx^0cr$be zF=#Oc$&C2`=8*_W%7lG&)?^R57>aG>^E^9zj9Etcq|BN7xi%f?ya#UPe!&xub3hoW zXZfa3lCemPxcpTqC%nvSVQy)-`pcp=`HV81Owq-lerT9!BrYstI*C(LNr!l-Q}?pC zlL@W)i~Btj z23?v76^Kho7AMd0$p~fUVX2C(rVM@HgFEpCB6Nvj0`fqcsfQJFi;W89GSb1fxR`5c z*5}ayI{f8U7gEm)7hzTx(t)@hqXORLRg?(&Dpq6|(Zt^$XJ*ws6C!3rQP&!4%MN2B zFEJ@4wR)qC(f!8N))o*bL?|u92W3uVxjML!zeI4Z5PyJjY-n_FsGR;7CxR4JR8{mC zEr^OJ`;$=LUe?B@#*k+EuZKy>l%iIg$PA?9Fg9h$*OOpI6}!De)~$VR>p-K z^1S3+7&Gq<0d<{<9xXh34?bQdmB|(PQs#&^E?j=L-b#ve2{ruHr-SE8h^Xa$4F@aU0%{EqCPz|V3aAo^!mG$z^-&tp~t+g zl`j4oy4aXkoh8;B7v_mF#@*>v)Y={VR!E)Iz=Z)>lChv;wh@gro6GS`@3%qbNoavI zd#lMqk!Qf&7;H1PrNG%P_~GfroO1dxcq`9|EcNUx+L^&4ur)tTDsH`z7)h_dGg<}l zlk|{%VO*XiVqZc%tRRFFfV1Klnu>kgF~l4szJn@9=EgFM#=*5TZD&y~r{J=L$yRFs z>@4baSm5lJ_|cqGyqn?hnE6S^Kj=*Q*EXWwp;by-|H(#LmI5)MhdrP8jT`n=NLOl_NjF@zMlc!LVFzC>r z0^phqHV$;-q21EGO_?`44kYv|NS1KX#eq(0weIZ}X7s**6Y~z%rB%$U=pn^YJE7IQ z@_M#)+_2Z8Rv5ov77>Fk=6=ZsoODHr5`C|T#7OW?b1cO%0HoFI@{a5xYgD!4fQ#Af zWLXK%A`}Eogu0)dH^aXvwT&jubTZC&_SxqV!LHQG^SikbqG}#0$J0fXKwEZ=!X|Ma zd7A_FQ>)F(jgi7Mmu$%KRy({W6PZ#MrdoJh-WwTbfa!KKofXl=Nml(k$Z?U}4UJG7QA{xvphO#1VV%4?clPR=Ud6TPs z2Rm1Gq3N#>@ZHN!e7UpddWe$CwCeBhq822uw)y-~|}rQ0b@jIw!-4)Z$?&v@y@| zIxOul_;@BO)%X%T0}crs*c$>#BG}}!Z#7P6iLdv3Z!fUIo~`y3CS*$GnIFN z+#~9{fnx*c9_8XE)+bBSI5xvX3HFr~*s`LElqAOo4ZDukN&F2stm?+ADu+X=3smVU zezSPBbGen9w70m5`1b)iiE7^m4h<79xf(mn6=t?>)13_NTu9#&wp5NW6G~392)AH{ z=Ppa0L9Fv7V6-D9!69a4r7ZW1x(5(uavc|pLAzmW@8PD7{7Q@%n4XmZnnv@cm##|d`4Bb?_mJCS=`7k@Wvw*VaU<83arCt;36qS6jl+w=i`1u^ zi4($$`MBB?*=?Bb?5_xxp>q@czSm6m6c)TQup$?ZL9Gltkq0b;lTA$wNR742gcfvO z-nC?pxUefrk%~lNf;0F=`v}@1<~&##*+e=i5F@FyGYR}TtB=@#>@uK7uI{Tdifd+p zB&!VbMk~Nn5Go$YmXTph68-%I+@|t@>@e9`43hMyQP07KG84JJwbDx(xAwd8kpt{| zNQDMl;4*poKDG4Z6k3^yq%l6Wmu%)-H}v=1y}pPUCK1kB+zWe6D~r>FPmDLr_eNjC z`8v&8`BWVPSMD=1cWmdBUvUCz(8s>q`tvN#HCeSuOz%EHy!~X*b%!j#eIs`;Ux8_d zYVR!qYfHF6yy9%=&O|%?YDL|XFfEEwg>FvFwUKgCm3HBhY!PXwI?_36kquEu5s?#ySTz?5AlGH~_Q(WDZ(8xj?csRis)Tf#3nFkM>oIOh& zd2uv{5Knu`q!9GV=LS{u*Ih4|`-0}o6<5Gkw$iql%W_{s-5#4ZkKIE0Kf1*xq3gEA zz^{lZa+O`WuX#|zGRRP>u$_Vj53)J)jh;@XRaXFAhW_+O=vTFy?Q(2*6!AQWuU8j8 zUz)0jYp8^0gp!?lnR0S$)UnbH1tGY>=nVu7bfd)2;=nEOx)|U!Z}c{qRyGNn4K9zm zsqDc!oN|iJNIF>9A#enWJ z-Ce|r2ugrHmLOIAWhZG4RzzSB{XI=~;S^QL8w{--NBJi3_^Ftr*~)T*mC*^-xhskG zi(>=mNH*bT2j^U!qyw2RzCP8(*bR&EZrC37fhEATo5gf{V$WuYy?2*dZ4VpMY8q9D zPGO$@u7EkB%-dp*>)iMM`jJ;w(sDyrb^_T%J76!iM+8!9f^4UU3^_mQ<4=hQpo&k% z6Kziu%)F4O!`s4W0^q7&I<&{IBE1sB3+b&beWlNqx&qr@%sVV7s(o6(R^{3^Sth)m zG-T0a@V|rv{vfH1S0lzqs>f2(tTruY*st-xj#YdE;KsP5WpTeeC@4op-^zeQAg7SL-w63fu$M(02y?tY22cAXDX7`VpeD-(h{0;bI zs2J3H1o*0~fnopt38SxkC69KAMzO~gJwPH~tT&BY^1B~)GU@dCi-0^wwZ!w7^Y0nB zg|M<#IA`b**y~_m=KcT`*9U^=!9aOmm37>vd{k^cbZbNJRv&L;?DNL~^+#6qjJ9Og zTeSd)%7LZUAW}s=)M^7MyD+t+F^uPc)7xL=tszZ&t_jmALLdGO`#s-;&}jvByGtQs z*9BX|c3b!vPI7x)3Q02OSCj&pg0(j!%_lsB`DT5Pu-$~s3T)r5ZY8C)MYhEH#Fx5B z=Auc4Ln9**jkz7D_WK@G8^$!sbn9Nhq&IES*@ts$mLmuD?Oh?cTIo|~@^j`|6nk{M zETcte!x0i1qBbDM-QVRevFJX4)g%7s5kdBtTa{+7=FG4F^HJY?K-h+5-T-B9fAyHz z25R0B)0+n`OC1Ibpidas=kHilgwtkpejl-M81_r06<@{?m1{FC0yqXg_T-prHj%$sdD z7~rQW4+g%`UK2yvHaJzlEjD?<^-QV#Ee#MTYaqNf$n4CQx2MM5rO|9_15Qb?v%(*4 z=oN#aU6Ufy!2y8L@Z$q*sJ>||xYsS@PWKiJ9B_How5*1wNvg(VHlbBK_p&|xFTT@= zSXtJw9ZI+1CP=5@lFsjZM60XVCm zFA`rk?_O=7yW=sdB-sYh0~zxxB>V zX>Z~&#)-$Jc<%9qL1K@JlpRqM?%@xTJ5Di=G0vVsBC?JJkWwGsZfAkivgpQ(wDnxW z_1wq3*87)L0sBa{Gj>LqS;o>O*3%*EY3XATn3N95Go^CPGx>){?AWxNE9VtHwZ9!) z%tTSFagKL? zaGM+_^w5=}+m7U-&rDR(m|XshxUigrF?>KuC!}Op z8WDWrl%wEU4uNO}Yc!)%k%!wfgRseSofVt3r*YErPdy;k3}Y>e&}xdD&0}UtSzQ=v z%B9VlV8oS{1SJG`>B-~LG@DGdNZS

lu&7P!?a<83C=K+7W!A_MSI=>HDMM@^biG zbnN2IW(mc@06S^vPLs*zF;ZlpJxv(s-_AyPIHRYpos4`T<}xx^kkwJ?ulH`68EnTS zh$+~milQ~LVUI4CS>*)~th_aUFpJf7!yl3^5$E|$Fp2R&#$-1$!Gnnxj|X z;;ufnM0G~mZbmaYM^#>|VNbIi`glf=F5F_sy6xxQ34~V8qFk#*8(1!LC zSur?cx>ZwwZHuSszfyr+i_NcG)P~V0zvOIF0p=RR9&=~QC8Ay3)`mw~(8}h9oIA2o zRkv=dRo=y9V8d!4U`yehu6SnBpup!!$qbZ~3tnuDxh}DtA4&a?e%lBRtmzMFF8K=!(g){gl?ub(Iov2U<$uFnFw>nZe=p1)6#fyd;Qld zwpKfzbpH_61#Z9a+a6~)oyQicon*)7aoFy)eVicc%d*COEPE3G4L^o;P#ZO7XY$CL z{2mKdS1d@*jvgB}Bp|TU2>f1MrXK>?6p6N&{{Y`02ojCaz-wXKzfE{Dy21pPM}K=) z<Je}FAQ5EUkBk{htDgL{944RRE>&XktsP;RGzAF%7XJM^%V zUqB;)NPhaq>XF(oQ*USJGlYki)7{V_6e-RMxEGKYg>Zc&%=+zzM{l2BkDq`iwt?B- zvewsh5$SqWj6N+_7#c??KG!tO7p&@B!@87?NKkJ;)f4XfncH=7&4I)xXy+4jTYPUg z>|(1us5j{Q84>;jx!nr}GinTT78Z=~(Q47*rwgz6d7SGMlR ze+16R2F33981sRv*ogJATICPao z-x{>vC-SKFDfdRbUe?D;kL9qZL?b zP42~aAbI?~OTKY(&4DJEKSKs>?#Cl`1tT2Cl&_4s4TLULD#wzqy7c~~n0^hv+ zw$3a;5?z>Eoj^iaAv;x}^HjqSHjR@ll<0M<#A;Yk&@7kem9he&JZfdKLZq5E)C+Wi zS2nS=OIZl3XGU0UaBJsJjmlgZY8O!VgEWzBS27*SRs+FXRJki@hLgB`Ll5Sxr0!{M z5KW#_uGvM>OR^^>-Yz_;2KRzLRF}<~wgTQoT+3oCvvh~yRcL5?@F>Dw8mRj68AIDw z+~0Q*@HVATK}QLd zPQZK|`SWOhOfGP&?HP&puP3o{8Ujm0)PHBRhg^+{QAJZw2S7JbKXalcHXCJ{O|s0V zSQip&AWSHY&$YdxPD%vCWcc#>ll|6;iP|#fpDb;B<$@ zPSPadbbQfU+rewb{1k#d$^2Ig!=(XP;9aav99svF)_)+klfD0a#R zs!Wm?e?OP_WG(QfwCsNY?bOq+suk^+*JN&dgQUVewzV$xGtyu^Mi^wA;k!3`gmzoN zMR06*3Z}YV@oK7me>bd6HPCFtEW~DUbUvL|LAMN&oYapYe(m@Gr5l=;@rE9?Df6Zl zmD*mukbbNWST*6vZWmFi_a?QOV2?Xar0(ca|M>BI%Sd}s)>Zt5_GzU{Q6n5v*b3Yr zIIq?d>vVX$dbl^&707>jrV4NHDW~`0{`m>;(_Hb)dbw9|M6Qxf)2gABvdW!BxaZO! zmawgrIY?@xuMoRKlV(@~#A|P0sh#m3! z!i_cp!g4NopsA@PPsi6PZCYml@h!;Q*X8A){Y+@q(NfzlMSZK{3 z3EXFuDK)^)2+oN3Gpu6|?l$5B>gHfk;~DE2YlrKztTy*Bz&7^)t0({KWyUD6L=kg1 zwB7O6_xtwy``zZ%_j@%}2Uy+T#faJ;pCHtW`_vEyPl`v)yUqyJ&Jx(e_*y`2&)`2Z=XUOdBal^-(9escRH)5xacU>F$3@Hl_CN#CDk7&{6YRh6~Cf)iM zY40d-^`SqK7pu0RwG#fRIZP|fsB;<)LOV4j=X+;gY{%2pmTn2iiKOrs7&UeAILp#t zsrV16y?NJAbt|wtx^wa2KX{Rd5vPEOI}(KGm|o69D;**@$GYa16C^9s{+5^CH;6*7 zvO9+7Uw3~m%y&X^yWAgZx=UOX5Rji41zK_;|L))127HnHJyVaOY>HHf}0WC^ePO8gn+cL5PS9NLIFGDaXue zv(`QRV}^M^S&URrhDrx?l6|e*7aOs8s!mK=M>ccY%Q@_lRX@c_?ysj5>L!w4oi_Ov{8Z?E%bcl2&vdXmS*W04BPg)@)<7HgFasYO z1`Nc6mP4G*g3J-eYK+af_7;Ups&&Ym#nR0k=Z@uEA3=1Kaz6zoX$&nHqh*d0VGYeJ z&fE+vsElYeL6|8kp;xZo#hnQ&HUsrmOJa)_>qSfqzqHm>0Q0lvD|1zq3>*&2x`nG#f7M2+RzDYa zMR8O{>W8k`uVJ_B4=R5HlbX1Q#}yjP$5AHtVE06Kv@t=?2Acdp-S9s^-5v8p4E>)` z?@Cb!zvb_9#J#4&=GFx(x`koqd}Oa2F3AcYp$O3}Fhs~%T+yBD-3GyYsQapzW<=6Q zoIuU_fL9DCX+~hR_?}#w=47YggCXI-hNCk2hNCeM;TUX0;>wn?wFqcSc}^mRc?Gt} zi;!|g>ho++7m-6pl4il0w}(fxy|G7obwGBt0BaumDC!r1YEZs~KH8w$r2b4fGI!E2 zY5FPiZJSMfGb?!3k;+-VP9d-PW#5YvEoEf$z8O_Hw*YFwRaHEHs(C$Y1wHzedSeOM zA|88?$osMbXHr_cYV~Bfu@&TM;pL3hHEf1(P*|6}Se=*kzX|lNxUBebUqxICwnY9- zyv=pwRRA!;w*#snq6Gi}C+{a8T2GK)di4c*f1jD3XFcWK&&t&@lU#GP!`~f3><&G-=b7T|6M2WP*4`;d)S&CqEZes2Q?_l}b;_q~+qP}nwr$(CtENUh^MBJf-91-t#Cwq! z85uh>*IxN7$%d%Kzo&g8XoP+h6l72tQ5ADS3TIBlm-6qS^{U(qrkFzgq zVp6Ti93&uSv9mqr%pT-*R{K-pcKcnN{}A0AaIN6=E98uWMyDDov?kcPlbT>xxW*(| z&``!GpaE%#Q*wjE=j0Tc1Ee|kF+LBb|9uJkOtI)D5J6|qgI2q1f4{0P5*Pt=B=!$DfsoV z*Z%t0mH$_E*#Fi&Ci(Ap*nbJ$DhS`vE>}%#7sE0(vx3-}v+Ehd8I7CBLKp0GU$BI2~aH1c-sg=F^!ROgn5$ zrq8c8=yEy0uHY=N=uS9&p5ej*BP29=w5R&&DEslj6Pz5~8G|02+autd@vriMo`nVy z1NFf%nDNy+^~57D7=|w8Fkz?J$kTKTiI%iImR=(nv^`TEel}}e*%M>SOfJqyMQfiQ11`P*p-5Zw-ZuOQ7 zI*nor<%kXg@aR?esB(%>gZ*PCKwDxGD6oQaH*R&iD~3ZyS1*{dsb~cGt#ux*%7>~@ zfw3bSrb6tvt@Y3T>n_O&4<~U{(#%>UTe>7{LL1B0{@Vd{EY|38G~*2;Eoea+M&)7P z@m#h~@7aA+F~`G0z?^=s55Fn-_n&`Yvb%+TM(lKrm3{@OjJBwxz|<6f{(>ePt?Q1I zx6|FMUN7Y?+}P7=Zdu%Azw$CC!dB+@GbnAR{9W?U|7G=G#(IcHR|xEaV^w3mqNoVG zr1@cH8kNSljAAf)XweD>;u=+!f45IMVKl-fMs5N=?V@&lCcc6LkxC9Ge_IdoH1W+U! z33KAm)YZ+F-+RX?^%g)DjQn%hYIkb?qJvO;wv}C|#uI??W#;K8EegZ@g-J3h_#jhK zVy2de*IUT(0utT^y!2NN!AH6nLzlR0;($|8ec+-H6Gi-&dCz8U%pTz&M3KWiwAT4X zz3*cvN+ao4SRXYgl!@fw)VhKvsDv+|;++Q|f+QnA`bKK}fx0aSj4rW5oQaPlI{}pl z4b|lq`B^V?e?zJHiV8ZRC!TS)<5Yft2o?WqE%0Sxr3b`!(7H)IUnw3k(lM49Bx)aU zC?k1_HoePwYzv-Zi$3w{PExloZb)S)CR0Cs`CP~$P0e*0MwonZmt%X08QhdP3KTmCCP5gD>hev*x#|4yv=NCcDY8Pb8 ze_-bws#eUUBAm<760o|h4C8x_Wahs62Lx#y6pNXx3FqFvR~nNegw2dc=3DIa6Gmej z4KR&lNpp}qY*FgJ+DgifYsj0=Bh=RN*-?Tec8B8Mj5#g?xXMml8mncZ#$0z z60uUSu&&XZxF?@KrvgjhZL)^f)pF*q8Yg3s(NwV?h_xz;8U0x200Kq7{hpT3NA1x*7F0x>loazkl-gK?Cuu7v%Wc!7#{h^%Gq) zS>^EBvBK{_|LZIjKWF0B|B?~osR01Q|9_dK|I2uz0qv?iUIdk#0<+X$0^EJ)v_lWm`OTUS8OGwWJW*F=xY2F7$Yyt=DD zufNVugUZGjCDbNdUpHc+E}6R7(A?(qbR2DF=^#h4-o!D#wA>xXk0@wo$(b}Yq}cXU zcNn{Oa9d|R)L5xq2?0%v@NNWhV7xPHuat9>D646 zDf6U+QvVE;+U>q;VIQhw?D5;=tAl^`-XG@00}w<8fY3Z@gf#hI&AdhoDZ7aUvoOC` zNcD0RNh_(pg;z-Z=iBWXYIvj@3shhO5JCF*8$%utapC5=YXSm>Vpt z?IPM4^^>i1_0m@L+Bz=NZbL9*R>`4ms(RCi$5~?OP=zg46Ij}epTU%cPoGlhLY3)i z3V9V6+xKG)(+TvPF9{iGrboh;w7*f&%mg26_Lj_FKAgiVAU*vsy;+i%mK={?#cac`! zZ;av4DS?bNRg6#^&M5~%O*0PeBIA-UXN+SqDk~U46W70Is2rM5qq}u*81{V)C&=AF zO@XqCyo|;PJ~pXV4&?r!Ye{cEc@|478GA`Uvs-SR3J+R&sfsk8l7ySCLO^NmpX^>-%fdHI6v(OYiZMXfHbnN^I%#T>Rf%@`` zT4Ft(ucXN2PPPC7!R3lutizY^uF{S??=@>vHFJ)l5j+A-A6+e@lMfVdA~8Nd&4H{5 zm$sj{&V-O(qGDo0ve1EB$*j-KJVTS)Gta6nA`Lt%7!D&z5cQ#1%RIw3#7J!TLvApv z9u~J)t>(X6t&dA z!c)r<7vI~D^aIs&wiw-k(k@Vf+Nf9p;e6T{u6nWPhx>0C4fmo>phWgr`aHi>*7BCP zU!_1yJEguJX=FM#?$1z6cEhkN01`h_74_8E+`j(M%)vMLB}>lf5>9IbIi4{a3~55N z5sMDOuX^K+I7`S!IV?ykc)*c-1-a3)@pCdaxW7#su*!MDNys6HLk3ioAl11F2X&|v*`#v|5iYH_Mul~ZkK2@l|#P6vAS4)Fdi9n zz~x84^TTRW3tBn_$V>RZbG&0e$Eex6ivoSH#67Uadto2GU=UFjUo+(&-=WNxerU;F zVK6&-M#I|zq925xN$7uqCCk5&Prf6Aj z2yM8pW_N8Rf)9<>#SU`}XNdiNRpn{_MJl#;1G^GKFuTS8GxUN4-vzrhv%ZluYMc`k zytDg_8(l>ght2;y2$t!lk$2VE74iXjS=+2hG2)Bn4Y+OZgwdyP2FT%1l31QmQ3)K| z>(d!d>RK$|H%_vnVAe%Uaem91gcxluR|7f4%rO(pQCzdKJ>?m5wdL=$Rh&yjkZ|r&ElBbKm5Ib;tX~rbPhuDw`WAK@@K8diqk7$_Mm0waScX!ci6AdA8z04+9%K^K140TL(_6Q z$VrY$)&PLg?E{}+YWqq6*gIU{+D)TGZ*Sr9jCc7GcbjJ-juqFY?!)BmdVqawI^$?# z%Xq7Y@=Eo3YZ%4(Hbx`0T))MCBMik;w9j;^r*{*HPsc8;`0dP|kE_JCj4Sny?T%x? zsy37jnXX7GAXwI8Ei1ZGSFdjT;7ipn(g+R{Z^O&S z11NLH;iwbYMI#fsauzZ;L}6IAzDvH>5)M#6t9Pr}cWxE?xRNn)>`oBU=L*Z?rM2`H z$kUpt^Q^qTtJzod;?bKhH}=g6ykW==9ykfLZ&+qCQzm6)6A`4_{8%XhKY zF)p5nicP90LlHmzypD}~j+NyGQXVGgig1E9jle!zpj~C2;4)=(WsH6F9}SykD21a_ z*pC?VuI+QP8XJqoT(~)yS93Dv_I|sCq-U9wHMXh^wy)F(VtX2t^0nRbP`wirbH