From 2cbb13cb0096172804826f13c9d1d5f2cc134d93 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Mon, 13 Apr 2020 19:04:02 +0200 Subject: [PATCH] Kernel 0.94 --- .Floppies/A2OSX.BOOT.po | Bin 143360 -> 143360 bytes .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes .Floppies/A2OSX.TEST.po | Bin 819200 -> 819200 bytes A2osX.S.QC.txt | 1 + BIN/BMP2PIX.S.txt | 72 ++++++++---- BIN/LSDEV.S.txt | 9 +- BIN/PAK.S.txt | 34 +++--- DRV/LANCEGS.DRV.S.txt | 9 +- DRV/X.U2.DRV.S.txt | 9 +- INC/A2osX.I.txt | 2 +- ProDOS.FX/ProDOS.S.LDR.txt | 209 +++++++++++++++++----------------- ProDOS.FX/ProDOS.S.XDOS.F.txt | 38 +++---- ProDOS.FX/ProDOS.S.XRW.txt | 177 +++++++++++++++------------- ProDOS.FX/ProDOS.S.txt | 2 +- SYS/KERNEL.S.INIT.txt | 100 ++++++++++------ SYS/KERNEL.S.STDIO2.S.txt | 127 +++++++++------------ SYS/KERNEL.S.txt | 2 + 17 files changed, 425 insertions(+), 366 deletions(-) diff --git a/.Floppies/A2OSX.BOOT.po b/.Floppies/A2OSX.BOOT.po index fa67f0222d3c674f1c679e702f4c40ac540685b9..536d82ac00499af1b6f2d06fd500ea060812044e 100644 GIT binary patch delta 18430 zcma*O2Ut@}&@g;bAfYDofRsZC9TE^VASDz96af_l6)`9XDAJK4n$QG+NQn&vj3`Q! zDtfU{LN6-Dc2z(OMG!;`U;(6jC(+y9_y3;%~6c6kNz|QU;-B{VRYS9(ko#C=2k(}6n>-qMG+|l zg8@r?)*-ZC%<<6#KMWN70nDZb27d?3LvI%u!cPt1OYG?#3~#g!TnNudMIWIK;){(~ zH8fPQ3@C)BEvJgpF>I(t7iAY?>ecauJVZD42MeYg$S#KXVWGh#d@&rVG(r{71YeAV z>Kr~0C<5LT0dGHYeiREsumL{oa*@_@H)o+3&Ah}~fdJ)+?6T#Rij@^;Bwd7kdS!(X zE~0EWi02v5SC&~#^rdCiB7J$8WnZygr_53ZtkWo#2eBIELwF7NsRjDX^9j|y|0G7CNnB~tV)uj%fu zDWg&)QeB;#*OZg8DRSVfRxt^hhGmy3&w8Dz0E%g|TKpLl z`Hk&TJ)iNMynjzoKZWOs)_=oyA#xC)jn*%WF$(xm>lYRJs3tB5L4T?xAV=qD(Z@7J zp`TQHKRZ7M7B+Q*-$p-25CE7ztFelDa2^7Dq{RZDNBJc&ozD3Gi#Y`R(AgD>n@E`O?3_~&vtjKjDl zsj-t!3fd4)@DxbbOd)}mNgN?a&0ld8=5jT56ZDfRO)2Bz^{8ExD!86aK7gF!;`xwz z0Cf5n^kZircCs@I>SOp}Y+#oe*D$W?-d8Q!_`c&*mYE{Hq^W>dlGwH?xYmXUp7@X2RbM)F(O(rPS-)8UwtDA!j(0Ou8w zzkI56i84`Zf$B<1N;jE6wKN>WG1ypn1B{OIN-7Rw1u7INEWin( z8>rvS`9Zj_tK z%^E3XIJI0D1Q0Oz3(m?bkdnou^PJc(9ZFdBQ>4I~SX_B}PE}`cIJQk^`Lu)ZV!F{h z_R@@c?TBN@L^OqQI7Xxfo|M{eHhF7Au8-*Etb1k?{z#%y-4gq}iR=p-QQ&}7eCNxK zEVn18BRZ?MpznO;Ad+N{CpMKQHPWQoKXU4+LuB#iR%V(Utmuftmp&aw4TJEF%qtry z3F6jwfCXeY_AVlt_X7Cju+^QaT<3)-tG7+=;{a<2b6nWHv+tB~wT}~XeKLH%qc$Bq znco&4RZnW29BR|I`qX;~PPekQ7IKfMdbsi6%a`~ewjOP#cnES_wP5)Utheox@z#sf z4JBR$4jP_;n}wgOKkpq@xi%%#0~ncnuS{s_d(>`ltG0!0#*>!v)ZPPm0_@JzUdr0Q z1$UIr5bcQT?ax*&2ubc? ze-oj!n{aOUce0Fs-v!B0;EFukFIaH*I)aTSt2f_W% zpUB`J$ZYnQ91p*#FE_(p`^~l}Ny54;u6hGJf^($licPXTK{%~QLaJZcTi?z&y+Qmo zcQoqk`jWR-o+fMFI0?ut5|Q~$x$BZG*RNT%K$Oz{7N3xAlM0W1_3W~vGWKdoWK9d( z-271fV33sK-fthC7BhWu z(&}m2ny16G2eE1KXYQpfbW8bVzylJe?bz`%#Y+ zbqLdRpsCbgdHm3LM|w&ZJSJ*d>P%}?jKmt`?VCuyZ%3bAQY|Cb^x^P$1{SV&+ge!? zDTsMN7Z4k{RS?E5{}uMuxVx}n)NZ}PY5F##x;`N$CpRN_fGRRg*3 zPR{fwY!pHJ1O+x_WRr5+zv$FKbf8FY_peZ_%)z95!(Uw-sLL>u4gHqcnUvfA8(g;0 zq}=f@0GE%K!G<{Z0yk=u^BSC(dMtft1=R8C%>;$wGoUAi!|qV^nH_BrVVo4?f0&pS7!&!Be{6C0(eD(PJ43 zkRJ%1zewX4jc)SNxPK90LPV-`irwLgztP|QtCK&2p>N(cd1^Nw8Yt+3@t?)~F4{8F zL$DkujD4kVoFn24t19IWH*XDKqqw#`IJub|lkNX3A4%=xN6E zlbS&QJ$0torf6lQ8@o`RZeUCrr_s}mOUq9gkE0+~AVc~Wi)hGxD8FU6!nn45KTMW^ z^1vJE3fTOY#^Ukx(FQ$?=*>EkQN6iQu|CB0ZyoPp?DDt*3upZFz%#r&umrFOU!_YQ zZ@9^#70xyMMmonQSU%n$p!<(9D9lR6z8wVEJ4W^n1F93tKtLD@=IQ#>dWOUCt5jr0QJRG1&2%L0O6^o#t?>&7Lpt`#U)57egF zb#XW-@US+EO*5&&cd@I4zz}VkVKKa})VLaWcgvUqc4KFu;2z+JgVEZoW-3h-Owy)_ zf#KS$riy%Hi2I&?JQ3m2hsRNh0cfOQG19PDn1+D@YJeY5UotL68y1V!JvXR-VUSg5 zOfNEK83>lRTk!m)=c|IX7YG{Vmjp$9rU0!z;}^CtAEQ`{Z=S#Hn=D?0W8v~l4iBgr|Ovpes!&88PYVtc|Q10J~;XpSOx-% zgAuw=k^=$VScU?T<0!f$O4i^IeP}4_RXzRBdWs(aCMBR5iNLmYeQ2dUarHPKh zN?=GRDH~(l@Z3P1j`vALLhw|^k+sWmtxcLgmP&%U7b*#!G`ju0%>A_3=y;-@Ep7F93y_kx{JsW z3Xvw#W^%;3EUHkDh-HYh55>rLP6U2(Hb?8u5WShOh0x{A5d$q4>-G_;>j@mhlqG@U z=Md<$-IK7JFi3bu_(&)QI17Pv3F2uc@2KXt@lLC(IHieU>4X-j^kI zRq=#LA%PIL5^N!!2#aYbO~j&DRlC8@OYxm-VNSnGk8vQ_yTt$FSLt&EIzSAh{~*v; z2<0m)Jj_id?EcD%2n`z1+0FS1Aqif${Pjo)N<9Q>nDDc^St4T-Wi54<2(_;VoCK~1 zo`m8215yK^^ezxe?~Z_yCW7=1ZUUuuf;s|(>Pq}NKfQyS2+}*K#ZT{`7L?urpn-`X zy$gW={PZp;60JQ+h#*Fz4EWKHt|DVH*nzb7Zq^*U!ds zVD6{5=hXtW5+7f1;LSOq(wfOin^ifk1)WpWr(W=ZfW)>gnU04huPz_VEUP~Q>wHq1 zOv@jTglC@HB^h`A2Cra~@taD2xf)0HZI3dV>dd^RBlHJ1W_|sUgWi3Sup`Gch1tzL z#1A5t0Ut5B-tyziZDaAJ`H>bj{PWtnrDk7uUrEPJe$nVXiJQ{e4D#~5M5vc z)aTE-j;DGO8t%~JF94UU9%8;_?zyk&Gf*i7xU!UZN%ihRLtJE^BbSWe7Thb8gvk}X zu-U8Z9mq2HX&$%`m%QWEQ9H7C9Z1* zm#*C(WmFtjpgQN5e4q)P)5wqPJAXPs^%`(PdK&%QYj~9Gc@FmBwDlR_vg0M1*b}|< zgqMI%ko$?EX$}hUsrrrlh-&kaf^60Nn+Wlnx<{6BQPXI%Q5X0`Sy?jJuhQJts8){@HRxU2WbrQDmu&2I^gJk=hrVv-a7m*YXDZoW89wJ?xge zefJ|oN*6N>@&PQjyUvb+CIi;lFFVZxF!PV_kfwi&bC_r(Sv}jVO~j zoAA=794c(uBWIDiszg16dB3#K9493#2zohb zN0oQ;N!F{~9@+;;3yTzLbxI^v4k@Mw^|g?=E-b>ZUowHAk2HCeC0Ox!atxc3@q$k&-VPZQgI3a90AIX<_{a&_m5q zJCoJEOV$6}Mh@Ywxif`$-iW$IOfzB*{41mc6)ZZXnheU z=KW!{7)Nv08>(_9l_f>q7J1t4zGoioZD*-UDUp6wI0zC)JF1=Gwl=qj1~%Vd6MmwR zOZO1%WbB)jh>aa{Coc|^feU0*H{fvO$nM^|1)l?K9sx+J{i=5vv_x5_vYs!~IqEyg zu1~uoYTC(36@P4hxP2uhoq7fqAO28+*r{A^*7RWEQ|&7Kr#_iWCdpIcU}c|sjZGM^ z%V+SbmWcfihgE6|dl~(SDpY^Twk(32W;^ZaV?fu(-1584SDylSH?po2xqlX`nBBxa z=!Syj`;LO*gh4pQ1c04A>2y@lmr4Q#(`x`yjigCH2{W!i88J^oQdTN+5?{}H%3=VACD{-k&=5b zBRuyeRh)Vw{+rRCc|#4BmTc zwu8z&Tg^7t$~LK_hjP3YhwSs{&@F3iYBx8nN655sFL&n1v~Vw%qOG_|6ZzkVX4TJ3 z5>_j@v@d5aCZz%Uscj|L7YELWmvU)`U!KX%#?n0SHtem!jW7pk9z`LYR_t~-;@eG< zQsS+Ji)r&{B`4YZ(#^M8lu=D>qY^x}coAcoEL+H^OaUwxWu^eFJqON6me!`Styj*{_}VO1Xw7E8n`GC+WL3gaxNhd);5l(rMgR6BbKEoS0Z7BjUs@*|40| zU2O2Jh-DV6d2E&q9NEfN>2tdSgx--h|8|5=F?W%P`byK!dvSG^I|pC!hE219&^jRY zm9p{)>PPqt1ywBmVB?d(GmUo>$I~^xBm>z4;&AKu8O#*L;ar8S+Gk3#&0U()g;l-e zqHdT}MD*JIDu?})Zp6n~%gpK_@5ywmj9}+JBG*gR>;djc66r-nIM~>8+p-6a z+k}4JT$Q3TB<$+GV-eS_-(0UMNwK_fGk>Q&i|CwMWV0WbYgN--S8$2q_UelHUeElG zyH;0@iC7uIk^__fls%%HfQ5|*O6hnF_^gFV{Je60G`;BYeqN5KX5#1AJpUZkEVXXf z391mzbJ$OG?yXpqUHk2!3740ea}%gy(<^F&2zXy?Xd%#n*1U_G(7 zwJqPhMJxfZ+s96dJwF<5TpPEm#!Av6{!;gWsMKStj$5_{&*y~(dv7Un&ns@S!N{&{|kdJI=VHS5BfiG zddGZVEH{edM02@H6~1+}rG5Rd_@%q>0K!2^OeXOrQTp9iE_!9}qB5NH%|OUcbtimJ z&6ipw-;!NI7IiclX5JkgdC2*BYe_-90*{FpKjm!o$LMs9xJAPq*ce;tzGf4RiYYsZ zJpJbEs>-rdl{(84#<1)QTuUb6S?}&y6Om8%-i8>_|3t(fe$pFdf$26>9+;4x{cOZR z&CXq2QcXj>Xhl@#jT)B?#&o8?`Fz?vbj^i*5rOvRT06(2JDr~@BJL|~dB23sF#kC+ zHpolD#uTj;pL0TV&@e^vm(S5`sZrdJwt8^8!OII)*21m_I@|eOSUpTagUta{xth2Hrg=CX&4NsNH>`W5gpH>a9_D2rmMtHy1qJhC`G+- z{H59&@F{5h@Zy?EjB1tH;j8+#+8&7;?PIcN=5<&Y^|AXU)~=NL5r>6QBRz>3L|Np4 zRZsWbQ@4^Nv^w>fG@Ti&IGDJBU1OE*Cx!~1cxSWD-KeIN;VlWhpTut&`5zbR(E$Gj z{MU8+901+x!=OueAssnqS7#50zp^L*5Z(r%mB0kAGZ+7Qow*gd&aQ;zwW6sO5DhF6 z0JK3}aIHE?-9mk*x}SQKda`=1IsiavH=_D^7mRb5DOUZw*ct@tst%NLG>WzPwOw7( z9ECC*Sgr{2UpLg1DS_pyKu}#B#MXhA!7B4#Xr^5f9I-N0uw0GbN1N!vLQN1XSBIMG z)MWNONNUL`EB{z+%8St+iTpCLH=wUCs0v65PEkpTx<$;mkbquhYAXx*aiNB zMH9RjVDf<^3XUR>p2Y@6wYQwB*0F7FZXUjELv_a?G96_x|bs= zP|pHBr-Z_ch1#ux_JDTISqi_OcSit~WQsyY_Z1=z4ro^~|2B!L?hGa>xA&j+gAAs4 zZZBl4e;eu7QjX#;>zn98aNr~=rAvl`hHkBUomZfdP>F>@_>$0E8sJS$eueV|$HYQy z5fZpntIEoSq7IcH2G6ey>Qt=-{qa=>(8@;j!Z^a?g^6JS0`tcTJY>}o{?5x_ zVxW1j44XQ68Nmc*5NZy(%Y|db0%+z8%pY=8rUW$cTE4v~GBHqB9`7k?GSNze=>ANa#cM)*&@V<=AHPaFH60etoRJpkJGy*#YIQ}D+K zOn@f-zsOMebSTie`7VXRL<^i3N4Qf^Qo4L|gu9Ma!JR?qAb_@Q&QHEqz)JtEt$!pS z4(`S?#rO{t|8ewhX+h$H+?NICFs_P(W*rTJu3>w1Z}RpEW=x?Dr4BQ#sz+~fM6L}% z2U0r3#_b`V4HKXVfzx=Ne3w2CS3Sa$zCF}s!xIAELf>n3(mp^CWr!mPK@LLr0d@63 zpwFHB{_mZ%HXNmQfrF_Y`DX#ohbXs)o{dmOhoCo&!?%YhzobItD??zfzpXX_VxX$| zm-R$JYKPo5?@-C0K>A$%6Iaq3WL8?z7bF-Zkn1mh1E;M5RrS(~fog6SfhP{}gzVDi zz&@sPrZRW|P#24k{*24{d4c55O&uyN84LnahpELU`4eu=U>=1`704U<$Ahm+fn<}x zjLz)^we`|jgl9uMbZ72RCuC|v0$E}CBc+(q5SLOc7=-pr8%JSnbYe#Rwqxjw|6BL} zdy&KZMN%`E&?^6*)`w;WR`+&ccsl==rTkinSq4+=f35`lwUXHXkCjk|z+@f%{{I)x z{G-JgBEx(XA5U zqYFXkIKJh&>p|B85LD;(2nVv8U#2$#(cDATD;a@?r;c=%e1Ho6EP_GM5T2M5e^&1Dy9N(gGK}w|=(2Dlx@v%Dj+n>KoepeToX9R8LBwfKy6{tzYiQfSQ z1~-GGN&|CyGoaD@aa3pzCqY+0zjq*CC18ne$*{oQhWO9FcRSy>AS>YVt$@q70`9NC zz#R1WU%dY2To&S3u={d*IkL>?zuX%XUI-Qi1$R^^*Z|>rf(3pT59JIXZ-rrTFzpA3RAk9*W{kC0O z4@AU;12E{zHRx|gq;CM#AG84N-N8NEBMyKYgM$4D4nYxypuV9E7!wricfdEoFB0?( z4i1g+_uK0o;T-~kppiX)OlU|{P)M{t7#aflM?{21{MES?>f9O~gM3=6@hM zBGMm>jNb1T6ybj$Dl{Uc0A{^xB&nE;x zJVKm-7WhaoDip*=8XFt)VSJYV19O80#Y6-}`9nD1o?ZTthOVK8w!y)BZGb&)oA&~H z@PUGL0C)%fV64Axq#m@GupoawWBx=?=n)4iZiOQbAK}-RV7EJ!k!$!LVF==5enNxN zk>!Wv{|bGPVNgP&@>kv?_@q}!IusgS2~*KJX7^9T4*qThg+xX{Qk8&EhGBUeG#Vxx z85c=7e5B^bYaR@`;}(5h#ISQDLs?cO1xcCQi z#iX`fPF|}er93q121o3}Kdy+VM?beJ^%P^@r3!mnRC8}gm>G9z^g5V6RGsiyyEJi9ryS;x(wzRZR#*#A{L{*L5)D>cKl1sH{@Rr*1rShZ0 zk>RX+-<0o2%eFT)uErg1YMZp*b$Br8&wPZOs3+!*CQY(>x?00hIe{GNy>=~Tf!s16 zX-q|z?eWGABJv*IOJzyco7*7e7C}pEp8DmweZY?Wy}U%BI`G6stWItfVZ`r73MO4@ zA+4pi<&D{$`o&0Icz7K5p2cE6jeyqCxtt@yZ6XU9JsM3;yQn$4u}s?T_52~AVK-vx zb>hKhsxj_Tci2r%ecvE#d-$9a$*0H%N!2d(6n(T~XVPVD`J`-=LN@LA@bJ>=xB+X^ z?Sa~?^kJm~du!K|OT*G^Y-?lfuspIIQbwI&5=FF#Qjx5;R)t>~;S$&r`_oRmQCZ#D zA25?FSNrsaX50guU;F?(Ns4$*kKT@0t)(8{JS=tI1$q7lcnoW5@ugmowJkvLqXvG{YLNHY4o}&YUV(d^fT%d+BiwYgH%% z^O{D_t%*#tecmwXwi)~Sy2AMER@wXM(|vU%(yIM!fjM=uT0IfoN7+U8_zsM)lzrWm zC%AT#N!iFtD+x9qF@qT08*MNwT)1}Cc391BDvF;ToqmAHo^;6Sx%R3Q5!dNX5WR4VHpnEU#jBGm0d~+V+o+>HZdv9IhlXt5cYJEdG z1}-I=K8m*>Tea#Qv6K`>%KR(TD-y!i#O8>w(A*DUWd*Cm0?A2Zncz37vbD9W zWRnD!3r2akTh8%J;t^p7)=6*ap}kH{boCKOBx-ijv}3=Nku8SFo|kE@h!0GW^vjE6 z*u`sMyIK(L`QWJ;z@Re0OZZc4H$|E7iDISe=x9(PhgGn)HbW%8XalTWgbB-q-m=H9 zuQq*PzISKTMgQ(kiF1y5hkK}Gm}-RZtlfI^y``n!<~vath;^LPQ=akqRzxle7ufRp!2L+g*t1HI;?5 zT`xW`U9*K@=`!9s#29mK9q6i!vAS@(df3Vy1Sb9srx#r`d6MXxep}vUFDJoQWH3kB|%()vTW^~#!?ZwS4`{CD4C#u~` zOs`W$bDX|cftb6=N;ZqhU%C=JgrMt0ea8)jB(Tm2-m{MhADnjg)42((fNmv;3mIy+ zt}ML4woKE=xf5gUHON@5RbhiTa&mgexH1M%iX)@7?qaFXH;e7rM!>YY`uPmncgLF% z)1dh0Q`h86Yl2^V1ov4B1yGY=>+5l7sS0Z>;t7{~qFK63Y=(kN^Q?lw>uCxz9Lvxz2PB1lB1z$MTg97BL=bKi&|bG^BwV=B0xapG zqd%|>+^7o3iJ6Emny{G&^%}&@WNZJ<)w#u!%*so5Kac93_`_;PK$Hck&I%8QTX7%NfvgQX<{PIUMG4*S8+way1BTzR@QPP`N%0(jb4|7Y9?=Jpl(78f>!T?!zao1q5Dy*9Aw7W%t~t^ zUJk}ug!lEbweYJaLjY$QP+gdFfZP6%6_;Dw%H_FZ6_RM*V|6k6l4$epLJLdNVoXBU zAX%hR6zFNtM}Gf`Ra;LE^ZoPP=Ygf*iq{>-JrhGkflEuP^#Z(3ert`k_lb*1(qRWt z^R9ZwzVbAlD^V?|AZ15K>X(Xa3kpzG9?%7DKOdfZH1$SY<8bO9BMm2}0qI>qRm)3Z zTBk};{aULLxK>k1 z$Qu z&H3H&h&7)s$lDz+OnTp*4iTRn7S2{PYx}HXj2<6*abxL%*-WwVduIB%*}N8@=*yR1s^%QUYs%Q24)9{b^rBBayyJy5m`o9ur!5Z-ZF zZ3n;$Qw_>l&EsWF1SUJU4PQ|8fxZ5~Rh-us`*NDrC=ZhPve{ zGmniA{=f^v_`_z&1XJLiAvO0~j&}Arc^n=_IIbGwj5^6sAEZ8zz6Yw=>Jtl8MQ1JV_1S9h!M zbPsJldFTDPfFSZ88;hiArCv6P1F@dRw%u!fQo3U3L`W@4qNwoU?Y=)`}`>QvXp1cck;isb$QAbCd%ZQ}NAy zGrNxBs|ke046ULAcdH7I)sB@|#7h<}dd+Nlb=jl{>HMN@b9QKGaCBvQ=2yg!!!pfL znDxSKz^FaH#!lpLE|ZLDhU=cvcp_EP8q{zw+YH%s#Y{c*hGh52nu?R9O>rDg zyTdx7k9IoMs+Y~LU*n0te~A?mLb`oB!NA^xe_*zSs7i`usEVWP94`VxmXd~bE|KBj z>YK0t>*ekd;Y_Ce>!(5QTL4qdZp}}wu@vJqBdvvJseUuzQ!efunRy2$KZO{~krYop z)E7PXLgKr}4jrqt&AwOZ&a#n@*M{FL<(zt-eP-KY%gLpL)2D(@MzYpkz3ggJ0cYEh zt_^Q+gFaQTon-0lFh%)q*&8F_{{d9#3`pph|5MXf&F&!KnVLe>jljX~sq;rvDm)VkA3_&Jh)Q~O%@5ZVdGg4n>D!97s5a#bVPAA~_MPbQ zDnx3fpF*BFk$3-zio(;oz!M>OBAJ;TnhN-~E^2;!pMl5>vpyb+=yi*;*0$ls@vKOy z4`M3fQd-g~)i#b4abNi@Fuo+tw@H{gJ#DDxwS7HAU2Xk#m*vRf)u-b7BI2HnXU@2} zg#zD>Ybe?Z8>v3_EL5HHEK!s6tn}KLZEjWil`)XL%UT9m>~UF_mK2hGX=}^$$Dqn5 z#Ul%{E3VlS&%`a;#C9K_f8E|JQHPV1t;7(lRwY>LiaNghObQS^55J?34ELwbBUbgS7$A~;P@=h<>ruB&-#u%`T)($} z>fD{Mp)ZV7;M2BPcg%^CYIaAvdNVG&MqjT%1bCZ#{#q)j_$|PD@9m?5p+U}%KI=IS zJg;dZ2Cn_e)NuYrowM<_{RTqky;yBms#kc>*M+r`g{lL}@MCjcRPUA-%ty`ax&0V1 zsz_>X$y&G>lg-htUoC>a`E^l?k(MDNb9Gah__vK`EoC9!H|vj7-ub~&T6f9sjD>J( z1yup|?9m9Qw)q9|LayVi)>e-Ckj!c2%Aswyo*^z;d|9r#`;}sssU#$y1V>|#08KVB z!+a5oGPl<_>4QcXyuWcxTzF!FM=QcoWo|+Lhl7Bx{^N?|?UVMB$!Ti(U{0rOGnY5_0Gr+_tw&N$bhxs$)NHqkDy`Mm+%E=QwC%Gwo&4 zwes}LPHxs@bjoJnO;a~r-Ck;T(a0m}ft59_bN_0b%k|a4@|)!@w)j`Sos@Kx$)`4d z2*2O2>ZWk1<-_#+QfDGq<)AMkmZF)zq1a@SRA;N3w^?g@Tl2X1_02}P-6J#eJ7K@YDfC5mMOhJiHX3fE8FtC!RM?33x{W6CXX~P1dt`Fc2O`_G zUQmUtiNdNbaJ;q5>w?5l4Toti(^Xj~YfGAmU)(*7{eu>wlKXS1r{FU@2S)gt=HNjp z_mozDm_?!HCvJNCZ0?=rs6^zO;8WOvkXAc&Y%?}Rno=`@7~WZ+a3JI(c~U%aZt+B# zr*+ltTR)lmwe2u@RYyfyhayxuRo`IWVw1x!$|puorKH8gTmU|dAb%v)3iT^Kmp~vM zBy#_D($SIr5x#zYw9SYd_Uc?TXzP{+_N99bg!P?zq}O^og?8MR_+^lo4;jEEc(CsGTQXyNLo$Lq3fp zMThPERtNBN|!au&Z7IWv<(1*6xs(_9-Y?!PLCd8ZPknT!(c zY6^Ms?w!Ny08d4Izt>bxMPt9$p`MDS36@L7Y8Hs++^=D_?_GtJzjDKT(iXL(zgls* zg_3E)e;oDPr;Ob8ohbsyxheA!J;vMeI}7tUegp&hMon0XQ<|o8-|90F;F%2g^8OU( z(E}z`)B#`9VB?wG{`TQ~PIK_ugMO!wW766I2b{A>r?0Ng=UX2+$Jbm@a#ZGAxX!Ac zT+LNI*N4FeGD9kE>Cs$votCn>rKnmm{PB3}x@5|{qD0Bf*&U;|TGpii)}6k+Zl8@} z?4-nd3NmqZz)Ckw%~i_Z%=^a^O)W=*;j}_3@@2-%8@fp9Yzt)=I0oV9AR)itE~1s0%rS z^$6(Sv~*EE^(*a(d+)6LAwPHyW@gI%0EJSH@8VmEtdZDQJ4dm3T{UZ@_DZR5f2`3J z;;nZ>%$aHTSdrHkc5Wg^L^jD zI%MrqS+C?vtGr<>HXtG*28*NFwZQF8vUy?*lb>?-oo0v|9BlWrM+-_lQ z*S&e-`{YrTY3dk5|LOFSfZ7LXHv%%@)7aw!@vENYU@e`5;Z!Gk+QqyjN<%v4TgrT? z+MjxJ%&UW38!T!>ohfoa8ULM2{5=0ym5_Y?-OOy{v3BAa(1-ky4I^XwMI=NAHlmcn zJDS*nC=TNnag-438$vzc9}sB_HE72Wy}OZ+BVem*u{iY_g_P9vVt*R59M-^da`p|R zi{rKgrEvL-5{x=F0}Jp)GatQcTjYmXpw;fNgAusuCw2#G& zx;i+Ul6ClLNwqchX({5IU6#k=1ERi6B0(3?+d6YdW7Q(z> zHRTs4+*olh>v&{UG%%Q^Drvb^s#)b(PXe$ZD-M{Qy2X4sf*FJUo?!qA*|xmNK{4ASx$s(jye47`%?PmF9u9Mz4tj{+fdXr+E?P9 zc%0^1rPL6IukNod4C_ye37x5yw2a+>I6YHwf$8tAZS}kqqx`|gaO?9jOFy)IJ2}iY z@{yY|@X^mnBH%^?tyD)gAO|*n{sy2cX*v&_&_Hm2(2oGg-gHvD6a2|@C*1iF#dtgK z1lSHQkmq?=g-YOosa=t=D>d5;IC=Kiw0-x&rUfTiQ6YGpGUA%pBR0~hBY)+>r50LK z?jS8?@#*ZJb-Br>D5g^_CaVJPvJp-lt|?i^Jy1yH=EE@M<`b@!CO~deZipi>J0_q5 z7}yKXz7DLcd{jdc`Z;562M~`}K7B^)$*g>YJ&6BKJ6?tC=wXN1TTK8-hCBV-e*KUAnf= zf0@cgpDi?btf{)ygHrb)!CUfvLZpYU13Y@Z1hlyIyW9BXmmx;(Q_bKAs{+6k}HQ*Lf3lDs6j&QaDcC46gZenO<XdPzO-(23l;r>)anzaIF|z*h#IPl&3B%-+R* z9dntzb^Vrk6YuZ0jFFEOsGdfzA7{4|6-bJ4R#K9D((06Du5h?Rw{92skkBQc$bexsx`J4qO!bJOSoiSObhfXw4(!_JY~W!#N_tC&wY^By+f#X3G6hZ-sD*TG%l#JF zzK`#-1xG2@ROPWHuC*X^

fodYz&WXL4BOX!z+moI*-+n&aG69>r@WoX&k?jTn1t zjUSVqZ33WMu(Cg29C~8)8tss5@YV3Z0BXqr`1wtY&~snUS<}pM^YE>su6Kv-?T9!$ z4cw_3jz46mx4E%671mCj-s9Ff80$fFOC{GSXwU0}JvlOk z_1eYK45(}&AJr4u+uYzY*VLK=5m?|b9+ltO-Dt5<_q177C?*aVJsYq5u5i&O2d24p z!?FH%fvA8w)Rav0fojcrZrP#ZwBco6Ka32b_8QpIQU%ATerFgpU=>nmpXUIZKa_0t zW2OO5VKoEpbgiwS~6jTHR6i~#_6cr&96%a`1MFME-A_fp7 z3IbMCO6XOIViz^^qGBj2Ai@_o=iKl8KG*mCK0m%ES7v6-+H3E%_MX|R?7b2?4@u`C zs}y0zSp+GuOT1<+F*pDPu%M=^n~S}RJMhnEMF>y;nv8%|vMOK*z^wvISC~9)6#)Lh zK!84X@BdRvP+MFR00989R*^3tTLT1Swp*S5X?yi5zVrW`Y_o^m|3!A-f0C^iu!jIT zTbT`f{o$L!2Xk7{Z()@w!0#RX(%}^NS5C26Ku4 z7Qja0X7EK20ni`-a8dXom;mOkB7p+nZ2|CZocpT?F2H?S#a%1VSnlF379m+z*ee1= zMIxtsdFA%Xil8O~#mQM&VTPj=b^Y;t9mdKsyOHr{nZ3kVUS`|fCTf)%XyIzriezvY zwTb{d7m-^B4B$>OAE8vF0`PoTMG}Wnp@(M>8*o5F>xR2uP_hs;1AG5lO&?ZJR2?ev>MT12oxA z40r<@6usC$sW52h6swwF9U)DJ zZg^a$MwJh1r5eMPOqFB<3|Bmx%h-u0;g;0t7M&}6G7BLn6T(%i({)+P)fjDPCzPvJ zjoBvnq)KW-|5Lw3?_B00X|=RmH8r zL8hO$vrLLis%)LIR;qNJidO1698BvbR|)ruDTOhGz0$K)TOb7zmJvIVIhj=h9qY$a%G6+uV?9 zmNRnakG`Wv9@Kz}ISglI4dAJ6pW9PV>37lbK_;y@YIhUEq7|DsmHLB);Hqb*vJ@^> zY)`gGU5A+2-by#ik;ZPS1E98JIe>hp&vgAIe=?_UGjVYuD;$2Q?Q+y6GuYRmUF*$9 zH@M%b?R!4N+l9~(n>!%GW?8q=-IadbKkMR|gugiZ$j#5c2F!>Q57 zbYp25@IO}$MhCS_4X=CkVSp$9ZG{7=;J>~2t1_W<(P#U|6S?q}6kyK{gZj)DPxXwZ z+O$sgbcey$hhHB>rJB_ zQG>Y#x3lwHEMF;_+WpdVj#}nQG0fwQ!H76MjVl{|``qUyz&u_NaF=kEj_-a94I=&~ zfg31f!;hfzMI2ILPhT- zY>5LFj^HrozcyzQE;Zf{lj2{o4i?dcm;&0H9 z_z|RLF;a7Yq;-&_RYKAlB54;Aw8seRM+iFVgh*SWr!&#Bi|D$60Dmm(<=Z zQhS>seV#?`+ZMU6Ez;N4{Zqu{MYqe#hFA0?u9$>hG2eN`%HXOhYU2&m#u1cB0LrA$ z%w){W6mMo4U}jpVZ91lH7Nl)ftZhackTX9hXI>&_J|t(MihObq`J@E-WC&SXNU9wp z)!|8X0i?P@LfsglK8R3XOsF5gHfUiRg0Kz6*bf|}rD$>^y;fJH)jlqp*3rJCh_(aC zX9jrVR1#GNm147k0(Zi^cO^Vw%$4tJ7p6WA@;n~hK-}2(fVE$Z%&nNEjVp;iaNc#| zaVj++%JTh!} zzv=Pj+tVv0Z`x;G;}PSZzz_TVBu;ZgCK_M8{@8ptF-AzK;Ev5JTASUU3>in98)99= zkFjQ+YrKS}>C9*vEN%c z^X)meKP8;$fmr#-OVGYeyTWlRt(uw)jOudTs3TmJ<74ov%ZE;RIw$<0(`L<;xO+oW zK17-F0n80{`~aPQ5(TR*;Vh{VGG>KoXn<$d2QBRjL&y z^%$r07)(6@qAN=eDdv69yssrV|xN3iPG2JNaFl~$?8sM5m) zssAl?$$xdMdaX;VqgS={_H_OGsWQE(+W!yQNB^N+tWxaa_LW!aRaEH-UYKkck|Bi5 zh9DVGq`=$7QYunr7!`VqRDm-|W~3O*RHV)@ZtF49)DHoS)R`jdf|Zpn%wk2Q9%+Kk zSZiehArO)B_vmRdAG-U47EE~${05i*F_O50c*bbGwz%-k+Wi~qC>x5_3uOPhUJ^6J zqY%8vMDM~|v;SwkQ}`+ZW1{{hn|^h^{_hHPt3(wO^=q`?>bYq3<)E-$Gb48CgU^{o zyL4zCY@IbG0ym%0i7#5IGi=c23nLE;yzrabv=I!B9*W55)~mxbFq-u0BpMjadZ}O_ zKwtxhKt|(g;Zwa5uyaM=$?wvn+jerf2%MKDn?pCK!gq42gm8N`>AFRb+FreC;NuHD zF0KnREAadQt|-o5lift43**8x=^{8^O?KmLj-EjJXPtN=)TslHr4#{znz}_W-6A+$ zT;O5@t1fnvUJ+8aNVxWsPTgmn>=r#ns~%ftt&0cN&VRkqU8`_K^V&^C)7qu(!WvoK z`M;>)YXidU-Yp{c*9iSr#kC1XVNEi>@7})Mhrse=58)EDSSxHDx>YBHFN-^+RVB%8 zW=z$w0{$wpX6w?`aYL)<*Q@Abf1ygdm1^MKq&8P51M`zE zJPHa%uY)_utn=Kg$kP@K(ukl)Fi8I?8Y59kK*nCCvX=tViNKO%qi{}48!s7YAHuT{ z83L9N7%Y+&oqBZhF!v#s%Kwee6MFiQ(s{ztT^UXuOr|u zCdylxwzM{2YxADXTN{qYt5e1F@0s-1D^s(I()b&tvPQLrlK2~?{~r{EmHjtkRv>#4 zcS*ZSA?NsHcFZIrc9M1SFAORtdonwBl94w_X=9dpyW)`11YNHcst~CP#MU6A9kU!S zItqduoP0-c@MFe2;_k9{HYif0Q?7GQ=aEjk&WpR}_ToVqr!c?3L!k$8f+YrhR~vVC zPkY>fLm|xjKFNrK8;)*>+HjI-z3(e5PH(p!6NKO(&^YE!Utd3eeMW$OFvC}H?A5Q3 z;4qx@D^xGt7zf zks{c~Fnzw@InC3%vV?EOMd^3?a7A!_Ow4{F&0n7joeI#W1lk8Xx+mx->LZCTqByY# z;4TI;jEOlLiTNhPd{bgRiCBc0M;jCMHWHC6fbhtK7-K@bWI{xCaG+d1p^H28R;-lCs zT{9vE!LHha`}QZcg9GRGJN?iL#=ZZudaQyB6(U267|c*6GBk-5D=U2Qn=J6r$_k1u zs3C~9<^)9ly@UhcHXE!)fieb6Es6zO2m=9}v%y*z7%D)lhJlAtAthmJVIbaMH4HqQ3N57y%Ki=mAvkB| zCyI>DW&v^P5rG_nOUPQ#XJGJ8(034jbS>yRDhT?H;vASNeo7)o3?w#^wuw7!^3uEO z;8!Y7TSViaI6hnOG$jFp>juoWGLvNpG0-Xj#;a&bNW+!Vd_ zeC_1;&UB)6I-vOe(f!CH(ae#;E!q!q4{)Wwsi_1}v`uENgqY8g34M3(Ku(Wqgc9eh{xML!6LynB?# z(>#$(FB&^IHTMuF`U=ghP>TR)?u+{M<8%Jf^Wvd4vRc#$T0ULv_#_u^l?`eu zTY~jSAZ1a~GX9sw@#t~z&oB>49%`f6A4^ZBKj2ekh2pS6_y6#>eaPP9yNt_dEpf&V z{JH*UB54}?!s)f>ElaA8v$T|b&x^)G132K9!KIQBy3l#sOA#NKStl3sAo)jH)VBs) zxCHuoA+4E0sw!OmN3}_@9r6&U?m!zRv zv}Wo07cdIhQZ)&xM3#rHti0Hkrh#ZjP3g?gJ;H|`oX}*E)x)iEGz;S-;t6#4 z@VZlk^q~Kv58;^}OCXKGE}b>$r#c2J}0U(MGDg)(3ZZaC0ap?_9 zPBhFGtO0(NHgyFUoOp|r+Z}&m7P+SeA!8Pb*En7tq2UsGqT3yaDU{+m=E()*X=*Db z_MR`fBWtxMEoJjnno0;DoxDgJwz=>d`RUID&gi08h!5xF{2fR=)|P_N{n5~avm&;f zEbu`ztIl;KWY$#_T0`RoB%ZW0d4RHUB6?XEMEQzU-E6C?C>ZyW>)yLbwuG1OR1u0f zafP+lNP3?r%{H75+3gdr_3U`r&U^2UnCxlX!aY%D@hbTV?S*50;!f4?ksA&6T$8%_ zJ)3BybB@{?a%=%Q#dq*(81)h-1OFyyhLDZp{C7(7GFd zZL(D^+54o8oV=;+PHqbaeGLW(DcEe`UMqX{eL7EdS9$5QEA(y~Iq7z(`xE-gfSSq( z;G6IKY&;n4`^)C>;^afA^}W7NnAZ|zU~< zy?`)GJLop8^~#)kT4HY{IgF9v+P)a2@Ah%4p2bKT`ZjLrShlv%ceOv}cb4IzZ)wh| zlvrr)QjeTF%^XNSeKn6Sfk?nOPWL^Wwx`@~jU%?Ik2ERtDfWJQ!FT4gOh;?YXu$aM zT9Xj*Xx!t{_?p^|APbS6k8J_8eakj>bOV3di;P3n9dM5@;0;?_MOhUn+eV`oi6uZX z@H~#b1ZZFIdU(cN9W|9LPvRXT@Zr!A)a)8ID zz@HsnB0~>}T5>>^Q6~rY4rQ4QHjT}4fTKHWPFN;z!3R{wFCVVPNki{A%+OU{05`WQ zH}od+ME+0_?EqNM2M0aETBqnVeN7-1*L^YMb>{ZpUdk7ftmcWxKRk~5SS4(WraW>-{_q>iUM$WtjpI`H4a($<;6U*S4%IQ6jpT3s}Yd+wruayEnuW5@{09 z`-$fY3b5cvn@xpTx|`7Nn+hpfgK%f^t|e^O`lh-BG{y49%}cxO?i07B7FhcO^DQbk z)3aA7F0TsBlsqqudmB}bp*C#*lY^6AN*`5>!+;aP5?ZdGd`&>H--Q=OGYWeA`FXu=_n@Xc2nOJA7sYM` zODA3N#woRc7o%L2aX_@MpXht$erC{7kfjUJu5D{*&ZYu$9XNepD$ zDcF*(^K}yqn~#TSb_Wi8wg9l%DR%6$J;53$Bx7=dj8GX}2TT=n(*1d7#oH9%kVICV zH+5T_&Sdy2*$Eu%*{yg_^D`fcw@hmJ?r>Xt^yAnOnGYx1q!klt$Y0jQ(V@=zC#aUU zV{3+(m0~qE1!%B6{Zu2zOpaNtZ??pN3_9I7oN@Qnj?G$*v`ZEeMpshIh5@1T#py1x zxJ*3z!Y=jWN#ix17lUlxB-0N+N_zqQDF|=A{PaNO*JUPhb(_kbX@0)}I4mhdlVIT! zuZ24-%LhYyi~6^woePxyHr)hRkC0V+CbYIoo{#wPxrr`bir4trd!vm^{InZJ)D%=q7qc zh@rynJvSv7uYYlI;e1z7IXB@u*ToDKYKUeP8i$(4xjLQ@gJCYX9ai>X=nd zgEF8Z@@?u{_7%x+HUE%~FQ<$Bw`f^9Zx}jtvJp@gg={j--7y>)6YCr%X`P+egy84N zZolF>mVqeg{hlFd<~=<(h<=r$t$1B@egM8-1Bm{8K3OkBvEZ=IpAwbJS@DVvqeUTc zFKtB5SB>Z418%B6r6VfgxPo-qA&rA&Lr;p6-N{9~yV#6C5yVlakDd>A@3@=H^g%OW zrC6WUwMRZ6*j|SS_QHj<)Z88aub2q#4qyOdrL|>A-apHdI|2MI=f5|!|DuKm05Cv7 zXpL;?KV)}7NCsF`1`&7j*tOf!%X`mWpMAdjDSiU{GHSS z=C;|!{(`<5q;3uOkgo>8fM*7gG{|v5DnVpSq`saW{UhuHfW!fKcQ^q8Zgug1Y3Xft z6S8wvcXHl^K&jc-?^r>L<5B=>{9Ja`=>S{V4fBhINLvi4Jzs*y@f8JzdgRxo47_`* zIq(5pjvpo`Ai=k?>C#Ftf?A|((mZP@ot8p>4O!lLsIfgA)ZZ!-M9Zm*Preu=ZUb1S zn+h*dVHQgo@G6<^Thj^Xzx9C`^@cOHq&sxCb+lt|VEggF>mCWR8 z!8&t1rEuO1wK$B%Oj8ZEnBytT#E`t7^f_9W0l&E$W~jz^n3Wp2Z|IRoXA@&NADhB@ zxxs5Aem*h2U0eg0y(6v##wB2}+dq>^cCMwJ|P1Bg6c zXe7Q84cr~W%*lzuX~#FeO@YS^Vk(K_?w#C{i(V35!g2YSY_BC_n*^JA*>=At@!jPDYjqLdKanBNEJuBVIJB#xD3uAbI^S4=YRLd<;oS zcgNFOlvEPINfEB;qe22sNeM4gA&68>9cO!Ys(RGXui2P^>D^^Jp8O%h_r`n?zX6{0 zR}^#w{^<>LctGsCCho@9s;mZ4>HSkI(wFvmjO;J8M1B(kB@JBoe*f_;H?5Bk>dW)-# zf~GAg&q$ye;=JhR!rTE`-zR!^oSijbbG9~r!=FhCBJ7mvw;#k!{)RKuy}!AeE6z%L z+bD457cqv$4pu*)-I?n9()L6xcxn8ap`!Qkm5L$vC_;Xx9g z`Z@ihO#mfZv2jI9Vu@(&3{yzJ%AHr;f6UIXWy3sGB~5IKRa~hB5W}GMTN+4N#2G3Q z0M~Tl5!zA6F)cCFs(w6jbX7%u0p0=-9H0f?F1{HeBq}DON!a1ymNkTykd?>j**LlH ziAa0FPfSGoXFe5lXDAZ*JJ5jN^Mx#fOM%v zMdB#0FBjc$!e##sCxT=ZTsa9+9?{r1Oyi})FSOr*Jo?hxu%#sa;kEiK?>+o-*4|t_ z=j9b=>DqkEubs1J&9&ULn5igL4`n>|c*{YD*`ZF(*^Kng)`^}ikWHk0;m8Q&GIdk< z(C`d3>Z`+tF-*5);&=2RyNBlPoy};`1)8V|y%O!o_}sBFOq;P@P+7`dmcIx04Kbr^n&jW&lD=HDnabE4;ZnuSMT%l#=3L#n=L!vLm0WNSvim5mPxsf+OJ-#*Q3&*kK zD<%1llU3D_=26rv!`@P2h8Szd9T0EYoT~<Hh<~ccd1QJ1`j^8bXs|3M3)=ciHK_>j$LejI%+Ixsk0({!9_SZ7k$jq(in4L zptBE2&G1w8EK3sh4nHiIu#ja>Y>dk^BDU5N)^ z%hc7d!4-J-LzD=1oqZ6ih&KquY&zv#j(tl)*L4H#&2 ziY7$6`O;x2nKJ0+=4Q%Ra#p>tlKE1KeXc5``5f2@Cx5LV1PZ+ z*!mUf9Ue`oVP_b)mQa5il7KHN3Xo^%}Ps{hy!=!dZr*Z3_xdyiI$8+nmXPR7Yng=oImLmv*rAShMWZS21~w= zVnHZbHbttkw*$r(Bte}2I&0JXRAQlcH%j%$QVFnM8|3fcc~@QQPSc#pUw>a8wuDi{|- zIaCn!^5`kZO&{N#DopHSjUSuf^VbR1$r2Fm(iX=#69t<_X#|74af{)mznVo|_HtZp zH6>dOYB&c!B7LiBT7vpO{GSfx7Ez~@%~vd+E^v+w(&+3+!?C!qp@Fi7MsS3#;3sa5 zeA3&hki7-5Uqd#!p|}ZVt8+Fpf9d1(#n~&r3*AgUYpPU&3Q`Bg(8(q7Zwt<=RyyhT zjTUJ~N(?ZD`M1W9?Dk&)PJ=Q#w zI>=Xa-4&G@qGFnu?lbhJ;CVj^drI{=^bJHS`Sv!s^&@9fUf{Mr*z!&dkL^)mZZGpK zA4!E08x4?^68F#Cxzj{^7hNS%sHilxj+snNP;gRb(h|)}&ZwSy^7(vMhiyKFvulKB zU`c&1Y$c4KtSZE_U-(q7FG=0)K(v>1ds5;L%%+4Q5T}#&rDJ`Kq?ovxLQaCKuq!JE zD`Odq5GzyoLG-Ic2+bs>&t^F=#V*`#ojIW$M->ei7i9Z-A+1L#&7aW1;oZ!qP1ix+ zxpg$K`3KK3gvkb!3hHaX&hI?T)lE|*-FdCsJ{Qdz@{9byeS+OSEXuC&|aWKr)~<7v1mjHi0N|cl)I|;uSxntx)I&)j`0b^69&Hi{hOboJ%yM zM_}MI*B1l5eBH%ZC_g};qr?#inwV7nF*}zgaEtW`XxC=@|5!?@-w}F-(CSh;jzs}V z*j#?m`zmbpAfc0vU!R&cEJVsUT>(XDXLW?AKrlr5L91si(jA~#Zx*yhG1@t9Q@)Mw z8}TE(_fNd%eEamvPgoYrdvJf|v;rgzjq6Y@IK6)w7r>^g9q*FCwFhVYhGZ_e{5aC%q zmL7KxG>}@!0E|3xgh`YDsR*?=y6~6JGT`G+Mr8<*#kb6nbq-1S8zTG@6O)kMfPRyKFG zD#t6nf!ffps>8lr>N^xaB!5Mu$ zbPD2&-oDm1ij6XAljsFAY-A&+>875OrKn94k>)8r zSFbR2W#~Q*9NF~XT%08=&N4?C9Za;(zj(&d2YBAgXL&={<)yo(VZ&o;V3|s`*5Ocz zXp#i$^fwAwDd$U&hSC=j8Ofc_vZx=*$= z8Kp;5d?hqTaaw7W5Nkp8<+@&@J$G#RgckY@BOM*E-zc=uQC}Yc)tA?v@xLYtonxuK zxd2pH`bbrFcGqSjIy=&O&`(4cWi9)6$OfgxgNTP03m4zcol9~&MxBpUnXP&Wg{p|- zj_(4a$#A=92F`Dw!%w0znf|jykQk??U+;G;eim^WNKH7WwcJFqjDpebW2`QY9{QpY zXCppSMcSdP3$dRiDJ!Pmzm`mA)aD_Y$eZi8K?iVZE)PgQajFlip^V~pV;okcl@LEq z+zQ2?yJiJ{{&W!FP6Ns@v~Gm@6al-Q6wt_H;q-+-Xf#3GG>N|8Dzs2HEmEf1IzUEM z3Ior4*Ta6!VN@(=WU61!$FJWSj0m{-u`_&?0rEOp=|Rg8%%_Vv%Wq9ITIx=-0UOCj8F=%T~%u z{4-}{8V8KMqsJft&knNkvI+2EnurLa@hPGOt#&%ZGb{$CxslP z^=y~Aq*}rsTXCl9?+)?hLXAdtwOUTdQw_A$9&7P6wh9+6c zXy|h{c!IJ-J_8ekS~7)pbIfXMq1Jet*rSyFrvO^!Yo7=6YT_;GBR|N9<(DI~JhYYX zqFJ0G^c^{lE7C*P6VZqdL=}(FSrZ?C3m@rewf%^;yh!8BbxNP>!#m*2fg{CQVoyw0ri&V)BZ)VF&NCHVwbuA{w#e7!Q{)ZIK(+XqLr{Y7Z&QtyN7yT zEkVy1eqn-LwhNCzn>+lx4oGtXC0WQ_=y{ep6ndH^Yvs)ZT&Lg zOia$}+Akj-Zqn}KM*SLWKeM}hA)Bw}e6`8P!ootmB+h<6OGQ#^4q|%gR8d<^%c=!k z^R@hsQVpqxqUqs6U2bq34ZyKdOWtE)lpr>@hvj7kvs zO$eRSEV6hLgpjG8$JniIF?}ogLw;B&$@c9w#rtAM_sQ%`nvIB+@~+vv=g#Iwr-GWh zZ`O>oeKZT7^FDWFh+uFfQ*-dn^WA9yg#q0_$MokU{zUs3=wTL3HezbzHYIpc_3LNU z{$}8r<)B`xR+!pHRIzqw>v*mXdO^)c>8t$OqG3&|(X|d8}})_;)n;Td8I5S$6NIkrOTjId638 zLq8!r^MEhoAyn(ADgLlxrf-^^Kw}l3>F;-sIDUd^<{yGNqYmO#u9w&ppZxZWejq84 z%yMracal4Wua=se3kq4Od) zUFS`exhMfu{k5Lr0h^I#9*4cLINc`wY|*C+$6rlX z!#|0Nv3*1DZ&rx=L?cKBG}`>W7bPDFy-m; zGyLTK`=>Bea-grmutWX>MuM9izdhDCk5*^$C{_PU~k=VerjJ;Yn$mIW5bNW$dVL zdye2tUOli}-Um(Fx7SlP%1BPFI>~i>Yb8N|m_2EULG9sjYJ=)(= z;#q_a{@U=hwXzsS;BW~|NF>e@0|N?O2_Dfn;iR-6QQ8tl^m{@<9#P@ettJ>h(fl!s zjw7n;ZbTlJHo}Hd$G_N1%0W_X3ZDly`c;3bv9!`lQ8R#LIXmEX5~K381cxmKK8(7|LHzi!mjnZ4l{P&@GRRXzvIBEU8Qe=kD2ca&Go$T z=DVb5TC+r1Pbl%SQ)!=E`Li(M9^LcZ>ux>`t$H6qd+bN_=ar8Ga|`kH*88vhKAF`Xsw~OwX*$@ZUY3_x0-h$H@#51rUk?p9 zV4$aXDs8+??Zv|!`tFutf7ATwOykd;x!F*0O$gp9;7xvVn|r zCdo-AjvjZoFX`*i4@jlNJZqI)?xe>Np_>g4;A(-m1swz>-&srbed1W6*lDDxTw$=R z@3`afp9^%QI9vhG6Qjp_mKTgwdMW;oI)0e=7<7@Kp;n{dgHb_|QFP6JV&IB$RsA4$ z3WE=cC<2ifLwiBiP-sjETv%Khs}-U`qWFC>80V8=fMP%^cwL6?(_B=xzI1&h`+)aW zJH1Tb?cRo8-VxfLV5QbqSv5WsN*v5f`GUmWvhmQ=Ng{sH&3VDPEcJcb)i%S4=01Rn z_3_rg+XQNENHVa{WxlvxXrO2kW~?u$~O*?0AmF|?$0KvgsILS`!U;2dwAL4c{`j$Rnh?{U`>E3+&LPb_mX z6}#$N2D_X_UC<^EYbwpSCZ!nBPIcNFE}bREN{KHJ$ns`V`r#<&aVI0Oq)&PXd!1wz zX~@M{ij6)T`hxd%(-6hrp`3JuzZte#LiKA&cXv-bC$+=5TJctAhzLmrzO3sQYCBD> z7e=&lW+kYj)y7F~!=a@^9DOPTk7&@U_2gf};w?KvRB3wTZYH8!1hl|TBZ zX99F6gC=4>KZ}yFV_s{cD^6*rPz(m;81Q1h8eRl3F{LHI(5L61vHXrLcl{pbf1;8m zBh8hZ+aud;CJ{Blybbi5GKs%lv`H}*uQ+M;ZUY-{AUs222Nj1nIakL>hFUuN68S!y{`JoP}+`{s?$Eb;1bD z$boeoOh2(4e`#LuV`3i1!sua7H@IDRZR;%LkpI7wKJdR=XS*QR{-rdt|J^zhq>%mZ z*4e+7L-wz3or$gLJi+l$?KPO7xuyjJBaZ*C7W`L|s8$mQ{#OhBJDIfqn?N8)r2YSE z0)hCd&O3U<|KY7Aa1Nw>hQ7BJ5-h{v)l^w*?n)|#5L5xhY8N$#2E#NcQT6j6M15;S zh?Gzs@kg7MH4MbIY3%I~A$vEM8gH1^43)v1o)$BC4?49Z6>am3KF8LI{ru8YF z`I~k@Z^u3kCEfwemj>@ScqV)Z69xqTRMSAZWU>F`X7fLTRr_e>%Y(iHEuGMQaUL&} zFJ@G1>^jhQhAnX%Ql%|sTVH^Fmt*#vv!JTDJ6tpNv0t4Gf?-Ep4HKT)`J#nC7KjRY zNv0K&sOK#^L~DI8D~*Xqd7Q+0QQ-t%+y*gQ{(wtNv`|`bd!0m`#}B{5PY22lC~ACH zg&^Edj>Eot(p=1|#&*OKQmFm|-XuNQS07*OI388JMN;$0;?80@seN^X=Vu>|4G8Jm zEvKPkmHAYs(%sHq!WZA-zE?xh(ImWj;`q{p%_CeR7r;P73YP?H1frW z!}D+Qk38ErVAKR)M`gar|I9Nh#`-<=-Ic8j(7MUuw+FkvKI+;kS7bQ(`6}~Gf_bW^ zr7}+Dr6MhIf$pLJOqcBnFz$ubhCl$>(CPzj(GhP8hsltS^>!j{s!4JFLjI3rIxW(r zRO#?1Z(1AEYJCt)p!rlQXYYj;dV32!Z^@GA-pomfvxJ;%p<^t4#PcCv=J){(E{N_E zm1%T^`QR`OxcCe?z*<&(l3e>$Jc&NXQi_YAC3FcFYEvg2}E1<~$7k}}6^*}@2+=iqX zoTbv+0DTnnS_*x1syWhWdY$Jvb-3*XdY|Iv!#=-^6RV%9z8&Sc37;*hmxZYfI)>1k zlxJ17a*qVx-*<*+AEDvbeBbWY&V%i&prBU+bV8ln(xA+4m)PD(y4j=3u2E)l?t4Jv zK*y8Dr|;><;~kF?uEZN@Xxj_ck=4*HhjxwP*2_Bfc1fQ+qwKWu6t3b&$3(9LCZ{{C zz!X#i5Kc4?mD8H(*OU48M4kF;QE+|QDd-S?Sal?O*+6>g=P%bEBJ)-H5{Rc`g>`Zu zw1w`kHJ3ulyk-4bWSqX@<#IXkkN>qSVP$3LG>~8`|5Mj5d$}LJslhC{l^-;!?sl$t?jRe+SBUDwzGJiF{k3Fc>Jk?d+kaM+|-- z=5Vgbw(HEbk?O*I!#YpBpDHyObnL?F8r@3l7GA{CNG%!7x>L${Sjks&LAiurRI7X7e7j+bU#82{kqt_xb*wx=iY!}5j5wAQZWsDfaI9+xhSImw@s0W`mU(D&> z=l@+^^+l-JRl#gNC~-zZD&H~RA+%UU+F_?;e)rX&<|q_g`L1ez^P5)5@Y)GUgWAbZ zLlG8!NQs7uZub=xX|my&Y8nex0B9s zBc$`pY|p*KT9*7c$2FAx(j~-(HgjUJYM%>}^k{o=745!R@^=-fZ|L5K{hqHYxtZAR z?djxdSSF?1>Diz-;w!rIMY(05xM?>1y~ybwmQ-lrX`G3;OFZc6Oz6cyJN2CbS4HX) z-C6=12R6LF`{bTpsqQGfMrnzQi&ws?Ymf_7azNp>nY@(FNq-5#C_;UR2e%q%p*C3}3!Fa}A&7VMpT=gtB^6svLS`WS@%D^+ zKaG`@)3<8fJ99oIrvT!q;wq~m3g9ON|D&-;Zyd>eK?luJ5|lAULv$Gv!EZaW6+TCS z_rG$CFQn67O7K`ZDnd~G)8GPSlQYKR8|8GuYtZOxAsIhN#}LzDU^6R^Tk%jH4#CSl K940_=VgC<*Fc7o= diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index 147739d2a779c3e0ee5fa5817725e255a161aad0..a79f6906f787bd4cd43d3b7a1520218ba41789d1 100644 GIT binary patch delta 115959 zcmaI93qVxW_CLPQnHf04z&w}-!%Gh{3~z@Se4&=gOF=;p@PTE4faFbSc87L}w(_gYUxB*JM zAK?iPZYuD5Q$1`Ps)!w`G^4K&?~EI#Y0~<~=jsY3%`QOw|D>q_4ALO9DK1EffK#|( zQF1|HerA4=b<%8WLE6-;%)YS!;b_HhNL5mSjd2Ef(+2-|%P`6bjJf%f^AUPK*I$OR z{g5+GquhT81d^LK&-$;GVtzIIVsn)&u<5-Z1H)kEwld0JhbEHB;4(+RuqJh&o>5~* zctvPuji0W9k@{b+yN#Je>O3Zdus^L~`Ud^OFCH}~v3L6Li_&Oa;9oweO%v6_v2Aq3 zJ)O+f_?yzsj)zUd{0971M-2T;@@8LR%pPWBQ_R^S1~siY%acwHd~?^?W6bCh8QNq~ zD{h|`dQca<7$xSWP0PrdTx88GoJs2Km&HiY94XptQW*Mb1U49kU7uV|gk}T(uo=bK zS%uTGic@F~zx#pTAw`dyjGDe3qFBtFRnB-*dM+v;QHod;6dN;@<-npcM3U z8JZg#sJugm6Gpw@>jFy><)niIyng>0l7QAtM~!h&l(R8zr1H)8zJ7`kCdiuwm(MVa zy(#9(GwMi$iei=eKf8r7LNF>@bI^6Kk``eCW? z8;D2qtsPPA#a3sz)w!5(9iMOIKd|Tr;KZ4Ij5xQxnCcw+hBD*ZRIP>ZmUp_CxqYhexp#QXQ8?swtYVwK6le$z4_e# zgLj*k_m2+A@t#wq?dyJYuD3cH%*LvT&UHVwH~i>)%IaKiu0qa+A6qBv>gzkM{-b?E zqBZquy>o;0)%K^YSN)+XPFc546*;H0yHxDMzNVLdo5$#y{BK!?#R=8y?@xY>#d-N~ zyeeSNq10hrV}`XRK&{=w1g`so7^SY)n;!f6O zyWZYmIo91Fkq}PawZC#{JK_`T+jPn0f`jEXMth zeJxg~{fYAynWVBsWsrxM~Pjh5*v3~ox7~= zM4^dugx_?WUlQ8BLwIO^Ubu8^64<&nQf@!O(Hc!=t~gKd;QXy*o|L*iZ#w_S)VkU@ z*DUu}{MK04PwvF}@yFQS5Pnx|N3i?cT&BX|DBM}67ot))&e<@uZ?r} z5MsVNN$7H8XT(|3vJ04cn-h1rr_uAJjY^ZdVx^;Y*ZSQz{ z`vgir?iYXnE9HfCsDXj2YaQDlale(*x5pit)0gc+Fhj5O$ST8nmD&5zR`zJm50{zC zlKSsps_va=Z%^~cIRtW?^6oiFYrEn9y_xp*EKgDoBqeR?hX41b+uJjI$$KZ++b4Sn z7YX0SZm9Weev+xZ_i(l=URcVm@5lxBY-duK7qFy-i8U|r8Ije(c##p|IdjJyagKN4 zycQ;9qD)})Sv#+r`P67W$-@8F!rzGLxWhjk$3Gtj*GJ;TrT31HY$HC>!We>$K2lsz zP)BUr-x!wNcK*N)<_;4P{b+iAe(~e;s;ZNhSMuUhRrT@}Ws4VgM)8U-7}&RDZ7znb zlODQGM(_t1L74A!1jzuVmhNVp%i^4meC2HXDqiKh(G>vyoY%X!RY^~FyF&SSajsyu z94@pgUE2M~`S4dR9b7hj1vBWxH-cxx-Oi0Q$9wK7APGdSWU#yFd5lygG&zD-&kE%xoL zev(F9BAl00>Z%vTyUN|y_}%dx;hP`6*0}nbbImo^qlAJRUt%8M7>UfEi<@18OJgKM zI^$kusu@LdqAT&$#P-B@6aS50+|4|w;L~bq7FX~&ISZF}_U&dEDGuDjyn`?8VV>}x zIBe3eykW(7!E4Oln#XTOFl69$U+3_lQM~lw3p%N7D6yf&D2KD83t*zJ3?U#B(YkT#e&UUP$*Dtj? z4eA@zE$fxLT}i<d^IYT!OBENqVp?Ppm&5v)JfP;3JB zhDA^pxGXMpqihgdnQ*-4w^HL93oT1}nN)7lk}a|YOZaGeTZ)FZvT zxR={jb-4-%50z%yw~s8yFDgtZ`>DEf(IWP_fab?obKAqLU#Iyo_5#yB7$ zOL@h7M+T9aQ#d_uX?X?WK!4UOu0h;(Nz44j^AXn~X{lYY9C3e>By`6NL%QcBIkn63 z7S`reSFNz-EnT_10wwMs($_fV6cntewXZ9#UF}#@MHl5v zhVD}AmbA>Pu5eg~Bd(3K3rMd3sb;amnt~Ls!fkDt!#V<4-FSyWop_+0JkH_M3Mlqv-Is_DY-SUfM0{y8JAvuNQO~eCcpCK#n*^8n&#!8gVhRd<6HlmPjdg#g#Hm)8uG!X^>ABXt!aPV1dP~w$R$UH@ z(zXC;4^S?c{Q`@v8gZSFzI-v_-WIP1#p^rtsy#F?OSKJghhfcB!kRe(NflLSa<`-f zpRHpPnzyrIDgPi%UF|UEOv@?G%b!t{g#!O6X?bXQt;1@!q2T`$lZ&#%)Wm<`-0f^a z(0lz%(f`3~x3lJPN1%6xGPiWhySw^?I`>tzT>YBtzf{O6QH7iqRmd4SbOC22 z)-hO6E+3PQf(o&W#pKZ;a zgN)x$g?X8lDdWCa9gabyzdYj8b$88RqObMsD0C;-BQ9F1I%50MZ6S zkK*xrudzHECB@Ts%+roG!K|9U++iJqxM*?GTLwtWn3ajtF#{!q#9{kU*1*K$?4xYd zxCF9%Jd3=jVntTCnEXocU)<30ltgw!(g>c?PCM$j!1k@$}4*?3Bw`L(J zgL4<86=x&;aNleND}{0uBfQxRqXuWo%}Gc4(Rby_eHbZH`?HN5oQ>e1`f+zW_x1jez({T4O);Ky{Dr~IULf3)KE+oNx)s#wG`jcTx(v{I{`Ni9au8;1PE~rDW zpR~-YSO%MkHD`Hd)j~Lij6osurTxzv$>G2pYl}ttaxysc2lA>aq{8vR96KhK?0XAn zLv;&%L|Dgc5Db(`u@kDKE!M08H1wg^{?=KGHj^Gm80Kv+;ug_P7`GU2G)cxXOYnOp z$99%EswNV|4%U@ppvhB-@V|upW|3pg`vWywqERqvA(JToy zE~8bn*&0aI#yYH->9AKu*W&xl5_8>h+N_8bQhJ=rpH#Gow8%EnHrkeM%b1joB36oN za2^xVhNs$7ZFXq#C?tPa$nQYmk4TF%7B8K@$O;lR3KHzL;Y11wU*$;x0VL^3TWU(` z$kfqbU&~k1^2e{i1u>F)nMd*F80g){@Ua+)op~Jp86yd2p1>iolIekUQequZk5XHl zBqB(#mPUYp`>JT zYeJz1F`p$dhP@;0*Vh{b2BNu5DCJ#Tm<4S+gx6(B_ydz9eTH$7tOO8Nv^Ykp>?MoV z8K;vkLeY~8VPf|G^9u*ILKZEFNmktQuyu}|D>*)%L0Gm!LcCMKavZ-yGJdo%06iIF z){5d{gBGFK^t^(Uf}GhNfqp#r;vV)x>tp-_f1~19W#_IP5-npvlZ&&8iang*Ihr0Q zL7%edPE4diP%EUM&a*ou2`pX_E6HH$@!PSI+#=Rl4#>oFikVlgdt7Ootl|7)0&x+gF-goUYEp>_4Hk-z=RAN z?;;l!ppZ>>Q44`=YQ$4tlth86g-oOL+_qMUG}KejdSQeztClZv%)QTqA~$2_i;@WD z8NBC3iG|sMdmyK3tC&;BR9Mv9Cebt7u%S&dq^^k;2^*f32!Wa#p6w^4FJ6>c@o?4r z3S@Zhe^Q(KsYOf5YF892tzCf(xS!fDf!j{IFQ$d|apClB)-?QPnC>eU_H zk9t)g#Cb_#jGudho;=yUX?dT~YX#FD3T;bxV)#Kc5Xh9sUhWWdo|0 zHApHO*yFvy$9n_Ht07?z{FK5Ev;(<=g_i%ZXU@w@d;RyH|M${0q$}5?mU7v<&!<+@ zbXI>Q*~T^Bl;pHsm$02VHzl2t<^X9<+m8}sXHI}Llkr=5;fV{53r$R*4!@$1mNcs& zHBbsiR<(3y=L>3S8PhyonuC`~^9`MbVCgk8<9vk~H3WhV#z_0x=BZh>?mxK9Pj`n2 zq@;VKP|$ekQ!3^j%xspeIxtQ8f$D`h23C0C*`8zv|?+470$rLB?Vb1 z@+Pi%G%{3Lf+D}i&POA|xriSqFYdXn*0GU4deeUr(pkeVIPK9$?NAi-t0**j!2%@v zjd=666qKq=4Pf@Cp#*kMZ(ES%K}Y2&o~wc#=A_yK8uV}7H`=kHB6SV z)bR9U1)D#_pUo(;7J53SsCIs4*@`lx=U55=WMog9YAuFcp*Rgi%2~nuCmGrrrKkOe z^5m4=-drlqB^(xx=4Nuxz;m%janH zmUG!au1w7>>zq|83t}L8q@qlA2F7~aJXw0;LzXR(rEZpg$kH*|HG;3Sbl6-Y$tB)3 zid;;tzN7oE_58V=e+a)iPZlv680UcZ`W!f-vt8W&b5*F}QYFcrx%(2i-0Mcydaa;a$Ir!Htu6pu|CBOX0FB$nI-G8oE)|vuM zZ4be_=F7qr>UcrprIsEt;D61RrH?3!=`|cn=r!*Dx;OZZFON;=mGL<-J^n}QzX3`F zzyQFY2ZrAu=`$eRILhbX?je$?xS?E@!XAsmj=xV2kuK!P-RZ(Ge6w6Olsy@TFa3Rb zI8LaL1q@G%g{3(u+x5i$bFIHZT`EE93$YzJ{AY35yDvjPM8ns+zlHAj1_-^;{MT{3 zAMW^ujVeAF+aV`38PJTSkn7JlaHRn?_KmN5pl+={gS2&DLq@;&-CrA*ooo2|==!AV;qv+l{uKs}zdnd-3@SnNj8!545%gvbeU|Aojo(*-SRf4B!%8BmQ^K69#-_nN%BLwI(ko?%XOcUds98Xqr=?1>w^2 z$HVdWHBt_%*02G1Lz7H*Kb@>KMJvkYFGAc~tbl)p9$*FFu&6jQC*PX2stUIBnhe0} zRGq*8z9Nxd_BIP^?;tAxpWHj-3TX4Y;yO9h=}58Hk-g7ypjnn{BGE@mT=2Gx1aj$v z@gr}`roi1;4Sw%!*)q5bl;g1nW#iQc6lj?xjsq1mrIN&l;SC2NeN)^R4*zvfmM@$I zalt#X3bj__;k+@gF)l{l`QAISwHZ*y6HG-=1gr};Q%t6_!LD@PdGtZMPWmu#UX_TI^blloK$hV?WK9t&mkx1W zQ-Rlz4t3MsPb(2uLzEXFn@?i9Jva>f5t=e+Jvq9kJ7^mX^F%@xQJZ3^C~Q97(yTRx zDauLB<~yvTkgNjA;}#(BJ(MBC!sqh+^mUc+1mL)$N|cd?ln;62=Q!X*y$GH>%D14l zs(QsFq*&~2tfCrjiJuI5W+_P5*C6XCOnen&jG=F!=}586%dcLJtfOJWm@n3Sh;juI zj_~0AgW*y>OuL9SEaZ{re(*EIt%BUdQD;X1YmhY+6E9=Hqu#mk7@Zs8kK<&0XbkU z1aF|c$zI;Tr^O;m(vWN;loq~;WP%ysVIgsNfL|m^0UK<@eT<($z8QhbaWx8ghCJ%S zWLqGga;vBAISv@DZ9vjB;i!lFw3=rT-Qu33jg4r=%UHi~W;=GWeqnWg6U!rGUqp`M zMTw)4;(0=aH8C0m>=YKj@><00qN5-50&SN$c33P@xXAdx6iGq)R!S%4G?fH%QTH;L zqGY9bXCvZv(}}~ip_b94CEP2dMc_nz6@(EdDp&w+XhG;6u~FXHN#&x**YI44Uj(xk ze<1Y>lNKYs9dDQT4Q2KbdT7ARtiqz4{AtMYy6`+ke1;)V?(grXRHSQ7#;uh=W;oP?R>y0WQCy$tl)!BzuPp$GiQ-*pTTEsb{1N zR-ma%ytO0nd;)c7-I0FQ5lGPuWsyaZie&!)L56=Ka6wYI%~M7OQvP3m9vd>eN2d1R zb_D#}JI((is=%X2)SlY}(2>d;F&qUS199GN5R~Hk;si)R%Kr{fiXT9whMd4J$owMt z523E2YU=@V@JX>&VsDIC@8@^G&ws8PwgH_Sm%Z*!HVK`)bHnTY|5^aIn&8d?1IIAx zA59*WubeJyBV{2R**tW?oo7S11e@X9(XHo4CKY1lW#tv{9l<}p0spXag6hVBQjV3Hj$1k;xrl3> zz{@Q4cWBTVgs+|C|FXDmI#Exq9Y>c#=*jCAT$(S@Q6f@J;n_i)W5ik_l=3&J2sX-WZJE9=6&oe__*}4X14}{vpz0euUn_*e~NBR zh2q@l4F>ne4I#WD#+~c*JK|;nw;w5mD9K|%9k+P9r8NL5(gziR(7Dz?xM#_|wA+oD z3NGXxnFR{}PlLwo@4R8zcf+#lriDKf-ElueF{*ol8-m@)`JDyA0k2z9c`mws+_Api ziC{FUA&l|>o?gL)*4?n|{KW#NB{eWYRj*qvvcL(Vx(-_cTaNr&bK-`jXPa*sT{kVg z0bRdXj!Cvi_zBUJd!n7+no0OsLq>Ued8S+4kX1gZ zd@_%a>(Bk_(0I02!oMF42JQRN?O$1X--e>^+=NQu-z&K2y6-G4>LU=`!XIhBZfVhw z+o&UO2HvmsmeCUpMSJo?rG}0j>%3`kOPnVx{0SmcE#n`L?%-~ou$;JQ>G`g-HR#Ys zmV@de$69;*4{DAa`<$4$*TH18TeeTx(|*FT^FMdmKaAda_D(y*u)Vy1GtDHj0J{3X zQVQekk_jW73SpBQ)IUSK+nxPt5>C|+T)Ow7CBE)hX$KoWp#@2AUbGNO7vi&hs8^0w zs;?hygf#4o3J<}LF683a7c5Qcv3XJVzclte^270QXtt#09#+b!`HA&xse@(e0jm27 zk6y?PGpsW-gmlHnHt4z%VjJ|lDwf1hUBp}^H@fa(3_Q2#)~nm}>ovv-U4srnsPqs* z6~_M=BZN?W9|Iv&!o7rtdx1_usJ@R8LZ~2xgiyf~gixt@W2_KD6%GUvLS<+O-W?%? zP<^S8|3#RV+B=j8GT2gqD&Jsy|~qAyhg`m=Ho01|d{o zyaqE>Tx3XEtTf&~q0{z7!Q|*pF{ic=-3wS!~6%f^uU=h8V zHuK$HO?I*cFF6F>**!H}oN|U`XWx;d-O`?4z~MO^U##H_<~%QrdN>*IaJm`bgSDLi z;l}&oE%^0AtkFasL~m%k<$riXXGG(xhuERGb{Ut(i9XR;_}yh3ugD`U0g%OI+SgXg zaV&_;>taXZ$TeI{1nr2_t0u35^ePfvr1AK{HQX`Kxbcs2T?ZcH%(+rxLwCj=-ifd0GHeujM6W3ag? z9v62+*l+8IP1C<8r)Ai{(&4~Ztg42qgI)ul1fezLTmd0rU_1(TXbOsKz)KF#3TJie zG4lbZ%>yG*u%A+q3T#0k${@>HR5A^5U=9+uP{1a#y9?g2M!Js&I1ykDS<^C+ax(%) zSJ4)0dXXaqS)ajWA8^9}8~%r(gN^k2J3kyOz|8fLt?*kl|Il^+QgNt%W6ttl>4`0SwG#n@aSf+i45( z3$msmW?@-=2L9jToNHa=dGZ1M#)jXu*;<1@TF&AeSC|T?YvKGxI&Mc z))8P|b63gihp@?OLfY`}ylHSixFIefIK*b8Tc_n@z{ytqJ+cm`2kZ=?t-bihDQN2t zVq1R{+X|tUKZ|Ysg|>CbZ}^8Vw=sMwk7tc9=(Eg726X9W(MPjkhQQbst~=Z>*wj2UIMS&K6YVF+f(2!2_HUz|~* z#owOh#zAN%-f{-I%pbeYaOp$2LD>q0Y#DMPnN zv?3^~yj*Z7T7^}?#eygjQx-?LxOj#FstNeP%W{2)K8X~y#vyjA*i0*a@Dnb4MDl=Y z*sQegB5Y#cd4~e%*1{|R&rqnHbfl3Pj$gPew~ro4pyZ0BwT|cvn|%yYi~=N?o+H(% z3@jy|q%mSr3JMvAlRxDQA@>ZZnNWHns0i##^$*3n^>W>$;0(sQ4dvu#6z8JgEK)b$ zE>%nxhZN>{_JH=#wuVf>A3|x&RJ`>frwc30n2B_`P#0MHM0W@(1LkJ>G^{(vr5W=F zh)A)5-h`2vSb&$D<0dfE@dKAQgVceB7vVq7al`x;FO5gTi^+XT>I{a)l+L7A-7Mj{ z-W+YWIqr2VEia#dw6iI#Y5{ppa%2>tgc4l-8I0N-y!kUOL~$P@AU&aTnHKyHquh}4 z0Id*gyFw3;c)6elnHJKsW`gOfODTg816Wau66WDsAg^vdkmt=Op>te0Lsdh?{}8pn zM^x~_0ir;w1XcPZYafETEndC8Bq@m;9n_c@GH@iXC!GaJb`n!rQ45`*aM0-j zaphra>6$L{tS5L~6I>E7L-gwy9QB1BIku_aKnQ3isJxb*rWF0mM^%GbseC!Y|X-Mx&Q8RRd4i{OP0W!KEi|lKA>ch z{FYC$q7#-k#0bMo;|~(mxD>{C@UW&O=jP^TpwM@ysJn($afsAOn69CR$xOv3KP8s= z5u(7thj)wZfqnU(bTugc&pXw0@6mbXGr%bHKlqD_oY8o6P{oOH|aF~>JpczIzza@q92Kdd`wo$#GmD1>?d@=Sw9tZ!Z~sUEb}wy03-_y@*1a)2%h{81duk$_wO zBq}4XE(-h^QU&M_1io6rteIL1Ih3~LM$uE7eW$e4=4)xhW} zHA#cnZ@5IT#-P*?Mu#VT!ws#|QUbR`!e`qPXoHL60XUk&CFF_#=j8P19) z7jnMSMzG#Vvx%ItVB{mIr&>9Lg~LHJIlw?|4MSP+ESF@qC7I>6waZE5XE={(Y+0mz(%7Hf>b`)|n&QR-Mv z9>HgTRB@i?+?;7sQA9l6c$o`lAsB1dJFMzvVdNw809j3FodKh?DDI#hRnlZtymyH#_BetohCFy8|D|Pf@I)^W#(UB9_7q z*0b`jJ+OoEV>!SMaF+KT(LJ!kY5{hzuOX-cwH6$CByd{n+(X2XLEpS6g3*-MQ_unhIJ{W*&0YZG8S!WYt{?%x*hT@2CIP@GmVpZhDd4y- zXfK#8_t~Yakrty#NNB;e{HeAlVKO7P(VEG5lrV6GFNd1Sn&!#}x!n zhUf}1Qz%3J67-XRWq>zG#4>i%ehO&wVi~XaVi_uM1z9um9WzqWa_+UGd)=6Cxi7Z4 zLMLrXhCUI?0x8eZ2N7@iSioCiKcS5o@+qS5$7bSEhG=lBU?h5m;xmfEoEK0S<^mr2 zgEApTdy!6a5jO#p0%^V^%SLd>Qdhk8E1Y)EoSE3%%-v@cF^r!DA1MhE01RU_(*J@r zYPl}@SL&!${036#ag+m)LcnYO5HXC~0)`O};DliJ-Vrg3KH3HqVtl)CC_ojXFUlkR zSU5g}N$@_6JX9~GJH4;T8YRQ$HFDnMNB2=L3Z&=64c`mE;32{Caj`Nb$Wy6+8|*~V z>DC-12ke1P4h^-zBZ6_#C3*NB0mRDeN{Em_Q}GterTeer=1Fm;G! zZ={yJE{vhv!sv)dOoV{M43U^1@a^@}a(={6YKqMoX}8(nl}b>#x+pOE(+aIcS-Dvm z#f~Bf^hXMl*Nzzy&EWAr%R@_7Bdx_Zb0*0QAT>1!0IZl_17kW0DdK1!fy-H!FhF!+ zUQr7%hM&WNY;)n#&f)Dn}eE@QqIq$0`NBYlP2y zEl*J8dF2hwCr4ti$n~>|rV^0_cZ-}ZiY)9GStN=aj&y*V_;yN80n~SvSAJv(Uh|DS zV%(g&#R66$7@GI@i=8Wq9f9NkoCxbkUy4tDBiE&riG4@gN~W?6a6O8mY3JP~-B`{v zUz%-5seoX}wjQ)>o6Y0ID`RCg(BsVIuEsh1A|)>ep&U?Hr8dKn)U>K*b3)Vp?2y;s3; z+nyW{Y6*NyzyP)g$NI;iPUKh*Ac)6g5;QjcVI;t}p=$>Y58!mOp)G|dX&wIlD%gg{ zzLgu827K{bxjt~c*!^}C`V?la$c^DolVc!t0y!MIJO@jF@q%BZ3?ilh$q-%-*`oph zBOy~pXfuxaf-~O(@P`-vlf6pjqKJ7Y_lU>MBB&+Oyhd|+OcN9eScm{B*0s|V-@Qw= zj|h65HcQq454!zy-U%g(bymJXOMpl!C_($?z!IR=!EX)9gt!BAp(J&Rl^eAltG|<* zhQ967Fd`lW9)unwF-`DI3*9?-`gd}z_Fb4i_GT0Spa|93!@Fp&KKq?quRlCU1nIUT zLbvI=2PL7ZABC@KPXF_rcu-X-_bDLg}88AWP7PK4k^>3@8hd~ z@MK2%(^!2&t_wQj>B$jD_c6BLkZa>Ug`OPI>{;O+2=*KSIberAPp$yLUhsurKfk-a z+!rF;a*+Zcx=VNSr~o)8Gpa=&b(Dej9p++5SU`2%)iNlfhTc}uyaQvsM3l4r) z1fkgSgQB>RI1&HgA#`bm^T zuoOYkaHRazCp!xG%`@8+w?w)P$$uBSJ@`*rsuv+ZvfBV=2LE*ztb7Lw5MgBiPwWHn z6a|FM3wX*1q?fRwFV1Hp)$=QmX+jsVrN+yzJqMI7ej@E03t-DXWi=Ab?)_L2>|C1i;%un=X$LaGLZ>9NWKfsPCc4UL7``!gjg|Lcw(d z)S+tPfRdGIv_!fK$kW9Vbs2+7B)Tlqr_A820cApR@MKb&uRkv|N0=V?r61*5W(w~7 zQEsl7N|;vrSgGq_N(Se`5W-=if7a^qXtlf)C?IqitTNbB?iy58!GI=(Ove*os?`^$7D)xwBKZqg>N;CU zt^ku)LWQV(8T=5<)UVIvi^LH%E*@N0FkfatB*_wd7*2b2)g-6yz^t8Iv4ZFe?NU$= zgG{v=mYQlHLNL`Lmie}bm}+ZOfu-emOiZvn~6#JuMYl9zW2RjCz5QmL81}TQ!Z=fk0kXW&-j>HUy zto3N{0ZK}{&Zo8zQBKan4Rn?$){CNvgOG~$fcVf{#nX@qb}cvv!#1&i-3`>3bQDyL zqz$tGIuPt;#5qNMzIl*tM(St!^|_$Vw~(Bkj8L9#>!2*4&M*Bcs`DmMoj*&|c^Ip2 z78EWf3fC~UNWjat-`&o?iJJC#S}AUas1|opwHUPvSNtaD*%w&BeN^Y7HS*PWnir{5 zPrpE@ueicqx|>=1@<3)XXLgH4w$UP$uMEKWU-iZKhYK)0=N38l3;_9GBU6gt{Q}4@ zV*HVNasDlN#H4mHaR9Qv4~Rsf7uh$wPT8hfAtrvE7v1lmoa(K%~IPMPX#AAP#Crx`>Wbi^x)}n%}tW5BH z+Tg`>NF;Ce+iaj=wX%83QTV<1iy1@0 z^b?5jxf)b8Od;7su(=_mKz`0R_9CmLvlJ4jCW7J&P0}bq|V?QsMI@1SBNZ+8|i~%86^h01({Z+GHTz ze4qK~nU3XTt+xUl4=D;AzDfJuo(bv#KR$WUN&?X zw^GdUu#h9=5m>FO%8+&yR17wAU(VIEGUhcN1(n@Mgtf&5O{qgt^0^H&YWMqOcSd~O z9`bod_~7HZ?ymLSU7NeFUE`b1cEq@30oKe@8+v{Z*uu(%7a6koNj;Sv^2=FOEZqG& zt9{a`Qgn^vre^* z=U1HC5_V$NDfQ3p*b}o)_1xQ@eJVSkN-ZS!DEH1i_22AMy}^XKJ%IoB>7A2LRf6O# z@3r55>VvFPJ^$LBK4nWVU-#*$j(6OsC)GI#gm!J{F6Gah-g48O*mDCOoumsAx*EH4 zxsw6Txu^J1CqYyfG$Z>|``l9?lg~Wql5FSKoZO)PeOmS@W2v+B6qM*D zMNDL?=6KIvH|L&$kNiGxDiwHdmbz{?mYh1;BfD9GUpy0JG0r&!^;7?u;4Gmx!%9xI z+;=K(PSY3VrqEHRo%yGo6{nr6PdhiC-kte!mUGkT#>UgBZ5=@-!`0#rW`lG2>BbeO zQ?Je<_qnGV^O|fG$$xcKmJEo_*J$w7Hb}{Yg-1 zkRZ??aWA2#e>At3&;=qS#@_~NPat$BspqlJjqqAWrEA94%+j{;E(ApWz0=v17aF^J zWbU{P(8BE98RS)&V;sEdv8U-yMPT9I&$QqCs9~(TZ|5`Jk&WFh>9y?2>`MO7nGHR+ z+-LZA&$#!HU+wT~yK82TqZnY|r8c3!#j=0`_+&b*wt zVcmq?na=vSNCTgot`OH;lYLB1qo*w$DKLaIQvXv)tSa6XBz9zw2j}Ka%OYs znaz)!+5G&O(!9y10(v9d3@oqq$*1CL_P_@{_Mh$z@T{JmtEIcsk4Zb!yC=0x-kjO_ z*(X636~6eCOx3B{sQf|Fc2vnW?^UH7xE3@~seak}`u6?s`gSP1@_j4lh-6b-d}r|Q zK~J(~BcfpuGpcLK7H#&Rd-*HHoz{U&p|~z%u@UG08NtP?!Vzp#dGLK<;q#D@5#nQB zTF$hM#Kfh-^3vLF2eBsbP=`l~9PlZMP5lhyKAB`r#G1Y!lQoHiOAHgs^Rxwu(^-9s zg9IpThz*zZ1w}G;QUX_;RhTy$MW^7XY~2vQ!kM#?c{oY%gD>y_{W+U5k}J;1%L4jH zLif+h90~WM;NHZHCb=Tjxj99}v%yHEb&4AEoI}YcjfE;{$B9*%Tp;uf)JS`eZ;d7c zN{aaL&wZdA%1j{QWs?g~;zX=ulnL@QDsN~yPGgiqCS;1T#Bm_9vV3J_+Ci3avX{%6 zz7am%_GC1Ct_(s`vhj<<;8P;z9HF6sX~oDg1#;(1OLw%f%CVg(dsQcx<`bHfw(&}- z#w~nIU-;a+4L;D&aTYV_%3+DGk`G!OOYeF3C|vS-mbxta&-GMofCr{mdd%4KKzlg- zR!10*m!&I*;G%S;Srxrs_`JMZ_)LFmHr|=8%-8L{M5OP&NPfGY6n?*t9dAK&aA1Zq z4!%S@GDG<|UJx!-<9}u-dA|kG4Hvtfz*jPq!$KCsWbeLnEUqI8cE4}#Oxe>#6FZYK zl^v4iDauj|e>Ua{wk_V^ho?O<@{P7BO5H0dCp2tZsWPE6rAKpuX}hdto3Ck8+BPc# zq|tfPrjxJ0+-}QLGHs8Il(y|sN;}mBk6kApWYBe{Tmu-tjn%OSs&#Ya@JUDeAJgi| zpRp;{)2}`NDpEu~3Xx7M4CAAM<-wXB!)>uaQF`#d`PdPH#cg~-Fd*vcr~$#%Q)@x% z@K_6kYcm1twNKKcgJ@fj?@DOb(lbX~O-?@8+d@d-I%1drcLOn%=6fs=!tD)IPDT2s zs0k*@s+?4plbeMU8$`2e4AN|**{NVS9_hhxH&7lB0~)fOH+#MqwhKx=4#kZ6panCXd4zpuY(fqRNNshR5h@hRC zGi`D_Qa&dn6bT8)(u^;@rHoc!LNq%vP~vv1I-pDdlWyz*<-PD(tW|I+d7dVx73HCz z9dMtJkW)Q2ApyQy4iB`5u}HrQ9!kYfwgpRTmz1q2MZqul5NlS{mconpgaR+6mm=ef zcx$K9u*A?RFnJ=~mP4Hvlp^IzKouJR(qHxpN_Q+@0Y|4LrAWWqOPuBK7TM-Qq(#0$ zD6~j;d8$(xQt1|WJS8sh6uAe;v}+t=k@__sLCc}Ey*|(0(%OB9w6uMMLQ8vHC@rBw z;PI5UTr4ekKah)RD&|)$sDj80DADi+p8mE{Kj%$INOV}r9b>7Dt^PYDXQ`cPJ6+ zLHZZIZiY1P0;w4OUKNlmcH45KKg8huGv{fUE^I!ij1D-=B-~HFe-E+N(+?`c>%fOd zCave=ulj$`h2>ozWO>N`2`3&Bf-T^#@a55ed4YxWoMJ~>dJ*E@6PNG5nW~hD7rH+F90wntg4rY4rPm*>H=r6@-P$%?!313=jL7KCkGj5I} zW#lLa3jWwbnD3y9263NIJ*fVaHVAr=XjG&C?UZwRqPmnWM2S((nW~KezGPMC;dmMSqz>`&sp>47`QT#<)(nu5KxD_+q15yY)J(mI`HX>s_M3Plz|E2_(EO2_mqQVy^vG! z13VMFcnliy69`GcrK42FWW~?k0yMu2tTIx7%TqWmL*c)Hh#|KaJa?2Tg8iMrkB(9e z<^S*$F`RxEOmSN*!;XgEA-+!glQkjuB$UJUG5EVtDl3G^g^gC3;Eld}N2@~SNCkYH zMB2~ASPV!KKMft@jeVkdO7Ra8)(>CRzBsTULr+7jxH$J;fskjm?YMIBz2y5#19oDC2C6{?sKCM5F96B3 z@G&NZj>>=t_Mv(lpQ;)HulQxBs-l97B03L|Dq$=x3sHvRXHr$_N#PXhhVb#h)%Jvs zhYevN;9qzj*0Z>$s-WOcWkHMip5H-b-Rtdzh`6NJqlPeMvbv zNEbbbmI*=ZmO(TK7Xx?afUGl+mIRdhO3Oyz1ND;X$cAgp&PG~rf)3yVy_P_0tV(3P z)yvt4R7w4hneaHQ{}F1cvi3hhC6&qjkGUw>*8enPBhkIMa2IsD9WUFZ(uWPFo`Q@cuxpnp#5hu{&!~PWQ1j%`_`_W)t0`6RfuK`B$I^#sl$ndr2yZj zu$od(Ko*(N0`4}6Rw!h0e}#&mSr7q9Ufm1GA+ALNU0J74hlbF@nZi5f_`j$9BRV&J z{?MmP+dZmWz97qlxIn7@#|JU#;)kcwg;N>00q~0_mn6goC}BTIhp!N$NEK0|fD=1R zanqJMax#k?fS|)R7!_}V)q(RjsKP=uzzt&$M+J2-y|pz69t!+&_`M1D>O&ZC3X)G@ zZ6iVj4+qj21tR?-&_+TZg91VsT5H6Gft;*N#D!zcUlHGfdFM zDHJ;%v}+L|7voQWtebJy5RILM14GnfvS-slk(aOuj+|*@QTQCV$;besK-@&Ds_9+` zTI4>GRCIR|ytj8hta~-j&Si1@o5~?W9{|qT@Lr@Hm=}T*RFt)}8qDs12f+zDI$}iU z2$$-=icmO$Gm_=2mot9wD|yip)(`O-a(mzb<+n`pK2=3NC>c#7ca=m6^az`g$mfzhC>QB0_4>}unISlynQhr-eCp?GR?q7 z7)==9)J|RllZ}A~{aDXS^FBTlIK=yC12Vx82Zwk8lD=0g4d(24$gi0o6e3P9*rqfS z2_cuf8bvw~C<-{$i=JOuI%EmSARnS4+Q#QkUaUjHxA(~T-gn>u8_-V@#yu@`vUk8} zUy9Z`(q;dT@rRQ>DFON|Ew^|MNSTVTeThmNp9_^4_{V6#W}P;$)J%BuI$tO?NmJl0 zmBI?T>35eVDB?#DsToVF7gQ}2{_=pPg3epo z)$kW)JYVb!UWh8`5Ya#A0!f-mnx7h{;Ds_)dFw|$;YL1{onp<0*w%*zF>;IO+X+Gc zA7gI<7j?D%kDt%Lz`zX5!0f{|0}Pvr1B{5dBp@h?f&y-lxqwS5;J&mVCT69Tm7cm; zn)hZ_np$0HRcyE0Vp+G%+%mJWGFz@~`g=d;d}bK6@Bj6$*GuMe*5~Zc{>(y!L1iU% zgR6>}ZnjKJYU1}FGA1g`>b$ndzgNuRJy*fI#`V*h)0MgWp=r&Q)_F9trEL^Kr=qEH z#$;w*5W4>4sh`Cy3?>b)7>f%%Zc%VPhE%{A0Hhkh5=Fk6T4Kt@EeV#9(a++S2Fnam zYhBOc<@4J(TMgd8a&F}NJ~w82VCO{-^DsivaJ~3g>@o~g1XgIN2DR44@0{Ljf$wnl zVWY(W3om+|$(2JpABYsV4}WiWoV5_1UDQf7!V#xwl?0-tWYRp+yS3bk0&1if7^sb? ztAvsDZG6*VqhtJPsvEFphlyI_LT0{Qf>pC*Ud>qr)GWw$TMd8$0eoi=R1xVtxnj8(WVVl)~Q}z(jMfWA2Fu(-Pi>c z&c~+E!M2bL5PHa)wXm|%$o1AH(m?7{*QJvP(pad7g`NEn1#_xlkgh9aLnlH{W?C$&F33x>%}YxY3<_K%HnI0LEQpcwZ)f6l1P~ z{PW{R=hdnm7?Pl^SPq^?#fb8%vaz^G^&irTk-|2!|5tsaB@tIrJcy+E%=HmU2&Aoj zZsW8Cvp~vL&uvD;aHjnjQ(Eu|uld55Z~GLbB=s77h)lYO_Va(v@BhMB(EkWM-2!0; zOmRmgN1IHXgq2$SF`1x#6Hv$HHp=b8EGM|Kc#&E8lJ6^DU{+4@Ndp#}TMb`zjWO<2 zS9`*=EgpV!2qi{)^o{m(-_WwatpZ%?(DE2gcU6yvXB~k+qqwxCGJhYeFA}JMALK&n z&d}c$BZ&1NgwCHBypJ{8rs#iGrvPTozmid_c-Q5lF|3q8$sJY&LLHN4K1ar!;(P>_ zE&exo8H)W?PIi=r-_agTd>@eaf1sA*Pd@xhqrE>Y-Y%-DzkuHQtQX`8ljMa-0iTRE zO@X>;G&0>C#y7Myr__XlvJkg2p@I?@)(bv@ZgW{HC?N>U%*+!4jY%a{dPS6w%w ze8$O7kjm@P4xwED^(&e^iL#-^o}~ZEqJ{PqnM5Q*`HEy4KoO7&n@efTPOgS=zHGz! zonITRl_UO7n6*{tg{O#*um`Z8n* zCtR3Fy+N93(!n95LcaF0DO=-Z&7%>|RM9~<*#Qz_rUknI-7r09JA?uRDYMPsYri#G zZ8O!K9PAWZKV>$5;ag*}rAZxpv%1TWGlzeVoD?YJ&_iLHhrgu%juvx;B}~QmGL|x* zU`aFyr5th#tzC%q@GPRLi3xzs2CW>b*7Krjo+VT*rB%BWr7hP<@Ix;o%k{LkDA-rX z?FSKFSDKh>%Z;*X=q;p$IFCV=Cv~#g~rX{P`_c^z6B4(IV2%^i7_@UpsB= z3rtt@opEl|3RyC-o!{`CF`;#(x_^KK0Nc2kx(;BhDiRQP3+76YZ7MONh2TyI>*(04 zM9P?2iXUcOO>lN40!yf6TFC8~?snv0daZZJEuLOpmRG)_qQX9H9x=o3RF4xV+qEd2 za2L=0-stLcw;c9GedyO=Z;{zAv=P?_w=nGM(8;}Y$lz1^AH3~*qirZO=ma8A#7qy+ z^+{Vey}YCpru3vQC+PC_o;{(Aw-L2no78<1+Ffo%1%~wyKlZ)R(rvTK^iD4Wo%K(( zg(9@j*j9xQU8Aao&tuwup{dk|x%&sBCufV?*XR?>N&O>gbpXCq1swjNA4Pf3V^rSm zsw{1rf~dz#|9B8`?Kb2mZs!|*FecPJ@i+4kyCc|1NjbCbyts&5fnA8yVSkbYsh7~- z!26!!`v%3CCuyIie*UfQ286vNq!f5Nt*dCnu{*F+E?#p-$7SiFeC#uUbckY@^;y2` zjL`z2ncS~0$X)1pkv|NLmh?ScWw8tQ;_K2~=F*@+tzRJlnS$Arw*u#gPVyO3(N{>RM$+|0s z=x()zl!DVDW`nGWUSD;!u}Q>AxBwV}DbH$Qb_kogZg89zq36${A!zC?2q`;!6wy5@ zFUe7GIa@Uxaq?o^s7KExSp?`jFs}gv)(Pj6M}9FnoRBez$B{Di5H1Na4|XmY-1Mu_ z=7P|OfV#LzJgSIlIuQBeAuJMe*_%1Lk@?Es^zZB*+C~6ww2_z#qazjBqbm(r(slu) zw!J6ciS`vA|La$yLkmkGc%(#%MT?3_`IMSyf|y9u#{-FL%&*~XXN=}J7}Nexaj4CVBc~y8d!HtuU&i zp{xAxnp~hB99?U< z9Gzn@$({ma7bcGy0pVyKa?!x(*3`k!PE5#9IU&)*Ai=0wF#if>uGHi#Cm)aLg}&}> zg}r=Wq6`lvf!s#mpXuan4Muk4LO6L0ulmiHq>SUUe=}yd##240Pk=#q6@-#i z)oMT8>iPEHj5*mbq?3sRr3=P%Qq0{BospT14IToYz_LwT|2tS_lVoea5ZcMywQXrj z8(|9xlX>p%Mwe-dCg)z+8VxVEfsg&&*h6XLD}Of@v`!6XQdbGn0Qc+J zPk0o>x`6Mixi4R=Uz56i&4KlcZ&B9(i{Dl=t9d>=ZOSq!bNRJ@K%P2}-|~ks0dBb; z{sULe0#zu#P@SnfVMIrFihL+o%;LaGA*wtCHe&nAJRCdoQj(O?jt&S#SnPGO0$iW^ zfJR-9hUwWTVsSSFOx;MVgvxO@YFfoE2sRhfibWS15C}LM3#&WH#()6~TzspWWO-nd z;IfUqNy0;Y6*K(`RG?cN^hSH&=ILr1Mt2hH@B!_(S++JMdR0(hdyAZ>q+2yzyv-op zisJ<0kC|_~>?|4A$nhe(bVMzSxm|)J?RoAbDeu1ZM%eSf%8qtCidELs;@rpF6@aH4 zD|)xsJ}mJb5>&UWtfAc~TywYO^~{F~2=v)Z>u_kB=dK)7Q&ZC!jymhnBKafa>fd|i z-?)E>3uq;X2`UQt?){qkTqwB`wI~JdDgs^iW+@Nwyz@raKwvpB8v}c+z{e6{lt=3d z%C`hC3p%x1-twBJK}*0W4vqyrA?Xrx!z$&xF$IAjN1ivDm51poX#mzZ{*jA!%uUR) zl~MxxYei`jJwcC3gF*dcvSA!=gq!I+51Ga`YRYZ}2n6BwPzaykQ5TE}@vyF=i487v z>MmY*!RSeQQr*(MlD|;OyT6MA1qH% zNUhJS(8;HdMB){U4CCZ;*FLzp4N*I1w#~z_YnwE$t*!>LlWu#~#hY?sJA)e=L3j79 z8)`~ZUS8K^8mPPi7@W#$>-L+nlsDF$H4W^(U-l9>P!K6P-jub2K|ym7LKm~1{vSR; zF{QP>tsdfc019Cly_o(0H3F=vH#5I?S$ppH)u9%)r(^zm_xJfAxem6@Y#&@UUp3Xt z^5G@JN1Fb>Xeoxy90E#xq`8^peavGtCLbJ`573xW`+kZf=VydST{52(x7B*+k_IW! zbC_@!-0}R-UpO}LN96udryPSe9;2h(&`7LjY%s%dKp-v{yv-*7H?9xxr1f8-lyAMT zPdceSc3;T@?mQK8z-c3_R9_VH*LXanU0^^VFIxAFTIpNfFU;gfJbhUa-FIpU1ZqTy zUO!x3;*472$Iy;3SlMwQOE}A43^Tc+ev;)}Kl7tuCTHR=mo1#`S9xt^|BqY4v0={9 z;YfDtf2Wdo6^oepkITAu{l%{jH#vKsm#y0_ghEl=&`?5>E;|cT#mVFf6R_dHVX{e| z>#cB;ySF9`NJ<#Wgdk_K6my1mC5PS?EKM86)3hc}Yeb-cAnRnc+}e^#bPyY<<^f?V zM9fEgT}n$@q65_{0=E%!>Mu)EIcbK_79{(xhbtzm>q^g6hb*)Lb+KU*5i*7e*Xi9% zVf>8Nl&y&i;|UR_92nhTXMY-_tqlr1C{dPpuaB?RioHq1$Cn-y)a z7ob*PoDs$k+#P3Wg|4C-xg?(;>q`8^pQ=&u8V6pbpFmZnlgB3+ZZgnvZL=6Bi zrvYf9JxEeKqIN{xaHjKOJfJ#s8FEsrnX;xln?od1DIqINKH1zi|gLiA;ltQ+8$ISS1pq6D`K{uVZtGopoa#-<;93v z1c%W2P%a@aOd#rAtCY))I#X0cJ~HhEJXvS5DSrMyl*yjk3rUW`;JgWW9*weMFw{hQ z14O#d!0RbOxx_wvsm=tCZb%lgET-*?WLLk-x|4CwnZCa|v-vFM%E0hSF}#7749{5{ zgg`DZq8^ZHJ9Cs=)^-iw5oOXwl%i+nAf6Isa!f4?!kI8QOqG&_3|@qBXZj&3Fa@wP z4gfP6zzn+t7+d9~z!0VB7%nC(YXthWR|QK1CQJ~c)j>FtM)5;Yro`6TKu6W+sP2-E z9HTF5rn(BkLhTszVI3QWt3Bib5VXoTY$$N2b>rnxjjj&^PAvA})o2_n7TpB(5MM+4 z5{3iaCi3f|O*sQ5p)Ya1)T5C*v}P2xwr;Xoeu}z}8$u2@R)ONzv+j-ji)d4~)~SL0 zN9gY~Ihr7(`JML$rJaltV^$R5A|nNc@6m4_L;Oq^dNU7a!wMi4+H-t6rZ|@G}?eBFvAUDDguqj12^I%PFlQDaDtpAfLgqZwaiB-`6cY-*;14(|c_65wQ|OP#(ZU>+n|25m=Nap?3^z0p4*Ue_#D zS3$5Nm=)6X~GQ0=Fe7G-hAH zkG;{~meNjTK!(xyT%f>cn`OxmxTG4o+hMBFL>>2jf86_U>6+Jhy$c?%wO-!tGR_ z>b(8J1wJRil-T{4_e{4n7tTMrZ_QulAN_O9`SXvKKG8RGTR#dKxY_15rEWj&JrH@v zi|m-Yh(DWPN--ZtV6yGYJ11^`-MhxO*Uk36`dY`g2_|cKRHRN96%`$AFz|;~W!kj} z#^!SIynD1u`Hp+EBcVKN(*O6XQ$PKp^zK{TtB@ESy(E946e&``&ujSz7W1-9EU$n) zqxqF^`YVE5LHbEax>sfEme;6tSx+h;Ly`Y=s+%KJC%RfRz9CyoF99if6N}!D&l2*d zp7AE<4JL2vf7BM=q86pfg>2E!qTdb_w!I@;kvG6F2z$#b1~dJ;G8Md>=mWB7K3Ms( zLF@a}psIr*Y+Ioz7JV?#qV5A(Oq2K_@84)jsQj;NE7UjjN5Lf3H}%KC1WAg5Sa;4a-K4Ze9_VZP_v^QM3Dy|0`wp`*8>sw?-kq0F2$?dB*dl zCoxr@YES*mGuS)CTj3q*9pS9*hAZ{fqOVPltvb-T&Eno}b#Kmr zr6#Q0C*GdzU2*);F^i=NVy@OdA`&D;IoxRj#o%zv{S;Q7PKMvW+|yj9gzCpGAqjdPnCX8{czRyHV= zA>yScHa-ObyTr!MdWKiT#%C(e@;UV9Ietr9c!u&k?~IMlP+s79H!raAKT#ewU5Uo{ zBpeI<^6*^GUR58e6Y4qGf9ZJzK3|UO+QRPW*!JtB+4mPKX{74PUCYpy(BQQvEGxE*Qg-j$AR6 zpP1>JK)7ewNG$Z7x|*a|V!}E7F!F^*S|>)jKeDc(_AY_dL90n*pOe|qzXg2LSN_i5xH^8A=MM&aGw;sa;_+>% zUSC1Oa_@9l@a4~IOZN_GOJ&)Aa{q+*EafkLHU7BHQ)z?*zFn5j^DU^KTi=w*92fY; z3GsFfQ}{Cz;uE8x=0w(hUX8*JPl!*e4pUIiAJR)Yb<=BJn|GdUz!t91WFr>V;MJmS z{77XstxO$MF-oT52Re&T_{eMGZJJ1h@B1Lars(*xYvQe%C@A!N5aCdw`Oa(Noo2nP zTU}9IcAIFjx?=alcw+~G@aDvDv)!B)zB(&8w&M!B`L*!+HX_W0!fM=SfvZQ==7>8K zNPr3iMf-Dq9u8osu%L=piPoDI%$k+DLMX}T4$B)b;RuzhtzDmJwzM9VjfCEU$AU@f zEqGi_$ZJ<`!7sXcmZ1qx$OHnG%;#C$mq@^=gUfz3G~vlWf(oqeD*;Rx^PJ)U#GUrF z1ZtdsT`uJO`AdATf%!&)o%k*PB+;DEdRq1s3h{TrBo*TCg9$3cKXi33AwCmG0L(Ob zaX-o`T`>jD1`UCYjBT|zl%PF(wk*|*6$7!?ek*|*7N4RAW|M97w31Q4TjC;ywrNeLrE(g+-;q=FIE#5(dRFQq^VnUn9LF^pMXf`~s9P^T9C;0FfJ3fFkY5DY01aOT9kV})CR$WbubW~t-&$O<@=ACIz02C(&^yDvbj=Nl?4 z$>|f+PE>~xU<8}52?eFhms_)Cs3qO$n5gZY`RFTWhiLv7T+ikV8L^?+khsG8=MkCksphsUiyLKgdR>s_XrsDs|`4-%63j6gCWkzV04HMPFqg=7Ab zzU;ijF@H&yIA+}6;Fuo-aLf<9ZchNmMB-&QCekj(F+cEj;h3I_aLo7r2FHxg+-B~= zF%JfC%q)Rp_CFZFF`o(Gm>u4o3ESH=*~T*#*P3OTM|-Ym)3{lmzTLAS@tzZ|jnqX& z>kTon4{Umf$N1vDwEz!|B?L4f_+N4|S8nQmI(_eJ&j&+o)1-ui+WtTdwe69iwl{`` z+K$cKX3V@a)b=CZV5n_V=C-*1k5JoRP^j%+(u1M4k9+?n)HY^ZhT8tX+ZAdXzXPGR zbACw=hT1;t-G12Xe)Zxw+lMI5_UGOr_c>nDsLN_S?0w*f46J>K0&9Oxfwj-2J#pCk z;tn;i_F?aVb!uSk!(PfbNrAPG$iUh?)89e<*Av|zi?G^kyMx*CX5*+(Ax`*SkBcr!QZ&_>^kYRxaU4Sz})_kRtAlkcD z)z4AgTX|>k_2&{(!zm0O-}hxNGbzvsT!Hk(R!F6_+ zO(ETsi-M2^cJxlodfz#s z#zBAi;yCCoH4wT-1VY~{0-^5}fzUhEIOu2#PtcyOIOvaf|27W#dl$t)e-Clc-}^t} zpd&9N4*DG;4m!6^(|HDd;DzpYa%`f(6>SNR*En?OSwuc(6!~1C$mb_BDDrtn#umpW zcIrU*N#~iEU6!@BHx8UVX+61Ryd%-EerflHwRfjQzH#^HJnQN!zkfOR-9GI7JxTn+ z!~I|C*#3C`3`OZnK3OjC1w(I+CxO{^!S^43V?}a&*q6(aKK}NR7sKn;ebuRRcK#>n zuZFPwoiS^*e;hC_I^ZqYcEmjKx_RgKN8C6f+84c~C^F-|VY%fo}Nf@PgU zvd=CqXei%Ua?}3Y*!rEv&$dkMxO-Vd=Zza!x1W#t_v(fnT(#u2z8vTpU@Yg9r$4dog!kxqRzjWmA7Pj!*lgS%v z!ro8onW=sG@bVtBH*Nk@cX;PV2in$d?sImrZtjU82S={I;la^SSO2*oj4zIu^6uB2 zjURt>mR-L=|H-QUgW_K}KZ5Q2@!Gg|?_PdkvHkAlt6wZKC7d`KWz19zi@%7xyKUZ* zBIBzEOs&t{a>H}hr~cZKv*P*B($J%~xaesEE z^Q~Rce>~<~-+#ilZRaK){wDg0vn^o}XZ(X^{y$0I#?0`dhga77tOuKJkA2|QKhD$~DeK>JMUNue7jOS_Z^yy5SF?XB z(mwdjfaNz2?>^{k%dW7OwtAv{U)*`~=YwDOJaN76=0|$3j{Ewh)2mAF8GPc{=~aXI z{(T=lo6@?WwENJ=mlA(ko-qES{V&J%ShDME%Z<@5^uMw1#XfPUGm_i}u(WCPJDi9cZcK5eM8eK~G)ujfQpqtGOPeX`<)%0zlvyGbZoydUZ2U~o zuyp=ViZf|lORQGMw9TNoQ(LHvdwAJ!W|;$vt!d3<5FbF#S=wBnzwpGh#qCUPZDbVw zmgr_9-dfU?8edX7yJZZs;-ytNwKEns&Z?OLB_n3XTdQ*NgNfko#^K%Vs)__VRM;=A zjAT9DWTE;8g$QV`!yclNILlp4K|g7k0^gqGC{u?=8R|<+hqstmzy^AMNj%|)%`G0_ z5I9Pp1I4T>L9#e4Q=!6sNfrUL3IIVp@uJ?KwGY+yOAAA}1JCtkg~6A&O@$u5tyc3* z=&L(4+e3yaz_3HnJ)}u7Zven{2R~Zww3b8B{jyw`>p7){^1GNHsM^@?yQmw%BLZL3{SSq|InTH+vtC(#gg0nspZRrO^`Ac!YhXRGiuYl0G zZ9Z88m^UL)mA$t~jL`c}?i=b%n`L{LvLq%bx)T^wJT&BGmlbdiQG%o7grdAiDI**Z zDFLUoHmJ=9FvG3}%#TsCYD~!TQG_0P9~V7p+}rt&!BkfgB~=+O(Mu*{N7W`O>@ zU^kK8AhhR6lmO>bVwtj922GkjiEQv=o(xnOjw(-eRY`rC+O=*O;?%Sf$hjreByBf9 zlRnrgH0Nn2!{yW^t?Hp8F95;Wcor2%9*nxrsj2yXW`M$cpdIZCKs%FOR3IBv7p5|o zB&S1Q&sT=nQ&Gd1b`Odq?-fJUj$uii3eS5g++l!UZ79x@!J+#lkr_D#K&HGbv(xEA z4}E#jESQh4lGV^8rhhG%l>7!&OVaEYH4=KhDO-zyUVPA-g5|BiF0P!>)F@orGws^| z$o&pgPKcl`-xUowNg+TFeR;LV7^ut#+p)cWSvx3Aiu3{~DAKF7gv+N?=*^4o5`Ju? z%Tk2-hvE==jr}-~QPI|mSw6v6U~5Qu8jJokfUzeXQux|G7rSOrl;5sm3*Z*YbXcw$ z+=ExZC>UH*Ku0p-qv{ztrkmYk#QOtK`>NISBhtfkBjlxSHPx4PJ2<{2Ve4aTlcgf)IT( z^sb~f=7ie4TmW)jU9zIOVr-iv4F4wxL-#r42WDO+41Ws_*Zw;$mEGYMYxEyMVE$Br z`Agx)YF(CA<9QlgK*5j2T?qZe;4F+Je@igOT^rA)NDZctG?a`+sA1_OM;``>A_>58 z&q9Y^c=Le%zBq!=ER6{G>%oiBN|&E5QYuP0bjYtQ6ZvDyou3L8X1(F zCcyjD-&F)uaZvkLS5NA(>SF+j5T`P8tR|0C7=)IOY>Zi85fGeF>E3|p;i4*_MIO)B zj&xe1%n%L3VYJP{ci@w30o135#^Z!JfO-SVfzVA?30L9>!Y%Lti}PZ5X&YKx0YGIP zN{dSfZ5N|SNc`KDTbqz)OS-I4N=?43S)}UR5|C(5P@y(eRX9u2sQ%PNh!1s>#=)>a z9ya-UFehAAi3IU@#9&_rUJn=^6xTRy?o5I-@LY(t5K1yQj|th_SmSg#asurJ7oEm! z?SlNHGHMTGt%w3)Gzn z9esaje{&moRg6xnwHMO9&Rev^Z0M~Pg;2JL7snvjyR#2Zu5~)nu26FjIjdi2Ba*-2 z=&u3hb!>>sas})R)N^1NczMC-Vp5kBqLvbM45jKA2Jv0B&cxO-xtuUWsx2E^%M9i6 zD5mB0VK$f)$N)Gs2$x8aTS#ZmI3%zEz%Ivmu8>SrO2?t*^s;NW~bk zArSfqW7H&U)3NF#zylQFQt{(kHNsOvZZ(WIZWRV$SD|evmgwrG=7kY91@b6Dqhl;H zz^FiA0b~qv4H}jYfo>v7kv2AL3P{BRDkB0yOsfRh+Mt%Dcn(k{nLTMn6N_uqI7G4F z=ygycA%xmCjW4^)Wo?D}2q6M-GXg6yz8YYRV+N>_2rz(P>!D7ff?=4Y&NC*L%mirj z8k_J}pwprzX_N>^TL@BwcZFsNjW4i%Vu|NU=*PE;HDDH~nuL}SYYdeW^&Z4B(G>vo z6Y=Il>qHO^xU8XgBJ_fwaU%Z0fI$3RD*sxqqnn!y8$wpwtg4+*QvbjXfbI!(7MxDq zjno;G5ut-Z$Z_;CI>ppISqg}p<(l22otE{=MshUu?fUQVe8;&ETO``V zF07$AA~5c+8dQccz)EoBJ)YGyQdKmBqYP&In5kOQRDoBn8y)3<a6P4WdBW2twK;dCPT4R(@n@ zQe?bd*OeKCOlvgXcT#H}ZPQEZ>9VHzjdNjTJ7~sSNZ+*v6mi86`Xt=K$WSAt6uX7u z+aNCV11#D|#G<&gO)B0RC$X%ocs}zFXJ(`sjlh`&fA5L}bF#$>kX6PzqLm2hOlKp5 zTBw@Y`GikQ_V6X}X2I9~nrKb6I72WzxW~oZGQEq20F`=dFGPfn>z4rcTuE|LlF=1h zkO#3wOp04hil=MbsVJ0^CMLx;q+zZAL7yJXcfgm$GCtbYni)*eW}yn)z^M;R8to2i zP6%i~Wyw(>({_VBw4=M6OZ7An(k}bxp#q){H*jds+*75-pa7IxmP-yr^*$7#Ed#G^ z5qT)%goo7oM%(S$0(|KG$fa`#sYfq-TMPM|znm6BZxzr2QUPB3move0h1wI8-TG3+ zeriQD+aKS_1Nhs2Ig=8u>?$@8$V%vJt>ZivCu z%8=lOs8Bb=P`M#o!xX-AK!RgHrPu>dA(7h})`RiGLuRv@s3YA7Sy}j}h_0r&C&;WL z5&84V1areE6|x#hFY0U6Rfo<8?_tt(VX` zu2y*Sxk#%9abiYZaN3n?_3B`-Hm^pixv8?i#0D%Xfsk%9I=VFX66Utz)+yuy4+wawGPKof*2{ zd_ec`*@_G8XAgY#@Yw?&xi@C+Om~0i-I?h=?7cH}ld|h%cFP(4e$xS)dzELWYnjn~ z$g|VDOy{1HwKK~7c$RxaW~JNnC@Y%y=ygRCAO6ua;>i>Ha}O9%^Te4F{L;RGAAQ_+ zrEX7xt9-s$>q?7nOWzs!uM-b{>5j;%oRsRA=)Q}8q;;k4j&S8)p(m--CxEi)FA zT*}WMESZ(kapyo+q@p(@u<_z~8db}8AF7+MzQpCAhw#+;QvA?f1=NNL_Em6j#@!ibS*{zxI*39KT^);F@k@=JCNxo(d3tX0E5C#cfqB^Jg@}tsEG9 z_N#fDceD)xeR-Kfa~XnsfsIX6uW%gMNw|?+ley25=rm60(noj{+=Xwrd7IHV??I!#Q34t=x2?&XUTa zZbLpjh33`xAztAiKg_v?f>{^uS!~fbZ>REhjr|T@J*cU82y~yMu-D^71<2Rx|1zq|o~mzmBp%VW*+K$TF;_`qDF)ljAoz(m@!%;ne}+ z8)#O-y(}j;Z#{Qo2+P~&M)h1DVvZk zK?g_xj2Rw6iU1vK;mxAZfPaP*f|V#h{})mSbo0ZMB|wK^q{uUDp#}(c*v>qH-@JI> z5{o8bEB~?5<%)R}DFV>PC~G3JVxt}pq-_hN>7%x*Y4#_?+CV{P2X7hfO6z0ai5yy* zE@lyq5R2M{WCF?lBz{P?gWx}bawQ_)@f3e=xXT{?G;(>Kvc#fwLVxmJ{@%0U7L98+ zWm?Gy-qpc}e^uwSK7&j`4FiuQ+@GhyqsLcuo|xw-OX5%V=lK&~)!7EWKuHqca=j>) zB?Lk`J_5u&C`E{(9om%lN@^lxNtvUQps*#n_96T7`T)-P67N}sGxo9ow-qUHgeP{i zPI{Gm;-_G zurq&;&-}X1nfN}Ef(*nUKY)hiILJ4BU1!hvfJ$7#!?1ivEnU1^_W$xTU*mK;KH_oT z)Y(fv4y`Aia>FM`qKU?|M16|iv^epfk@n;$s7t`*{jCC5KP2yxyo0NHcHf(<2Zlsn>w4u{RRL2o4S;!6S)7HXEE28lx*#W@jIY08nUW^lgxDR zr$-w%8NT!e!0#X51!$u|Fc4bd`iAkQQ7%U$yyuBbaD1Ipp;Ag~qyyDJ)S8L(N8y(w z&D$A)Lk#C4>K&TLOduT8^BsZX$#n3YN1f?GIEZtL*t#Ge)VoFpvVr)5uKx*)zZ2Z$ zFxNT8d(^n>VXog9udH!7u7Nwe;QXt+I5Uw97f-+SJQb7rxPgPnbRZvyLnkPRP-zJ9 zmr@iUDe`i5*ma;I1ceP!g2tK@9?Z0YOa?7Mw}>8ugnHNLB87a72}*)aCn#r75;U9y zJ+G{FxspIN$hZx1UjFqAAasXd@;ewEe`8_7PetiN9Ota@2w9AW(spTR?lry%#)E;5JJ9 z(g_koUPc#B3$uVAk<5v%O13m!JlbWQ39{tx>Huh)_p(|@wPz||QmA(Yi9aR7UJn9B zOabJh*?}n#;!%-L6?6DLbeJ92jl`tE2^h=tpk@ePBCl8=U<4lmCil5Kc8n{b6(o$x zSE0`G{kXt%pke53*MWW!I8;9R7UETA0~Hf;G}U`l2eL+-70@)|tiWerVW8c>mZqCY zF9;pHc)ggTNQ}aK@#}3^H$GF^8AbaRsQ~Xq6&P{HJ1>cMrK>f zAHj5>hKLH)ffN#~p<;De3t{HSH;r}K2J1l%3B(NIR%8+;-(s+ z2saqG{e$aDSHGiz8na(DG|*NXOR6N2DTD(P%6-;@G*Y*h9yF2I?6_d6FhjUTi84|p zEhAMbYm~y@9^(SqRKtDaT-LN0kVc{w9T5wNqmUI7l4aXy5+Pe!DQfB^c@P#G#!_{> zX@v#MF&?{vEU<*gBq&~IcF<0jCeo&=|C?m&qpPV!v858O0m&&jJk>mUfYa0p@`}b~ z0cj<7zSyQ>XXz)aGtrC1fZ7U5ophkOuwz+tBe{$ur-<6A%5r9!M!f@pA-#hVcng^x z@;wG@O8Ue=gjxci)z1W2t@4@D&r(5z#HNX^1ll610)xJpzz~QR#onZ?YnmgsZlJ5^ zxpWNa<}}U|H)x=)ZoYab7N8AzC&euc1#3S+76 zbobk7@21`Feb37>Tp0|JOE?-Tm;F5s^a90p^ojM}&)0j;xZga$ zM;_Ls`0n*Sx@_&I%bwb#LEN(l_bJbvTPJP`$L}}Xy0kkth~H;k*o1&*2#6L|iFi@d zUB!rHc5u2YE21!M=Y8(KxH7|KQQqRV8LoWoe9sVfIv+m6Wlz2_ZD$yfjSas&dZGQ- z_W!k?+jM2aPYpje{IXf|td}pJ;hNyMC}x@>tae14wk_AXvW${#cavm!k6Xe2y4y8Y zY3HNwag9w}DO00wCW!_G^wzDCDXF(;__ESj_U;0U${oz2ZWS5J;W1m&Md<~scSbKv zWf`k^%sQ7>vqr;}SFCnEY@N%YyB!`0$asU_ej(fzp2`N_!Q0n?-*G2@Yn>}kS!tQ!{+N zNd<*I{`-2D5h25FLhRf%oUG#C*gw7cdn1dguFKS#(p$sH!m| znDfD4Plb~TrXalv?zaZR>VKnbbyF~Zk`I6Yl8O<-Sn@-B4|gRgoB1K`a`gJATBvuv z=-GEu@yNPj1Q1h`J0qr|;+P>7wPh6XTu3yMw(#tGU5U0wq$7wv1-_Er)ICiN2$`$y zg_`%HGH>$ag2JiPf;tI_k7@WF$k#ls;g8?zayquja=zY^iW&(-G4RCg8h!|+98bvH z!hHV}5K!v49Xm8U_CA-bb*I|@ls@<$bzzY>q&9c(sN!+VwoB$som!OFNO@xDiE!9L zi|H?zQbg?qDwM&--|>{pYnU>nArC8k)3`FYG8n^bPs{XP4O4p;`H?Q>$MSE@eF$ngGcgRm(uW zc+*!)`^ESdHIos>eKItX)fCGf&2;gq5>rwHMu7)~y=oeskW00;wpeR<^%{r5F-xEqPhfrAI?~%cZJ~{S{4@gfy7WqF*Jr#VLLbW5JT^UhmlT zvsa*`jzt2en$;+HX&U-6Ku+G|%0SD>~l{tG{^RoGu- zuhJLJqJ`l~Up?RPkSp8EYRjPV)AgTGiH41$21TP|(qz$|uwZ$}Rhna(45v4lc}65Z zSbAHQP0y>}at(zUWWKTE!M9vWOB)oZG^xDy8SuWY06*3jU9 z)KO406>{C;hh8S69j2Cm4>OYOB@D*}HZZ~I9UZ3BZEUp~J~B*aZY9AYp%X}pgJ4lsmT}FQ9umet9wdx1 zuV(HPA`SH8f_WB*7B6Mg>mg=T*)0}G8iUk{s>p7nLX?&7dXEs2VsG{W78A8}>(_c%{VN5HeJ2TZ; zo2B9BZyw`Jg!GUeOp?N>Y(5W34hhGo+C4EL+SXlVJ-WMWZAwY0%AI~)NmW(t4bt2D z)Wx~8nx{2)U_swhb}vrY7q7i7tB>wgO1MJVvKlV$lc(!EtsNOq4c}r}Y1(348RuxU zv|H}foU}f=tli~)KVxU)W}W-BjAhyKcNqN^=~0{Mca(0KfpX$Dhtrp7>n@Y~hl~S# ziY9JB(9w*F3q_5#_V|Yr&qU}R!*^E2g+_b3c?-C#8MqA}!!sWrh6;S&be*;JB+9ot z01W;(CqBxCpylZ~ZyxyHM8}gBuM6Rlb>*%*wNqX0QyEzkpR}B`8~~sbPdbD$yY4RA zNgERE6CIfw;KOoFZspLYhYlHbp|W-Oh2i5yB#eBcQ*lQfV4F<)mp!`ujK=-ghGRb~ z;c;v3yl?B5r_YA9Mi?sFSG_arSNF-p4RvXguNWCI=Am<$OO~D9`r?nR6Z^N5~b>W{u#cSfHp znj3!43xl2*ID1m^6Nm3CJ9pjIlgA&(y^!^Oj~g4;dBUHgDtTWS+6(Oo9ZyGJGds_ect(YsvOHS+A! ziD|fda$-8FPbcmiY#xzY+yaHh28gg&BIyQJ*RA&_*-9Q4KWm0S^pIX!zJhG(5WiB@ z9vR;r)L_(Zhfu%_ZNaWHL<*8DVF&-wpX3~E*-2SajWH-D+oeiGokQ~1aFbXRvZ zWo3wNR#wYm{~hR$952W24*BA_y^?H}XQ*jaH)ZO)#>SZ};aTd{WqiJ?EC|IH$WjIZ z*%x_UuO##Ey`j~h!vzDG&LCx?-3M^=F991S2g(J^@Un{Eg1xML1$m_TM=B3mX#O$# z>wGOBDStx>z;JBk{e#0x6p~?oS7n5f6!rAb2UJxhv~SVS-&SEQso=YI)SEk&UO(YG zWlK>~NfzP*VJhfhiG8PQK$wbmL%%Es#IS1L3mul24a56jO9%uX1pPt$782bqAzjP| zluyVz1{d`q6~HYP{oeo~=K7dlzck6&=aZ0@1s}}#sl@985`xcw_=D7;aFF7)_*@cj zPCoFuB#Rv)SY|n*)+ik^Vi+uXApqvHuS-gfOgKhUKZO_H8g4c*-Ennie1Vy9o#4gS zB{^+hUR;$TVz8u>B=$^HzT#`}C*l;X#n*htbxAhm8_IFUj$=vRQqz+2BByr!Jy(CH z?7lI{u}686#4=y4@1N}8N}upky9Xry5~=j!zm_HEO-Za^G5}&th?9`z5^rz~RrAUg z&zN7`+yc^jh0M_nBdbb0{*{vZmoS_S%T?WyR8MNCyqO|pmlv0I>&22s@MGQPBu4A1 zR7PPnUs|4=)H+fX^0ZXf(Dt#8k^&XAk?CUO`dTUWfmp)~u@PZh`K9dv-)XwhvPH`n zbY3nhPQ6VfQO#p7FFsB!J|4wuT0_f2c661@%&6}gNFHfs{Y~&w#00!rszb<`1Dh`p z{1g%>Vxp;`MKd9YB3d>O(l{9W6tPeHG|ojN)d~ut%(_pea{vE=pC&c%TtpQe3=$ur zE3u*T$vdc&@#Ge@<9=&vo~nA7fu4qG24bA#ua^oAUT7;rj3tR!1m>Q}M=A@fSrA@QEPDA{+7~pau@GJE3J1+uDk9Y z$q6^FlQXCut+JX@7P}ri?7a2jzJyqdtIcADjQ5g<1~EDWsAY!xf<3aRe~2^E27jNZ z4c~|4NHwz_g%BA^?nEC;c#t6iZQI~vN6bc~s=`XwChpTNaA*+4x=OpiK4r6-1rKJ!w511-Ba>*K%gi* zA5yic4C;!Y`v)`Kzp?mu5zM;*7p6pB3Up;Mo$6P_{tQLcp{q&lL0)g!&!US)eylP% z9Zp7`k66%s`U{W?s2&jEv`yR&x;;`X5Vu#38+V=5O*M3?5EcQ#muRh*AXqfg#4Cpv zoZZ80ucAQ_mAKv4KM>iR6}`@@BNy~ed_!*A&|vQSr3l3FCjV}DvOSZ8SoBa@-y%`; zrNe_5i|C-#cX+oE$sXlhK72%Ss&at0jKF4mkKaLG@AD@|BoC-Ni2E4!{!Pfi{NnNN3HNS+Vf6U9OlG6%5kxd2abyt6s zvjph0h13`l$iaR}|BOF~y19ogDp3il6GT(=4EBLrpwd#7@e}{LI@y~7(Uc$w@lHacjW)R27`atUJjZ*C zOinQUc2QOD?|kgYr$klwG=@IgrByHR`%yIxXF9Z3#A1FObxXnsTLJ3mXGvP6 ztH)cxLzP!-Zq1BoO$>Hwf_PO=tT>6|%SKhPBpvTDDmk$)L{XQ{D2vxi4y!0FBjg%X ztTG10Rnd@v2W}74+7vI?CIO5{Y3WX$r&$-`V&dP^L9ekSEAchJVFjRj=ESSxr zECQozV`*0Y*C^~@8+X?v*GAh#@^Y5s;5XJJC%Pej3Yim=D=-1&tLeaGCi3TMk~5Sf zeyk=r-2iD5F~-w+F&Dy;)+Rd-6O^{$(ELW%UBYmNi@mw6A{#l zyOX21sk544pl|W=Ikm}VONN|axFJN;5fL2Cm&xy~P3~EmMYTaum&4J0IULk6Gek*r z*M*eT=8ythx4;18Jlrf+7DA)$Ji0D9p|%I+N(%9Hxl&eSfgI`5TT|%5Nj7_QegLAAT6R@Z7md?@wG2k{k!Aeqm!$&t(Unp>1z-!sn%rKyVyPR*=5B{P}5jMo=>{P zsGd){$DmkgDC>x^2(u@W-5brW%5ovx>=(>^Tb9;}Vr7HCuc80=P4&t4NHvu|RG;h) ze!PJX@RGDve!4zcI8?bh+1+hTRvz_psUw!(lXtT3>y_KG;!Oga+p^3iKGNBk1J@v# zD<>qcoRG3|f_voz&&mm@D<`C_oRGe9f_LSFjFl6Ro3(O6_R0x4D<^bYIidT?2|ZR$ z$Xz+1=avaRL&w1<`uB+3{apWp;apkhvq!+E4@;c~%g!Wic`ZwwFYG|!fri-@@+%km ztjZ#88am4Z&k2hb`aHHJ@}5(}EXlZPj-~twH08ODsmT;v2!V%I67mlBRo9~qId{2% zpIqom?gnHjx45P)73A^oveXr|#e)T=L>DZ)BKmwQU=Gz*g$L2z#(OUE*)+=;f8w~$ zp-Ef8CoS^b@W{bE{lm9h?~CX-_)`BK+AVMP58r*Z|5&A?^j!b{XgU`C)qkg9%Lbp} zk<91;nvUX4ZHc+ z;Y`<;b-b|OS8V7|{_DG-4X4O!yAS#PjNEd0Y3fB+xXd+V(zB ze$lN#1CnJbf3;1nQR;GDywT?=ROL$1D}oZFc9oU5GE`0^c4zUVQFF&GPGtjb=E`@z z{&2r9@QNXjH3^B)HQ)JqD!1}GzVmq_ZUYCqxQ37XHX_~FcQt)LigZ(tv2FcwwtVkf z%%Aw9->BVF^PW&vPS4vtJ^zrd_oovv!-d3W&M>de>Z70J=&tf6YjVeq8iRY}_gq&V ztDF!h_Ox|P))jHwJSTsEsrO(uIn$y;<^A2)WSOEmCe6v;csuVL=O6fVL1);?@Pggp z{%4Bq12?J+-m&ChsHVDR{-pT}<}K&_KlbE+X!mE^><`HjJufQ3^UM9499fTnMSB-f zp=ze2O_%eN<^Jmvu7I3_Rzc0HLp)%-t&5k#&nj2$FS2mo$kd3WHfY7LB8WbSsJW<_ z+`8r5^r^>fNq`6>q@mG>=32==8SF2zxH>M&8N%H|{4MrAFaBMNCH$cw{=3b#m$3l2 zOG3Kir4{~u*1Y}HUT`>aHu%~Ke_}5At*2*7ts}D_{qFgni$IX+%X#G?kE`Q{3jYKp zuHUDckd9{0yP3~C?CI7g(! z`tknaa8CrgkAFTqHCYqM_+R)Nt&?L5pYiv$>m@-5RflZzJk!&kZ8Ij%pF5N3oGNna>OxKvCH<&RqUMCiJU4}}39IjJiS|&yRH1s5 zipI$tDQ!!=Ujx9zN4or*ngIv%^`0S_5I)apB^x&1@_1?Ok1Zki|9HOol+(kQZ#$@c$`7A15Ak1yd z0x!jVBn2zke-%|C0K2%T3RSGSx5TPbiunHU`efw_ek!~^1x{ad+WH=*ev&Lg!xW=9 zw?BV(g1?7r06+q7B`qEHI)*D{k>dPH*1MRm*47usmCC_RnLe{+E*#$HmGMq*iqAAS znA|XTA#+u%&wx*dp|mnktxGS1sseav7(v_Y=BD{GW;ae}S(SX4u09iP)+T18WGW;0 z{knRuQpNY_>fK5;e>Wo~MH$I|$Vf?7M)6$}{n-lcu&8>wy^dA(Dk#jqgPKNXzQ!i( z9rtM78daYHCYiX7QKEPLiY1Nna#`*;zA-bUhjJA^8daa&?P^iGkB<|ywz-Y-=PsGjG@m7{;_o6|xrJXqH9Qnqu>kxw zxCO1xP*(GnSZtOx{EpcA9OZWY9RBnrmJEoI=}ir@u#=hbPC1S#3+B@CqFCKH=c`2Y zpZRmi*R$>}dAzdk<|)SdtXObq1gf15L%W=H{K!-+=z892thXxn@|0pG~{isqRtZ6oiT=1)}~;^R#9*>DvnRH}%}BAiEn%R-lc z_F*Wt=WL-RFD<=eT}!Gb4qkM`KAh1!xupR+=caW7Q!|`8a9ili8o^uS2#J=}9>w#s12bB!OrHakyyijQNd- zTbA?`U;D5pQF)s0i>psE5eEgUM5VAs&^G=)uHIpZf0n>3>wS+rGEmg?J;zhx>wB7> zXX>=yMTZ-*j|uVhHs_1f9|d2nY!{JIaK+ARoX-;X@HO%E3B*PbgmtG%-|yqQ;<1-r z;`_FEQlUM3{k53ASNO(j{Wd5Iqv!HL=vlPKGGF68AMs=uUzbCg(|Z<9Sk@c-&ujge z%6`7lT%Y266N3QXf-a?orbea%3xzlvnQ!rT&Dcb5^JO#rS>Tlzwt8~I4zT+$`A$B1 ztH%UZ$+WGWH02<_ZL7zve89JD^`yjqc=4*Rq>uRLTQOyy@ZYz3dS8+J8SeGFu(Y>i z%_G*YcvRXD&u7wG;q_}Ydg~6rqwgY?;`)KH*WW2X`&Urb-SlK;!juh^7ksfgE*;j zEX)IC+i$jeQqqh>xK9cs$H!68!u)##(>2}KhxJ0(>F!T>3N&VTj(Eb88EpZ4fYWA! zZ`iqhi^h&lp711T910)1!;?HF7AAPqq8t_lmj<6=6+F?)J%dxi@}Me92k`H<%lrj% zn;=-2D(lNSEHfFZ!8<%&O^WCwMdMNU68cV6_@*5mrzTzD&+qVfG%(LQyu;(rWGVdI z4o^mF6u1y@`wfem94S9DcSAzxy1|mAyF$C}PI??^)}gOB|>W&{$FkJziOTj3X02%s<>0Vh?Ud|HxDXst4G)q%I zBdNDysRF%0$rVexvT6iN9Qc2(5Hpm>T4{JlOr_u^iThf8$)WE`yCZtAuoi5EmaT|X z9aBNtmx46~T}sk!CB|AWrX7Y+=_*yF+To~7+$$7;GOH*8qr@r{u~o~72$@?LKv_p! zv`})cbv0rNSQIo{-D2(Sb(a>Si;1O=#*3G;)8p3Uj^XSm~ErTMnKIp9Na6(;`050{HLf@^tv~-Yfkbh7Sb1vjPmiisB zP*|nx@}lxmmbrvq`?Mz`Vku@J;X2;B)b9dXwd-k*OSyr+MSoiPfu;U5Wf|B1+vD{w zR~mpw&C0+1|FLx?@KA2=|IC81j@{U2COa`03|Yob38h8%mL;^@%9f&%nL(CPldy_q^vkXMfIfp7;AakK}rURI(%q_P-Lc zB?;W&1dALzb_v&9Iu~ki%){wRxDFs?HJxNRsjnarw2BB)&W;?=B_T!u{<(y!1)`SK zDHa1nE$ehH1JpVZ#8VNDBA!;`B6t$4k@N!M^Z9{|72qSi2$UosA&Q4zc-e#bmYo+@ zOj&#FP_mtugV-j^&dZS~g|yfGdf^1xEITOSO#B~dumAPJ6G{*-yr2ZU!0-YqFXa+~ znp*|5YyK1)HsakDd=s8@whqy>_3?$uY&o_*en1&M zZk6HpM7C{M?=%$Jjtw&4X$KBI&C-P6cfrKdE}TKU+l__9(;i$7PgC{|5w!PnCdhH@ z{XC(O#MiQ4FT9{87#TkZ{0QTt4FDMNg?|XR z43i4duq<9MREg?o@i71g{hFZ^vr0Vf42$s3zvv8zY-e%l8I~dV=dU`$vhu1X#mt|d zvT(SG^cpA~lOkSRFw69FB=Mnu#t~%Z#;?hW$@E#G!9Zk4N#ATZRG)BVw zu0TG?<>y~=)m4HuUBfDwz?!b(@Jy};$ciPITqpRh)||=pg70d~YL+%gi6gVPOu=2i zxx>Me2L2v~I5Qla4D!YF*gQ**d_q+Yxz2bj}myg7%yF(Q!L;3KJ8A3@jCPkd2MawKGUqkc@P0~zrfX5@19N#F6~T&|fE z+)zgRz=?G%w)#(^h?l4M4l-0^0B^{JN(XT@6d@x3E$4F0$s{tC$>WZ+Axl(HgnXGh zn@}E!bu{zg1CuI+479u**Antd43T0=CF8{NAT~*n@$x(_n=DPnhx52bWEnDUJMutH3X2Hv8G&y7Y(WW0^YOef>h z`9M?|TA0sekX6X|OFq|_tV+iE%eibzwIN3@Mn1ksNgcGdFm}nY#AQ}VLma|7Pcm%g znq>UXa;_;^i;T-Iu-N*H;ewu?ND2Hy{Vu4bL&nc9ur!Ro8x(QbQq&`hDXt~f8BJf3 zXl4jOk6Z(xlifzQkp+)<883k}PwZQw}XSAh6=a?MpU}>8@KW^>}So*QE zXUvX^6|q=I$!hquBdDE}Mh-tY5l_~`Pds?Cu)+E(x#nIRiONx;r=r^qWr>?%4|(Wz z#3P|jfJe}n+ruNVvAFO{$WKNNT*P+3c`LarPe;itsXM`9aI*CiF9s+_gh!(Devz>w z$T$y?0gp{XWSkIt6n+b2tXzl4xDjORyoSjT?sDkhxM`?0wm`eKl|PJ8>c z#2Rt%goSsBWzY5!Cp!MIyp_BqYJMU!_=@zwr&n)uBDU7huJR{n za5;a{07x})trVvtJ@DfsxxRRM=GuX977Mn&;)tf!$YM0ME##W}0>YZmaH<7~Y5lp~ zl*az11rd}1ay>-LC)Ymt4_e4Bgdk;rs|&emy2BuBBUqw};N*#rs>N46+wu5aA&5$0 zSg(j{j(-$$Wf@#Bw31{I3pXQ;oC)Qt!z4VTh|48S#+!Iz_O5DL{wx?RAdaAy&D58a}Inn zk`Uz~8hkP$s#XHOOhZf16HLm$JJYYK*g|YJ$YO!&Z}cEbH!M?96>)0-7mF#NrHB=; zHn_2?Wf9ZjZTII|&6d<9PDhH%hSwJ`MIxja@lD@27oQ$v87bw73ww*1nRPyXJIESo zvYe=lIKCVlkn##*;{mcA#+AS}(%{=-a7y&_xpCG=eZQpP!y(H-VTEW1$Qz`IwwNEnd>giz^kEpQ(MNE+4Lgujj!)aL zgQbr`lF>1&z+sP&ISzljo)8}o`Z$aO9XpAWIBXA61wP7QkEEZ5M#7Fya-0P#*|ZXO za@ZzxFv1}gRgeRZ0|=V4Sa}mHxN00=3lmaZd=G(CieJ)D)C7||^LZf*4 z^33ev?@Qv5$R)BGHjz^al4}XAF}xJTrA5c25W2M4@iVQF&Skv1l&ej;f~)P=BNVTS zxqlu((EJ+iv}2or%&2J(^LzuZ-pth`8#d#y@W{IQGA`SY^c2@}**4%IpqtBPlb&PJRuC6kaCaG3M@gaecTYGkaFhdN zY{NwkY+aBf4>|ym*Z6@0+Z`lHEl2q2z-6P@Od9j8WNl$v7dAsf?VY4IL?^A%a){w{ zd5;e`vYib&C9@}92-#*1=0h52A}7-8BHEw}>P7=6_GnNy&URuOgPd9D1jG3epLSx8 z0y(pn`02$Q9@`b<%y~Sv6X^@yMLd1Q&D*&~#(n>(0;%>(n)7=dxO~Hw&TMB8FXNm+ z=x8twSbL@GKX$ zuL*=pCfLlcP9ar@lq^~A(0}pDbA%AdbvwCSvNQ$Dj$)gUWhj`n3!Z5dJbM(|kt|EW z+h8PF5CfUmq{K>6gV@Iel|P2jQ-Ok;cX2&T6#u762yp!GD*fmb?C;9-qu?*DY$LKd1?#!7xh4?K_&;6I{4ZT|Eec-f#x^yED97+c zB9E9}1&DAwv{8@(L^K{w_teGZd$@dEz5nfrJ|z{788~s{VJLC;F0P@8!T(fl_}|KH zm=v7u&K^l-QSbqGwz&!WcV!9?$rywdVoM+eBk|wjPP-aY@Hcn1i>EgrB8 zMpEz(54JYhhJv;DY$n;3f}P=$4cU%@qv2P{{&y4ETndKIIZUzx1y}IdW=f9YXsmf= zzyqv#6x_*YTgf<6EKr~e1v5R_{^U`xK0Mj(GOm!wccb9L@F49D55XR|HZ}A595Q>i+f;-E23VsETcnxz@-S_CXfb%?1WJQ0gm zVrCD@W*WreC5q4>{x0zy&v?mtf3}fMx@6H2ngHl=d|Vpwg(n5R2`|O&{_K&U?VIb* z=7P3wn?GAm8)EGe1LtWMfD|C!F0tQ1v_URe_?|zovuvy!z;*yPQhot!D^f0wJ_fh6 zJX}U3=Hq(-Y!HtmO&XSn6ju!Q3M52YfuxC?mDoIxZKGO9f%$Wg+=vomW+B%i{7)d8 zqbZ7>3uw}~SZiduM$!mq&@x;e$krnj<7<$Gv=$Ep!dbBnTa1Rp_1J$j+d>8ZyKYVi zPCUW20WDqG3D8Mw!X2aGs4B&zlW_6hjBUrj^~WJN`)E5(zv1NN^w$cKwmy2nklZamz`rC8+TXg4lYldx_U+a5@2t zB5sr_`~FDa5()eNNPsIXh)j~e$`*(4!XUPJ#9>K1WThjp6#%aZL+Ry%6w4(81}hOr z93@En0XR@UPK>yx{vE)a^-K@ z#D_6Zu+Yy)INtEL{j-uBzjRJYRgwVF;#!enHBr5n&ssw8qt!@aW`o!I+S4#0wYWQ& zZRDg@C)wH7&IDv6J^_}ha=nD=^tlOh;^)mpsuzgoG||vA42@j_4h~^+$QBpzoJzPS zUBZV#fL|Im;qpqj!d<~PD!IBduM(62<-%K*GAj-~am}$tD%T+dIH42E7hl<|5$%R# zkmYVd0P!`%T|oPmI3;Ju+$LfAKcckc)JV|f-=S=<4g$AOBKTZma+gAU8SxwM>%035 zmn|6OUOp;rYc6SBoYai+)1`@>_ozGK%Wo#94Q77W@#scJd!>~(MjlHIz6czqJ?5Qp zetouPsnXBV;;54rT`3=&?Dy;_RUenV%RG&l=6rctgb^uNsk$PipE-MH`|b3qudf&0 zFu+T;?wOgeJ$HxnQ|pXHH^#aO-RA4cec*)X8wyzZ#&_pd2iUcIZfCpSUl)L$9yjG>{hLIU(F09y+{yOi_s~4}f79T$^+w|ho z$A^7pf|OjkmP2z`{r1x2Ta2VQAH8e(Pj`3j3P^m~(NcTeR@68U!X;9X`^LD|YX-L(PLynVa&D>G&tx|CN`ep7C4RMwot9Tf{) z%156(>+?{wq~ZLd1$RF^f5-{3HCsE{I5D~*Vpa1PLCNyjTbCi*ylVEhn2V+_8l7W3 zcW1s$R&Fq*ntfOJ>w)QKYSJ0*h`u5UH+rnJIyJR>d+Ph*9m_OM?}+d5i%OS`IDx)V zla)_VQdZ0s+Mga5D$uJ6r|c~B%zE669Iew@NC($F*3+!GDq2lm9{ok?(L(7B7v$!< z@h-f7p=cp+>0sQ>s=9scWy?evOWK_o3bV^S8iZl`eI^cXJ|`+&KfGq7_V%|E3om&Y zFQk@7hgIaR(s(|fZ4?CVt{Z5!JRRdho$@S#R2M8T zMLo4jHZtg?dPXyubm+Qy-d;KPSFQZ`-G*@AJxG)OyTtqkl|)$*?!4?!G_x$6qx07j z@AD)z;fCp=(DJDlnrYZrn6I1H@*VNuU)E_gYxW-bh zucW5#l8TK}D)E!+xG2}}CvSF9p64|u@cf)9UUO!hpOY3mXT$Y5`-113zCP#D=ydzF z_iwGe-?R2%V!^}X1rKi(JnSjxpamY{1s*XAJkAI_DcdBdaBiwJZ#t{pR4p5L&N=Xk zdEhnez#Fut+q|Z`W=;1QO%G|lk9odN&3vCTd|$~nymoHrFmHIL-OwrP`@z}wlezC_ zZQrl7hJIedce93{jEm2ZXG^Ssf>dkNfW?Tmm;pbJmX?2*D=d!OPtsYp;&dT+izWYO`1J!q1`ROMY%`}v!jG1^n?PX53OIn)p0WYm=(Tacx zL95NivTh&QaB=V6$ydy4eGL2_ycE>=4=}^P2sOMsrQTWcCz23+#hkc zDtOJFxo3K<=d4bxM{)xUvcrAWsmj*-<dgMG8|%v=tim|$Jw@zy5eHi51f03w_4dGV;rJgna6{(b`p<$%FPR2r zQZLKoZZJq1CsrKV${_WG+UO(obFSg!vKx6(KWp8W?rONXVIte7u{GSSCrg9#otM@k zEk7;IMYl2`)P}L+h~QoT&zU9|MQ)oknKwRyEzpXnj&~ zmG-vfP0O3QDH&&Kcpowk|46Tqqug#OlP$P>cloQsx2#TXoLV*V@GDOLs5fQW3HK*Q zGT-quqpzB0q}9r;Fl11s4kXI&DM~#$rbuv9i8VX0$K2CP1Z>=b|6H>vFyz5pSCH^>Hqk%9PM_kvdrj`YWn-Ua4}_{ zKpss>3)g;rR>TRcu<;TsIDG5vp*z{ZCzyjp`DGE`Dfx~QtO7Rss+vA0ZS!A3ah7S@ zm9KWp-3%==m>E~N60!XEds%xPXtO*wX{y$x1+nidx1xP@WT|@0`ZyRBr z_oj88BlXyRYV4;kAu9~h`A?0R%z(S(c3Qx z-!$J$IW_CTz^t|}Gghfew-*`T-lB?>$2y+#OEW&+qCTrs=Jl1UN0|#gfBxK+#FX=X zyDOh`W=_V1$B&LO6VsTa^8(LLLdrhPpC$8l^79k+-uwC5?y8R5OW9C|d*&~SH&j2- zHc6VvbX;0za6H6GR&I>u*F?i3H-)mgx*Zn||5KYWS1GoZ*&RH#{agJGcLlW#-Hyd_ zZD0PmFqk8x2Q`&e-WkUXUeK;NLDuB>lFIco*JRNoBWjb}rMw#T3lnTT&IzhV`)qYe z-;!{KYIAdjE%&b^SG4r}6e5 zR)~rk7Zo*_-B+BsURuge#>_ZbS2=S=t&hL%Q+0EhOh3Js2T$#8r_?liQozrNcj_{pdOd#|?UC@{`N6{* zbNEv%b$jKrirv-G=~8v+zEDa-dnCif|s9THbLw=}fQdB&N> z%yhjr9Tl5;nN)>QlU&KggS;B1UviHANkQ+FBN=%k1jXGm63=Hw>Y*jy7d3ohq}8IX zH`$&MawwqtM^pV1snvD;#sBP7DxDKO(}!2PB_7$G{ZWcG9n!CtnxwD%`sEJMiNhiF z4M^tBgtIN%viYZtKW(xxJcI0{4_MvL4`kqLjEx_QG6S#)KRB5hz*8wR&o{BvwREjQ zFFtmY1mkXXw&sqa813KRk>0iO2AOJ|lOvTjjjkZCe1C=K>in?car!@FIvNFE zQ2z7xsQC7V^E>5_Y%@9DwJPH8#X_{dM0w31_x)@o>iO&od#6VV7BkjVw%>ZG z&>dBudn8vh@owYcsz*V3%b(n~+TtK3Zy{e~?|xv8(?7f(`W9rh;nX@-?@Q)^23}3> zb)msMw0!29C~EEJm*0eB*WmX9C&*g&E;sb5$f~A9riRp$a+2r)8(+^`V7cv88FfvU zo?7>l+l?;;QIw8#7dsAB)0j4uZ$`a8xv;q7&S6(?=HeZDM;zoo)x5u>a6*G|cP&lp zLM=&X7vkhbcCcKbmdGGi?FBzKHQV23m8w#CEA{uOraDJ3yY40@8!bev;fGQ`sMh!TIS-kG}6ZwZmQ=wQ8AGGXA+pHDN{CBD{JhhMK(OmBg zR}^sZQ`t)hFSyQHpAd8KUt4$95i#3;4;~7w|-Gya#n-Jif(P_03ylZ&%He zyzAD1q|GTwU*?Ytc&gd<_VH>9zqq8T?>DFECZ|j}`&{c>q0lO1EYdhXOAtjiUABl9 z=s=FYTp}=fWR??>YHL#HZlDypu~GQ(QO3@+QDM~0FFt(=mB}knPl|aM`?*r>*&0%E z=8vgDOHXwbrp0OnR+0fPu5>VpYClj* z5v`%2J9peKq26t~6&%Te+z(W;p2mfcK-#RkYo=X1*pbOf_RI7asa)*)-b`BVmuL7v zC~a<#p79nP{1JpED_5N$Pe}e`?H?MHzsQ3otWA*5t9ih-h@!TsUoCbnNmTzhb%lb| zRNRq6&hSm#QG89PvgfGKkcqOE@G5<_8lfe8(nr3`X2d!iIgcqA6}~fGmCL_kKuq_qIy1h!XP5M)^Z^wJ4eAebVt;jQZ6Qq~sk&m|YwTNuBYU~)!dn5B+ zpFi(GQKk%VNpP=DtH#!oKP(gS`>N*{UZHUw7wI-M^=y~EZ|(h#Y*Azz%-nXX)Wd7c zOzZF#LDq?%3L;y<%Nbtv>9((WbcUw~L+olzT zpJ|3&Ob`H6DwP;X$y4*+BUw!?PcOf(+`j!jniE#G#FDmMv%@2+^UAim#yr2QG6-I$ z9w#e!w2;mn%SL#bZn*3sx6`P0Y*WUYfFG|+9G`3!S(oh*nDY00^s<>N>yg4z`zlcDWjCL^wy>KiJ-|UvDX+Xu=~8k7z377O7pAOT;A_iDDWw<8 zod#VV-ruC@ACz^^@j?|xeIFBq{LPYL>MrV(F?*de&dpHkqV_KmF4n7#JWjPrGdh)# znq;uv)!;8A5d8=et{9a*MLekQ-ZKPzG zS3=jSXJ7cKpQ$H<3b)AnU^`sgrplr(B^3{Lihm-{$lQDP|<1g=e^DZe9dSQi)lsi}!eW-EyL<7qjs0#M@9(HClWp*k6)JB%+1)E- z4|095O`$)W^olQYUfqxpnsv8cZ@{W7&wSm*zI|GI4CzRB|C=EB))rLv{9P@1(KLFI zS={R~dX@S?!R5|}2~v#k}Ba;DT= zN2K%z=YJ+rWgoXj$s8!LP1?0f?4*O`RDW zoGQ&v3h<~S)AG}QL}63LyjohB-jcpnH0HF5Ft=!lps$V|s#e@{-iC$%Bs?dh)$itW z!!xAxwA!XumkmF6Q>s-i_OE`}Kh~1#P zBwsZ6QieY!a**LdUc4{hDQVt>of*QK8dZLZ^vT+BP0hOZ+b+<((ch=;>ZN9T{v(|I z{Fcy0S%6%oOZ7IBUY|Mrfv!Z;h@_%W!#6Bi*gaMHbKDm(bI&w8KU~&|UoAvHfQe4PqTIS{Q1z{0oyQ{5lKR<%3Z@1Y!ewMAIRJv$x zWrb1SPP>%DbDwq#axMp?_OOZWDxi|)$fcVBPtspFhwD3ddh0S)o* zrU-&u^^g|3b;OSS*;DOuF?^oL=%d`uR5Hj!OCM0u0u$esZJzQxoF49B(_$ zYgW)cKHGlA-6f*DOQY64XQF)r<47aZqe&etsBrzI3z3Hk6!+$wo!*zqZt3z(OGJ8 z9V^Qxvq3HKHI$qV6SJh5deGG~S^e(qs~hCz@Lk$0_jcH6+!r{|G;%(?XSgOJ*>^8I zm9|}*;XF0hLD9r};~;{I6+OQ|E4%Lb6O>!Ur&PBb^y5cCC>g5OizwRsP{G8Tk$cfk zWzQPgrKIY(`*WQ~i%A}`WI+zx4DZxcK>F~{&_oHWSLJn)Qdvk1t5=dS@3aVe($G>dP@u+twGVY z``^DBzxYi4i!!MjGDSLFUCYQm^lvwNGV<{f41klTE#tY>SH^t#3e0=w<0Ul8S> zPI2~_14T1Rjp8mjc}Opw-TgHowb2F`~H0+`QxmsE4!|@?wY&zhfk(W zg{^5_t%dY!3c}tABP4=e3RaB2h$ldJ%YAn^|j9mQVVOgJG8|BF5V0tD^ zd!JdX(yJ39>qoNsmkfJCrR7#ltKyO51?Hlz2J-Bf`{(^$w|9nrzM#lA4&2P^Ui~A; z^ZmQkt&jN$Mbz{RzH)X-<3+wTT^o5mOV&seiaOYIDNo_^xTqyOUci`5=~13<-cvnY zzvO9_-SCQX+aPQmkx7>q{GGU3v+9XaWF=bKn)%h%5CU#5RCO(i>I(a^;C|s=>w}QrXsCGE-xSIW76?`7 zCM0H@f0XV;B>iSU<>de(b-WBDzy8D@c_t}HZmL0d;?Lum8fi_R-DPE;nTSHT7b@l4 z4wB^FbOzLad?de%St&oOGtjI5d`CD%u%Jx#PKnh%Udi{=mKuT3$s+^FZVLJSm)6{! zJwNKQ@=EHrb|LkI0DEWU_Qb@SFEc0U&tK4|M;f_h`x@$0j}~R~z$b41j2Fn-To$U0 zDOulce0)v$Oyj7oK+h*@vOTTawtiMH+7f>%d4}P7<&iTp$D=z3P48qO@}iG+&?=u(R^?WGPbWIw5Pz z1JT+V@bJ6h8lw8oA3Hrrb63mQFGHoWi&^c6a>_^UVFA`G+*UR)aBq-)41a$ zTg)1t3CXE%5Or`Tk}YhM*__QDjH6wqd)z^G*x7zJtI6!?mTrFXkiM{p>X*t%NLdyY-gZRi{2XsS)%X zCjG#h6y2!hMx7kfyL|nheh=mhG$8P5zJX~F-lWj;mRDz|aeHsa=biL*$iNznN)Jb? zHD0#V%Iv4<%P?Z4KSfcR5M3APKa1z5D>oF@($?_O83Iv7TP^iXk4Tg@n}4!$KT$nQ z&{}sN^qgAYQER-$_oLax5Uq8zjANXh3}sTQ5Iye}s^A+XkrU9;BGOmB))S$}ER;3S zjAUjT8+J0N^-GRlt2IdYn<*Fkq_XFekSh3AC!pB}(_K&)^P20ymX=S=Bs<01*ZKao zM$Kz_%P4hxkeIyOg-B;n6{qYA1*y{dn`I2^)4U_YQBPkQs(e272UP5PX{PtsV%AnB zT6&OK8ZlTtuDj?*1heOX@RFCEO2O8Tq3N9Z!3$`|f(iX+#_Acx_{-{R^xjhU!-DsN z$0%=NH7+Ii{S)Szd2TL$>6|*#U9xLpss=5*9=}^pU!m+;7d5B#p=`m?qHP_Xsaw}( zEDjCz@0ceeqH5lL&iAN{azkYaGAHv!G%qIw4mK}W>?u3@xRw=JAshgib!jO{?pq7( z?eqJ5g9HadSjM)Qk%YxR47 zRAk*fFTZ}m(>$qWe5ylW5vZ~ClHYt?j$&z(Tu*W?sNjz?>2 z{H8k(P@|L5+45gwv>q1F<3mknt|>Cm;|oeD1Gc1#igya1O;CCMbtheAoNGFgdvn=` z4NM6t%-|X zWGx>2i?kpzkKvX&f&Vl-YQNB7GA%dppvyGZXM8mIAIg-=c}bZb;miq(@+hxbWIpGm zj;rs_mfy!L4fEvf$zGdKLT3c+(#^Jm| zcdumlmRF?J$E*>aODz$^U--V0Cc4h=$d5SwqeaA9l9|}w+DXsJHsG`lI+OZ3r3SUj zWZ%u_^4xmLDslZiI>}6)!_8pUd%k)h(^|Ggh?27>GfU(`-X7UkqH09-rYtXRe9E`Y zF>pL(;N*Fy!fq=09BKDdN4?$3yZ}5doTsOC@7K3T0t#?n@@ZA~K}B{rFP(&$i^$_n z{lyEk3QQ9P`HZ(FY^wre0bT&GZ^9qoa2mLWAtE>vjv|nhCiwDTXi4B?L>4ATryY$ASIS0kBvAFgZ=;Hfv>!(BOo2De!GT_0;7F7OfN%+x#C{o!2#l3Tf_uRS z@_DlQ9t0c>f;jMj8hl3|o_%6P=z8Lt`8*vTFG)mKDZqv!B_A<-Eyu_^9u}91FHs6G zufv0<3E*&|G5?-YM}IQ@L1EduFaR32)-Rsc`GnCpfpA6c zWANJLJU5H6WNRlF@P9W`4N&1kBj(Rd0ep^ly;_Cf{^dMNIRJtq_Bg`R47k2y>5=Uq z1nLT&t$Ntd6a$cAg2Yvf`b4~X1y3z*68OL;b^*~Lq&QhZd4!R-6QYMp+D^QICQD5L z+VX!5WpF}-6Yk-z4R0yUY2Z=kf7+`Tfn^JLx(bod2#Iek@U6fp;OT(VZOJ0BNAfZ7 zQjAYPx^XzVfTwM*G86g%Mn5s)wm}QjXA$Vhn14-~{On&nW6UAr)kQpYHAI^$K_Wxs zUa?WSe>`p|;Q6^EN~UrI;hRS#=|3|GC~q+I1bp{!r+)8Hy%g-ZlBX+J0L=wZgyKS2 zU_`((0`NpYy@yMLg%S>8pr!Oh65>Nc$5{M3&o8Qw4Z`;Rw=4j*01ZwMviGM|sU%gBTJ;JpM zcy`J8my4Hd%wEMaF$3f`u?7I`v@CYPym^2;OPEbWTSbbw!wUlJ+b#2O;wqj6xQo~X zg?v^F6_Nl`pga0234cn2Ij#`|9>|6$*M1_12OQ`Z2tIVBRuP-2kRT^EfASI`RuS0y z=&}qL>Z_rt5(JrDZkTsiAON~e){r+~_d*_9;IsBOpBr`)Yd^BC@1CJS&&2 zKvM@|R*0jZ4K3v%s@4;c%XT0@4B(%&5q-6uD8e4Ac?PBmL?{cfEw>ZWhENsa*kym_ zhPENY-S`}27648iY3vne`ZovB+XsmRDo$Jy&O=FK#jHg00JP3&{qRN`>W0EWqFykW zvIbl5Lj+yGtV8Ahp?gG}I5b*#>KYy$@a%Kf@N`MX2-d1iW*^5p*6>)eCnP)5<|Mwh zhG$JaMaD-oSk`0+D)C%{rDt+lvUb%g2~NBSK=rW534uFZV#_LVzhr1AsE`7U#)`Rd102eU_~Jh@N+RE1lDrz zKd+tOz4QY(gOS!lGC}P3u}`??%ty3GLyYgSWOy~7kb~4E`FzvBA~Y-%rgJ_rdWy@} z^G4`All0`*VMco{VQ?lbxN|+vXp{!P)&G~by^xd|;%yo)|0jFOha2Q7Q`a3_61%O1A7s1`i7gS z1k&UmJOOu=@U#W}FoA@V5pbaW{db?o642YB@s|fkym${oXzrg-x%L3DLVoSX-~2!g z5!hbIHXZH{NdhVa{{vEF3dE|Q09H>NJ(Wfk3%(R&A%*id@XYmPDB{C-k$9KG$|u&C zb)Zi;$hT%Rd}9O8R3Hn`Yw*f3B0eEjEGZ#*xgqipo?1bIJRB-1UY)9dj3)}%{4M~< zc=4$Ye1T51N0}n_5do&UD)5FF2xzG)yrHQ9Ad@hRRF|L(r8Fp17VvQl2HlPEzBDp~j5&9qSp2%QZ zktSF2e%Pg+-mKGh!yTP>$oFRa{x|TcGZcDZU7%|M!v+l#vv?l8{{Whjn72TX;6AVUmIR#T(5g;P@>(Q}RR#Ue09c2`0gN z!e9)r`NXeMxMT>4Z4?V$JBGUPmxQR{-{Ss<64AXqmlHi9#!&od?H!I3r~X1A`ys_? zLwnK)TxQ4vm|x<#1t~@jXR?QTh*K0a923h99BL8%B{iZ6w6=s*{31-7KGcqwp?1XL z*;_#{m;oaw_8lj7X$t&+Hd6wwSDZCmi4(L0fc=s?9MO`0ez#=Ka3-Q9bN|y2DZu(8 zT0GGjpqn7UkkbI)PvG+90op$ujLXDKAsI3%&8G~-UxlV1zebP-#sK13Op|ofcoCM_#WS*5EP2#iA|8KxKh7G$`Qmgish+bC z(bHi8!eheR*klN`t+SMZm%d{%^fCa}4LnYgoMUQYDq0B37MvvM3n=*LJEpF(aCqgy z*n{6E5w6_Dv(n9^%#E>~9WOSg8$aH2>}2@QD|igZ`C03C^VC(chuXMI(x|aHI4XcO zMu(Owc~;J&fLTP$EbwblkT@$5>E`3=0G5vIa>+*2UV&QzSPbg|3dBAN3HBcwj#O3> zvo>8~mf_4P@yXmPnYd(gw-4fHT+%Q0yCtR4{GbgtbSrEDTG5 zP8N}&!m29hcvzRH9IqEe*09UmMG zu2^>9#?fH?yA!_|&ElwmMFAlRiY-vU@7E$^x*J=LVVQtQf#E)$A!#r6*azB&eK=|# zh+g~gsWGe(CSWu`@G#|ruwaP`8}JYCoPrb&Nj9s0SV$OR9>#-XScWP`ey0)E57y<_ zCWtjsdB}=@$O@^Z$MD=BsPs6F+Rsy$JppClL<8p@vIJ0Xhf?W`UO(~ z;#u>&B)_FpS55ukemXS!Ul?kG~u7k?joLD#ilmI@Rq$fp#%iOsUm(|Ingb694Ao5V80TeK|~v08D}b{&8cwnG&JJ@V^0W`9aKtH)=k;)kt!H+a1Ci&= za0*A90(m+F!%4Q>>@Yhb)7#+`jyMG}y&F!kwaX5(CGxx&zwLXi>{JJ3xd4<;aA|WMF+YSf&`zlV92*pI;J`p$p>Z1f{ zK@$mW6ucmmxyy(*PKeQuBX;t@!N@nNb551Z?y6BO>-!v}UDYlA zH>#XEC`*3_q!RmcL~>T-;jp$NCD$x1Yiv{Ms;LE*Va`Z}ia zwnIX{Gt##IRg=UGHaN#7++ZVpt|YUyyu+`|QiRr27qq5B5%2z0g3!9~BxMxkoqv_l z9fcJ(yXu62ru0`Jb%_IRB37}w+b?3np1YAcC{6#TqaMFH%9nJM0gg1HOI_8dQ;?Py zF52v>DMRQ;89w4<4NC=3kBTew%tY#-8U1gNJ~jVewd`g(n(V&d>WFJ8_HIVn+bKg5 zYnb?=l}iYQ5TO(q?;L(H5o>>QwNvrhJDfnsl0gZ%c=9*b02S|pe{YzguT9YYxIe#+@u3%! zPahS0^;R9zhB#xg`jLSlcdu(AGkDBu9;@wr#j-nw@uZ+J*Q1+WU0<@}Y}Q*Q@(=s` zO#z!G>h62{Q<}EOwWAiZ%8ISq^EnFdUR8X*Kv6QD9_fB1{j`tI_bAFkb(Q-+vIX0t zGQ31}DhV%<+q0ZQ}Di+G|0`_+7(OO=lXAr?rDZAF^vaEDe7HQs{HxC0)96$Xz{ zqdqDKcOOcZy1D@Y3u3M%lXQ z!CqQtG5vY1a%7&+;H8(d?RQ6ck!|Y=<@x-O)Tc$#%RF}&7BHM&6*-kg7lnPTRs5-v zIcO`?*_$1lec|>yIt?ji*UP`HzCXz;$p5bV+lE-}<#|CzAISVnqr5aiH{RBwt&fB* zn$2+vWI;fo9%8q5_LPgJ(N$5}vp3n(kLe3j7Akj7$;vL!|J!TMs$CUrLXo-0^9j;F zTgbG@(XYy+cTn3c8hl2@UH!h}aYLYe-saBXWyiqD5uOhd=Rk3k|QMse)(8XnA@YN!3y#u|!)%`Nh$RFACIB zPuUz%Lu}a|M6!<$Q9^Dse&fgU^qVxx_NZ(ij2Ro3PnPs!l)$|c{we}6bW zrl!%j;DuST>Wfc3%IbFE*X+V?ToBT`&C=6aq)a>)Tddt#lO;IM(Xd=1L%I`x{XJuW z?x(YIjGvmqy(NoW6Rx?&-+0?g_azAeZ3e@Un;u%o*`}4zx4n9mcZ;uJ8>xLddF|F4 zr^YxeO#HNtbo*NHj7ja|lg%!NOyt?`@xLr=a$~hnIuJuzPRl!a^hY$3efbmpT{_L-xpT&xjASK#P4Y8- z0w3`zZ>06Uu=;r!Ilo~d%Vs`Z0hu;Fq4jc5I6zpmlc{YcBe!l*Kr!vLNVl|NY`$G1 zRhL55MVDL;_-8MUHJn^1$QFFARqkQCe`}#GZZ|x({bQYGE&Lm7@+sK$bj{4DMLnt$ zDi$ZHjcj~)VHazv@MoUoy1Z<5avjarPNlMMN5xD#-ld=8`#)se+y6G^eEZ}NVSi~E zdMqw2KmGIj1L|Kz-xQAAn-Hmb@?dO6v+H4Ko895*b>SCt6av_3?Xm*()v`viqWzYd z6C!UHY849&CLr#km47SK94nHgsBux8@F2$#8U>A=ujA|<7TlZfesk7|Q;%m3Jh}08 zP)kE7%$O%^IaFDB`m|6O9G{?#7Cv6*{a}X|iC>%IX?k$Im1c4ND{_9o?zN+r=>@#5 zt?c@`#OT=te_6%aZHfPM@@Q_N_}=*q%Aa=oxJd^ssk#(@bk0GY&o*wEHrKWmPCh2v zd2P?O*!74$2P?7VVei+ z9H|PF!td z8|4REjGR;zc=x^b^t-+u|2CFk;>-)PGE4BkWxGs? z@AB#p_0oz)a6utFUl8G`e@)3?R@^m3=lO!i!OOkXV{Kn#9+0u|2##%=RPf_-XSIt_ zRzXn`UPtB_Syo0{KX{;RcK$ZDaxIrzRv2lh+IX%&RBdpqWBI7{(uD?Shx@~Ye$!Uh zExoZ~v4MixWRFtHrmOWO!GASgSC`7qyf|f4bi6`DbJG&?r0C}fQUME?udc}TOc3?9 z>=k_3@jbYD!G|>IA1UqzbbWdrrP2FUR8RAwP`&!Ew$wV|MF!_*n|u32f5S+_jNbGT z?!Ao<=)wA<)+p{$zq50m{61v4bKA-&UP|v9m4Fl%FY6W6?R)d2H`5O92pLNb&Ytvm zUd0X)r~CC&+dK2xa~}BLyIm4OI^jGDTbU(&_WzLDSVgZBy^Lz>3hznRi|^~<1kc}^ zNy&nL>PRgwTLi9`eN^Wt1S^)d+7C=yedU&qdAJeerTTi9)3Z%`4h9H$F4U)L@$375}Y4 ziXSSYWy|k)ru4+*ZZf@n`gy%c#*27^?vyX*Bu8}E>b1rcu*y3AkP z_^i_Y+F6jmlS^3WVSfJTE8eE8=B}v;YaQO5n{?#cho*}yH5pS@LnWO}J8IqLqh{mT2DB>^60gMbWe6=0`$pPwU8ibFujR zI4fo=Ttm++Te5?>Yh{FhZ0Y4WhcXBNS^rUq`a5*W&d_&;8I#LLY2qJ6F^(P%$FAr0jCL`ottzE$t6$r$qqunL z$lxrLocKlRgSIM1!~0n2LT#@J{Vo0FpTqmhmW+}69B3zd<9M4ue#6&blVXj%cW2w< zaPE`Cjg~7rvOY=gkjg$&m-A+R%e~aIuC9zjjymt8)s|g+w>5lT`9lTDp4+7}^tSrE zydWy{OM3gJ6rFiPW+En8l@t)Sy_q-byK+?W%5GS`vr{0Ra#JrOIwrp8jc>WjCu?sR zDvQQnH7?1oB73`sfc9!tR7oNwM(R6bk9)UO*thwvpQ2|si*Ud>i(^{LW+bQ0ULn#W*=mQJTT!~= zntL{c_Mm-kKhN-exV=7C?{jzU^2qKZ6IgeSZk?=dEw4h`CPNqG<;!|V%VpJNU+<0{ zIdgHyB}za4i!l7Y1C^DQ^o`*XP~Jl6UC~-+wP4@u6QiWo-|5wNY3}XaHgfl0DUQ{8 zoj3d~wyY|@Ww1f+dC1|7-bw~lCmTmjK9SS=GIX^3L+_oDwK75VE1NI1FJi0d))z+P z`S08ywBP*XTI(t_DM4M3W`1PUz_}uwXXE?pCe^%UPJXw%#u|mJ$nP!ZIUcxcwqU&C z{%4{{4Y}Gwf32#E-u`H7zC1md{5)UY80pBTMOlomKT@PZwHnpdzGjQzc^UO7W#Qd{ z(f>!+m%u~yz5m}kGtAh>zB6{BF{DD4u@j+1QkJO*EwXRPjD259>m}!S7)(Jn@Z>FHuBHXkhZ05dC$44 z5cf(?6?|ph@%5|s=ofZna9=wKcA5@PwWEw$;TDW+;o;n@+6kXZ_x}5mn$(!v zh1F@73^mqk&j(b(#>TEj$4IlQ`0kP@6Bbo(>4X{M;?CBU`Z=) zQD;rdNu_f*u$YU?kJV(9fB+zWgK$Fey>ubdEFEZh@PBj+bWG5fKR*fdIUhR{q_6?2%^(S4>b7|nArc#!U60Y|E$CQjktT@!jp~@stU#R*F78{ z%>9o(Lz0Q-zvtqG7})v#QLp?@F=76HI1e)k{FhS@;uH}2R}q7YFt-TNvp8jW#^CH( z6UbAEu<$=cIG9BKTSOEV1@L1I8o~<~hJ3RgsB1Ww#Q)160o5redC(34nAAV{tW47X zlP@R>Mctxj=10r@tNpMJ`6p_4{zFYh;y+h*?BHs-{Jmu0!8Z5cl9B$9PyQWj7@+yX z32ZWh2}ombTjU`fR)w|@v6FzU6f1MWCiq|z?qVTAw>K6Am27?&gmsavq$j%+9U>vf zxBgaAw#Y+6k_-Q)31pzCAs&HBpi4>GbRuS86Ed+F4T*mTZ_-~m{uySE{z`K&kSlTJ zD@~u5?qiqo|3AD>|A%*{65c&9L?{biO-REg*h=uZ;uFGG%OX}2(yc~ z_Wpi1dZ#Qy9;-S>O31(#mStk+kdP?QB>yWM|Ybpr;}p z<%wEF@_$cKrqrD9LMnH^QMp-;#)!Ip^5n_llXA#}Vk`qLp&HwWZcZr0HnKM-lwl)4 zM?kg+a0L@e4l--7d0^N603AkKnxxw`Fo4A9N*7T`N|jPwlu9~`fiy`W7uHaReGYtS zzz$%#(Q9Pnb6|iOV4d8yTUWgvCabzd|U5%#S%HOXetI zfOgO$0{SC!J_izfr^sB;E^Z1sQQtI=EFVEum0%1}^krSj4P;9f8_o9jp=U(G51xcM z9!5sKTePOM8@V8ZuvL-5-!YK2#8Z@)u+&uaC}Fv&2p;i)k3hbbb}tva0Zydt<$@xR zpGTpmAm4?5o)-&$d$9Ik@=5;Ng$(~CvHwfr{~r>8?ECMD$c{zxm@v6Y!9?Hr_<;F@ zzQ&Q;-qH6fgf!K3o2&{B!w+w46N9;Z-r{03uHxwmxis*eUH=37GlN5%M~B z4U12E&jA;M!LOzHJHqU*m?XI5be2~!U!VS>5N#IDU$xL19l}Mm`{ z^cJfK4l9a7kpbB{3@!kJ%fR4JofP;0O}2YrYBU!h(=}pn#&T>7l^IgFXcuVWo&1}e zjYiLuN#bbqMf9paC2@syQn;>48ojEUGbAqyg^tDmyNrjC|m*>SGK=TV=E?ub^H4aB&bAZ_rN;D^xuzrC>8D+aUF9+ zsdzvbgGe*E4m(anC_%^h&XGXAOC-qWYgb5R&hB}k$6Hw`~X z3ml{wau3oBC}`M2N9?(XgClg|a{!~EG@zzR$ajMXCi|*Rt`V@j+cbJqF?1|zvhQ>~ z0-o;~g4k;1_|f%310b4OB%L z-b$vsb_;VGF@Tu4NkiRtopFT-*9kUC`zq2W_e|w32wd$xg}`6{ z9zI_30Ig_{kkGgUM}cTkE^~3^^Pl@LgjqxtMfp;6^HY)x(Q}wl6J>Vi;@weN*uqGE zJOhU@d;N3C70KtJjF#pmS+nmkOr$M&duE_Z$p7_;=laPCViicOWAMu#Ch>GOm>UT9 z`K!k{PIdq6PZG#v_wcxd2+I0+)~54))iwI$3S27-xfp-GY?JCXUnjA^cOAOMxzV7A?5QDDQtw~nFE!=4uAxKt4rKTz5Q}Wq|jka6kM&M#ySf}wO&Ch_d-MxSYa_Jcf!rogU zC9i%Forb9eq2+oV6+C;wxytql!_USEPtab%?saK72#uLH#y4xx#v*QR@?4Y-X&C|HPaMUNz-WIe5;Wt z3ln7$wU?|>e7;NUZ|A+TE0L=s=`FzPu?NJu7=37gPq`JaZY2AvXxCWXH0dGZg zq_qH=0ntkFSNSqDxOm=>Sl;RAdisW0B-Oc}HZhQrZ{k{1Jk_FwT4iUEIbzF{SIc7X zMyw(Y{)R8@5i84f$R9`atFRgYR6aGxSgEW#gp>pEc_;$sjXemTH2X;j3A6 z)8Y)oVs~FI6vclPU1m>!gaW@%LFb1AsdfI&tL85qmxw=x=@V9d=2!9U|d^8I6$xFWzsBh zKZ;draq8Ji9#gIHbf)CMr^&|Aag&$4JS4+29qMIc$fFNo#$pTDayMxmqgXL^%upeh z02zj7!W-M__$LhhOQ`Z(+MB?RH>eJdR;*8 z;0vcQ-&zwSMm08?L14AoeWC!wEhl;G!$u70k`HS@WQ;Q>~8`zH9^6#sf&orKi%Ye`Lk_d+;KCjgqWK{Vn0AZYu4N;L{Ykksgw7 z*mb&H2h)!N*`M#CU`}ljXMD`9upiQ&>0AkIr%TEu3G7e-4zlT20F(9sIGJPsXi)%+ zXXpiR_6NP(m8>@noO6I$c`I{l~&`TMOrY z8Gkx-+>50l91x~XK#)kMa*bOb9a8DA?;O&bpK}#jOMf{H-Ay{& z(P;Ij9wQc457`pCG2)GF;3gGiJv5J@|H=U{zrIsVa|ir}rZAZ6P0BF1{1gUgOXn&x z9A#4a{4_{)WvJfV?t$5}bHv&J)$}(vVZm6y*S{99T$1&#MgE%LZ9#}=0saJJLPUD9 zB->^S;&POLY|4Pr=zV{fFAx9IRG2_=7y^D4MBF0-4^avbHO6>jk z`3XQAMDWdjaVw%NudN`i#;x1L?X)zf^9hNl!yLbO`p=@c+BX&2w~TL{eL59sai8xl zUaz6rwwdcsI2s4@xO4YH*ljY3NCGl9#+FE;%=S%RF~PJqPokJbHPAiHD{oHl3r2%Dt4b6_+)2L4aM3XyIP($`0ezQpUW|0V-6GXVVk(_a)$o!os--S7t@Cn2-ebVQo94Es~l z;Zr%A^9k+ToKGwm6WX;A0NnangyCe{Y1y;sGKbrn2zXDAL#0d|eQi<(RkUQd{$;^} znrrYd;#2VW9Ke;9Ndo!?OJMYg*@FdPfU?XgBfGFgjlrWT&Q&H2S)d`rc3clkP}e4t z8P&B>WY+a=ccD5QgCAOb>qJFQ;WMp^e+a;O=dNd{2p3q%$bKh)LN~m$B#ooE3rUYIO1WXC#V# z?h#~5b|^}=F}tl5g@6MD&-WmbVc2~<+F_B3{6P}W)6NHMTbJ7ahvSm|mQmkf3{N}t zVYk18aL|SO*YI}=_qG?D|B_l`-hy$Dq@Ak1a(dm9qE*N*7)&b|AYUvP-Y5-7N zmylYhat8I1FICm9r9ZOx`tI!X!sK z>dNsY8cU{q|8|HoW}1H6IRD(C2eH$uT`sR|v1xH@FMm==bP8-SWfa^?f;+*-Ar0ywe`rnemd}XBT8~1lKlG!js@h{ITTir1`Q|+IloiGESon5a zk&In(=JJy$0DVGTrTHGXAXh9=;WQulrr3@-(Up?_J#NCJ(emp%%Ya$vUW&{Bd~7=; z)81iD+%8HP21M-nWU?OK2`B`?u=|S7IxeT#S3Y{A=I-UAjZrcgd5h6>Dc@9JPIDxpU|-l*v&K|l17J&0tOPs<_nw}- zO8}nPb`)97Q;^GmWYQOaO~0e(6&;=R1uXJ`wQMiL!`);3{QzT5F3&n4O19|}`{>a` zG-GX@x9;uNg6$+lTSh5$ixI%W7*O*fowELU8R)g%BO|n<5p=tiU=QVe4>F;CyK0pX zMsyH|T$a}#*R;e~owiycvmRLk0KFN2DB1$>KjDup(0lq0&-2ZxD|}T=HT0DDyF>%A zlx{Cc&RqpS?mp^St7G@G`;wi1P{66m;+uyWAfGwf4=x>=7%eHkDB$(&5G~WWnMp1c zM;~0vuuG<^JmXIRr>@brzVlhF1i1?Lh-3h|>wJgzghL(?EVBexepA31Jg*GGK`#Ep zpLI!Jhab93*UI)S;UB`;pf_cIywyHc=i#=`{LI8^3-S|Qa4Q{bX-3A7g#7-%5O(8I zJ9yH&?=O1Lx1#~EnfUj0gE4#&_>c;DLp}kB{3fnw>Kl9pqdk!FwolM)v_YQoLk3T$BsN=w_%Z-+~uS zgHk828Ivu7Gl}dqXN%OY7hE|ck;0QsPsLjcu$=Jo*qEQ2l2l9GIQxrV{F}1e_?Kfh zrv|zy$8$GuWk~|}PCE!-xZj4&U%oU=kT`@rYZ!TP%N5REdiJ}!#x9l7v@BNZw4rN)wnCD1=p1FQ(5wZ7(5T_QTe&|ysxhg z4zoCN>N>4ckZiNjTC+ef4T?--`fO~P0xxi@%%1l$+{4~1f!?fHhNR8i_^NxkCroY} zE=iMl9L;oAz&h{2@b(@8xKgg~j{%}9EM&gL(-pH}E_wYP00N7WQZD^6*KiFn_jh;o zF!#6{K)h_qJBS{1Jc=JKpPrJ`Q7M=9kzdA){3_(rb;DYIM)%j{p0!O5sAFD?M4q$% zR_+dYa}@!riw4J zw($ajS@pMA@RpG z&Ftc2{-M{UUzj85?*(=qS)#JMi|o#9(Y;ELHM>b8z)gIF%pm6*=RQ%XY!{U7y>n*q zB=S$Cz!Mcsx1*7BF>DPa;q@A~5-q(GIhykTJgiDF=`}E|$&2Mq%LVL5(FQ+g82IZ| z0(Hl5z!)7Nh zxzO&|Js;@rjxd=uZwyia0=Ha%4I-3P0vRhc+ywng!z!%q`~04uFdPw82kc737Sj4i zezzZk*~LJ>fY|R6WEDy3n+TwRhta6uP8Iey4xFO!6%67X&I5NP!L>^GCxFcav~TjH z3#bIPX_eqIuD%$n7k0}tlXE!VL(H#hTSCw^f1RxPLr(b^kaQYofQE;+ z0vaKAb%=;#z`-$zjl{*q2tBu{BxE??HD$TE@5L6fG+-E^XfC3#^fox8-He%eTA^P@cd+ zNUXnDm-K&(a=A19%LZA`UcGT(<13hX06k1Qaz=z(QRvY>OI82R%Ci1#+~a={quH>u z0cjR4A_P=EKyL`<97q-r@=w{n)gP<`9-M+H7pnFE&#wk>KKn<0S@*vj2VNxv^Zo(r z|33<%AY8KhO$ZrM_|K{#3JRTd&>+r|1AF7Y7Sskr?AdcVdZqvh!W#eOEr)vY06bXn zZ{sWfk(cB7zl}G5BCN+Az-CZY2a-d9pI<;-$o4WcggBV|lfv`_B`caNDg4C$xINC9 z&0j(Il`VmMbB&4!19$r4gv{85SxtXl~2iT0|D}J_Dj~>74b&tL{e$`h%NZ>=@3EhZX zMW(zCqt=A4#NC_ow~yq;gq9DYr#A*d?g&}#8gk9OXI^K*U%QQF}pnLl4kiRrN2AuPRKh2A|2|E>@ZPGWYrV3d-hI(yWE;QJXZ7W@*?1XwHm;V)peHX5Y zw(2O3k4)1gytmg?=}a%Ft6PpITKK{Pyw|SLR*G@5FiBLeY^$f z8QX1Dz%H2RlFXXBu|{RTH%|tYf~wajF!31X#?+ikEp653&bYkkDS5d*Ps$tVfxfvJ zaZB?*HPr8QIG@J7dbx>*zoDohg4#f87>n!2>&;;RW=rB@R!wrxq9k`mzO2YYz~$C@ zGO#+o&^sRJBhR{&=Q{C$I>%VKI)8qLMz6d<6(x4WvaSQg~F1G)NSv&^CV@{Oms)~gyJWnAE-$N z%(3UQrO~c)EqX^iUoP}ld%j#DN9|1lA4Q}Ifi8N;*F&jxOGU_UW^AcfpS!Na@FIT` zC2ffU$$NN^xBKPl{G#`I@GRK#NOgUF=rfSy1i-au0)bn|-V}*9cx3NhG~&<8eEU^%tMfFn;6OMZTZ0OM zf6ImA$SR(1ZUV17(n><#j(|e6Y!mdMyFRSneskoJ0$YyJjF2cDpq3#b3#zOC9<))b zO1g*8Dy9l0%&a}@hWu>fi@lVy@+CD>W4^EE{Awq$6C+9a5+=3wUMiM>g&$bbaN=0P zS=*A+S$m0g44T4)OFp-6pGZA2frn{7DV?@c*TZp_-)LqOP?u-VRt5IFSgnoNc=oSQ zhL5%iE>c7-M>0vmK^rVvs$f@spQa?SKc`Nr&yj^6?)KeJ!{4s+PY|7MTbe3`YiWT- zL#8|d6|i+H7T9bM=6X&;p!QMH4vFDH%8wZ~ z4i)9dI`|iwa=8JdPs`8?3Rz|aL=BUQfM7K6truXU2jF+J^8v>h7AkuP(W7gm1@ZZZ z=?Q|n?Z#`Ziv(#QUhPf9bG_4?^7R4ZA+=Gv%;MXh!uBa_^>oTU!)}i8ynF~hZOa#>!LLrP68!ovi_U{1a}JoqjL35EphNEN5fu`o6mK{ zCxdvlI82iQFwLdRA5CoXZ)h$BXam4;DwXeS3k7%mxqM%lsaMIppkF!lgCwl&t_mo5 z#|C!Z*7w1Ps2IlE`>ru*$yZ%rV^t;c{Qfi2J@8URs#Z9wo3N2@xyDb*m{5Z;NS^~A zi<(nO4&b`a>i_f2WCZdav>yEuZ7AHb$j3w}!l)&C5`_d~*30a-XNj;;J=Ev+(coOD!tvLyA2#gm#5JfcAR zcr&SHi~MLJl!c`U4@ES7Z#Z0#LOPTr1^!`%Ev``6QVSpr)ak50%)sW_7L|Ul%Q zpaI0>j?eBBToM$>l!3V=+78^&0xSf4%a>OTuz@7dDC*kGS;Yw ztJ8SHiAtj3eVpD3eDxcapL}Cy|I5Gw_JE(LRC>SqSCF&@MniFKx$8~%M?3s|m}yCq z#xr`KiJrNW=bVgd?+ZQFz?%!c;e2Zw1W1K{vgc#q&JUweS+)%EOj0W8PQ2#adDw zL?hZP<-<}Z`DGsm$jj5>F;Ad7(VcBzIn$v1;l?C%Djy?CHC*_ckX{D(%L{G z$zG(8G(OCVfn#Vz&|))zjHn!kMdU#%mMFS}+%4|M=P`R+;d1%5ge*IT6>SCs%RkC?Fxtn^2 zs%`s`A^Xp|5&YXC{z71-;woM%5tzQ|Kg)pJrZ9v-z96%#ThvmeS?0_(wutF)#vKYU z_`d6IgtcPR%Y?vm;E`rM+eX}_Itlx)73_d{kpL~U(UNYGiWoDZ;^EY++Q+OQclMCB zosg|dk^HN5J;QQ^kS{Nn&67fdNq~7;=-o!%@7gLZTPw+^$HHSjFsR3`R~amtfs;mY z!0k}T8`pXg@2xK3Y;}N03{V9IR9Ef^U#3zI?+VeC1@X}}?$J(;#b31lgNbg>Ge0`9 z_pELvbcJuw>?3oDhP$mf7pMNa!4}sE4Dib&BX62S_fR~;Yb-4>NaPt%z_EfFyf8I` zw|)ptCu*evPmCUsn0n=+gN6ZnSIgk+l>sDt;rS@fcahdTUam^w_NXUwvFxqAd&m`( z%8VJTRy$m$rFX37EyDzlMe`zsb6l`NGa3vI!yZbg?LB(++WlT7Dw+RH3rVwy5q!$I zP2uf`XK7=gvb9NbF-C+==@gFIDl#Bi?;Y+DJ9T_j2Nn0iJ-&$)&%S7_mnBL#cm8pJ zN1N){G@Ez$#cyUry)|9HsMA=P-d!(GdT7o<<;S3E6X2A@o!!-LtL=?vHju&6RV+pbu`{Ms(iyD?CixJ9b+xSY{H0GJ}2+0Ku+X>FsF2(zV3&4C!4vk34l!x zZFYGjR~Xcf89pcQT?jvLQi>x+zg(vQr_zUNw^id#-k}m@Ff;t#^4zq=ZdA*-8Z!C3 zLeA)mP@EmBPLTMa>pu&03c~EvyqCiGMjUwnSDK{%>+@wgP8WpOn#}2urnmC;+Sne7 zG1>*N54iF^QPplVqu=Bb6J7{)hZTuPvz@*_Lwj< zREEgG)y6sKwg$r8T`^43x;z&4VRAKRZ;t^iUtPcny_@tfA6V0sX}E_`?m(NJQ4M=? z*z^h!8&u7Lic{Ab1>}%x#Lh6$P4>p$XYg*+qc4}>-`bP8X|0?nh2S9byU+hnnCEqF2c@-3^Y_W51;JoH{4`wiy&WjN(8g$vKj z^1N&3)e{}ax)^%i*0!V1u<-QDPdAQTY%v@l`jws-39BD8&dND0woK|h#Z%BWUq8jn z7PHLNZ*OqXy3e8 z2~0=31ShY(0nR%zdhON1-{R4u`<{j!TalSxOEEimWH+FH>(<53)mcBCwR-@B<`ofQ z^`-i^_^`KCNo^F?CkV)ui;m&IlhVHBm1Hri()<-m_=~sr(DGMj>(B0oM-x-QK#zJB zT&GZ@O3Uk=g&M&_{q}KtN?}XPn2IsW7uxQCjs3*BB!k{BeL>M3yS{Gah*YQj$&@$e zPWB_gO@CDuJ1X^PkoHz2{dp(W_IO1ix5Qgg|2sg|UQJ_Dd)+<@){ST=L|j=z^Cz`X zu2~?#jLS0^X2l5@n-Ty%h&1-)zf4pGCZb;esxMfTob$*5O+xT(5`wT_ksLCzMq&N4 zb7b$Fq4XPr?ka}VjbN6LC0)z3SRhVrev~(Y{=1e0RgGDgh@r0@ zMPIm9N21-lqMbdoo}2bYKPe4$`_wV*8q<~&aL#t>lg?z$H`FJEC{1eUe8%SFnpoI}w}0YxL!*Ez?Rn^-tHf01LMrLTaB5N#nsiP|n{t}I8Pw;X5qP6XxfVA(H;PpS zLP~Zrqqb23##|YNrX_ELkS*;qEHnypcFGE#>v0NX6ri!oxdcdFx|+&bSQA0fY(s6@ zIG1PtA@pAmmz&3A+q9=Wt!?C^CtJ3(JL3~jupu?JG^lB$H9Z=ck%E5W(^9Me58wl z`NW_R54QeTqX4%~Q-sGXUIMpYa;RN=^Awlwr>lrP=MPFfd2;} zq2O8ADgjB%Wqxhg>yp}B9Woa!u^a{@ePxDe1+KC!5%eFH>xlj&glSikjMD`_ZRW zZfJFdX_EoT&EK?prJ-$qm*Clxw#_F*G?kisQ9xu14k5C`i$W5zv-!XugF2mTl2_V-Fb0UnRxoV(c6UGVY+BqnRaX{2HAQCD@P_e| zm0ln3vkgX3CIq)h@R!`Z9B+e)-PnWL8pPc^r^D?#f#g`y!#Peh#BMs+=U*kQ@#PbI z4*;v~L^2KfdSGh;Y+h)xss}#mzUm!uNARvj+s*C!w{O~>%C@|p+oH|JS;P{9_R}RM ze|lciN1>MxL(e-M5n!OdZ(;H-|FROl+1sgm1B6+=a z$Gv`;zm)HIyMOuKE0=luxV_urWX)~=$*{OllrXF!8F1=Yc0)esw-)kTzub&E(n3*p zU)fScaw_{u{m@PaY)w*sp5_TGDttCWnrS*N1*?RJG=svGijjw0t_mtHK_2wcvy zp;K=lk=V8^QC`9NpWF^7e1!YKe@~ z<2A9twfK8)`;&apJ__E(QyAy+Xr*loyyLw$-#YcXvLJKhhlkh0#fZ%iCj2O-AEQ;D zd9;E}q*CR^(?dGa=Ryqi{NhP!4QP<~&hk-_O?cyX{hgV^axAOxQm?Cm{SLKanyiX# z#$!q?sT1YbLJTP5Z&@$^W`IT}z$=R&v_Cp`KOyh=IE+5_-fU;r@E4>psH)RuCm%DA z-2eKKw|lEa?V6efQI@W^L#F!*;X*-Hdc7>$gez-ZrcP{eQ32CQDcdh z!PDo}G=_l}o>!L7c+f5=*|I*~u<=l(P0g>5_|uZE{2W@Uw8hNDH0Bl)|&gPIE`55J^%a{S%N`s^ATl+$CQD z`B}1P1TZM@CMuDT7^4+1{;_@Ty}Umj9+hambRpKxk8T@7SIt?YEkCQYN>TO4G1a#~ zU)pp)o6viztz?e*5?#N_p>W%4b=1jaD75lq>{o$bDe7sD=YWn>AZT6iH}%4U&&TQc z{Rb^u=`Won*1rd|W`O0mvR?OVuPzoekHfm(FQr3)3s36*f(2Jbx$&#jMxD8vDR1h& z5`agxknGP(uCbqRc&Bf0EUgys(@+T#LIk+fH3)&_J+j_$GXiA!X?^3jFN}Qajh6L= z79PJcr%1@Wkbi^Mz|km7Umhs^s*4U7sYJcwouRN2`|f!cypL;CQeqUhi_br2dP_lf zHU@2DmT#@l5iA5a1z5tLImmQGkThgLX~~nPq%Ke7mTA0nWXM7OXcK9|um-fSdjUx* zl3Qo=!s;o;qWWT#U*GJljN(boX}Khk-iimn?Bg*L%{oUE#i0Qv*GG+;e>pWV`Ezz+ zQd6Hu98P|YJ2P}Eh%da06BFMlZiAKfh+*j(lu4E#>6?rx@nGL$;_wOqpZ)99_3z&) zP2=bRrLk+c_)f7o&7SA+VyjeoOkw??=6OxVcVq)miMq(x#B3eR| ziCO8lcpjN4+2I?5%G5$^E^28HgSgi#B179C5YTIkz4b-QJn1>m2nEpi0xeO$=2W1R zDC954*%k1=+?55U|L7v`0m73xe7ht`bXd!{Z+E<{K(HnFQ3MYpb);K!((Mr~k@uda ze!Z~iJ}ocGe@ZJDdRf2P{_RYNZ4By&KrmW=jm-F{m&9DLi+0)%l~lQ&pA-QIy)Sj> zRp|&wQ&=_js3TQxRI;AA`+>jU{Derc4z6j;H8fCii{(V9=7okZ?)^!m>vb7xaXb?( zpZAOA%a&e-6m`j8UN*g7;@bI$7W3md!||#W8Qf@Co<*JZ+EodFL*sRpR=R z&kBYexJBg^Z(Dttd%l-sYl z3Kti)(tncxZoM=+d}(EZ$QXLUpR@T|2$$8xMJ8j#X|iYt2L1;RY;FVa&XhHnkX>NQ zr%qJ*(36K!Kd$$j60w&i4Z8Iw03`zTB^R4KF(iW*i)8wKyfjBZPD+y{81v~fpES2{ zEh6X>`xoe*WI+#<(7!sLP#SJ=?Fo&_cy(yNoc>FftmE=^LU%T?|E$gf?aZXKcPK3y zCfcI{Z^W#n&;lRU`RQMB@hjlObax9r4PdhFU-TRt|16i%v=b8-jI3aI8kf%fiYC1{U3YfYKstzB^F+DY?{;44yV36i#)?0GT?eRVIDD<#-8p>s z-y=0h`J~~NFAZu$FHdii$r)H(y^>FR?8p4kTMnscy=U7vBNOESg75O$cZ9*=Ko^Aq z(Q!a#G@3?58Y)_}65K6uPG_$bQY|weIBr#l+_^^S>g9U}0hbwdW|Q?>#IyT8`#~|n z1`1)*k{XHh~p<)Zzccn)-QxDF=v=QVK}3Ao(~Fe#$x0|15NlR!TTgu-!l z!og-VJ^jbvkK)Jo2Eu*;to6~S`+Z-dCo($r+om0=@Ux=`-<>1zrzhQx5W|2(5oVZb z8_|2THqPV4Wi=qx_on~n5X;ZTu47T6WWeg_wKmhAFN}yQh4Pz`Lim!8fca$+KM6w% zXM|H%$nU0#;<`-ij|&%XSKlZ-EHY4~^J4-)EI@v>a^UYqVa|4AvwHc?_SSCS?G!cJk;l9;CYNtTB$`^u2_&Z-H!lKu`=K;0K2F zBCNp@U|7M!s>eL+K&Zp$_OgJv0SeM&8Q&a1a_<p?N?Ut4~U!wwY}O_^Iz#JzHD-1@1~sFEMO#=u`#x8^gB~95h&7%S=+vKF4K#+D>J7GxAQVm_8seI?PCE z=po4ggZ6Y^wYU!{$22O52A#$FhyZD!iSOq;=XImYMm*-Ohcqj~R=tEZlXdXUNs#M~ z$d)pFEq##XVWBYel|xLQbs^)Xy6`_9knX4@hJ;?O8?%KuFedi2k@nBP`0g4b>|QHX zf2Wv1y0jmFHZ%CMaHtCa5hjZtmlTg1D@u@=n@1fe6Ucc*}< zbrjb8nOM(}%vYdXXagSLSOE|x4>w+(#E2{r3(-6LbWRL&{T6w+y;DH$*vdLRw(lZ* zJ26%-4eX!e)3$8qyDJ&>Fq4RbkKiR{zC8o(XgVUaZtb`WOLa_c!Z>el2x)m-TU}r) zB{9VOkjW$ynF|#9poo1uVu-F$JT|GxWP9`nMbQc8{h3;Mrf@6h*3(BWk)L&H zLMF@I6vyzFyLy54;Pueryl*Dii4gl_Fsg1|*1jiW?*9 z{aJ!5Lw>HHkyKRue7x3*8*y?2PoF(cQCaevRN+5$DO)uq8&5st5u6)gc4PaI$WMo> z&)q%}Y3_oGEtH#(b|QXfR|ug(bLWSS9s6ERGL?%r)kieG>zZ0ZHa*=~JUoGC^QyGs zGhJA68Ajx#bN*3bw@&As*mLIo%f=< z!^qDq(Kn=E1pJwgmc?s#uPH5?B=&3-kofD8(CX}u_PLFY>i!Wvoi!T+_{j98=vQ`v z;c~HQ+2N0w3(_3Mmf~Eo1G4Cok1IDh&<^(P^9<9y2%`ny_zRePcH`Elh%7*oXa_FG zlEz~=FaQ)z8BHLgAhg>)EP>A@7p#0fKSPgDoi=tXqvUl?M90d?zMN8x|9qV2l6g_? zeA*oo?@zPWBQxI|d#+U0H_CEM?GfGiE`zGLo9vgEecLdhH_IO#_U(yz5qIO-2&e0z z&n~aBP8I5+7B=V2g}wg-oDf6Vb2b{ab-$M}R96TN`~*{8%h| zF45KNDB>{ww`K6K-ck0$=F+)cg~a3jkL)!pI4*U~4kN1#%1%$&WI|!f$;UWSzBCxZ9@jj(XC#E8fjd}Eb-ZS_!% zeemZkRaRYV-gwf&cQPZBkGyKV7|){6k+d_ag_HIzt;HgGF9TRVag7^K#>H$*khPc> zTC(|{V5l3GVkIB&1?>yO2uz{PA$2P>X#w_;)eOa0s8@FW%XvRvE~~eBo(6XBD#R4} zdXC=jFLV9)a^a%e%MUJTm)JheN!2U4ZC*;|MLg)ZNKH5Mcy&~|_SZbupyGFr!*Amy zYk$KZH(q>j;tnjB6&R|il%rBvgTHoAL`y2=CkU)=pN{1DjVKxSw~_A?JG%7RevQ__L*tET z?0+*!MnKUaxyK(4Qjp_Rzv#E742j|UjB$BHu*XX)sYB3AH%ZX(C-$r!)>EiC^&3j2W? zy774`{nGj034x0q^Itt)HJSlC#^4v$D7vYkf!c~c3^w9(kv2rB?Fbv0CQORtn>H55 zhg%_L_u0@~=?zf^zNKl&#&kB4Tw%TUjf`O#(p+I>_njxdOLh$&8$H9eQpF}P)q@b> z0B!t@>92}dwHY*&JP`n$rtsL{;Y52komCuL3}DH-f`gg1Q8em|%+DRQOzw$r9G`Y` zf-K6P7k6`hpC(2n0;)F#l0V^`A}x*mT?EZu8egqZOaW99kD9pl6r_@regV8=s31vL zW9;u%l1yivP@=gt#_yT-pz@r6_quoD>j3E2cXGBN+3r{ry?CO6yG9%?XnxnzqlQgx zR`Sy0#bV7HSZkSN5;q*Uz(YB=#jT0M3LSl;zTL@049hpg-qXcfjXxIuWob+j*eV3w zNi#G8P{}kjMUnoN1b{|JWHLaSWr^jZUgaU=4>u3pv{w6#2HB0;gUslCAeVU1x)Ot0 z=3N^7T3HS?m#aHac&}k4(D5;JI~Md%&Zp5h$FTEzqx2@)j)114k5>vSYXJZFE{6nx zcWq^zUaFd0r}So(!jK|#VhEA#-{5%0t19>FZU{1!6p-5Lq?%zVO)SFxr z$0y;D?sz@V0+k74nD3J1P_|K;wob;07Gj^bl5*kiAa~Po3eOMQbaH@_j`EdI@g&1@ zb_o%F4hq|qbl&P-R^l-yA&*NQUiV}4b1k2VFz_iGa>7S1&l3=I<3PsRMAodN`2gn! z(yQ~+3+Bs^*D*a3x5zH0bSUCW0i&~s=pVG%Da@mkXuVYolU{R@Nkota+`OEXNL&TA zYG4Ib78ngo%X|AAV=Z&6)%ibE2)hEziRBbzRw=4&+PIkTbYz2awEIHz=z>NQ(@<#yH)GFSCJ0X|Zlu5_{B zb;h~7cLw0!@IvSstsm!Btu$u!i3ZE>vN>hiK61+5xbJWCF?+#cW2{Wi{)MQ6gH2j# zul@fi>OO;-+S)(??-U3%2}Ozo2oQ=}rHj-Mih{}ktXPnuAfOxwRX`x2Et+%-DovE8 z9*Parh@lq?Sg|04E=3JZK|${FyEFIxcxLt_Gf94AuXknTE#HQcruz#^4tEWCpBq?j zl?<};bd!_GO8fnlB{Rcp3jS|p+6zk|B;sxIw9jxwm{g9cN3p6St*D` zlKs<=-y#=etaqu1-yOMN?YJg@2uDjJJ9=0u$qDRsqbG`U5~pDTupO^NVrx9xc3MSh z%iYFeV!LNWUw&foeQyc$;)BHdh6(zvIv!GnE$TgI*|8067ZZ@|0Wl11ypqop*1bDC z%a9ytV(%)J!bb5E7N5zkhTsM-CL!|<5eG#V9h4a=^AdPtUd}6zqBSLCZkQeMkYzT~ zGsIAqMcQ6}_4s}i`(CR3)l{u}#`Q|qtmd?g)fv$-h9h1&ed8yZuKQJ4dF=?fB6EQW zz6V)$(yGy4V8PiZFFx8|XT;<9-@S+%UU$AEusks$CdG1ycSxpS2XXyPl6hfr^|qi- zxlR`Y$z7C&l$)>BRl1{P!o#AeVW)-HG&wH;A+9f8Mc!dcB*@n z&y<3DpPf~*h4;rtDqL8Kq&;6w2Z`@)x_G$meAoM@qt>L`%AI?rhb69EpSC97R{0@# zG@8^if!Mcx`)DPj{EMwTIECFWC8GV2PZlU3+nt{CTIZl@HIGm8sVgtG=8B9;-_?C6 zc?rMwY>}f_ZxcJR!ofL^)B3wtK?C}TVw5zj=Rv7tly>Xl3eaD^D?p&T7nem!)x-!Sr!YWrGEip|8 z!EZxOn}c%&`O@x0ntFJU3^R9UiuhwV0cN(AU}wL_qh~z}OX8RAOk-3qU0N7wIyMN_ zSr`?umuk23IYGX^VZGpg%{djNJ2q!2?EFac8@f$76Aph|-&^Vxd35<(YR0NBUUFaf z@!0I*z524@{LfUCKRoQQ{$G=!8D>BGlnKaBJVzQUW&cv~dCbj5!k@ICdyoG!HygVi^aw5dvvE^!=x6GSEWf8I^98j)8scZAG+( zcGnzz!WzB)I|NIP%>KEQ;@(=Kat2OTnEt% zgpPi;jqlWQrP%#%%esV1Y*X`R0zXPfByJ3uMX1uNI~QHq-)-3$o1b~s^OuScy(SG2 zue8g(84}>6O}!Jh_-3*vmKt0qG-s!xv~#BD@aWt&7u?z(iB?FSpy>XX=j@}4S5 zf2I<+sxRBU)-5vvjH?>7s5Pyy89Kk{e0{% zI`WXJ7O~Qan`IE!$9A9H#^b6evY%+MFu&^wA4+bC_zpHRERKFx_?C?;HU4(vR|QsX z$ASmV03LUl)t$s!NJ(=2%OO4jw)7szBl5dm_7_ot0w6Um>*Hd+M5< zz{o$&#*96~Zpw+ao_@)5>fj2som)KA6SMjsLxR`ScbAw2Sb%oTan}r@5#flh?6Zv?Jzi^F)?M)5uq@!1-aqZ%^V44lB#%9+SQhDvrk;I#iK~&BBZv=8?|aWak+|3KJ|)sD zhol*-GUomeiDipf{z9BukxAG|wiH?ZM-=u8fCpAzxVuNTN2t2lU(B1PUsQRk93u?J z2^hfXAPPS&{--Fl9{UqtFTurs!qjWZ(W6vZr?Fk(IM}H|O;yhKKlQyHe!-7LJQ*qULii}7s=c&Qw=Pp|oKHiCbKBVjLz{@Cm z%4U*0_F2^{ZO^8idgZ$6HkA_Mkx>tqN{!nN*&j3@O6*hR42{|ZeOVJ$343Kx=_1O# z#}afkt>aaQ8)|o{ezetpq%`GbWDrE_FFk+n+S1IPW zBm0kiq!fu?$t@pS-W%S1yb{6>3X0@4n6C7*b%ZoEi?fA! zO$>p}PsbCIFRRUOza?qiw|o+5JdFF+7k8qWr7d@*n|Y)6@uwlo-k>FWW49tVJWHd} zMda!J1M$TgGVxgi*(`R<$jGWeYdWNj8_nqiJzjXrB$4bMNJ$nki40g2aX2s*4B1X+hp%SNy)3(Z;B{tft zF`ry(##?VZms+s$dGy!(m1o&i3W0f~K6XaVoseX!SB*1HyU2azvXk?>r5~ise&Uo# zD)qPdW^<%zFM?gexkWbW9V9^s8_w0|a_xFE(jiyYqAkCWhDcf!Z5T3EuwKD}e&;ZY zaLg}2hv|8WohI-J5u#FICx%{+GJfgDTDjr6t_|!_buBb0CFj3RoPZ#iW(>cM9-c_| z(UNo0DHxMoxwr-;<1E*soVe$o6&mY313Ct-B$%kHpJ09wYr(hDI8UqA%Z}diu2!jz zW^Red7OoQFjWKT(D2Vzp;wRFni!2JAE=_C_=kcha`<%^}_=wnoJFTMW|?hD$NK6*pEQoKn2Pz+Ar0Jk)}7zC=x(LpIh#9~7L9==PxKOnX>p z+1YI@TSu0q(+zP6uiFs1gP_izLRaaSas&N`CPxp1UiR*uj$5+JJ@tacz$gU^&Rd(A z9IdQeT<#>K;kNc>eshUJEsC_@1zYNSXj&D#BUVEZ}DDec@gSpB(kI?zw9L zJ?Iu*vDDaS!5SIsh^-B|Se-H?B!JnV6Yx>s>NAzjEnHFp(6O~ zq^S43ABBo$fUalCZ5fB7?DSSHbyk9gF_VIMO6F=jQLcv?tCK`@q$MOLR4ZC^iS2;X zv3$EAc5i>FG$6n8jQ*MY$;ZTHn?SIr#N%s=gTdpL0?~2|h022{WyKRNW zRE|CNg?kBhXx7aX|YmRU9pcl=*}p}Nxrd{_w>v&V8m64fv~ zJm>LZn8{=+tV#(sC=7PVgVdjEW?%-}D(7ihia!O}P zYOdV-I<6Ia-(tT{sHri>g7iE81SalgDpm0o*Nu$H9#FGiZ+u(M_7sn2*7`4xr>fKD z85X+{{@<7sdtUQTv$1(7{vMg$Fzz=+i?$;D&^7)?X%p6VzEWvZ@|}wb>{A?0kn7>d=7kvAC80nowlzuJQVy%8ki>+JP z&Eq?hW#idDBD6^E@$6-1fnTe$q9r5#&W9ivO`dRyJcWJ>Px)IY=q${aS|RnkEr+AH1Uj$yV* zg#W}(XM#=&+x;QMoH^6~av-RqSb0CfXDaz+8}RvOr+gD^oksGN+%SD(Jo#l*^m``z zi3~>SR)ZhkRyoD4Ws0qMy%bL8rJ_xJ_`GQ*O} zI<<97pZEwYgg;+CeceJv+5-9$^v zY5UOQ<5!0trm`kS8P?u!hT_gR)+_w|RUX#Bk)ub`G&8Ycg%Tn~<46AcAWhA^SN?ly%vv;AU2(NMN8Gq{2wx=Q>>}27 zBjaAZx+vl7d<5sXWVMk&w<2HbNEW!*vSGP!v$eFPEtwP zM-RN5cW+K9Zye|4SH;H&saL|9_3XNtHLSlfmL;J(XDxgxr-VUj#%iUiK9{)D>eqN8 z%Mjmm)lem=g4hl2RD;T<$X*xgQ<@@A57^hM+*&r<;-dcGN>xCB@$K8u8*TLd2F$hq zC8B7Wk{H3-?lLlLPTa(C2nnJZ+`#zI|8$QErkB|C_4p08Ao^5ykeK{qOUQwOuo?OAC7 ztI?UM{vf2v=vuL(Wi=Ldce*~Z%?Tz>Sj|*z*so9U{^#gvaqlszVy91Z$MQQhPi5;9 zIxm%FLyJF|>Nmb9(y#7;B}uF4y4!m9uqu3qy1!lgSFze9y6_Q99mCaR)ZO{%xF*9G z-8p+(-ipvh$!C7o)O3%1aj+0iOG(9_i_LxTTv4{?9`gKeAFPrrSm@>1x}x@FFb$W^ zq{l?yJ~~CxH7t3Nd<$cxho`F}6I+sNl(&x-@!op<%KIL-+$L^f-_!V*-?ng)WkCOM z$b59=T924}aOBI$^f@P|Kx8pSRo+T)v(ht{LZxpmWy(aCnuFW3Oe`uF@&>XF(WUUE z`--*L@c~&^cDKxa@vC`WI{Hg`%`t20rI>k}=;4^EujvP_ChNNSm5KY$-hM|%r zPE2sp#`VzMYZ=y^Go5@UzCVLCKfWqW(aLJw7Vao7d;aPZX|SHpbUzEc#HQ?55)G&m z?(49=vn5vFB@pY`5`595sfIGY7jLOV7)BK7wxsrw=vwXKgBji)!D#PH=(;mBsoLQ; zA#2pl96711ra0q9uA7M6I*P9yt>B3?;T_kskw!{H!j`djWfQR8tYw_Si?xlo1UG_6 zPH%bWZT-(L+ezgO$G%;-%N+immxN63iEt*x0%hy)u8(QOj$!3@a6YbjUl%Hg@{2yM zM{kD@1^VrH`gMcdz^glLy1qsWC8|3XSxc6#R*O^^??vmok`4y>E&MVf7Ag%;uxFMI zvRqqUmppCeE*&F@vV@az%8amvu$D>Vv4ODqjfE9jUUHh0)U}=z=oKR ztc+5#JEcP|E6QnzipMqc_)8DTDXo$lj45%pS9ez$9#&Lhw|eU3Z#tl0W|P`H!i?b1 zEzNpuHOT2UQYp0D4TfNsT3)Agn!0|{&TD%s4KHur7y8hG&h9*BAm>nS;4ial-Q^bV zx(_o%JE=>o=CPm${Yp-+4d3P z>)rqAU4Aw`FHqX$Uw8P{yJ_+Ar~lS{ocZy4$|mRiaF|IU>xJyD^B+gT9(?{rvdjB0 zycqd-K-uZyr;)|wwMU#=;iIEy!848MW2UJ>m!0LMh1Ii!u$$GGSM*Yuqpn-G>&cww zgkp|J<)jRRw9{U*1nIhhN)A|cx>R35+_e>t~u4s!j7!+UIlbHiyRH-}|SM2Lf8HRmjccL`jc3qqva+1+vEj zzA$FQ;+9rolU?Ywhj0EaIi_Jv%B>9-ZXFI*>{NPB{y#Hn{d-iO33%)= zONud945ox!yMI}t%|+6C_ARBlc4L54`rim1AjxNXJ|PIgb!0y#LRTr?t`Jk462ECl zceA-1d23VLuBJGGxtvJtX2suXy+w0;QB1t9nzrt_da++@y0V)}@42~b7By^ZJKM3* z^ugPL)1MVX)e;CIT}=VcKYzCE^Kp?!z4}-#^4eZ~fiCj;(dMh#%BHwiyalG!prasV zfyZ=9UZF`YSnzm-#ALzy;V$kJ{GJ~r!pJ%&3O{b&WZR|A!b`ngIvX>#t6>uDlht;7 zGB_7ZJ(q_4b1ZSk_;I~j)_|wJzxG^CfBVR#-e!NJ6JDwK2}uo~<2$m9Gp`w3`upu; zWP6&(6UU`41ZgU~$Wc>xQ9eR_C^f9;loH0{a%dD2a}nhP?6b+%tqC5>^5SJT=J$`^ zY}uNK&^tXpI(^-I+FC;FMM1h82U+W8D?3Vf8@m2{u12%lIFek*%Io{rcb#kY3S)DC z@x(k*WP}-E+-TpCOIh*9BC>9EKG6e|^IDN)Ombjh^SqWvv3{Jrw*9>02)EM%b8B&y z=~*L-8RhEnW|`|oXQ{fgRGKA8Oq$PEQ6?cpvs64u7B_)BTs4<>dEGR3XnLqbguY&( z3s!q(%WrLKVg1V1F~jjnEVKx2kJsAcj^16nFEexjW2ny^gIg)P&rvN!bUZo2+D>#? zOPP+>SgZ8xkJx-w_vYu}lDS#u2;shbz6$;mp~?KuCXC*99>*2ybqH?MMj7Gb9l}fY z6b_AW+Q?kvlINhK?b$<$X6rrKH5J-Y_6lOTh^7&%+wo71yG^rh5Y13y-S6z&mV0*( zm#4~=8t3YLc@_7z^3F)F{sVUeVg>ipP{-w>zYpQ$AM` zeBLBaN--yL)UG=f4G1Nqf7~CLA`(vX9uu+XBFVUqCn$Gwh0IyEty;?64hw2H9?cbVmm$<7tB^K%&!&|5)IUtF@JYwcl4Ay<6kcYN&Fux@tSTG! z<=j<|#uUu00nZE~gLooYCcQ7GAJi-`d!%YUK@uXmps?fdRq3P#5 zZUv89;3g(;P$o;r&9s8U!E!y$p6V&9qmz3oanp;#>&wiq3n@Ab-%OY7P}=#dqNRua zZKZ#s_HTiuqx~_NFX*{P%@kK+Se65rPaUs6IC9QaE1P1=zW@JwyMfhg<@&!{JM+&? zvyE>@*lBKW-~gd2UeGzJ!^Bqd54Ce`WAx@rV!?VUncH8gQXV;eq9hF%+T{0#n1);t zzap<{Wzw2j_vvoOUAx_q1y7SzhaOTm6KX1h1!H%2w9j9+Z)eR{HFRpTFP4O=e^HFk z)e$Bjnxkrbk0Xkvf_#5v%IjFCs>nASJ_Dw)3?(ZO&5b0Q6<@xHMz)=eL}s!T6CN(6 zZ^7`93wo28V(^5-TzgZw&d=Xk)SuH`d2Po;m>Juc)v2SQ)$!+hgQc@gu;&LWGj@I7 zSmL!|Fk5sFftAc&&^;0*r)IQ1DZqBY`EC9PIx8x0u8wFPu^*Q?SDjzt?W|$(s**$* zbKA80)h%-`A)9sv(<tzO&BVpP_jlmhBO%kzb5uK3TQ~d8lt)r0vMcGDN`nGqX0`zjpqzmxdi*ITYM= z(WhLzeaEG>{3|W&rko*m;!4l_J5EkQs)zo!7Ci;udt97-hhyT|n0*91rTG+w(j4np zqle@)>^ zHn7=G{3d}{J^%K__9sZo&d2@M#gpz}W_&|_&`eU6Fi~-VPi-^TpIJ{L*<8>2xMP>2 zTY##Iov*C27^435!XLV{gmHwH;I=D+p_qF!T*HgW?EZ`Df9O<{!d6Rvipx`@;#Mf)XIdP14liwzopx499KhCYpfooY5w8%p z+DUsQ2JLRW6dhuBYLm!^#NqY;+LcR*9Ex0EQxo7&)*)_UgmXC)4DVrTHeFjOF;Gyz zQV=i7_dvOKLqt2yTa58!aCk4xmRs|GgKLeON;eFye`6~P23M2%1I$l`VcD5t1sgR5 ztd}1>NUeSmpd>7HbfsU@MCvG~-#m9}@IlK2<4l24rjXerx233nDB8Q081I(Mp-5fr zBw4 zmnKzUpU*1H&@ew{n&tWI0r!8Ql&7!w%v`RuAaFEQZXj%{yg={Fh+=q9CPz*-F(KJ* z=^EeT;9O7!?>!wi@qw;BAvxcKL{PD}-n~Bg+@ep&Heut!rsD&IRa@luqNvV`2OD;% z8QSd{xf$+wZ@6K9@TpnkZtY0a$xRz}JtIK5C@6A~H zWMxPPH=ZP9KNhHto8Tr6V#LhA`3Vh;sFi(611L$wbY7esAJDs`$&x|xXPpZhMF^)@ z3q(aK?QHNmNoKc0=C?ivb3>%2g%Q3%;AnHB+fq|&Hhh6!y?IanyL2|G5!?S^; zNM!tc6ysvl^zOmPxF30`K)8q4%opB$t`vvByn)BSKHAzAxWAeAq^<1a-EyC6UqhfN1 z7FHonq0H_Z@ zeF5qR(31c?1yFy0{tM6mfCd7T3D6*b1_Lw%prHT_186uvPXjapppgKL0_YilMguek zps@gD0hA5UIDp0jGy$NA08IiY0BABmQvjL@&@_Oi1N1CFGXRi{hSXgNSD09pyq zDuCVq=uLp$0_cALtp;cfKx+Y72hiI9y#vs@0KEs$`v9#6XahhW0Q4b19|4pD(8mC6 z1n3ihask=|&}M+P0JIgLZ2)ZtXa_($0on!7Zh$@o=re#m2j~lc_5idOpf3UX3ZSn6 z`UaqH0s0Q0eE@wA&<_Cp2+&Ue?FZ;*fDQn35THDO4gqu+pd$bs1?U(+#{oJ4&@TX; z1n3k%rvW+x(60df2GH*S^6`+3r zx|W7wGEoeKg>Voa5`Y9D0we?pLn4qUBnF8?M2G~DAqhwll7gh6b&w1s3&}z9(0WJ# zqCkp}5~K`KAr(j!QiEuaI-~(yJLGF+TfqWr9=p=Ls@`wI~0-!*M2?asH zPzV$Xg+bxaX($4UgrcA`P&5<+#X>BI4aGt6Py&<)B|!j6hEkwZC=E)7&O#YbCX@wb zLpe|`ln0%I&O;ZVi%>pv3AzkjfeN5Ps0b>CN}#JyDRd3G4wXUWPz6*8RY5nPo6s%j zKd2h2foh>T=r(i*x(nTd?nCuZ1M~oT2t9&0&||0(dIE8wCa4)|fm)$9s2%EnI-xG8 z8+r;ogPub#pdP3fdI`OPUPEu7x6nJN4|)%MfIdQ>pnm8xGyn}kJZK0ShDM-KXbc*M zCZI3SBs2w0Lo?7<=o|DM;zP6095fFtK#R~1=qI!UEknPc-_Q!Q3jM)UqidKq{|BAF B5AXm0 delta 110043 zcmb4s2|!fU{{Ol6&cGdpWq@HA_RBEC&M*TAqvgUTkZc0(l^~$t0tjg8vj$YuR9vz= zQCcHCDg23I^`u3Vwx`&uc-dm6hD%mvO17z){J-bkJHvpz*ZWh=z4t8N^F815J>T>F ze$KhKhC7394e(#5PC|KY50yMCnHz3$+y0&;M6w~=&1L&lxOp@@%5A%+^fIJ4xNQGJ z8ZO*KIFK?*m+kouuPA2Uhswi(Ttm=B#BB&ql5h8PjL1gGae0N)^^;QbawleGAT;=a zGldigC4|Q-pya@jgm9CBMkv1^FDzxT$Q_|MVF7NWY4l(?@_gkp$JG)%=kA)w?F#2!P+rT$6Nl)@Ur!k-w{?uM9?o?xjrILq98|wA)vF#!21?4Rw3vxzBp3r14U; zQKyjKIRg@ZGAzM;A&SaQ&P`3vDAcDFOeGz5NT(zyUxGGkHo%DMgv-1-a=(aY7A;2ci5U67;A>?LN4ZFRyjQ)Mu_!UXh#*c8X#Ujan7~HQ2x>N?mZ{ z69t~HZ+0o)RJ+eV!SYM#+jsq1V?Lm7?$mSTA?P|aDR~8w?4_C3!Pc}e>`&f+DrOthyO#_ z*KK8Qclw{`JGt+GzN1s$EbZAOVVkAwCYo(#*k(zOy0~M_jph!0b5n@AazgVO;;Q~AyjSS)Uj;aLmCn|F_$L*2LC_ogl; zk558;+tA?)+&o?L zNA|Wi?7DeGtk8`OFOF4HpW&<*$Hr3s#>-zE8|6~e9>o*wFdl90#Rp#;>uK)M%M8u$ z>$??4o8Q)VyB}?SN8d!1q40K8Nj1MkGC$Dop?U}Vq}-IyhLqmEK1XhPXnRkCu~XOE z(<$X#B;d4>lUqx+tSKs`ezCDWXzb^PT!ik;>o5xWke6N=xO*&d4%ufF?c8#{`PYvwgoSc=<~Ybu-O zbIAr!vwbDTjyXa)YPVR^B|ZB}jU8py^uyM4Sx@w8^6$QR#*PYWZl9PNy|D-W-B)hx zNS-?~Z(pUcW4<-9-&$cq4=^~Li_vrp9Lcnd;u*F4MlQIgXlxv{7W=nQVIfc23D-jI zdEs)`HJx`wmAiz;P8l0LQ5ZOPzPO!o?+oE|TFytuy{_XcY7#;c#6LAzF*` zb}fv@2d0cwMUA(kVj)vGOl(VHmqF%EbV=JY6x~Jdr0!DqZidkvyWNrdOA6AH({St^ zdAB4zH8Zbk;Er5DwOJ^d?fb@5yoYL@g#%kDKQ=qu;?XYSvT<@NrBXf^{^8fEaCLFG zsW|f>YMvQhv40jGzjtg9wE)lFJJyd{iFdV93#i|)>;)>&e1~U+=70OiZ|fU`(U_&6}=l z+H$3N>y_ev;eZ;%qmD&=j5l_So!!<(NxH7U4dpm5W>HLi z%-?YSfw9lD?W10!5I&mD1YovvYyz`VKNbJmg9)aYm3VR){cy>g*yhsMispymzge*z z?mf-fu^k>g&6%+s8+tkhKiWCkuyc%oD~TP*=+*VbkehM6hCXT4XhXw|t@7S6osJQ1 z&0`D=U$;umR>uy&)VSGjVuRD(mG!Z`5BABq5*&PRtie1dwvV}18e3eJR*_lwSZre~ zYmgY+46Uf|c13f7Ve=@%&P2n`B*RXVp;d9yHNl`CWne8R{Pje`@ZGI5^<8*FwEMI4Em?YR6CX{S1Y~MOnCT>BhZ%0h|gay*xHaqB3&nxMV)j+Dg1Lbw_f`pcQoM8!oCjmyXj5@p6(nR0n#wDi=snc~ z4(wid4$m4apWno^)xf>4ukT(9I!JT5`1;E*h0>vP(*ocGE(?p>Q6|u>h&<8vTe14( zdAdadl!=|VXsdMYA}#|PUKtzUyukS8sJgtR1$8C&S9fJDpr3MTTS`0NvrFiZLo4aC zRBU5T^^&^s#?+G9GQD1pTpndw7M7JXhGH*GzL% zBd#{nUb9vSWy6L1i0I`=xteJyT~s3w)fZ-EL@z_~HB8H#s`5sCJYt)ema=k4S_?@v z3mf%uNWKnkYfBpS2}r-5(Z}P;s#0hW|F~zd<{|gTg%)fKxNH#U1A8Xqyip`IStke8E^J1K5;L3vfloQ36$1z6rfD-Si$taHRvN>I1y!L`A=gHHt? z3qBeAkKl3I@!AR6WNnHzRg2K3slm0C*74$fQ-eFE1+VFE zI{$KipLfT#BRN-(G$(6wt{-Vm(dPVcq&XG)H`9ThPTfHqA=w?sUrwA*T*Gtn^KsLoOd%V^XqCG^+p5oXce;y)5YAV7x01>I@0CuLxr?$ zxV422F~4ZljpUqZ1<6D|j7a&CjX=TFbfE#yc41D~%{rgGg6d7uXVosw57luROarPD&wPpYH6J9?w6rlbuOM9yQy{0htQ@f~gEB7!Wqt*w z;6j*buL?8G=^u=KH0E;-5jBULE+I$$Is;PJQBzTZJm0vdRo6EKrpa_PBK9z$UV_}a zpuO?WO&dCz+OUg)<6Mle4qq8f%t-8B=|NjeBXO!sSze;Sg=|DCGSagM)u_ z^K?fppIehhry!qGe6lLr^R$o}o4&MOUqlQHa{p3bqb7Z6c~w1;_ZguRs$_BF!=4gbOe2o!9VP!!_1dJeM{>ahZ95Ymr2c{8Ofp< zM(nptOZ_rXh%1Cgm^p>|{6c+2dhS%D_+H>Kye7YptR>Q`AWr2ELL$tdX$4tD>B&>3 zBl$J4*9H`FU95w*{~zsV{!w^J%`UJNmfip=u0H`A`BMrrr)4!7kn7L(Pd>j4b*?e5 zro5`KysoaYx(Ye}Ds)LddNh*$22{Lmio6Iy)mIc|r6K7pW*zdn&G!a>{j7sq{OSLK1$pwN{i;k`@$9<7bZ39L-4ZKZX)?{>@>!vmQTGQ4;vzd+h(TH`B2=m5KVn1(Er==kUJ6vzPog_A8 z&(g!p(x>F*6(Nlr2lUdx_c=qZ&Bzp%*QZx4MXoLq(DEH*0z+HgZX*d4@B|ciIS??r z{|N!P2d|fWZRN6@$ji&VTK*EgUAf9uO{E@LEjud(dHLK^%e)lHeTS;~kE}-E zIVNlKe-?MB}$%Tz1-wPe`*k8?u=IwJQCZeV%2iCMt4&Km>Tp7l7(r1fSnir&N2HfEV5(&RmncWLabV@|tqwGg^Z6%gR+A z>=+5}MYHz_WTNiJ3JnvPG*%MXn3`FTkzVw;K7DedHpSQ&(+Hk8J5DI)HC{5Qytb-* zp?+rmMty!JDS=cI@L~<)m6r@%f-oR8Gr1rG^wB*<(n5UwqUlBXMFp@VDN`k4-V?-c z*Y-%}BCj;4RK6Hi4}Df$TID=g!$CjOCGgz#FWMiUeH7xDZy}C3bcLQ5si}?>nH3)G+I3aQC|ycLA{$7I5)x(zLtYb!ph?7G*MM7wgpXoE!bXRY zwK7wXhBAw^YvW@*@9R7uN@|j%MW3FJg0itGgb9@82m-k;7tao1#!z|qnGhxjoboq9 z7+-2K{xpP%pbI2BLKz=*A=Jz)Y%~~}CT1sRjA_)TrNAPkEyBi7CPX$xAml$4KNQON zQPc2pxR|H&sf{RphNLKUVRh*O5^HS$GOG-xBvYIzu5^@X?%X7UVN|?nj=^9wn&y~D zPJ#(V%p~odTB+blVEvduYtRG+p)uV3+!W0;%?wSu=CJ0Z<~PkXjblhqNJ5Ay<{pr8oh)|_r)rsC=Q&(2*ZHtT-Ky@Mg=@4-hCLR zcANrGuKFjG=CcW&w2ID@gI)RYMS%qcOI%{3X4 zP{=I1e(6)I>emu|i;NlSEMgxNBs1$X9O* zXZ*c0^ogTP#zY~7ztw9{%u~27jftjqU`rYkL1BC%jnPt1Q0C^S}nQz#az=o<47b7CA7hNU|hPO>^IM1doAGUJlmozSY#5Ko@m zhH!(^0u+{#lOLC#HQh?kfpe6i0td7<)Y17jLM|!HP1g0?PR5hc=`xDa3yZ8JVJBm< zGlRaM(cRDhIX`s^!lD&jpY38IX}rn8q*HV8#}-B-nzqw4U3ZA`FRy;y?hqM)#?m64e9*9s$8zNn<8ro61N zFkgd$mPlZg(hKZvAl#G3f|5mPwUtZDk>^r@8`zmd52Y4j`HPHG(2Ajyq1x=<1bK$8#0f7#CmzK!Ut|)f$MBXHnRv>K-+7TSIyVTD z0AP^(yd8%aAAo**4>7^iDt!MThND*FhYm4X`5L}dYEeOULEB4=E8cX7iHS2b@u{%Z zk?43cFNW;ulCsLGd5y4g#tKVk<6Llu0eR9O&072>A-xWtJ;X#(>oLTUNwUWUCUqNd zW*5wke z_IrZolCNi-)Ib9G@!gKcr!hW~C!qj7`yDJauQ6xc+Kw|UK6{J_In>9%vhy*MN*uKi zocamlPTlUB@(D9X?!qlTyXoh1+`dcTA>PEtzhcIR#h(#?({- z8bSd>)j-h!O{?te1g!68yqzC7!_7Fm^@H{mrtE8YazB{0Yj{&X6B0S?%;GZ}0e)#Y z({<+cGn39HoPGSv)-(9bH)pP#;l4cA>0x1=GTYUW+zk8$l&8MLfAlk>O+f6IV!%LZ zO~sbMXbajTJxfZDZ=%S>)P!C}1A+UbXWLg|O!&RsX~^8b<)6LX8NQ)GN|HJ5v&&HYz2^C^K`9A!aZ=V z^P6kw=Nk6352_(|(Di(i)p*TsBzbi@Z=;(qa51K9yt5*+3l3G5Y9o>`~;f5{Kdx9cnjKgx|Qj^dSur@4H;-eT4)a^za0dPoB>w> zjs&Ruw6)$=Cu`FUCSdqav#vA*SSTQV3EE$Cq3I&`O0b1Gc)Im6JhvlLG2S0%RKn1aDiQI}t6y3mfw zFE(A=7s_>n2~xB$2QLgfVDUJ3f%M|IaDk!WA7L&CR|$W0I&-{-?fa#j{ih$M4nzln zxjBz1AIabZ1afV%CD`SS6i}(BY#uN zYdLtXuO5?MU0aWIMng8o>Eg=xOt_BGrSWy(_=(+LwcS0OcA(Z;Ab}JC9ku;oms{*l z(5KOD_e)?}xJ$AQjgzc%qh}jo66g=*N}gvFG2!5EXguLi%E?K@-@peZOT0XM(NzOD z7?d-lD^qy~wa7r55T7rsV3U)mGM*C)bu{menGc0g8{grB|UtWR&e&d_;r2}q~ z2K~*qAk*tMCB|kDmWV`<@^?tgPD|EjG*$o`Jc#6Z2hzk?zHu%eqDuduoPwIpjn8kCC@BVWPmT4bByF1{pHP8#wx3VH=tORn zw$sQR)^tX<>svLT_1qQBR#|U$pNjJiY4GMELOLC}5Z%`Eurj=*-Fi9`k}FKvGrJxx zmby@s0xv9)ekzwn{`+cQ)Oy9Q?KJ+eM5@D!=SV%HN_ATUirZLg%zTcnbO7Vd?QvWefa3&m3IIXT^GA-=E)0L>{dZ~4yX@a z8t{1eLhtwiDVGx3=Xku~Ye?}2>k0+DpulgC^(7?zaGXoQ$z{?<>9@miomt!`;hB3b z!)ceMOMAWnS$z!&16uBEI5!xM4}MK^<`ZF^GLk5TM0GJ#`#BtTcp)|HuimwAT2IQITV6!Xuwz==ZTRossr!T2y@gsw!eQ5)5 zISA)cww*q{?XQ+RIK zqh5Hzb}5V9R?trP>UOCT2gJ!kaNG(y8ZU~IdpS8eI5@h(#;LC#-u>1XHTHXatScTr zM^TI?%uxj4=jSLUdjx*|b8JLab?|Ei0JChIXkY;P( z92JS?Sp~V%Chs7%J`qv?U zVOX3C{^NCN9uGj^{5PcKit7q%N%v9$P;|ZbhIDnRe=_3tjMA1Ys>!V`L-G{FgXu73 z4XJqiyXsgf4OhRb4mYPGD}*%>@l#lxfk-U~2%g~atHx|SxWTaXl!=B+18*7R4pU{v>juFeOpBiDMdC=C#J#vFH+_rteHC1!<;uP z9RLz}KJW`DjoySjCJ%K5$W2T`@&a3De2NGQ0Qw72T1pfguFFb^fsN)VB5&3N)l{U9 z<9SMfV|bHDG2qB^8fkels7ej7Q(#zEA$B@~y{hGiok6a!wLBA=6SkJ!9|Rc?j4P#4 z=&9-<3cWmWxLB%f!KguD`N$*y-2@|utIiFp3~uxBWa zBy<)j79xETzZWjB?z#x^XjvscWUkeMyo5aO8XWk>LX~+j&?NipM&wk7^d?Mp>743q z8nZ;u7{8@fjhUFXQGfu2p209Ivvxrgm~=TvB4C9r6{aY!3lXc}d}*4#s1Yev5>9v} zG4oo&K8pD5L664}zdHsSJWvv3jaV~ksV`ZMJQ{3ONDa^*k4B+vtgWo`DzVKO$w;~y znDAReD)IY80y%QXQos$pn}o9IFwQmxVa;XN37X-({$BneTlzSVb=_di%W8yy--ueU z<*0*~o^3|LO1W7On*SE7&|q*j*h?)A`EA1oX$N2Pc9BD(SA_)1D~w7)@+V0u%(^7x zw1b~#b+vGWK+r9hrv*ubgvEp*d5frkamedgA)N%Y0wqv>xCH{G|_zZ*Qx_3x)CZya4Pb2lcgY<~sQt&%~Cj))hUtTD2@Ckoe ztZJK6DMUgpC?g$zh_gv^SOTsj@K&5$0^roP1wHdtbNn;MTi-Ue#6K9cQO`Mcui#v#}D z>}{&_pTI=mF&rD?9*}k%cq7&^2}ye)+2e%Bl-TRs{a?bM3v*ijfmkRRu^+*_ zS?l0%$ieZUb_qf6aLED35V!+?Zv6qrcjxkF%DeJaEVx&fHP#izcQQGlj7z-P#gZq( z;@!JG^JXIrZV={>MYxw82)CHI1gy$rjr6o|oGi`rqPe$maVD#Fzd3RZ$B$>S2I7Dy zzM&Jle#~UuC~R@ab3F6_D^>b@cjf!5KU}*$@Z*i2(kGUE_RY6H{X!*~Mvu7<*3t`V zRsw$xLw}C&$FedRruHbmJygun5{*&U$z&t8bv$=V+i+Jn5otl~|K@J!+OIXEzU+Fe zEn_*_ONWc|7%x1lj14nKhwY0AYlw#874hI!q1nBzI>(?uOX)oHZ58D?T z2A=93_vW0>oAW;}zU;ooz4v(E=f!K4YrME`w5=v+u05qm)&6;tH-|#ovzr}`wo}ee zA1#JMwY@H#H@SLUs}nFX2#mnd&Q@o*r^&sfryWz}tas!sEi`_t$-UjNxj@%ZpyPVA zoex?D4=VaRnmpQ3bG{CanNHT3xH~xI5oNf!K)0(^u@lXd+xcPC_jfv#Jp zb8b2MPxlWCbbU|RD3Xksx&f!|LR~MjmEq#GB>%f&?hI@o5*p3t;q)@od`!y|Yd)@R zz7XOBe@&@nDP?Kxil+3kiDelaLOVz4xPNLDC_&eesT(*7gzwG-Ua)j7tDT*%Yf&8C z%CvAtJ0|N|+{tb5QNW50Ds04$YoSenh(H7Q(To|>T&Qbjnt9qnvO>x&({{4gM(I8* z)b)K^-0E_8G;W;BdU@9Co_=6&$0*&dV|P1_X?J~gx8pc&pUY~T;rKfYqD4xE51*UM zs$w#95$4|FPC89 zc{h2s1wfv7h|hzRm!9bRKwS*S3kUY7bw0j*Yt_ZTQdan2lbT!yn|%0FeFLG^Q+-OE zFMq1f7f$v0at_$Jk`3@q47(M6C*nJU`{d=5T?$-_HW#^0shBdqYeFU4L(7HmIs{#D zIMue0m6(&zsg({Ie{pX;8?L1qRmiB230l}&AG~L8U7;Jkw;o}ACVT5*c{soIqs8l> zrX@lL+wcu?IEJOriCgZfkl|bIQnKeBgP*Hmb9MLWb`2gq-XrPz1=5mi55o4mo3K3} zkKGrueiIaMsC>Vh?munJlWcKg9tz#NF@GOkxR@I< zD_EblT9(Dt-E;s>TET|WgIYWrQYkmQd$ZQaNrn*&G=UZuD+dVmW_ zJOoHC%+4z^EJI%9_@IVW`hp8dmV4oRQ6abhPV*4I)4LkazpE&wy{qv-7gN^@U zJsIS`7@t2S^Mg%^#_nlq*pz@LwIl(l>q!A`?BO-TM>z_yO9c;&eMInaRLgOH2ZXF30VA*_(I37bjPjadOcp=^#@wZv<=6Jswd^pkX4p@x`{>A#^ z)$3;j;(XPN{djB3EcQhQ?->;C|B!X;D%~(+Iz{^*z{!2ApR7|{br0gs1FVYneFY!f zIm?H775_-NdpiF^Ts-0M=^?x=ZaSCV1u5X>5?E&n#0#k@$Q@RH-pR6t51!xykUiD7 z)FyJ?vSdyja(PpTa-dJ~NZpMU3#NNhNATiZvpiKt1wrfM!L4C?h@%ZBS>NLG0%6T3 zg8+GPNb#;UDiPw5pnu}?QEZ_0m?#3W&NK7l$@)5ciPjiN*V zmvGHV(EYCknOqk8oj_#rjVP0E`MkvMY<7cd&x9hMxqn;=3?}ob}Q>(ZqQ=si3B9Cwg zQi<<;#s(C4jVPIwOd4TT6sR#=y~z~-NHx_`S_AP@B9i-vSY#Yh`ceEi*{ly}UVH#v z@Hra?c;9oM1C=2B4|s|MOqEOnQ53)|IkG|82?o04G^j>^7FAm8ev0+-(Ls4Kc%dTV z7)A+*V>ljvidCs13Aq(ka-v+K@q$yVpE_n(Q&y?_>+y4t>l=G-F3(7u5&z$1nXxRM z0I}uOwT;?TgK;#HCqQR~KuD2DfgQ8UN)of;koV|e{8-!c8FOzkX$*66UmvscIEtJu z*vg;q9uFnqgttdBL1cyVj#+uBMcK$Bm5i9ZfaU3;e!%3JIIK5<9K17yd{NeM`mDkt zkhE_WC7e$e(>Xo^baERjXIKkydWe~U*o0iX{4_fr zmz-ujS<)pqN-`POe95Y?|Cg-yjxSj$RbYGeHx)wLuWLiJMnmJ+#_F=N z@yK(kkXAXDJV!UC7NW>$ghWK}bl_o5X=BK!rqb#~uy%s+Cg+~m859q(_{_qC=U~=7 zh?CE-o`DZhEo6{Fv;eLv9v0Y7tzJ@9UtWtMiwQ+v9C(IxQ=de+X8OYn)eJzo>i>@>&2ghG5oC#-owv zqM_kVSu&TPWNbCn;#yMyGh;F7-E!m$>+l({tMz!>4>C{l5=wxpmXc;mkn$0MI{+?t zeIc6#9?R}gnH3}jX0HKB{{}k*9xI6|lhadH!N?y4_K4|&F!eDa3|kg-SZ)^d1KzQq zeH-zXvtaI5QP@3xx@X*K(LSsZ>&GF_wL<-w`9hZnfpw5e!s|E`{5ZaTmgT4oSOH^Z z*hE1{4INoqkpn_vH@DlSh`e7PZf{?|Tlj6+VVW|bSN_=+n zy64v_6t*7*mluRuIQ)t%MAc@eGwh2(_w+B}1z)i-E_+4UfwPpj5AXSk9W{49m?6Us z-jO(PFKM?wmj45$8Js!?RRAPpLO&Zsy@reXS+(vB z(hjd9K8J~VkmYxT4e{^ByZTwb(jy|nu=w@}`Y3-P4f|eOwI4PHX>IanjGwcjo0*CIA!ch+f-_!KBW-04p={7ch=RP z2IqDMIUWYRPzBKZIkyl)H2?4FI5aqe55ZTUOMs!7PFfQm(1ah|9WVIl(;6??&n{DU_%5};CS=w%dGcUowW)NZzH!* z>vMKi?j+YSP(I-_e(rwOTNxo%iEGNrkIhLgoD`ce4g2V1 z-*jBRp^fhByOuQ59EexUFF!_kG*;*T;}x>=5CfcZI?Tr-_b1d z!frEU-yix|c2Wq;yFNcDE2Qz5Cuc?CqR(VU@cyXDj@ajO8N}$12r)X@=OD+pVdKLZ zqr*9ROPPSiY6?O-9e<}0D%bjJLc!U5u zyU6nKg`R0jGl2GabLZBT*KZ~#fM@2z+=)s=K1Wf(^rGo{VqG^5Wtfmp4@#Lbkx$<= zlnzpMduvz^RBrw#5a@2cBoUMR26cnv5U08J=_0@W0Q>lu>Q~1=xvD0dPO517C9~D#*)5P5}4| z@>6|CDtI{p>`&x}5BxsO8!PUcnTU5k3Cly^=eYQsEP(n#fJsgZFv*uN*up^!YJp$HngP@-bu1GmEb)q)jUmo)@C9c5d`st^O-V^^2dGWWxG84U(~4sqI) zLEu#<7wF+l%JkHt#zJ^e1IZ!q7afd14GFEmW%7C0GsM1f8V@*VMOOhLe=E=s3Vf58 z1h&J&hmkJGA!o58#D2s#nHEGF#D7tAB!2FKEQ*REmmvJz1(~xhW?1b)!Y^{ugCK`Y zk|7rRUX;bk4Uo#4A)^r&U6dK3<3xFT#^1xU+o)kA)QPzBqRg940^e%6+#B~_l=&%5 z_tf$pgWWF4ROb6g&Wc7sfV>R6$5N3`+e^@(FCq}YII*Mfer`UW;z{5FfK%-1dQKSD z#|$F-6r1b=(yST?%0}?6)ReLO0-G@nRL>I-g%RY~iQ;f%h{FMUq<|~%!x4v~9>C`> z$s*k**%}DSAr3wat{0B|TIMh%_g;#5BE|e6ijzf(@kj{>fql=j@`3*;Hu3?}h`Wtv zUz2&6f#2!(QU@%6$EjuxQJ*DJPe8H<#X3Jpb0$V%S6VP3yeFj-~(;}s`?wSNn&U~3`RgzX2Od-ROQn+Qrxg;78FVf zQ1mL?^NlP@vzltD2IDrQ$m_E6;ENC9$QhAO6L$GlruJJ$Bw()~fUyCoG!(TSPxw|A z>G8NAylQ@}hj1rq16~Q~=8YtMd83eyJewg1f{!%B@~b~_ACdcJfdxp?rzC^_;km_r z90W9Ut8m=XYuoTF9yxT|KFkWppCnS?Ln`T0=rR!X7=L!!IqtdSw0ULMa2 z1%@C1jm1XDxpMc2>c|Dqiy_GDg<+ME%O1N-X&ccJ5x#JJNsK59!7d^9cA=iteL_Ba zd1%D^e?q$b>M7kv$aDw-knV>E#N@Ggl+yq#D;ONav<_cji?Dl<~=;K^43+k00iZB%{8PxaB6jR?L43;I5kdeiJ?+%D~r}Igxd=rrJQ;K(Z?GYi>=XmlDGB5X2 z1la(z^E9~v+WDn`cAnwU&Ut4=K;@hOaVWpKw=-^ljo7W@a)3y9j0V<}i{zH~S7F^O zEJ%%tN1k89u*UJBy)Y30y}2x)Hxs@Q8~av3Z=An_9`IHczB2Ru5Y?;pRMQHJfNJ11 zpc;>jV*m}$$_H1->$*VGJRs8iQKWgpN;4ilBVb1eIsIbQGdX}Iq5+ZpCQ9Aome9T} z)<@FYfIfQsPVBusa3Y5z-Mk3_9QQ8OVzkR6dNByO#LZ7YUKA~Eu?^W?IJgZc1VMF2 zA|`<9{ujg~4*AM(<8_(OY`K8K2oV=z0VyHNiH8f#V~)1@g%qxUa#WP8tt(kt-Ut@o zU147lI90ljr~+yJ9(dqa8N@OJGKtKSz$7KeUrEw@J=_2;vC{&zH;oTolzGkeR11Xd zI_d30lB{Y0?{606r9uGZOZ2=(#@pv2C%6&!~E_>Km6aH0hA%ak3S{~S0jaZ!kk|;yzp$U?kM{q#o0g#9od5bBY@ZRsx zHqB;2F_w*lrU~{PC16Etv_R1%hPK1L^`uZGQX6pNkKm=o(L26^gDdeu1NP>@En%1} z*--*@*F?Ayqr#hGS&}Fj4-+JVF&P?Mm(jG{axzB9wwbN_1u#xImT%Zh07Ki(h1#EhwtT12B594?5Ias{S?X#O@{|b@(4iH^Pzj1b9xwh#0O03*)$$F&nn%KyqMG#aPm2XZ!1n-Dwr2@ z8O>t?Y&p3CPCggd68u?@3Zd$dp_5gL!>*n`Qdlrx(+ZI90)f*Z8LZAIuW!uELcR-0 zj{OkwIoS{8!Ok1lml2L&70vsiu|Sr01pTY+drKU_)%;sLgtU8&kSAC=7;<(o&A*Wo zSc|9qA`39r+2OiVW**>bVl9)F`p+W3{?D3ZN80mmNl z^yFs9EMIIUM_Iiatu}s`QS)47-!CEhUM(n%e2qw&Y~u-}?IH4YkV_zPF!+xXJbSoB z6o$iX1L?y|04#V{9I+e4MPSnii;fh{BNldBD`LV~-eh>iA7*l};4pB4>ri@+fJjA%Wd6|86QPQ3Ux8AtB|rCl&xWqwZJ&fZ?R zKQBA6RY)L2vbNh!CcG+6 zejh#(CqIDK#miT~hQO{aDnULt%2`i76eb-Fev{uAJUPt8Cr}O;j0n-Y-!Hh9w$J_f zjpfS(%g5QuxQ(|jgQOFHR6;VAERe_h0M^2LRwm?V=9InUz(Qv^IfIu$}SHDEDuct@ymYwc+g&-kBXO*w*ED!-rm$j36?|@_wv^x5^j-@uFn&2o85yvS#1&a7sqpc# z#tOKJhX3FLna|saPTZ56tl zkuwDN{OLpTE(pZ-NCDA2!AR#M!xt5*%8<`C{DXtD+TjVv3*3&~S26zcln}-9l~S_c zB+$e)zzOntN=%zJv&r_&8|eugWMb4In*$go(HW;w+X$5j3~I|M>=5Rni2zuPh{mdopssrOavW03BQanJxLJ*J zme<4PWCfXlzUE32`~m6)sM()YV;At%5W5hj)Up!(P>mhqnE)TNRU(P%|3IQfthyNT zVSy7lEX2WEv~z^Necc>`$65BkC&?w{CmG-^^6*q}gGJy=7t_d9$}? z^38I@7;e*PR#p4;Tp^oEk6>y@#xzV zR(3K6T>kN!CsV^1{%i z&wWZyUI{;0dAo*c>olun(s6kX3)i3gf?ckA>;2}NcXs-8TOx5IzM zch@_&*R80b9iKhfKs~q9Vea~4zhIE1vZ3rjD)+DUc=Hs0keR zT&_#zji3AHb^U^LajWjLs8lyvYk)LX})`=z8rF7nPfNW$Jj8{N&-CF@Ibs zcC6kQ{KGGXv2)^X)Xe(5FTLYQ<&0#%KHuAlxP**xzcBAV9`exInf14(Yk#{@|E{_Mb=NZO!PbNy_7L7SN=!;!?{jzKle|l-rk_Sf2YKFaZ_Nf z^n*+N6|8%RiiY^b^PP+_+rFw+_x*7Zl2@dHYB%D*vkY z-OM{(H}YX9oxgm>b?db4>j&R=+`js^FP8<+yL7=cQ1jKgv&p5!oBZDz40FF@7@sTg zdra-KBY5_b)VOzJZq@{?b-8AF;XoKNR5NK4kD4|ZZq%@da;RHg7rS5)y(G-+A`|Xo z_4qpbpIKIR5 z@4jzYvRiA6>CN-+_)n;L)wsEC|D`KG-x~e*6%8i>{;BpZUFUh*-*CDABU@2h?5txNsu9I*M=%k=Bte{^)>o=3Z$KeA!Q%z=HDSzGkEcL#Hohj%J| zoBY$0W5No;wl~Rwivo4(rSHzm_~~MA&y(xd^}hGrzb4XSO%E+*YuURsV;5h%bfc#J zYQ~q}DBkyIyzW|=+BK%I_Z?T~TW05=h6AqCn!h?ec*%TY{H>rnTkiTkjc5nNxl2gz z4_$GY;Hp?$B$NH^GJq{9jJVE7-Fkk6~y7z8xaOC#)hC&#&1v*6se z7QUW$*Cm9~;oXBS{Q94^%7WLLvw1KF^TL~Jt1qmE;VgHkPy;LK%1l9KpYv zIvs^z%b-gD10PewZwGh)ooj z4NkIaxRC#ZUSy1IX!P(BqzSs=Ia(|w4i5CfbBuj2G5`!k-2G2ocMZZ%WGoDj!oWq1 z!v@MVa_)GcrJxBm8u~&(WMV^5IR$y9h}q&WlO|H_n@BT46Y6wZDSgTY5YsAcngI@< zsV3sxF$yIelEL>98y=07Fi4jvK2551a74N+AeYrfyN)q~)=~_pJiRo`g*O5)>(5HQ zL@bANg%05~m6cZ{34_XZV zV>{jZdmeU17ysq!wdVX6r$Wzv>AFvMX~JVHb;^64GC&fpsqG-^xdpV z^ION(QL$O7^3C0ku70}QEg6kpm*jN2MsnXBtwX2k{nc#`m5$d*e(tBPMzD({U5cWx zOa0?Kq|@c&YTSAoOHR#Qm*CiQU=`a?^}A zPiBsP3H{y|bE76fCmE-iaPs2o^4_;M+j0 z)A8#mu6e$~_kZ|r0KL!ut|GsG@85GCN2a>!seU{o)%8(l@(myO%ntcR$7OsW)m7_| zqHXH$o{62)Tw}abLNoW=?G5h?ZVxkkefNRA-9lzpewu4112K=bd+2@j4GBGY3x0&8e;{7gLm8Ohr}|oOCCjY1tr@@>Gdw zaAHwB>F4E*4^mQ3Z>5^4$XikmOX+3>rc}ZD$Ey;sd?;!zs z`!To=@;&_tYb1nb1Atxf>FdC*^R(2L+L7s6+*SO5tHra+>F?@aDeU&4yHi`SYvhX` zUUZSPZFY6qv6qR&kvFBzQg|yZs{nTz=ig8JL)_OZ^$T|qPCzMK$%!z&>znw)Pj15w zKWP;3X%eSk${jC0RvaYv5R8Zc`9Vl^+QFAn;)Am!z6LKLJ;a#UR9J{yRpO(eX=Xlh z^0roD)k2#pA8g#_;1%gBJbL(94_E;w_7vi zBIi&zxdd?#`9QR1m|ZSNv$7V+VTls5kvu{?fCsNCz)HoRy^FHGcJ2`kccX>Vs=@(1 zHimqM;FPN}#=}6kNXtu~nx0#Kv zDth?xQx2%~Xt)(rdJM9uw9|d$p09F0dLI{`z!^rxJWx^v-#p}uqpfnNUslh- za%tcy$*1S%fZ$x5{)!ftFp7(dkC|9Lhe(pYGxN7LVnx~i0~IcgyT7VBrmUpCe4O2# zjR?P+0iGmC?k}maTv9^fmS_Gxp3Qv~P4g7iYS6P;Cssf!IGIGlEKz3I1Oy|D{_%rBWbc{%><3ArWT4 z5S=6|Up8*4%IoX?k{zST1neZ*DU~>-2pKc4RrPfhe@TW*icjKKWhQeQG@e%hJ6f54%?}qpnlmI>YYyGn_{og>Ya(VYKG9Cbaf#OX zVxv-0wy3h|uL*HPIzwY6%wd>!HabKy_YRi%9s?McWV9!g#E+XjAsFb|kbo90ocq^g zMuS;|p(aL++}%4|^`-xdE^~<{!SI-Q%LB^H&k4KXs##F;*W7S%qG25}u_G;P{C}rH z#-EFWIRLtoyks7ct-%zNQ&Kxj%0-3$i!OuZBF4*V@NIXtAuq~K7|D(K-W7Nh(Ht8$ zFysG(o4@E4=@gd;6XL%rU{-c|wi$xjkv!eYQoJ#VgSA#v9#IWp{D*p$`oBpiA&%&b zIM(FOOuP%bVK4e?n_)~ez;KC%2Mo0BuBLLCut*Ev0f+x-YIa^m-hX#b`0*#w0#W6Z zEa%7HXuTWm^S~FeMSth7R(>NeXq#a~aLF~p^=Z)p`2Td(5^E%`Kd=h@2X~B2TcQF2 zYon}pLz2(_ONki`qYXwbPOxM!YD0JR%RvA56)04RIX)WF|3^x; z@dp)%kK^JEz{f(O1w(f>jxzq59pX(J4P)Yy#(=MAcW0w9(oHk}r(w5W6~P9KlrdTB z{|9zpEfdyx(xte2-PwHeq(61bhWk?q#&|n2#(R};Y5o7|cfhE}#}t;=5Po3F6`F-x zn|$(9bMpWDj3twm{0+@}7%Fjs4WF#&j0DYm!hv)ZngF?ouRoUz{TFaoCRNo4>$kPyG5CUhi010-N*`Z55JP8y? zxIxFSa#-9YBx*K7)J$FwQz2g_#rDRgikiAyU~L>|Z95Ac>&WdDMzux=oJoHm=~p`b z$xj1Le)y+>aQCmNlp55q0RI0}m|F!*f`4adHmWv4GhAqBK`C5Fi=jXPkuozvDO{xQ zR?}f%0IhK5{4J>ig!Dj;x?vBM`JJrH^LsfjvU)|6F`+K*ALt`3P_rL;;nX}iBbf0{ zwS=l1KB5GvVeJnsKn=cI&XK4;O3m0!%ze)Z)yYQL56ZG3^ArA2t@lFnLQXE2+t7?> z=KDe`VR83Mgn3$i+ykNc)QE>XNmIoBhYBWZ(;Fs!T^%qpv`E1zGpa9%d_ z!9{wNmf;TM#6BuZh#Z#jC;q@6V$)5JQDWL)Ih*ol{_j7;=I9>h_CLnX);+-+@yGw9 z%*I0ri+Kvab8>3BXXWIun5Xd}>zKvt&)}m)b;l$pdkc$yHY5@Ar-hlGqZ9;IXko6u z1TxEnWL~VC7Upj^*h&5ukf?SDl^qT#ic#)pUDv|wF9s5qs>=;6_-ja_ zY{B0sMYiCjK<2O(IR7rvwHB=IZ)^2;uWn(nFC)EC>#^mPKRQCyc&`y z>wk?>Wc{xPGKbgyhDg`yC(Bt;fBc(BZ|v=8>s;N5GuPlO=6DM!QwB3S!6f?E!Uy^w zRCQR~+cLEssY2z!azrN7AVB~emERTk-q6$6&g}2VgjytY^&k=kLB;=5CN4%|QoDqE zS0=4RQg!7riQWD$zW-pXZSs3E5A7Pnm>`}8i+dkQO?A-onl%XL?ETCRFXsoAtg`Ap z=Q^XS7<#6Bx4|gEqVL zs#R9gG+0{J$c&#${%HL|Hy_Hkv_7s7(9l2p@l=XslZ-F)tSv2nRZ zlvb5_qM*$vqElsLZmq7oAuYTSm@vr^QFj{ zVDoWEN{H9rbi=Xr&bcP2+lvYi%Fu?|Gwe|7&EFv^f}fo&jjiiC2HV!Q_F+D%a|)F@ zv>MnQ&%Ym|-~CK%m(u`$2Jj|@98x5m$&x+5RIr0;$umLQUach#`t|}l`2Z*_2d&}1 zKpY&VI|8{w6*7aD>PS=T$Y+Haq(Ym&x!1}6^+c>o zKN%!_A~si-#dDvG_3Co?q9B8&8s3z^-g*Ulc&e73R< z=Z}0)4(AVR0R|PcmwR&M#?AqjRKy>7GBz;Anr( zrKSzhC_CDju}mttTFXypqOn41Q+g`(Z~!6<)3wEQ>H-+EWHV!xglL$@zb!T|f~(B= zGt5b(AWy=74LG*~j-*B;A@mUG#}5aCtwimJv|46eFd}I&vo0KwRLyKqnAea^BW{sf zUCpfZ!9pEFltLLc4Zrnx;c5ultOAwaF}IG0C64t@Cr?!P!95CCR2QvaA+}p58|Ul}wx;niVH!lf!=if0 zbb*f0xzO#WswBb!Iz_WneghP1n0*E>?dY-U?Iq%`os?yURfCJ^NurwCIEXhz7LjH}Y*zQ5X2#W~Ppesn@hYGM@Wlka-d?9?q*2VjZ(J8LP= zyA+ND&R^4$u3N@$Thn9nFQ@LEJ4iviYr1PYS262pp;Lzfe=>^Kpw6ZRHOs&q<4z9{ zrMjk}vXNO=1|Uj&jZFwo&f?Apz|@z{t3;%?mH4j(5toa>#fHzdJVsNZI7+EQ-aaDM9AE_4c&NHFrBFi^)bKBQX4@5)9V|B zatL#D@fQ!q+5PJR2pj2&&n(>%xVNmepS-`>dI6xGI^m6I;o{m_=0+@s5ox&#OBYl! zTOVQ5Y<2Na*>MiD4#?IuZt8Dr6M@cI)Ox9Vki%S4&k3TUZ3R<#dMP%sfsVET3g>V+b6m!Ep4nsfUmlb6TLHNF+1PX3f%0y61n*`RYWl}Ig zJd3(U>z@^VnrJ-)Bbqbo4Kk^z|11p!f;xzUVGgsxQIl9d8`@K}T>CGBw5Ro0xva9B zIerCabY{Ex*TH_l6YI_VnpC58-YsBgj$4DJ8q1)q0)3SV=G+;C_id?f9IWbUUDMBO zw;?$&Au2a^v|;w_;tzNAxcvVQ$lSjPBBLgVc&4=8;owP5F^oUxx;qq2uMAica|aT& zl^?eU{lnq7oaT7L`fg_XonQe7_A7B#_Q99k?6Q< zm--VmW9{t6SD*uG&3Om-uh#YCrrs^5g5?h^XOPHHFbHR5{csZ?(^K!^xBb(sBp*~s zFYIT)x}xuu2{__2&wc#uf12Hy_e*6UEjDUxWzE8>y2@o>*`^0*49S%Mb3VvZ-ZeXv zhmayHdA9a-tXbcMdl90aRn#>y!^5Cy;Uj$UyXFGNAwbDnP}HADMTzbZMUU~D-!&J` zew@51(R`pb#62M^Czn0KUr+p#f!5GBHR35bk#ME5J;QYyH&}Jg^3;Est-9xU>zs{N zfAn91eTsWNh>vVkS^NtDeDn=`#=|rcaK-te9Fge128+l4Em&Oo2C}{sC@&HS!QbV; z8DFNqy=G>5CD20mtBfD%?Qyi3UQ@6NAdhBrYp>s#b(Y}gJH z|F-0yVoFst)>N?QBfv+5hf0;NG?7`x zr;KlW-<&r0m}Fp~2R+9Z!>}xqC2U`gg5|#wi{mSaCG-gA{D$!Z@0;!OzXd5A--$Vf zT{IA<=L}CPA{((6m2X`&;VvL)XC{e&1xIC#QRRRDiNJU{Y**<-_vNWj}v_#&3z;ISW?J$@IQQ;IifuwtqG zPW^VP@E#R@I&&rl@FUi5paOR?d8ee)N24r_4XLJrP^73R6ct5rz>T#rO2y#C9{!$14j?DVsQOlchy+;idBX*sR|5DR1nUy-n%Bbg=U3`0IA(I-bm)59tshya4VkeBVq|sK0k*0CBO7S`9 zpEOcTor{vR+yr6a{dYpak&Lst;_!GfwMzvg+5BYTv= zBG=h;HI%1^Kchw#b!SOszyK>_LqSq=Opk!hr7_fQdo2)k(NeR1O$v zjzEtSUuuJ>V2P{%2{Da@ea!W{(4krWOQs?>{m36?V$h#4~k)vzi)p4E&X@C`(x^3;@Mp zSDr+=_|8wwwyE7{xVS;8HzY$3=A<2lezcP1La1Kaw5hj)+0W)jP-0;p0F3>zcXk-} z(O#2YRheJ4rKV<0KfR)^SCIQYyB!qOEy(ZOi~U zDf4XQhdwjA=RzpjKd>=?O7tk^64XkM!0DB^u#xescpOIIj={Rxiou4u1^qbj0*m)D z?mlKt&AD9m1l8e1$aIBT9EQl-QCP}z4Oap_^(x+u$j&)e2ij`52FZ!nl7F_*Xwk+` z`ONIdiM>8hL0Ofsq@|nMu>Ql67Lg}7|MZyIp}UbkS`lZRBur-$f5}rmHz&0JN*m@t zInh}cWSVmL1L=aEu{Ue!HSj=chp02iCe>r25%Nwk_imH3EOi&Z<#V&*hqNhg# zOTXiHM4?SIsb}V@1+dAuRB0*Abv0FZ9kcEo)+&l7=DAbHul(AK%Tw%K!#c2k7^pm( zJ0U0yu7rltg%x!R5Y^oMhtZO;h>iD1$^eG zvFh@75Ko}A=x0Ledlvcj=a7I*=>T90))}q%zo<}H@y`P&>ji%6f6S8?#=fX6P{L*U zE1=eO4wB*iOVmajn^55IDByY-fId;{aKx{uhznWPtAsPt|C;O_+$U^kMLQsz8eCjI z*t({*vzr-S2d=m`NVqQECyTab6=bv6w`kSj$#WZhS>6UpTB?+plg$#2%3WJ7GHrx6 zXB7kV9TjxC1WkHZcaxv?|KYGx@&^gp{2rj`o>IIut1z1x-bY(vKcGVuBA|+@$yHY1 z_rHU`%^wdh`3XwK!&g?Z@KFfTZOtk|Yd!;1ZxzW`WOE=D6lXc%Vw`$ZfD7h-02CM= zVuKi-=&z_G3Kqj*-q%2$^$pR|!IiJfag)A9g7-TeFZl{fnnZOPB+2R=kk!%jQYQ-L zml(<8Xq;~cc~wGi1riG>tpt1;PPxQ8YGyJ2>|68X zLdXzF%ohU*q99H>F2F{}5Xnp_2Es!T@*$m~20(~Nc384U+ANs;A+jVCgZ7 zq=Tn4i~%z?s6*AL4poyjRPl>da$}qa8@qZ2m~n}ONm;57OxVi>xd4(?-qYS89EP*R zWh5~o`XgN?5485d{s{9ibveJ~2k;?C(8M*B1ZMIEV}_?KqLkbpSPXn@$ssJqvp&3Q z(Y%&DXiNbW^RQW%wwU7$r4Kr9^}&Tf#OiM^dzEXQ7}t z?D%ln>5|xNQR@QYw{A(*q#l0wM{{Cf?+MGv+$Vd6TWI;hCKjXm)RK;M))TEC-C=fR z#hnuXs}-S+V&G6qJ!WB`H;Ng=5;yTPeljO4ITz#J)3>dnv9a+`II_<}VTz&wr&{9g z1@dp4U$C2PkjW6J53kQ6V;c%l0*fBhDw)AjHbcA4oE!`9S>(+&Expjk61VanP@nY@ z6vK=_A8;8Y&ZJyQz#b3X4Q;;|mMcznIFeI-De^I9@mCC=0T(md_R#sVrM#Q=nJc9) zD-%3siOJ(*Nmujxj)Qw&L)e`BljG(|CtVxbzUw4n-1N)PIQ3S)xp8AfH;cPoq6v(p zcgGERmeko?owSH}1*ci^{Hwi&=R#D+Jik`>}imSJSgt=C5zqZkZf? zvx=dRId8q;5sSmIQ*E%^rAYQ&!<5@@_{fr?`+wY|v*f@hmNrFwEPXdG*ICkZzvXLm zmbnRg#;Ps*_xw*fOBUh;d;~b#ow5>b$v{>N_g1IqElva!UaGgG72K_^O1Q8Rr-bRu zbPtt*m&h5+3cpoE)R5u`owHYONjd4h6R6Nw&usUP=o8XR52#H=5Z?#&m$3YYctn`R zhX{f*!Ytm1N00>PuxEr>T#iRalrF2C&r%=b+runM)1T#-sl>u$GCx7j_5;790Yy`VxI2GCdtMDi-&lW1Kxl7$?S!#1zAjl$_8UCbfI0D|SYCcwex|nx8mILVW<7F3!#wYV z*3!^eFFS!?5XOJXb~xS*h0t2*{1-S`Ey>I&^aF+u%L_y0eUBI%y&uz(zVyQ?;6%RD1p?S41tg(xE1rj2vYY2dTAV99fN>=R zh(t3>bdq39iD)+6=n(E4R{tz9ISiYrI0%IH5(wLvDFv`Xmc-M18K4xW!~~E;tz#*=L7=EeDsBSO&&9Vd6w(JS9wAETT%oa55D>fy;mkZz0BV znPaSwQ*TNoA23;RbXELTV4gfr&8=1^W=&W~Bb&;*a5837Pu=0yC-ARL7K?wrHq+=N zSu2|x*wPah(3lIxDU0F(7f(#xIIc2#U6}g*7_#Tt>(PAdCqZcJqoze59W8)DoT$U- z^vz6-NTRE@8_yw4DrJjU?qZ@WJuw1dc;a<-MDQHOgPeg-Ed8ZJbGShQUA88e^<%BmNtUl zB;mUu-ft)RY!ZtVb0QZ>_70VP+S#NWJ8}GKNk>X^!5a`5yKxN|2648v)XGkl-N|2w zv1F|{Q$nV<`AU2sRqR>Xa1{9lSzMRQg|I>DotW3D;6*b^Xk+hIKWD6_&*)yYa53UB zpUoFtsCRn%R173gC%({#85G^G!ojUQ;x@PL(L2vrKLRe_H^A)Y&|o3RBNYZ;5d6uw zLFIfK)rq=Eop9&M31>Smbiys@fk7dgaz5spXLqc{XbY(R4i5ATLi4zrP444ax0o{! zN_ycf=BW__*aoJo=NH{#&Y?5A##L}yXQ>;Q8$u=C$gLfXG z(KqWtuH0&#ndq0hkM$y4-&E5c&9Y~Z-*&56sojhmGVmG8e&=E}e^mROEfT$Vt6pf3 z^V1!c1lKldO{m=tHj;!(^*0@KSsL|`Z5f}oW!zrAJ(o9oESdUm)A?49Wu1Rj#;$35 z{&3NxT~_7W^oxGl8ok|o2*0*R9ol7ns6FH6V_^s^zDJk1_Ruc2lkG7oUu5jk74o!)F=AyqkO{|FYeZ5qX!2V?R&ruvkq8w0y_i{FDxhz48yTJRVS@ z{wVWc1a*%rWIq^=2CM1|!Xp}N7NUkU6vlfcSmJ&BrVdMj|9*)I&$ZQfJgAOS}}N_a>n__hLo4xn)l%LFGgkdKh;|OMr}xiYSkU<2bmG z7baRg=0C|cB|JuHO-=mlurszm8TkC&Yb?JQnsm(a9RfA9@=kmBSy|E6 zp^ulu**5U!XU7)oUKy)DcvGwQSZb;3Z9txvrr_1RRt_w&>rQ{PUVmXVv0 zm*LCE&nU=X?27)>?Fa~ezrHF9(xZ|OEx)_;@S(jnWuINyl>?tid$LMet~6(Cd1uc% zH+}Q4;!54Wkzf96y)r37I9ob}KmSsk)1{}6y$)rcQ`vP3O6||O;_KAy-=y-Rzt+1? z+dpYHir@Ur!!3LJQ}+#|ZaK2`=-o#SJNJG1@PdyY-tNm-@Y%!L^D`EF^6>V83|Gsp zsE1M%LikX!`18y&mQVLYQ^LL^k+3gO{1HB$F8+Jm1^lxI^qzw+$EB3$m3w$~YR`Bw?~jc)>)?AhHhwaE5APog&(KeQfahPb-pcgsj$s^E_!E8;>FZB7Q!37=Ef5-)$@ljs7 zIC8^5OZ=^+7I-p-CrI-#_D{yH&n%1?x@%GVq9_BNkUfWaYjeCyF+m|mTo>TY7CJdB z7RoquhpFkNcO}nD1m#BD_ZRPou`i8>@{PQ6tf;T5AT8r~XyK@rS&;zk{(ms>Ms0gl zMZ8poHKd@?2I%Lo*wP>J$~GeZbD1CYh34+4_DlZp>GAV* z|KTMo<5P71<;zyaXX(D;gZNYUH4StDdHX9}G3bSf)cWSW=58-@eIqlit4vS+ zmhm@M#wSL7C#%W$o^kyd@oD-W7|%Q-Ua9(#(TdG&sGN6&K#3n-=J-jb!kLy##SheR zT&9+TiCiv#=@-(Ojz9WRgu|uN30$=c=gU&~;bk7Zj(_%2gzKa*ncLWiL-%E(xcG_k z;WDSbroK|*rC(l#*xiB?&xzo%mzo_1TjI?_;g@AiiQ-nLH7)$~EQ4uimecw~xNbQw zecp(;HIhYzvcks$Nh&LRLQTlus3QUtoVAjL3X`vl_F_2W&ESddZ11V|`{}ybn?BPpV0{SSp|KDX1U!aFCYYA!( z6JFuZBw0PB|40=!n@Wd3?M z&!3~8aWL8XWOK%}VXi6|d-frZfmKJaYceD4X~cJ~$&9h{kI%Jk&}H-X^Q=nvNm&tb z{L=HR^}0;{DkaR%%C!&uc%C)v%sZaHJXXK^14OTVetT@eb$>t2GvxVA>>qWzKejsV zc>eZSJ^!L)PR>wHxb5X~p8tJ}i~IZ6P12{IDxE!^QEi)~n;-aX=)`smRdiyDDGP$$ zBZs@7gmX!_psdrfr9)A2L0K=A5an^bqJUgbHUyxCyP$03pI6)Lx+Yom;dx!*`i#Z$ zL$UNlz#Zih@f8W1Aeibh?^1sDyslJIM~92in0maALnU3ba|M66#+F>wtk$IZF{SoE z{ha2KY)_?++H*z#O11}r4buAewg9wdVcFpBQ*G%(&)*SydZaFy=YO~GBJAJZ-5YIl ztjyT1Dl2rz<(aXXPd;c%*RKH=_}6r+e{G1&e~VOX=~RCv+WBii}`WSGoq}K?%Hj6QTTwa3WpHN#)mW`K* z8!w)lDK5F;Dcse}cyA_zvX#vE4A1~e_Ol_S=zU=uWRaqex#s7n^??$GzW|Hzd1^%~ zGrmB7aj8D6HsVEo;6KVQcdu|I?)a15=-;I`vAKH2vXq(12JbfSF7NNX2fUAY*QH&P zc262(dtJ&tx3cR-g*~)CZ2QY;Eqh;1+ZCaNJw#{8ov{aAepYi@T5KA;xw5cPm>B;D zy~)bH6nGv5#GZ{MEy}fCLjL-2YUAf7-f3{+IXxC(_<B_zgwPse*LUkM&UI!(;ePYsECnmjl zVv_%j6Vpa?YqS&i9(@SOd=saI1+;Lr)ZU>GVNRM2A12`&^*`)>)1~vrt7E!XQTC;Z zE678;qV7I&tafMQfg^%Km&(6S$v-i40j!~cbYR|zBk+$}z2!}ofXeQC;TV(h-V z{fMj6vh~Q-`uC1Lb9mPt5cKYsERj2p9(XPHSlFySuCT1>mJvq6qPpAPgUAG`!UfsDW&*Q+FIMzcF@sL_?f|f zL@M0A!J7Z9-0q(Csl5JieR@8@hNLRh)w72Gf2z{j-mbJNSXTp`ZAvNM^|-!h5{eKK ze&lg|qNyS`qn)av=GdOl*X%yumA<<=U#~A}5bjHLv0V42>v%#>_rO%8ru!UtFzCou z7@XA<7ELdnQ8Lq~aNWZt9=~#)GDVrD0g;GYnF~Y{8 zSfc(Qi>ft>`=y0VVm5ZK_#IWIL#~Z&WbN!^Rv_~?tM^cRO=9x5MITHnkYzJixSmis z7>j6$`1I4~qAxYxbdyDQ{21;}j2*-OnEBYyqvz@~bvr&yoHR6Px9i&t{PREL*&|@t z%)G5)GmpUY7t2^BH~%BmT}EA-{Jk^TZPc{~e#1v~S_ge8__0PL3-8{{yHUS)Gw`I>0RP?jBxGE4C`<;@^*_Pek8?hu=l_SVNDOc zpsB~0e3sTL^ABAz5N>2%n0Dc)uv>8_Lyxq`Cmg@lm)4Tg(cFf4WzrNDN}0rbZP(&2 zw2Sb>+PSf#tDp#XI@5LPemI>Opq0sDZU~7oY1|={#+ZR4C+bF0@*@3k=wWVVmS0Mh zsTE~S>w3%b;jRT5nZ&17YCT4=prPyL1jJ#FVi-TIiZBWGHkhN>& zOuMK-kYM8Q2hB^mrCELh1;Tc;Tdm3fbxRht8@hBH!h42D4kX0)YZyqEQfO5`mr{E^ zggPaQgYsmsfoPEkJZj%3o23$^u?^ZO!q*JdY=-J&pys8pE>bZ_0!moJ0pNxLB@WeY zdp9&Dg9T8B^N%MMA!0y*QuGp{Z|G3Uhj1|}0x9mh22!bvg8!L92nF!03?)i>Pd7fG zYQvH4Wbf{|?ucSMt`|Y$?IFP1phihFRy$J$kCV*)h}7R5)JAH!%^8 zl!Ow{%rLx!l5iapT9G1ke~`< zp#@4-lNoRGXRF+4lMF}Da>r4AtjcYl`cD-lOev&GZ}+=uN+q4U;fYN3`pq2w;`#I3 zcJ5i=j@M_ruj2XuxRek1HS^t`Y}^-F3=}to2r#n@p*ucOR}vXgL5q_ap}Ps?b$(mn zB&!kwjZF!<0HDW0K%u$Gyk7{U{<8Xd3~Kb3!EEDy6eFEtBjqX91aB-Vu)(CinI%U{tcIm534U0B(-psz z8DrIBv>8Peiv;0^7P!O1QsN|3iQuR40c=LAS^-oywF>Mg9qUlPp$sscc@PHG|36_Nn8P0_%mnBO9)p=SfRDeGsBGz5&Sb=&IG0is?O177c$ zH6x@QRfLe4CgV=jCe4~M(Pn#=C@7YWC`jJAT-kd5=%!7MNjZQ>o+62vxs=6B4H+yu zbT>m-iX3+(H%NJdKQ9E_={pe|Qz0r}BcTzxoWvuXg&GCQamO9#fbJ(mzsz2w5g~+4 zxZx81LHv))3AWBER?fU;_#y>33a0vM^;>YC;}vypc^VQB7m&{*sO@R3ITp7U$!-c z5FR94hhQ(J#R1AYmQuFn)MJJkje!}LL62}_D|0Lt+2Tbcg!re0Hk!Gc`P_Q9EAn*N zf7?plTJN?_IYVn~u%MxZy4)ICntHs7$~*Y}dUv?1ZFui7)_`!d>-pefkF{A-?~H;Y z74>Ont(+&0PHmouXs5DH7I!AvuWBjLcEj9I?-U7Qx54Dqb{l6GfAsrs`()cX>ajFT z9IO(!n5jEJFGCM{kkHG|UgY+;&eo6z#+s(4vrl01+xkz$WFTR36qG>K#-Ae_AHRVb zKP=$EM)d(ne}E(KN$v?QnA5$i$p-GB&dXTdo6BiK>5MC^8^^6Ywu#G?k{}N^-CtF!&Y7#M{r$&l{|z2(v)1?>G0OiY%lV- zeT%KJ5XaGh(Ey1YbHBtNne1^v5CA4@q_(kI+9 zD2nV-Q_b?o_0(EBz(?Ob}aFf!+u?i8hAX6)?vOA6g%Rvcp?17WwV(7LaIk* zikZ2Y%mn*&L1&cs8YPmx;YYukl(5!7w(IuqLS&PqAqK%1v%_>faI|RX=%P+C1MJvY zEG*cytrhkYFl7%gqI%)uftikLgklDUb7ZoPU;TsI#gBjIhUYsRGSy>781n4l{L%Le z)+G*uwE3&-9_Z+Ui6MftK;&tN0ElN2Js}JC7V-d8zP6+7Eb?e!Fv2P=Dw6IYVhu;B zmkN`-RAfc-3%_?~A~q*RI2L!0SqWCX%?!Thwnkc{tJj1$zVcOzGkgWc>WK1Yg|IG zo$0P@mU5buh#Fsdf)IgHK3rKUCxYOevD#p^D&OiUbZCjK9i%!>MNi}tv z(?L$Wx|=f9_F`RU0R@@8i^)LxnU%TZSI?9K5Lztoc{l|i?y0=xrX^0lVH!S6xyY2> zGYon7w)^OHAY?&_z_IyiI}2H2;jngy{Rkj*0b!;hwJq5FrxWgC6*nrLf$!uJx|D0U z`Nu!H;}T{Di_8K@)Jb@Z!COfybDu0)nM=m%;w5_yuCG{4hEo9MnLEZr;kV~SD0)vN z_y6Q}j@860eDb7{82-AeqtkRh*M50{a~YB`k*HB|knGyqh+eZG$CPD!w~*Q(Vo zkk=jx2rSk_DT}QO4nBHZkMg!fq3GorF*d3gnq&uzi`BWiM9y8;QXTL4W|BS3wM@r- z|8YAY4}S4egQIeVik?=-X+UmoMuK{js#@QrF4WVh+La;I!sA|f?KJ?x}6_Xqbc!JB)-)7Hbr+rOwe zzV(a4uiyT~;a8L!Gxtwbp3m5yr@WGJbLgB6~W^h0%TFaEPBjCp?It&2RaX$J5KZak*j5;hsyn`i! z3C=04DCfFG9%nY#ppf%~V`w~JgYuPTSV^1=ln5PvW05DB{3?>wBX}QOh2<==fb)?7 zXIjRg2p_Z)O(uMkUU5FNMB@pKEQOFoW{^g3Lu!L5Rz9tz6)}@Ju-uGs^b!H=@zfYA z&3k#33p9uKGT6@%{17lAb8|84IbBOVv*V@70RP@s<(ne(gvWhEW{=@ zfL}7ZhhXIqKXBhPf@#tL-wuwc2~7i;h9neA0srKSPW8B)sGOXJh?gow(kKa>lrr73 zj9{enxM@mLy9&mbj^gAx1h0j-{UV*XA#PIOoq`0!I1{q@1&tmL`3RBymB(4_vV=O* zr_d9Ko6lb0i8Mt{)#cns4bGg#k2HER{K7*>B3P)#-*A5)c0;uKP9z8TDxB}hGV-WZ z-qi>1VaxzF%VNM!X^NzgA4M}bsvIB)|CTfF4DA`Mpa@Rs>-hR6kJVqI_6;`_Fjo0E z8hDXG7fj%>+UejV)PQj97FyPSqxZhuwL-nK)uUwj|G6cbXYe7&lJtfR3b&EarL~!ZR%AjwKQ~5=J zm{m&~SjfAue3dYPe~V$qyD?b!$b6J{*6|lmzCAMt?5BYfpHntw+X5a>hn4nyExQgTO_{j0ACN`1h}%1hM5tJ zU94X4cDlW%6~b2tA6(+GXSWcIaYUKUT;S`nk=j~8QS`+C*3MGf`29;fioZj09qa1Q zc}7QiW>^C-^IENX%z$MqvJ;;;Pc!40^4w%N3qTYVoOWT;I(2-DnX6lN6&I4gFo`$F z9)Qq^0t>GfMbo3sCR25xMc#+LkT!Y0`gcJ7b*<;!OFhnvb4JG)y+Ok`$dWek-!1hd z)}O1k1M}=WRAM?`c7l%G7XS;USJZ_8eFVSUX+0NdYX%E*VMl8p-pk8-y1*=)gFJ4T z$KGMs3|ui6tGH;{*|rQDP$3v_Y&{{3=HIpxQ$d1DfHC?~!icL~aUr5B@WEvsr|WWp z7VkwQQqlwn&MWwSG$h`zojP?T6%$?%60SbD!efjr>kF@9b5j`eD(T9ewBM&~$k>kPm}gXt1v%R}gVf z3?Uv9xL+HG=qVTuM4+^~zX=i^=!S^R8oD9SN!G6YqCv}9G!#NagCIaMK`BIQ5cEP= z^dsuc9v6AzA-XU_9`-2y3K5WCv!o;<+WeTb#oe3aFbYh=XDJ_7~~tp{MUm zX{o6`HCX>DD++ym_?t`$(AoGBRSR8{zsqL?!^=Py^$NW$i39vU)a+N)SBBT*E5q{F zx%pbpGTj?|E&e3FDO01~qW2}?r1@=`;yr?QQB zXAeA@_77a9Y7Z|t$IB-Flc!wg$5%-N z9G{TUJ(4;K^E--3x4r@HQxiYs&s^_G@O-9bu3lYKw7MOc^@|(o;Q=)17(aeJR>bH0 z<{LZ-t}j%u{Gzti0<5fdF@V10bvJkt3t&AcYAE*2D1g2qYHp}ox{$g58$e#%TG)p4 zI{3Pn2Xnx$Bvx1#igK%0xB5^wDz8~MpCy0858vQP(tXQ6y}{#}{+$Xmqd?T`ySQ}G z;!?a1sL6*SR-@q3YJ~bE^F#4cpY$U?X@@7#@sl+BoLT5An?co6#}kh8bvryB4@?e; zD63W#7Oy4@YQGb82(62Jy*`XTw8P_eg-JNyjMkD4YNF_PVt5$;6i_aM%q=b`YJ)bW z+HY4x7+1K*Q3SI@s$*sw{SRepYvxsBjx>}mXAamVQr_y-CHWncC%T>(8OG1#9#1XI z6e+j8t*ss5$$S?tudJ=DTgn_JnLfRJ^^B4tqzhWuV8lq!!$=V$&3cMrVK>P=1s1dX zHo=Hdcq~8oOV5-DT{@q-)1!nh%$gj<>vwwI3nxWUKI=A5ea2)NqDUxh(0{@W36X5b z%(^n;qlGFd{or@q=E=^OT3S%o2z#mJjZKvc0D9b=I*HARVy9)rI|x+i%*r(n{dk+F zJjc?7BWq^98Ohg|U6y5z=Jn5cP7UwL@)?J2dCsG2gtuoXsTwtH)kynQJil3AzgtO| zlOqNoyk070AvOIF1EHPm3~$Dc|J3%lOh%8hMZ>tVTR znlBB#b7#PiPRqnI1|EW#X+a>*u~5$!g+U|Q1knQ>rwJaS28m265DHO)mIqOT%xmmx zqZe;eW1yT3q6O`Ny0ouNzL_jRYvrBECd3We>$=o*G%r_~y=!o7ZA}?7tx&cm z&SqYJMuH;=;sqA9lDMUKl7e_aJX?}T!Pd%Ktww9~DzzlSIJNQ87^Br;YNvN-0OHNB zL$0BO)q4KZB}?7*HPnq^2J)$$2+y!P#&fVGA~TeQy? z(nnL8fIGVVH{QLu%Dz11iUZ#5cY9TpI{_ChKY)&|`mUDSk3u`_Q((CL9ree)UwE~o zBgfEA{`O_Fov|`f`M;^E1>25_w6hWwybSCTX<6IeIU#(xPN@4yMMD7;cI7X;Evo*Z z)Pi&nKK<4sEd(lb9QVZ2&)bjeN=8;o9$vBY=2b0Y{I02HyH5Vmm$4nw%n8Lzsi>aU zpj7mALK94xtkgADD4BJuS5L!rucM<|&B#=ma3~FGfgLw^4N9i(pN?mSgJsj8~bj0nrK9hV&NJFc(K%EwLWNKQd{<7f?95AiFrOUQ9 z`$->zCG6p66(xE6zYAW&wPj=cz4}tN7ex*G@E`k~!P*)tD-pJ!vMJ~_4H^}2b+TWp zoW5)a@TJPAHMG{dsVcP*tNS}T&SD9FphmdkV($qS7WzIs_4VmCzins~Ecbj35<_R_s%1B0q3fvvug) z^H+YN+g*}W=9i>oCT-y1kD=u|xGoFu1hx>V@-RwL{W}q}QP8e#2~!KH+sf^}Hlc%p2+?dlN+S z@T2D@*^&g)w!b~Ru!w_~bVMzkbd>KrFDWtk9U8uW5+ugCkyNsqa_e)2*Fbn@qncdd z%UROD1hnowN%tMspZ+M+`gz;QBqEIWVZ*4DUad7b;-0t9cZyK~gtCOeNR4@N4;E$re zqH_(x|74%Zk5(sV>CWOh{ra5fF13at=2*w))+F0?-TY|wIu}3mOy8taeS-)##^QQL zU^n%m6m9zOMAIildLcDf`;kkR%HpjVzKuBFox&2<^G9luJ#FWxIbapB8zfR0t;MlX z4ryq&YMEn`gb7V8XQp%2Hcw}9=ShGxEhdxWeCmWvKm9`961Ki5>7tP>9}Kj7`o;V} z*!sd*t}QILSO$)gByB3ICHGj-TUF3vyl!rjE~%q0K^k$QV%V}@$}RJgox02T-0<~D ze%Ix&S=`vVZBs}8)Ik!vL0`6WAe9-fVDh54UD`BiPW#p2t86T1u~)$jHvB-+^%B}? zSECLgMViUX*N}-Kjcl5j57(+$@Ou?`otTA#%yK_1vM#Rc7 z?Nlp(YwTTe_|@<`!Pq#_@YF9a@~H53##?f2&Xl;_XljvA50=9o4R`$VeGA^He#@V) zO-}It4r{=-gN~!x3`ZzX77aK2l0bN{-YXZNeV-5p3-TcBW@$xf!#rrsVkUu;TJJ*3 zFbpA)uZ;U;Ip+cL+fJ`RY7LTuWZ#V{I`}ma>(lhp{>Zm4NS?a(9!;JrT)&9ei^d@_ z&@4{%$Pa!ZHA$lHQ=3?VCO$x;y$Smy(!}Nn-B@-#b36=$B4*lF-)t@FA}cw>Qx_)B z@INXSK~_jy(?3ZoQ`ci?a{dk}vQk@(*AEu?XC`+YJG}Etac^jcpO78)KFMSmn%Xe9 zY~1W!)n2i_WA)nV&YIf(MlVZy3J^v_?yDjYo?4e|3x|^7)BNj&$;rBBxT7xF3-A3i z>yqbWKL>V6v8OVdF&~qQlCA)Qh<5BhC{V(y1`sB29_~;J-Zk(!?f_pQ#?H7Au+AF-PKH2Mskuc3L4J`#% zWhQ7ai2bUBMZHcHi#Hl5E70?k`AwBuWco7mu&AN8(AU$&Y|vR4Io(W0ByzmH8{xEH zytzP;p}hk`3dj94q-|KMMkqAUMJ4JzqCQzQS>9Jkom(avWP~mQLBL#CUh@B~<=2pL z@Fo47Yq~qyWdKHIhVOpbxMso{zr37H{+RbJO3pYHiVdPq*x8`kKAGx-Ke{5*iL z=JF7X6ot|J#V~vsU-GvXC8tM1$3fsL;I}MFPEM~4Z3snhWM(Khgtp^5#uqjur^i5% zK@=>43M=FOhUA1~2=0h>>iQR}dPQYJ9ZS-YG(-fE)7$m@#fD_tF5zM4JxfdHW!EyESr)`nig?x*<&qAEQ?IQA(6Nf zyx<8*gld_Vl*C_eN>0pzSWY}rS0T&`&i_@V<;)7{98nl9rQjpGycD5yVC<5zI61Ez za=HL?Ro&tyX7!!`Ye*x7hjgtgvT@Qm8CY%xzh*I5?qtxCw(}BIjJJu|vLq&zS~#*| z-^~V?d;*P{qMdQ0rUmp!a^-2$o;SQQNeKw-R6ebm_CZ`ngfJH9ZfX__q)-(s6a|VS zkS0!^6wDD}=^B_7Qac(S9P3DG+lr_u3B>{6MjYn|+DDOO038GQ9UZ;+HILQZGjz|A z_6FC;%668^GSv0W2vL%v)X`0HGfd zR=gkGNbg6U;QP@4ORub`X%c|^;^t)MBw0rqzpXi034A<-k8sN2A2%m&(AjwV>B-8J zOR{3(2Ne6*tT-=ww1`u+O{%#+sd46E2nH*Id$90Y1>xjZd;kR zd!;YhpO%_OW}}VOF=;V)PiR`(*{}4s^>y|RC=}SdUl~~2p<<)hHw|RAQchItoEX-;ZggQ#tvNjTe||_<-w+elvInCb*w=H&>*ehp&&>;O_{b( zNw4i$qxescQ54y{F$yVj<|ygs0rrBJ%G$5Csq>-#!nwI+cIihJ|>>A;`sk>d$QyskZ z-JNHHe%fHIZtd(6gFpj3?kLR|b(9L!A;KSZlmNFnbeJaE*-mNpK0h074{mZs8q%yFSan_d6`@)jHn2kY>>;`H-H8+?vLJgkXpdg=TH zaP`=_s;lGT^0n)`&+2Dso26~|ts8u;+aS=^q zUD6S>TbnSnGG~vwG%Lqgnlpkk21usW3b@c2GonNDwX^C8OLL-_LTEky(i{_^M(YzN z^XPMVTtpLDnw6bv)@r22JOZi5TbyHU_9a15i^(Y9LPmX*5O&8J!R6c@gW&3%#UGlFBTn$NnXCT<;l?I- zZQveu!rY!HrU?^#OoTs|;Nv11o8ZCAMbj`YGG{y{GHRF%nf9e=5^iil6P?G{ z-zSP`!h{|Z;m;-XxQHe~=*q58jtvelXFM(f8je1x^Mf~P;vy0*l-47b>evi>qL@Nx zJwC%86QM@ykqmCkyZl&vBt#Qo*i8tAb51A+nLYl*9&2~Z4_+F@#6Dqn9NwdGILJgX zg%EpumOUmyjo2gR!I&(2TtpL@*mN%*fYOV`urVz`?lBm)n6qQ;kom#8Vi0buxxH|_ z3)w_5g^>Gy%*o!eDC>xYpW6LV~GtFv|tRua4%2H`@<9onby z$vr_#A>{ravwJLr8o4JtyT?N`k=af6-;l{&gSUqzt$oTsk5VolO$QXDiK`l(Eb-t< z9>GSvxusJ+y_6EfXy=uDafhtvXhRJ>q6(^QtN|H!`iEc|57ETOV=RO}dpyQNG?DS3 zYjVhVEbi^a8(eMYy3PTmp{J{>2M_ZhgHe!QG^41bu()WtNW_aWMo0|A6s2(VQ6d-` zrD=h(B^WFmPDh+B^TicT*00t4e;cfcAc`6OcK1J4&sZ3L_GpcVXhNeU&O9OGRok;+ z^zp(=KMjqbz9L79#2TT3hIE3Vp$Uvk;i$`KhJw*jWKIA7Mn>Em|2LxGG7?gW#!7zg zXB*7ph!)1e`?JSqJVX;2pZpSS*UnMEau%%b8tClp>TH9bM2OX-U}fYoAsLVyEu4vS zlo|N95dTh>(xAW~<@=`lMjam95&a`7qVwM9BB61)9577;(Fw!T#P9oTL+m)?GZx~X zJwD?hn$Y;n{Ga1fFwXd3SPInPDWW05zs2~MhO7kt&Kxmhg~bIWB{XQGa64QyN6?zi z#{Y*wn+T$x$L+?#__IfAJVX;2tpcAmT6wgZDmu^U?4QUwQt6%tx4n57m^=(n9tJA! zgf&$#>bb#R5V(H_s{FsLsEHyPzM}X&pKXXAdo_)T^yiMxxQHe+KKbK}&v@$y@6SFT z#sh}<_%GUV;?RH>j`9RmK;uBWkrJE@|F?A`Fiil_@Szdv0sQ{YHpGlGK7reIVD`u+ z{_OD?57C6ir$8H@1$7lrFBqY15ZrhQW+((PV+8*y9(4$UcX%S7z>L2koNIZA3c`5% zO~L=fBoPIN;D4wXjEV4PQ+}+L5uyoEzA%*X<1K}mC4z*7z7e#~r&IC?hvb6bwOJ#+ zoLf@;qj|Xg$GF5FA5#F)#ECx^!kp@Bo2 zczzMMQIRqY|I!9>;z6un`lusQB-s)Ti&pLbanlH1vByOe92t2^=0l%tu&l&;|L7uc z>~-2d!_oen@!9=rUvx3)Ax#upu#sGQ5E2rJg6X4<(Ksswi+h@aBQSQ2A`BIszY0o_ zR9rM-g*@W8Qs3k(0fyqMKlza+`vD0t)=pd5iwTa9)yL7wv`z-nGa|UM( zc1VNT!PRgA*FPv@CD||0OD9({F3)Lo^G`>_o=f$7`$rK@{nTIZ(+~Nk!1Ci4hkRb$ z<$UiUUz+X;e)y2j8M$2=qB^hS#}4@%r(LBdyH^oa4(j4p%S;peAqsQm^G|l3dKJ6+b6<2u#TB0238_9@KR3)cyxNDPOaq(^M%gUztBpG=8KAy_&yz?)$|OnOZ4 z(5Wgq8`c9X<{W7yESv&GZ;(D1C@^N!rhtdbbMcW6; z1vTzt!bPDT6oghqX=_8@vW;FgXOP=I@y(7x{6{kGtG-zErO@|@FHg6H@A|}-5wR5n zEp6nBK8i?>JqeCEg@1*Mr!5;inID-RVcY$wZ{twI>ij!tl{IWzn}2X^!5hXIm$rcB z#blqp(YifzT2!lRs%qKXn7gcDDYS;a%k|{5n^$UJZT1bB9)!<2yI_u`aUNThX)~hm zzNwdG6`O`y&n~$2%6sM(9tzv>-O01V9J|7{g%=(SFS@r>Kl@fb8>v%hvYA@s_tzXXsw%H@=ms zz*)w9_%mdzE&3+r`og(8Ruq+5;|$WS0dXb;ku^!%VD0q6{BoA-;D=5tnwlBoVj|%x z;l0ES+lqd8Uh$}Z6XaiSBDbt8N}Zpiwx?rF-v%-#NtQ+_&J<~<0z`$rEuE_eJNn3B zS8OU1zKm8054$m5G=tn8rTYrlVD_4Xmqdj3iL zP)3T+GCPpm-ggf3%-Jy+F)dCZ!xVDvRf%8@b0w~Ii2BjFu466BD&_Ny&6&C~-ZD8Q zQ&-M^Wo*vSRq#iR&5Ev)zc4u^1>uZ8o1Buao5vTm7G>+I`J%{Xr}I?mb8&&_Gb;0S zbc2T|^SM8=ImK2B!oy|)5Hkw4!00cRsD|}c1nWoG=7Q+Z9kp2n3|{P&fr&=nu}9gBw^I5;#wt10#ry|#SdqvBq4f; zF1k5Y*TF4cDaE=qdyB?dv#~>Tcc6SS^Ri=QNC^+&+jNohCOI~N6}K8_)yK@zS6eK}O?Q1159;TmUbkWx_(6^}#7D!10`~{>#VeL4I zL1FFxWAD2IqDYp9XLkupTB78zv*fhwE&~jR$dVLAK*4k-5L7%7RzL+smlXstAST*? ziU~1--kHt>X3UaRlC!|V4lLPQD=;i>AJ;ISg7k5O{T!5#LWwx@&|rh1uHhxDDH(r0*!RIJ z4_aWX36-k|lLdIVLqnP22Bv|L<%78Muig*OT6yrD)Aq z%EMq8Yh~FwNu0noa|7vU1Gbr%u;o-#A-2O;rDv!nXN=7nrRq-Dl%oY3NNdhYRA)HU z#$Xj&2hIiKVYwQ;G#qMfVpM_A3io3sH8zeRBx{hJ(NHggwM1(dFT|^-**Y}bXsD^h zdR$*NJoFMZGcz?kOO-wvya;3w)*H|~qoGz>mFzUP--vb?0lieAx=K>S*@XUvkn847 zBUhN1Iyo^X6COOl7(|2t1`J%nc`K?NM>*+lW1BX?FBN8$%XT!`80Kg-T5UYk&a?&^ z1Gbx(2PLP0gX$gN9@2Ryx?l`c^9#yNrCeYE(XArIJTTBimr^JT^eUAy;Os6NPuX+! zpgC2**Y~2WRivHKzEs|csS7rmSn^;B5kfG}1g_mmJO#;c}4 zkH~b&gmVFvZU*<07g6tY;4PQXgmg*<_LpBalM!Hl`7E7s(6|DHd;JTgZy|fyw3%8O zU&U&kF{%i8Ts-!)&`m-(Ttib7(8<@)Dg{MyZlGEPB^H2%CCh+;!pPewa4YoxT{LVf z=`Cwu`(KeU78WLKOo{2u3XCbS`5a7>w4&rusac7h%xnfW>Ukd>*-CnF9->!UNjq>Q zrN51I09R7fHi&$J61S07oM&j-Hqw>z9Btf2dUknD&fSB))uh0{CdrS11 z#j7>e=nc;4D_hJsa&VCN6FzT|`*sjV-l2r;q>C0fe8$+Bwx9*u$u8i_Y2$X%mh%A( ztS0Ro1&sM4ybZ^+q|0Y!XlH>*5=${KRS2gqNV}SJ+@pM&mHljdA)4r-|;ow>T;R-uM;<%;!?^JL1?PQY1~4(6VCI>zDY z=m2lP^TF>Zc4g{nz(IvIP{@#js%uDdC73UQ06YsXs=$9IW~32X#$YiQf#1h4VU6pI zyFf(8@dP>Blmh~yIC|9NI3UFm5bg6FWWI#J9PBq2f{%=RhlmJ57YrHM6hDwq;CDD! zWLv>EB$UZ*4(5!?knq0w9YBe(G_C^{jUl0N`QSE97u<_RMnisprvs*oVBwlC_9hr- z%>r=NEL#{B&Zb&Bg2TwNUUB_8fs4-o4=;p;GiTZNDFgA^YNB-M*bp&fPa|}}WRYou z%#YA><1B+`vGaiof)59G2*gDZd=JjDcyMfG;MtlnR1z-n7))4zG2?%%MhCo-;)a6p zZx?B&3Y9E^x?s@Q)@^#;9jgQnq)e4y#>i9&9-WztP^A>AH1_KRj zv{$byTio73<^v9%Im=w&0Ws*@P&r)?L4&Diz(6_J9cp!JBY_~61pK?BOOq*DBbehx z^pGR{Y$}2q!a<2U$ViP)hzkotH9N>q5R9H?Q-N9$Y^mTLNV=2kF6hacU`i<|O~gc^ z={w12vtF%np)yIWMud!#(Xvp&yf3q1T5^@TGid z!ZR9?zrgH{LD9dE5iYT85&-jsLLeS?>C49WQGPVU`i0hl>qd)y=)fdXVKiX@jzzH{X;^5uBqAc53a7(EBP0E@ zY{mZJ@FXvk@Q;9W8DXJ7!*TkAwsd(2q{H=!JQUKwt3w7V#p#*t=@F0$kVCMeJn$Zr zg=(i!rj`?1(`6w(xU@tT;)`<;7L!oZG|Jo?e2p^o%R=OkE`#(CNQe5f+Y>^e7$k*+ zK{8aFgSzd3ioqi(TQD>P(xC_p9aK$NOhxICZl2qg9_C%EE|G?@-C;2et;VINx28(N z-hkgmi*hA|J zQ1o8X)N*EPx_3D4T|hG&_b#-)5KYJB!CNU)-aCTAO_X>8{WGnfg=%nmaeKNyMgr3D z=s_pUMh$?5Gk7&^EgyzcAw3-bOX$sQPlzDs5=8EUy=*BOypN1fF5rwE35(r)rXbMU zLI~qsR~va5F2WHYR<%bg=8R<|qxOg;999%=i?AzWtE&}AX5hsR%OII5sVXZaW1`BB zut49ElaaNG(sNqMX_Noww&G#5jDrOoSeX~L#<(m;eSagJIV(`kZy-XJqw?Qiv0sS} z{6>0&tl}hPW@b%lYlZ%5D4mqWP(Jjpeg%h-73PB}%M3Tdcnzm@latz39k~{{?I(ra z>p09>-416x6dae<2FG{tln{26XzC2u^K3-RXMk|C2_3+n&FIbyO5_ACyO_n) zY%4GWcAM2na@z(|w#Rm4djMprYBWBd>dvV_+wv(t&JJ|@02u;a-Gv893y@p`4w6FU zZoI%UPXz91_kLgqp0}_M;2$;eQ^a%D59+4Ns^KxkSYH_n`=pt z&sDYoOj*MC8V8@a%)-1+1(G5-RWZJf;mRYJjrjy$ynk@GfjD)*cW)xkS(FX;76-ka zMLDa(Hp%`rQq++mlRLOfh@9C7wU)Vyw$FlE8_?-G(uUi}lyT+W;~?u|%9DGagL)TJ z7RC>7!BF4DFl$svnc!r?`5{U_LOOB(oLt9l(Y!JJGP55lJOo{@E6-fZ11@K%|XgJlryIVt%h>e@7v1B z<%Hlv$8u&L(Vb(YsqH70Li9evNCLdYn56h$*hm)wS9>Vw2)V&?bnYifmk>ktq>nwm z(l=_&GitOqWYRarL3|PcLGblr0zo`ey1*B^?Qrm+2$3mTSwfL=Gxk{@6LW5qkDoMx z8EGgd!(c4h!N@Qec$ba=W7Q7EkANe(uK>owrWK4W1|th;10%&??ApOd0gSB>Ma`#d z^huo4+j}uS6Y>$kV|}McZ;?F{>Dz%&1@IajyXW-t_9cXl=-hnDF31TYnKLASKnR?< z%q(f0ATAgN#UACc#4d1chXLmz)^5nHl(OQ&TXfy)NtXx@rZi#f$&~9jNd)3=<>Z8w zSF5XL2YFbLcSf=*8Qv-ZC(v-%A(Jq$Y|!a?(%4GM4voD75S^ZkJ@iP)GJix*DV;}%tbsSUB{xZrz zFqSDC%J3fX&*()N<>@pIHv{{0@$n%H;1URPU>E2{>?ac61TmwVzNDMTO=|*|J##C; zhe4#3AzT9C+^lE=9n8;Uv)fNZ!H5!Rz}sv@=wy_Fs1P`Y+=3_{<0)J?YKCLplJ?=+08g296^?!AE~4mxWn^+v8G%T$WMJec>6l)`LG_AN*nn z;md*9t=~DV-?{8pZ(du^N@zl_q;0e$uvwoE?FJuL=B21&8D(Yz7msivISYI1$Ed;y z7o1YEUE{hK1$&8HG?qZu^eRJXUZO}2LQ%`9XpN;1<**D@ET`->m&5PC6%50-L64S0 zGs@8fiKshg71|*YdFrnQl;Fg2f^Q0RyK@Cnt$?#WxF=#67%c&}R%_Aj6|kJ=v3$`5d?I4U_xSAC#T$NCGaXTcv)a`l^OcmX)eg3AAPVk; z6O*#=IosH@F=E1DC+fSBve)~CVeqpsEZ1L=Y9*XP?n0ZPsKsuUHYQ^s#lO%+>f_<> z;R}%WphigM>@8bGnQ`_Z^Hq=+eBk4w^Y8Oo?ng1JC=1R3G?wq5jf)d$rj-gsg4EEdSX3BP zCG{x52lAakr9L7nctS3?0$`j+sTEWZoC|KjpNpuXt4PQdUP8KS;2iOHwzF|-JhBUM z9|c@S-Pch5Ag|@DVdOQa#gg0m4`k~n>czQ=KCgiRy@uxdiCo}hFlsH;6;1|c;m=JZ zp+$jk$;Md+4Y`f{*HM9-J8056%E9q2JHQOB6u9`f5=ISe)B_bW;~3D04zGiX@1ghW zDAMpg!=G@wR5{(GgzN!wUk_Im57Dso(2PHEGwjsGgzym;)vl*(xsSQ1VLf2_go{3{ zr^20{{#%XDzOT{uITwxDKvCQmT(oin<-&i-oeVrP(Fa}h7g-{mjg&Eue#J$fH-JRk zgli;)ubHO@nC>=i{-7c5DYRG8rJ_J$H3EnMWek#gg{ z=c2(IDKG8^E}Fd&1on?yRI`zyoId><+|S>`b^XFc+EtXZ6#U$`Ty6ZQcyKIdgP& zGv&;+;Gx7|k&~X~H_Sv<$Yl%UutvSMP_BA5Jmx&r1tzlC4&`m3JT-+pHzJY*KlyS| z4~__Z+Cp{Lu!p!{2PEGLza8Osv=b`aO7-D7^N>75L=Z6G+y(8q0*t+_Yu-W)h*PGdw@9|(fz>fno{+hL9OL;bhI zuEQT?<4{*rz8#oKV0#fpObu!+(w&F8hlxaH!O(HwDly8(UkXBO2#>jiv zkHU$ z&deXd7U3r{qf9uCEbAe1uuNiU3-7eSOKaMwYg* z7Na)NMK7bRQ6RbTGg%BJS?J&|l$X&Y9t@HXy9ZGur4WIW(U)IfR^*_7Utw1{1ttCp zLhn@cB~s)LlF6Z8fg4XlH-Dwv45xRlMxsQfyC^S?3PtP!PBjBf+XYKVKH9j85j~{6 zq0eWcJG)?#79zdfl-2MewxtZWW5{S0(@%t9afbv_MH%Rmd6=}5ROM%sHQ*WpW` z6XDiZPzI#U+{62agb{j(9U$0h0End+1Z+>*6ah?@#kNU&CV-^tOxlwQP>|S_SLcxE54R z=&i>%goG`|!k%j-y4(j=%#G+%ACa9_6WoK3ui^ zhYr`Gx+&aGl@BRS<#K`A9-)J@0joC&D`)r=Dy@ci%f`#&`oxFF#kXlv3ay4n#tVgk z;AAie;*v8aXS4seXMi74U(e|DNuHn@Et3UMzCv%QXG{{PmiY@M{sEM~o;CxyM}K&O zk_KX-cvaKVj@4~)% z+Wn&2O=1|(ogsb)5Ug>(jf_-=QS2Dj4v_ljscG`Hv~>{2T(*;#&_(eFD5?dfIVup`Aefus{60ze7<1n#&z%KuR$HBUy;8YUDqJ=tut>M3@`B zCZ^&c#3(|efmY)>;`k$gls{0FAB&Qb#yfLjDfJKakC0%Xu=JkTBI6N94izfiuPx1UfV^#pTn%mAcx0L%|bD?`9_1;}8Q zc1G}f%KvVpL75y#r4^uz8E62gY>U8i3m|qj1^H2Z+ivMW+jj}&`wx83h?7c5M^M&0<_Z#Q7R3fBtogQ!wmERj?}^7Edv)+j{ggb zqm@4Xly?W>gGPfPe}_5E(hexY;zuspvHTH=QVGM-+EDZj@bSkiu1{i4YcKl1K#LU_ z=~!dD-HhY^g4m&v(g1ImQT%Rs^znfO_8X4gc*4L)x1lHtkidM2@Bz#_{OJs_Guy#gC9)3V z;0{MvlXed3F5rzkmjUZ=2P000=fxSz8#hI#?C^ zcZ3MP|9zxDJZ#G&l>jwSo^nMSeS*~!aO1YHj9GHxqdNEOzeD^6P>9zspEi{6LJbQB zCN72%!JGuY8cJ>Kba+H+8xsEs$8Qj&J`6dw&1z`?On^>|NQULXf!~1PUq3=os)TL> zYHAa#Y3MeLb88q@ZVCuKG%}(Sef|id5Qs@iwXIno4`Pw6Qy5STZ4-WlCM>RM%q&%!)$)6F_Eqf>Z!JsdY$T6F@MwK`W@&^0#<~OJSFz~;N2SR}OZD9kk4Ip+7!}+OC?=m+vwIVfJ-#u!!e%-Dh z?hwl_a5PX?In>v>(tz$M68mEnm}2J6S4{AauxB6I$9Q6TA--U=IF2suqs+}t!V98N z@Yt;?CYo?M#lwoK5&go$%LdWbX7%l9_{{J$`{tb3pXf*rmqb-mSU)jV z!i`MMCd@&N0AJ$ZxKM2P6E-$;Tx1yGX^IvW(jNNe`23xHyMpkw;GzT$>CJVqMBF0U z8IG6TifA7ts0%PE)BOg*yER6(>=}MC^Q0lD(+~nXHrddVey1hV#fN^io|8+jJfE*caV;)#6FkYbu7u?_&f=k#m+(yd>A z_A3lPD~oA6xbr_)Obczg;X9Hf!UQh*@u^kE0kZ0j$c40poFB|S3at*;{)8Zexl}21 z2u*`=HVnnZIq9_&Z7_Vm_xg3fC2y^ib2EX&?F}o)OBd7vTti&!%=5H);`cA zj*Hs_Jsh458gEP|3*zAgVf+9b9wSBxfFUA>P(MO{0E;9}0sPblLLEAcDganU`4J|A z+2oGhWI6O>+;16t|CbQBm@g6138!*!@r2ebq5uLU2 zwo`iij5O!b5!fgc?uQB)(@jHA2Iw@D>S@q+qxA0vjj+bHqHrQC2A!OO@#)~tK1Y=f z9?U?wfw3RO{6a4SuFqLxId8%c)CO3A5Pwzd zvlE1K9?B}Ao#0@9MG0-8pU)y@kIh2d3*e^Eige*R7or!Cr$-S6Go{11u1ztvuElfM z0n~;I;=-dMRN$K144fe5E{$GB0^MzXf6cN3oFvb!URm5m$LdG5?N7P zXk27>!np(|&znz+)IGq+c_~UNr=6ALLimX*Vf(KDnvyj!6O4*fq3KEK>7bv#2r{&4 zgt{-rzrm`Lp^DfzCa*X)A0B;TR29;4SmQ=85pgbK%}b|r&f7m9ZgH5tvIkok3BmJ3 zT-4KsbRV=7>_r(3Y&f7Eo2i2TVN6+Mk`hx>3A1IaL22iz35(?&sJ;!O5V-u2tzc&c zYM4u#pz)=&nd?fx9uE};!OjlCWEC#nnIm|vM%zkh4~+`wV#_t?ZYgc9E?x&^QKSti zQnE-9qV?_l@?S7E-|!!Mi_oovc;?|fKfiq_Xq!KkAKgV^z(Kul)`F%ptr$4#HQIF<|5LRB&}+ha3Pp>rGQUye6tOH>w%n( zaF?M+dZfLv!BKX^z}J3gbbLPHe2j~11*C(q;c<2%LPAtrJa9e93AAGo?F9<5jf-Fs z)+7F6S_BHR?u%(Z?pZGCVn%uj9M5$uh5DL-eyGO&b`P*E6l*u=Mop4Fe7DL z*WXdkCA14D#!grQkglM`OK3Nx=^vQV@ffzRO2A=EuC~LCg{{B46xM2;YpuN3o9J@= zyJSB|*SN_AYa6p$ZA(gr9xo>Nw_BO)9k#Pg@3PqpT9=+y&h5~E`j*l5mW}MRXqOZC z_gG~vr~9a+jCSxge83{`jcq<;OSCRchJSWSml5K}pz%d}SeiY-3Exj^f_lb9`yEMH z_vdZ%m@s?sA7`xkOCUV&S8Q$HlRX%NBHBs-${MZqU*3dAGpa(gyAo70fSd_FC}iNT z@n0;09VW9*3kwupJT`m(Ej6xHXEqnl`IdNpzAeaU& zdPu$uI6Y`=y4d5Oo7@aOW zpnOI@-V{<;XAkOTkis{IdtE$O7ObqVZpl+yMtE4EXUk~!o}je>Y5;|;rkG>X0D@{{ zjtbNsYyk}{T-veMtm;C5Wk#~Nbt0AigjmEwt{$WZ*MWxydXOR)NA@b29oW=#M({Co zX3Mrxxs2%I!b593NMq3T;H;pXoIUXMc@l0nFcU)G6KBtE3-ZMbqJ)(MMX#VO4W;aT zHebemT_}{Z0wi-Ev}OhEZtllcWj`n^27H9X_6zSLk0o4a9*SH-J6I5={%pEer*xA5 zM3&RWmR%X;7=jNcQ!&4%tKBNq@6Zj&PGrn=wmtUEeONV znaA~f2!{w1xRSOv?7^n>}X+91i|j7)@Bc3VcHGl;n8MZHk8lC?P?E-LkAIhphv{J5UnrKBUV?cH}z&=7ZJ=)3)SR zJVv3w)wHd049qU(iC+i&&p^~YYeRF`hRUX(<(0HlYbqNQ=b|f>v?q5O4;h${R!Y0+ zJa&83YH$RWQj~oeU*g%ruV~HgG)=6D5uS|K9cxR#61#VXo@vjjb@qJ>IOZDHFc@hyn+4uc&(YKxJE7sUC6!Q;%JAgcu4^4+(2i-Fn_^|? zpjoU}BmM?9*{708ZeMeu^HewoT|@(qhl6kxW1!Y{P61p6WP9U{ymr}X4(r;eOBD-; zJ2!`M6%NCY>2Z_0S#?#&&ehf;>=+6wxw(fZN}}o6`5?N1324I%x0R>+Rmcs zSd9WJXa{jkYom5BFuWrq3voY;1gn^FlL-BtY$v3rCd1p1gyk=&q=L4v1|=Qr`GAcr zbarx9Vb3^VId8Lzhju&@T49|XR*C>#10DeOpqCZ22RJ*hT0>hn?PED*zkc#Q1L1E- zbZ_X~-&*J6eiolT2T(#!vbQDwAR9I~!~;FOq!h40oU2Gt5LSoL;Wf0SRxP`1Sk$40 zo}{_>2yamzn!T2mIG%3Jdxrg*oCUy_{Tq$974Q*csyN>IqejP0Y?d7NIXo>MnrYg3fux-d8oNpn|^|TXtyOr%? zqZUv}XIC?3SAhwUAn%~8^#JBBTCyHm-hlS5r|q2@*&a2x2Ziww11>BHA@uIE>s61q zm^ecC0C6_Zc7_kX#bI4M@t;V#f%Y_b^eq}dfv|%v`V! zu73Ta#mqzOv5Ditz&L>D;))vQsDbALBwW(X+R+{Fk1|zaf5HL|D&Zw{xwwalb$kZy z(6Hk(!bZ$wHS}uFsxE>3gq0NCDi5>-^;`@{*SkH{$_Lr44745l&OA<#@WXlrX?%GkMkK7R=0amq$Z z`&E7l4067fYamSI5X3~S93q%c5FWxSLN`Yb$C<7Yw=lOsZkcY`Zn@x&$N3J|0lWc4 z;m&dVz<~yk_oN*sx39a4+g_+;r^Xs#EV> z`%PL}_tM@6Ud(-6b~{i_+a4wZ^T!c!dKxvCy#;Rz4k+|3mo!ulY|=Sx&_XBF_iNIm z6Ao&jiEp_-bL6PuEmsU5{dZiI+I62OIa>UVo2qQ<;z)rd5o}Em*Mv8O=gEs?qyFG@u9`U%~@yLVy_a%g_ zJjI?-p6tIvTyJ;{@k-))9Yd$%8tx#t?sD$i=qeVz@Tmpos2e(}^3 zTZ`SrQZYf8C!p8_o=MrqE=Fa4o5brp5;v(sQ}f~vJVPV?Hd%u>{j|RB`8uE*M_Tz8 zms>fzo3=swv{F|Gy0_3keU@}HOXO>^XA3%fIK073(;N1uj3EzUrQJRYIyo=|*-uBO zH8$1D`pJHKBVq;8oJpj)aFoqC>jXpD}MICB4gk(b_b|cOFL>g-e5tj>dbgiy(q6 z^)xd_j!p9ti}P-)-6Z%z!ZA+6h0BSIiC1$LhxbztjkS-8?yspO0=2oX0)1ftp_uk| zZq0(E5Hrt~4{P`#2K32t!-m@7y2SmnmR$-OuU~U&yeZh~_#k*XpL5Nu$izm?MU-ha zqov}0k+LA~5AK)9v2`blI9`#aqm(5Fr{ymlr7tJC7x`&_P^fkNZAtuWftK7;)++gX%^3t5e+HHrPyPXjZICS1u|f zQ@Xxwuxies2k*b!vwIcs@uFw5f^t*~5(QtrzfY(B$hg3JO}wWwy36^)OR4> z?9_MWJ$5(O zu3KHE<>Px)H@Dp3$@7Aw^CaKzaj?76p+8p{!ka%rf1|q1G+MXO@Y;~edG6dhNhLRG zo%eWM?~-h`ZOzT5FdN?RS40SX%>TlZTJ^Nm!(Hxl0Rvb+!j z%h=HdZyPjcw2YP4hSt}1yJ8h>yKwlyVMV<)8eUm^tkP8erkb1L^JWIITK^2UrC8Kc zn!;`5ndXkTGV@P@bC(ttEy|n9iS9i@=?tO_&7Zu#zIM*yqH;-Y!=#{S&YL`b?#b@7 zM$!2-Ud{yP3#mWYYx9@0eSKrD8wf~Ve9j?{Ifst~=hpD5^>+PTQM~g&3x_wzBI)qf zyd0XdQ+Rj^=h+9fsy-|3rRt|CuM02N*F|t*oQVflEs`!jb-6tDg94n@nro>CDPuHJ zXI;L|&vC3fGq2@Sxy7~z4V)UgjfD1RTF>j4(c1=Ft7fG{^SAun%0%MoVx1lL9yKfM zv&KK4YtZ<5KvkdppMy1qN?%zZEVG{S-B7Y+c0L&JHk;mqicn!X|qD~Jmbv_ z+XMciUMAd$CO*8c<-D6l6Fx61eok~Y@V+KIki{$fWZP`0bvSoW-jeh<;_{umGs@i1 z?u2~tfpX8!PZKoL=a4VnNxF@cH7kaEycoW1vB_Y$)kVt>1~RHg&^z9lV*6o*KV`ii zS#ZdG$9F#uxct}BN~K}5f;T<(Ksgy{uSQ?Mz70d0`QU>DiKI1)tz*5JGK+>80#>FbVu@ zRaTgDcmFK$)U0eFxv1}3%TSPR4pt8A#@XXJL2_BGGFzgydxpeQ9hI6InA630t%LhK zykR2e;FT~(6&D{C86)m7Dl0AvJk^E3enqUv%7B%TKaaUoC2UH#=*5>TGj#DwmYT)< zj_W7EU(lLoA(O{Sxu|0@2C@X7p~Jm!8LU+JKo?eAgt1uK8V`4EOuURxhx;|cYz16Q z!0Fi(6>}sPAx^=Xx`cW;F0+!Y%#yiDgS&bKgEHtQj+GFG+gN~JZRv*FJEmhK;Kqy*)#1_%`vx}K$^AA=8$F98YL(}^IqdPA zBN@cO3!%n8w+@yl|JikLk@BBi2OX6E>^k^W`OmI{&dPsw9gI=_v+H1i@}FG?bCv(> zI%uf;ht@$&%<~d66njeNN@}^vMEIFEn0x&mPbehT@qAtO}#BsEWB!l^iyx?;nX{$4 ztGdDIOD|j>t}fEPI90*zrbar@<6bF6J@)7)Y0kMlKfPtyi&w_o_TL+`Cot;kzr6D- z9`@DDJt29t^yIleDcwN#uiD^RI)A=kVqhRxaW~dq<|}3;k^`$|Xb+w+@y!FRr}vr$ zEwDAPvadUOCdR-pPdlYs#_EMy4UJn5n0sx}uPkjm;QfYP-D|kG+pi(79sJABo5qDG zhtJfm-&Eo3tUq8{=7XM(ZWihM^f_U$(}5a6piI%EZRFp0^M2!`2@R>&j#r1zE8Kf! zmUz2#)4GL~B{3R0M?#ASmYtZESTA^aZ$a>2-ANB=E03I}hKi&eb1!Ym%xL`0pdipd z-&FT@wfxrIeOrg7`Q7tS9ecL@(P~$L#^+Cp5y{kOo6nzCe*Uyd*Q}t>W9E(%rbS-i zzxhhv&zkx)$?w%%U%%j@rnSc^<6Ud3;vc;W);f5Mo}5(jp}F~^WYrPP?fHg|eGICu z6ze|@u;rMoSMTRIEk!VB$h!Iq%?9htTZFc{Cc%4p%$Mxbt*nx8E4RbnNj}`lDL(w& zwn0-w%1PY%EKGX#BJ-4Oj&WlXGBzCy8&$Ek;U3Q*JUTJ+28WDHPD{1(PrP*1yev4b zn72@B6P&}{dissWg~)2B%Pa1rJyAWdEB!sRSl>auz%p!;iNk=!Nl_KOX4D#L{5|sM zqMjwP0sTyMj~4XjSJP82-kNgwNYCM(BaK7$4%bk+$P#M26mf;i%N{Iv8YbH}{ONFC z?+FgK)}k%uK&1zg8tbC6U9$TP&r>TXHWeH_dNkot{_I2AHUXas!se6Qqf0pT#QdZc z^Uj^9ynoO7SEKmTb%&+qci%#ZxZww@uugyflWly$BM$~PjWf^=MOC4dp7c#C91%ADy6++ zpl}Med(W1sgK{Fu(BYEl0?)vvq1LO?g3Styt1p~VYf7l#mOXzXKYGsa{kj2K}K$Iqv1kLgBD zJ-QF0ab$*!7XWjy+kAb-QOYpcr0>L{wO zyGCb?wav>ei}DrIt)lD~DOCX*7LrT$-z__RDx};tkzU- zRu#RO-D8m++J3xwvTLqFH|a4k({>QQ`=1F%?+COfoLO}4$a>E3w_TK+qwg95Qx|O_ zY*roG%c+0WV6d{=OWLEwdHc|%{)fwTv&a86Q>}Ev^owrwoAx^8JgooM9$Ak?g?G+t zRvEq^#}1yzQS`~_DHswH7_Md8Tk$@{GiQ9U4w|#^@J933^v7F2*HJFc@7`wK6t7wv ze`Ijh#{|c{-tzS^lzMp*FfM&fO{Jc3`NYteBbH)_mx+;geVb99?o`aqqYwO|`h%XRjtR6DMLByUX6`C}%hIQ|!A^Y&V2N9T4c zmk+VtHwaz)!or zZtw16e2={u`h$<&Zw!8Ja7Vz^d^uZlqpgNlY?|)qdy)IDST-r#F4W~I9C8fQW2~EJ zX-1`LziBeh%l(xjHU2t_@QyFhrW2BkGeo(0+RyHqa(=txU8Sk>;hMg3is7XbPhQT_ z*$6Kv)IGVE2ODy;uhUC(f-YMu8)luhIiQdC{fV_N?dyFW35ogsyqq;QZL~>Ql2#()OV#%Io`+6%@s!Vc>Mtn+l_kG;_%Wy4K zP{=9oy%}b8)ko`(+fK8-%9AV2$p^zv+xM})ng3j~NLVDiN(AZk7082#Fuft8uB$s; zv@fnNHTWa9dDE*^@!18M&&0!yU$w3?6+IQa_czb1tF3z0l;AvY*#hFg*%iWM{g9}< zr_n$8Cww(tq!Z%t@KJ(E*83FWb#-|o)h>4ldGN*fbw3ZoRoa|-amCkdsv_mV)RggR z28+nKW-~lyE-_0Gy_WC;3Wly+vB<+TZ)lHNv!ayw!a%j$z!FB7LF#H9;O z6@z(QN{eC(%_rpSRV%g$={|vHm`xj2EO?O+Y&TAWQr2nSGHR*PPO1xzFPR;nkY|^w zTOSTqKXb3*R7^bQOYrL6ytnJSD`P|7)sRK^36=fT%X2sLU)N6Qnrp6g{r<6G(_a!) zbDr&yD{>q9t!3b^yyL-z!`-+V^M{SO7i@9axTj~|i0d0K1|Q1RF8Qj#ojgTiU>}t$ z)Ld6r`?z*+CvRP%mmRMr_FZH(xQD2W6>K)U%JU@1|@>0)Z zcLeGm)#&k!VLs~bPaQgx!Sk|oZ63K=m-n`Uyo!izfkTa}>(3mRt66`l@T_3J?gz8L zTYbz%&78*3+_QAehih+_%;-5$(K5yEP0bY^&rV-BsXr$>SR*uho-ncTRH9*Ze%@cl z#y2#GybdK!oc2a@0Wov>66Y^R=)f#BoxzjQHoqm$|yDuiC@9A37IzTb?z_p$2l55Cr^B9Au)YgKC#=ZCwg{q@$suB$Rw zk$Cp@^B0G`U4$)<7B<;626s_bjtP8daA#@B)^Qiaitubb@A$DLr?;S7-}%G+tb6?C zzhuDke&c%B+Ss}fJO8-fa^dAQose-e_uM-)CF7RE&Z`qXzpn@}9;AP__Tg(G@#uP9 z4xbo!D%kdmFLCp=L^EAkN*vtZV!rQ;*}qiE4;e2LAMnzqo>Gg*RKS4wmJxovsT zV=T`MR$BVaS>KTR_E%H0OBeqR`~1ShZYw9VzjaVySVL2S-GoHDsdI)C={_8eLZjix z&2?Ij8*YtIjH%D#_}!~fzjSHLr+h@;S6Y%Ui@$C(m+gLBb#UFbI-Ab{e}DZ&<4}BNh z20_s95B|Byd7?CvD(+%)H;?#wvp#R? z=LhQiyycv0hIs9U?MpH|w|1k!MV^qfpvip4#eON^BWvQ!4Pvi=ArmIjS2%|}1`A7c zuV}XDc`N&k`lGS08rkLE(Sil{Nxk&hn-h2S5Vx$YbclCLIusc2dgR(EIR*yHCejH5 zFKj!3*W{IHUK;wVwY2W%AZ|=M~LFiBIFzqv0kJULTs4F#oR}rbE*Y^KD;> z{VvSZsz32D>SubGC6q78nIC%5wpuRXaCc}8F4I+AxGq4eW{q>+gFf7Y^9^er}T}dgYO!UAuO* z-;f(NqQcI|`7PIP*_^c}_8!A4C?ji)9Iucm#%AYDP(R&IL#QN#6*D*7Fjq?$wdvy8 zh6Oc$a(Ir(JH|e5QrF~{e&y1zj2cRsj%d0ss0h|S!gVROFP%5QzU%8P**DA6)98?E zy{vcXjUQ&(cgCBHDaM2M4)|$j_`W%#j-J`P_u+}#{uAB~Ub5o8i*!Y?)4iRS1{5Z( zNjgKEdVP0B)02}6c|TVu%`#p-+@6r~lze_yYs69FeBeFtapywyi^obAm2F*RTOt}c z+Fx;M+AhWEF9O~Cp}W*$X2=iyG`sTAM)mcU^pyoY0`tdMZl1?qB`(>~yZP4D=Z#r0 zD&0r@6n!sL9*)xC@b9gd*G23VLgb(6*Kc!};HTyL#g-RN2TvwfHru@zq%0_yS~>E% z;lrDLfAiLLZ3>v8eL(+}fb-Gj*pS7WF5G!IIc8PKRrf<_cQgl<63-r|D!OOAYf(4OOHw|;|s-P9N7^G4551y{uOSbZcf@z}5ScjvfF zZanq=U4!lAspnpkU+pg}cyj99<$amTBTlcTyiGqA`9g0%`ldtg?Usc`@AQ84%j|21 z=0%LT>F!s4cX7^5vs-tvri}cw;_}VfDTfy5R^*$`AMJjA{$%&x=NGwOt+DS>e&@=R zKCgOgy{k2&uUq=!V7Xt9^LB2l&#r5fKRnt{yUAx=k-TW}TJT%=uh%;??&#`m8lJW* z+$#5u(#0sLPX6)NEXy24*E7{m4am_yCuh@Yx6WqV|Fl@AYW{&<&sU7@*W8qUYu5su zpBHL%`z5Pq_r?l?zpCfv-y;J0*oK)sBSo4EI3M|^^6%HRTpXPB!9iZNC3JeRt#<8$ z{%fv1c6-N&2&gbg@q9;h?;A=&b&}yr+M~!pb?KP(+U?T5;o{6)iiXfL=}nYGjVURD)^fX0n=y;f-hk9#vp&3e$pRaz#~clNTHSKMp98E^VwlJoW;sa0&El!aT($}s+W z--u%y2CfyPJU{&Wc=b9pm)eUiNBDeUpn)2(Ce|fBTc|ywaFnj{lY#E5>8na5R$I0l zQEO5K{8o`Cl-PUt@F$sgo2MxrKMOOm;Len=z#wsfNUAnFx`(i@OZ?Az zrbRs}q~=khb-EOuSKL$Vy=CjAKmYs#L#+bQ#bfuh9A@_+7oK|1tS%~!TGOxU%2Pe3 zIsI+K^J6Mx=5tRNyUtbK;w0}Fyw!Jz&8pf56a34?1FcrpJ$RjSAZUc$>RT>GI%*+n zBzr@;n*3yM8#m{eQL)3$aR1DJP}hZLk~8)N9-1;beB|rPW-k5R`u{v{z{Y`9K9+q( z&*@)zHDzMJu(bIDH(q^Zq+58@uO1o zq#s)EZ&TtNx9nD9*1loe{f4Z()9^N@+bXYtD{eQweLw9`_YtcJFMNGk(rBsJdQRcv6QbYul;jOK_F$x6`RiipZvFhZJbfpwV^Gr7 z^86EF#rNyvbf&G;*ZTN^`wxoeEPd?aubdtJ#lZ{}MEcpxbvmEcb77y8yiLCc9Wpe( zli|BGx>UGAZ-L0_+_hO@BJ&V~8BwOWdAUDdp7DJAO}>q)w7cE>i%;Xnsc$~KTSJsA zeDAKAP#*0}-cUVK=`G;iPm7b@Pn20w7JX^x#y;H{ifA13>> zICzS&{(F~TOMhdp30l#QqrCNI+!1Srx#!!NaF@PGkb7zHes8$e?S6uEkA=y$k^bg8 z49Vvk&YU?rt#;Qi-SQ;jbkJ<~#7D-LOE9#SeLlBAw!}nIF=+EdUgzD*(c(c#69JA6!g=~0GsHOnJ48>HTP;ac;;<3 zRsH?@3FCPmRzx{n=*6kqs5vlo%025#<8612QA;vESt!i@>-dDmyx&d@X=xH%TO~@K z<<}fIJXlY?>0+RZNp6*9A+PcBao+2khG3^vi`6{M-z8mnIoX>tt3>Ven4-yFrY&oE ztb4zqwyXD^v-^X3Ycuz0sbfd}LwY6u|30lhclAH0SMvYw)BgW`+CQmR@{ir8>0zD+ zfA{GAzxM9@FRH4K1Nbu|GYrh2WNJL>^9U}O-vWkVQdHv3!nurLmYL;}3W}LoBCWP5 zxO`13LQPMZxnyBxskDt)=3bVl;F`JNf?=hCf>=pDpL^$8e?mVz{_uXm>)dp`ffoBE$2NxZe(!f zuj%!s;LJ3oOQ+=QD0Z>6bJJ|Jv7Ah<*d_PR#sQ+;39N?4T3m5P($aM+l3@Sj}uTt=+SKB8Ep zWrq^&aHV!n(2h;Rp-oPFYa*_Bs+BNVxmKj%WccP~BHa?Y*1t?-+q4#Nqg#4^P}|E| z;jzlYWun033_i3@;52~&x!zVm?|Pw{P(UO-?Hpcgl6= zm9Da2L?B#VC>PU=fF|w~z4d@DD4n&wS!MP~VX@=DDs7nck+`r^jFWkny(DYf`XXh~ zE@6}F4|;BI5f$l5!BMo{3><5x4O!(bk!p6jWdAy?tua1_?7dsqiwJIR7RKD%7ATfAs?Cd&;MQMuw1C0dTG5M@S`v6Z1=%WqKiC@pek zrFd40+cU-6S=L?^5i)6y$dg?fl-uNYdqkR1ap_geV)QQ2N-BFrFQahMUeQCVn;a9+ zTZ?d{xN*|m^={Pe6{!{{PQP=?#AR7=p`HxcC+u2yjy!yUf!4PuoH*-BajOX#dPkhc zP#&G!H(c)CCwhlzW%CL%<79SyAWEj~7o{@)xF=pt*y#(GZ4Zb{%wv8&s$d>%vgm+# z${Lz_=^ZBU6#|&_ASQjB*$8>bq0lrPJtRikLwDi{$!>Y#0e?HagZ3V&e@|IylY+Q+ ziRiE%z675<*Q9g{ErfGFit&1Ia>QZrSm?LihefKsY^42&NYe*3!WSBt;4?==Gt>29 zJ1Tm~oK}7;qS2W)`O{I6fnL<5XBfR0bWG%t>eO`1wC*0Fb)|&g+%3eSk1(mLBi!P93%3$r#F4K?CXj9d0uF-D6azX;n~iy>(KSrj$X z?wE5eCS=QBAU*DFxjD2te5w+MdrwE6fNyecY@oGxU|qZ)QQiuR1)f@DlLw37Pm%PL(d%cP%tT4|K#)iu>7=#1)7lNgF=MDwN<7`Texk%hi!5+_4byYNr(h|!CPYslz# zlzNin#A{-T*51`?VzhDb!p=|@xq03KwTDqw&>m%2V=W@w-BXN2-@>GpXjOwIbrBvO zHym37fmmgMS=|(+O^vpaQ}79uDEsGmVr1=M;Rrs_OxL@hYJPCW#Ijk=(SXG-5Okt7_tKxHXZmv}g7==|<^^#U`f4GYE74+ED zi`Tbuk4RO|`m4GidwT4uT`r4MukRl3S?r$ZYf9w&#;k}4m)jfKh_anseb;DuQ@pXK zBi!De2|e{i*E9yb)d%=C2O1pJ6(1Pc4plX(taPY)Zi()N`1RXbMyXl?Su<8kAa@3= zvN$d?Oiqtd6)kFSZ``LR)Vip@8Y%6KeqR4Xw95(2)$kcHQxxz7W2Sg(mX&n%nM&f} zR$pXEit)SKS6|Z2k21fB$B<1(-5YiOb;;)V;ashf+?FRhiN3!D5hMd(M%6BjbVC(X)M#DOyigy zV|tuvJX0Ri1g3nZCzzgOdWz|3re~O*WtzzJ9MkhmFEG8x^b*q~rpZhNOodESn5HsK zW17yyOf#5XW}3+~i|G}nSD9X8Dq?z_shDXt(;TL`Om8sFW17z-ncif2i)jJV+e{0Y z-eFqAw3ulL(^95)nU*oV$Mil^3Da_>513XkeaN(u=_96(nN~5aW?I8k%Jd1-r%azQ zea`d+Q;=ybQyJ4brZ1VkVp`AiHPZ&BjZB-EHZyHu+RC(z=^LhRnYJ^1$MikZ4yJOZ zolLu!b~9BlRWj{i+RL<$X+P5erh`mBFdbt0k?Ang5vHR|$C!>YRWY4lI>~g3=`>R{ z(;23pm};2LGX2c-3)8Pm=a|kjU0|wZs$;sybcv~+se$P-QzO$Arr(%;XZnNbDpM2F zpG?ea}D)fY2&>Pa= zPDlp@Jm3W%_#p!XsE`Q(=mU2_7W9R?p>_daS2#keCVH`XLkHdJ#g9(riPr#G#6g&;jz_TzBo`dJ%1$Yr& zf=MtL3ZM|Cz*Lw9(}7_IybLp87Q6zl!fQ|juR}4+hB+`7-hg>9A0)g9Z@~h18y3Pl zum~2z5?BiF!ZLUd-iH!c4j;e@_z+gYNANMMg4M7FO5qdu6h4E`;R^`DS}22c@Fjc& z>)~tI02^TwY=$kc6}G`Q@GWeI@8Emb0p+k0cEN6_fJ)c{dto2!hXZgBet<*pBOHb! za1@Tgaj1e5a1u_zX{d%X@DtR)S@;=#fnVVqoQDfg3w3Z2E{O_pVxA*;h-~VLpJ@=gRoacGY-9G2eOmw;_oo-s9jKMH;QPNBp z0}~dl00OxL^aFTa>4{NVA`rkI4GQSnntH6=XyoeQ>;VL?Ck6luC_?iMGkN`BUSMWp zlxTv6e5B#L1_e4C)@+z>@p~b09zXz~=Bha2Y~-8$FWxw!x3C(-|Jx9Ry# z^^xw8UjNe#NMIl=03!d{D{~uGdW8_`pN7-9#=~RX-~A9!7y$6|?SJ)ybdwG}!Bn1O zVZCoR2qi+oeZ;XoVy-=-p6QL(L1Br^Sp0rkFEM8k`!*eyBMoDT^x0TZh6D$Z7$Te; ziAr_iMLxQT@RbcI2XJx#3$XF3kkiOYt48V0YVQPuOs2jv|sy|AWxkXfmwp^#sOozU> zXs*?dz^;jvWC`6QyeK7d72@LwpV{k*&2gGo&-NU924Yd8|CVK%4E#5UOTFq8ZiHB9rPyv65*)o*(j? z=L`eD`f-z>sE6XC;U`*bfGmpoiTpC8>)A16P|R;)TC@+uOhR=kIuoug8YN~*3Pkm4 zs$g>e>Vm6<^!%qeGA1<(3&t3cjb^kE;TAzi0v0~5Re~RDA-0SWxgV%GVioPgazb@u z4i{H0S>5=Ck8L81no*HlXRItJY9U^%pDk+Dy7+9i2;wrYife2TghI}kW+D~Y*DUP( zUN+-mk=^`Bfy$b_Nmz-zVx_2sJfa@0ky?V%v&jUQF&fTo@>I82*tP3`xgDg=PJOL)tQPfBjL`R`PKXbXnBDom3SoumlomiPl zeVtfYSVE_Os{-?wc*1fTPaE0HlZhkb$;L@4_{+q~q@o68+4NW?_=k20E(Z^fYt!|9 z$!LK*uNTe{$ijHKsK6qbgAr^IuH7WS3OZbKGiu&KMBy@L<}Vj3m8;Ab!WSUhx-N76 z^0Cr+Du}G4q*TBHH>FUp0+U0KGmy}6nTu6GhJvgVscb+&;s)q9alcX(Zpjdp_>dXi zNhuY(Qwf%2LXs--wmKL+oPH_kt*7(qSF3y0davtQw`{%H?WK|pC|Z$Fcdor2t)P<5 zsf^-O#&9a*IF-qqkr9~rtVElI6)|$?i06J2Nks|I?*Izy#0@AB9(3G|LZruzMNS=5 zwvHK<1to3lU^sRl!GdC~;h<;mjZQp!h~bN9nw>is&K-D>%2S+FXs9xQqstf3W#Qm$ z9q}~ocYLlLU#Q5T16FC%L7e#>$Gg_;93y?JsBl+j>KNOA`w`h>2vVS5nZ$9$w}~)(I^bn(NT^C= z8kCMApAIA@?9j$SXTafY@q};glnw+X~4WyDI*~I-h=F`DNkNI|(Ax>jd)=bi- zjs`AvY!macJW*kD2QntuLzGW;dLcYJmXMj(qTmQ63DKdNp4JI1!LM6H`Afr(V~Gn#wy@q&8V4xP&|tC z;4{Xpa%lG%Yir<+QuJn61%GSD+YmRzFt0<5g#vH8b|1b#Kd(fLdNYWYD~jY(Fyh8H z3doTez*>LWftqK{0*Df>>!dK>DG=A(=_(p)kF{XWT}PpPS!A#_aM9&s?VCQf7gSEP6aSolj!Zlh$l%oPM&9BXgjc3pLdkFm*hVCZ!5 z#a-sE-E?SJ?eKdDzDf%{^}CW*bTuSx>`L0*m9)PriQbiGoow2bOzlcO+Le5vi|Xdw zA;MQ?L&#}Vy+o5>~Q;)Qj|WVmkzn2I&V2C zE6hU}A3V|#!yv?j)zMz%xW?Vl^11g`M|SX8zQtVAlbHYu|Cr2y- z5VB^)pkmSNyGXX#iY{{$7C`RZLtJct5?`Eh6P!jha3=<#K{WjXavv`wn-tmpiBo~Z zLGWhtFa9WWFe!5UW8xwv!$c19S7>KaWdFCi(8;98`HzAp@JrwzBi{%+X%z9RC@ej; zJ~9K=2f>QTrJ5pl9rQLG&Hayi&h)U2=9giDWlkCl`e3|f$t-)(ytb0*w#}4`otMG9 z2Swm(Vz$6?TF6!hxf5^hIXK z5>YmI7?r3Otutcy88P-5A&RCQMtG!U_0zpZ0lFnZtb=TQI)-(0K1k8193RKJH7`?< z9aoWr!85Sk)rf*eSH4H1tVKh)njoMT?~-ww$zaXX2Nbmxcp*B%QeG6t*kBt!}- z%obIeFUrwJM*VvqZ((lQc^L|3{@6)mZrn*QhO>z!x{Tqf0yh2Pbk%RCbAo_H!&UQf z|GhJ)tQ*X&yNsdN%#>XQGz!~bJ}|dnT%~EX9$y5%2Z5u4m3lZvrjam-an-1j zP|dhtR4G}_$TEtB{D3YS?1mWU1;#w1T&QslVbcI@x?Llei-Y%SvpIB=5@I8#1Pcdh z(+zV_71xYzg4frLxNs9;3Sn8m6@^2z*$-)S5jaYlz5ou^X4e#F8X==^=^>KPuCIs+ z)Et1cG|a&m<_OUx5Pk##e!O6mgE!0(sc1K->@Y~WXvD}iVjIj)ar6B0*M7b-KliNp zjiL+ltIEWAx5|WH-a-P894*1&`TICY|L^wmrxumsH8c~bF2XzsqN0c6H!}*jeP<73y zNxglHzP_ZcO2)HFsvkf~5-0~<@kI~G)^|MwR|!*ekwGXI!XP!sduIM_N7|^sHi6iX zO#ZS7OlH(vh!L#IINHxh>erKCe5@4UJ7csGA8HuIVJX7fby-quVPy5|dc=~x2^dHE zSu)5fu^$;*%YdBj{D_c?9V19Lpg35!aJ15OZVtH`G2Y8DhUG*=6!!D0n0xmKOK<%> zg{(0-HafNo0qP*;={uU6JKFwu<6He>*aXI~OmE`Z#ya@Epl75j=A%|D0;n-aeA9JN*#q>&kPOn-M+$@VQCz|SdP4x z7#B%$7)0YDDGo#3xF~^xlnYr>q%3I?Y+=lIZX|LS2?Xm#5*HgNMWRopE@-qU!67M@ zCea6SFs~`bf?8yn-jpCx5aDLr=*?Y#G+>%E8Pir6BjjaiO!adJpse*W-fY}!{MvZP zI0taQ2Qb7)$>O9e2~rk;lqE^ZAxw*lk&MJicoxttmmq~nkTNAm_y!J|%hzq<_ID%o zIJQ!PMA4HFF3FT3#RmxLmw9n0o-kM>P2vl&h0H!mldhG^kQz()LS}&h$Z*9tSiUfu zWhg}=;MgUb;g3JV8#qGT9@iG50Qluk!CN3Qri~eZ6u|gu%$PGSnw#TG6tJN3xjA7v z(xRb>`w4OS*L_|f#O#jU18bNFs+lPgbLGKhv?&s@owq9vpoI$pbat6Q#CiCS?AfgbIGrMC^xd-OU z8I*~joY@nL&I>|pzsngE3N~Ouhve32Al|Wik)a@~ee>mvxXGV#W*2e)d^xipDQEV> zS|+;GTe}8#agkwC2lsL@gZudSGrI?OBZ@s#ib=*&Pmi^0^tVj~-rjpiR2}NP9h$a% zfutE6_9jj|Rw5<{E_}yDyUsA*U$W6z-t+4ySPtKQha3p-#-7wXx-pwb*G3Kq4aAeL zkt6)tf$7GQ7j^G}eO{+Fv%}3?8<;^X3#fs4ou#S2r6;5(rRQAF#hwQ}l|3G>&0aHl z(t0lReCQeLflJ?%NU`uD6t;ps?8BU9X+ot;<;&(~NTAPD1uFX>QZvmNvOtpXYk{v# zEF@T&#e!RauUaVlnvGncGui=Prr{<)sLzMVxU8V(^ST7xz@4NDT$fXj<@XX2B>`Ud z`g@6P0v#(*!|<~~#JlCPvI1+t&Gy*99BBTcj8+h&0U@TCAm-v6Ev1JPHfFHLg8L(Y z3dgdyAKK@uN23C1f@_tO=4Lz4V zr+`=^OyBH={2&*U18N^81QP&JP=`Ib-RyaM zAY72ea5E~NlG|hEA9OqLiki4k$myA8^D ztRz6$Yk#5aCj@1yjSAgNirjzUq5x$LNC;FEdYBY>{y|8VAwbF^27x26A%wcxq-f0_ zTZKR;EODFXu)VAe1!iR#N`eeSzulu~7fK#{W{T1XFr~c-vvA+z0JH6pH4gvosQLa=O3OnfFp~E3Z zj)sPXAB#ACf<}*wijIkmI~ji}Au)-OoRWI_3^VQQxpc@7H^(HPUeSJ`@+(2yf1uoz z^C>~NwQI#cQ7%&CCC$TxN=&KP}S; zAurhS58tNzm5&Pod7fK-^X(ae+f0OS z&kOkW{6F&Tue(2Co^Ofsd~5z+`vPA5FTQnIRJrm$_;%ks-$n`e)`t1t?Lz*6b@K&I z|HQhSdDeX}&$`xsvF`1EVBG=%&fcA8-NJd+E&7XfuM3Q&e^}S%59`|f&AN`iShrlj zx)uMyx~{)jcawm1DFW6lm}lMF0@l6tzgV~MH|sVSR5ltU6&o>b{9#?s`K0_h2ky+z zy=Z=;sBnH&8TpHK>Hmv$ul;xX->lmyVBJ+tzgRawhc)~!)(!a&)4>my^M9}| zq86TKU8moy>&QH~TMO!Brte;l)aOWATK^GT9sfVzy6hLYF8gP2b^1?mbwI$?@qdG> z%KE>+HJ33~#lFB8ulkL!JLeI$cOGE}|3cUgf?VbNM%Z5|7yh^E55g+`OGRZJ`5R%Q z{|~}O-%i>qf?AMdaLypx;EKUbg9?ONt03I!MP7@17x~W<>rNx`Z(`j!Ppq!S3^#;U zDahM#o>-U76RYVTV$~#NX_4Sne~1+kei5tY-^8l*i&!`PO{~7Zh;`L3Vs#Y|tJ`m4 z4KUtoJZU^;{Ovcfs*;k`NLer`OP!RZF;A?jBqOz7#0rzbU{WUhe~1+}rYaUoF{{XA>e*vrXU%)E; z7qD6ifR(oR7qHH+egUi6{{Ysd|6gF;WHPrzd*#nndK;B?G`V457|1Eev$%YAJC#v6 zhQ>n#dX8v8$<2>*D2!|DEsp7y`06Wk9ooT85i7jwvCYT3`OzPqw*^z8H^F-`K_fesOSv_DY)w%* zFBu%X9}|)FZ0)9owp%-eQg4tRim?jF8;Zt-e}tYLdgP~h=H+&WW^eZf%YdKPG%P6etu-#C%$l+ zw3<(i&{bVhS{3;sb?v!I@97?AXtX@Kf%1ia)KR)FD4!3>*gG#owXMf#?Rc#=fHIFD z=r#Kp9()obQ*?Cr-~;ow`2?%YisJ*?{78RA_l1)@A9^XIl%g-}6)Z zjl;=BaVHCh&i(}m>|S{jxF2@Lunrarv}ScS!# zB<)bhw3Ly1L>GH%Yj^NSv`0#L&}tV)qCu6+sIgm(HGWc3OlPt8g4{AO$4BZn($S9; z(l3aMeT$s+BixHlF%@=kZ*hDllQt-H<$-RJcKg+8y9GM9Oq8ej!iJd1EbM@WFm0J? zwnPFnv~97KsAjB$YMc&BC@E*L#d`T6Tq+}OO0gJYuh*?P1T;=FsvIW zf<;A=FWX+XP6+6l$baPmg1np>aL{Fz*C`mk={32gL-q~O3s_{xY6)Vz;;q(~o z?rGNQD8)gIONEiblS5kPS23U0+@PVHnQe9w9&NS~tu0`RVESr**)krF_u<17=d_oU z8us@3X0Ii*D6nl3hWz?&?ArAlwc8}~cq*L#3R)vB?F8>SFLL4s9kSg6vUaeI_A z^8Q`3@F?}*u2)tT;cF|cY$7m|96PfoDaDnc5oV&1&+8%wK<@x_uvw*}gJwy8eOD2N zxyX~uTsoDXgF!2xpjaWS2JUpp&3kisgj6#|BU1S(R0tVEiM2OkfROnimuk&3C&jHt z`>)=STV>sou^=`4N-8Bjv2V+vV6B+*TOa72Vs)QGQPe*e6$fhDIwz=0!X4LS3`r$I z${LL&LW&)%O)kUXc*iuF?h&8$100NRbKx$bg-o#aGDkS^#b^7k{>`fQfzI}-kG)i_ zvdY5{W>oA;2|7WvPUA`9V~+T<=M1`4t{g_La!FRCWtMBQ`y%6UDn4w>04#Bh?q;ML zHFF1egp;wkKSQCzT#pyD)nN6Ct%CrS-U-x}fsi0hZ^w2+S(=LeixP{Im6fiL%Us!V zmEGCqrJMIephk=H>w}iTWlpz*rh-F+bw&6ksG6=1KJFCOfrlIsxfvO-B$R~`o(mGb zQb(^d4_T10O*!k4GKzcDD@~*D{>gr_xUJ4(AR6P}xh#0?m0BR|-zem$(1GQO6ErA-GRlge!)=dPZJzZ}dac~+!F6*?(=*=|vH>$3U|C?|WO>GuT=ID?#Bq(g`v>y1ymY??)G*8AYK%nwFBgg zC2MQOu4s$T>Z-RsA*;4jYKbom^+dbvGx<(O4{)&0pU{s)@4?P&UG+>U`{i*w%D*;t zO^Ew?qppmrw%eoXuqioorSCi-#ai_S!0BCJWr`!P<^cF$)JACNy^!%7UC5T5x}Tg) zi}PLd`RdagtEo+Udu&p~`!^c)q=uB2+wqxaE~@BFe^~dG@J-I`H-Q|4Mj)8ZzE(UpPKH#=$Z;4q^^$}~rPSgG^C+a8$|C4F@l^gfZX z{&*9PO-e*$RLVOLtF-m%e&t5SU5(EDsx*aVo#)22ChGN2-^?;s9|;{o)9;>@T<`R= zM^Y**C}pS@zeiMrd$gA&(GX*;1kx&&fc6pFJE3}(c3-fhrSTS5f}eZr(D$Y_!5Wpd z&=(%wt78NfdLsoy?;pF%4+2L!`eAral_?5s&<}&!44ERQ?gb_+M%m&rBhyBEMp zk=KzVeMo7Ebp_d?E|_m+4=$}1`WA|g*>F!HG#=YVe{!?^#|OEnvui{S1*fwV?Y+Xs2v0ldhlNSI<%j+vgA{({|+Ln$H`Rs5gI6R{! zEO-t)6d68j`=LGIONo%N!49K2S@6PF9}1qnhR*LKz<2jx-|0OgU-Hm}PQc)lmhkjy zx>a)>p-^h&3D0YJwX{s~Z2kll!~DsSNT90({@_>+&H}VmN%(#1eUag3v?K@nw1ghS ztKA{nO8#I0ok4(ZyYiJIZJRT9&@Sez>Pnz(nSn|7(viHfs?+WAmk~yttn?)h5k{R2 z2B5vJ#ya)YuGU?fp|hy2ib_5htY5gGzNMDvc#EHkcG$L%mS4IP9eo8_J`7~i&(lFm z`*oC1a&~)uG%(ef6p>W%D0RGWOL}sFr7oz5^zN~T7#21xmWYK7o+bXNDM-1TBz%-$ zF}>o(lWSM4H_uYRr-qq>QK~XfiHPK}Fb=l!+ov_rKbxSP>a-B#6hwav`Sdd$`*L*n z69>9`Y6a~rM_8CQG+cX$PsPOok36rn?vv6t!Y$0PNqK8D*&lyWb+3Qtn0TL>d3fU* z*lKeE1k+e3qd78R>nIQRp01H=lLx#Xj@N>%%e6v1PrgG5Uukea9e5ong^dXI<3^VHjiAN2>hLnw}@9^?cSy4_v{+5r9mTCtZki30in(v=!@P(Ni^akSh>!mE3-{vZZ-sR(ATI)IycBtf8oL}(v&#UZq{1!mP6S- zyeqaPF9Xe`PWdJCJq`68)CrVBEy~`DTgkHzgOxE>5=GiaEJU5q;z<^2w%^ipu6`%c zrZ}R;<}aUR^@6iAmS6--squbxdJ4%Dt@L+q^`%b@ z2z{gEtDe(5A2tgu*8 zeH!|pSD<;re`s;`1h z*12P0*B~hRjPcd_ZRx%x7cQ6w9SSiC@jDb^erTZSfRYR;2tbkttsZ1iR_y@1~A^^uN)m<<1OpiE|ckfuIw)A|?v zgFGEk)nx0^8>fIO49-+x0CJnGP}norzk#8Lnz~nU!kQd%Fz&~uz^nawT|!gW(*lx} zR?Ci1@H&~fqw!egTiOzo|1f=FOY|Gp$~5p9NHC2{z`^#PS5_XUf~(&3?DZoY+&Ium zc?~2S>Yv=hV!bvR;m&&LHVdDdS=QPLL@wvZeWIS!t$HEZy)>C1QdWN0?!f(pb#!BI z5u%01bKpS%=7;ERULUuCX0M+tj7>ZSJ5vHZ;j}%$F&@yq-I}CEZ`Ag zuODNHFP;Lxeh|>qYJlP;#e{tOD^JOCZ~Yr%Ce6*F+%%phJE}9A4rxix7y`XB_^S{7 zny#MFYM8|Xw~~T=`V|DQ-sbEU{od~D#haB5z18QR-&MUpFO4L0qD**(g)LbV%7OZK z3O#%pGD$0eWUl>W0pzOl4*euXVj=L%S~&580}Ybpbue4?l2*)q(mJyBz1@PHMRT6$ zt{4dfjYG-n=Xkc~zJ-ho_D}snf~SYtS&mWS5e9UDP(C56> zl+YR)lYWPS!iPY{?x1ZK^o zw5OrZ&#s^5L&>)kc8lwnmReQN!G?j-RJvkHeg7S%D0!^oNX<(lvpWvQ%i2Za(STEBZXRmjs*55ps`E-{( z4MC1~{b8?a$wrgvY4yFZ%)QU6!C4*$a%-%#kpT+k!a_N#b<Xpp4ZFv^>dpz%X2=Hic*wL zuimNz%RY`C*|ueXs;O_Z)+uiDbP&YMPj01mmiT<9Gt{Z zy!8+`!3QgEth)MYfP>BtEXf`@kzmaY+G{5?SSH&wcV1FEWYaB$xU$NP7*X|Ap+S(# zElU#U<+ISASBmKc((J9=j=#RNgiKh^_c7>Qf85O~d9CkYw$H}L1;tBD&wup}X?$9K zE9mqX$E%uzSKAKElV&%<1?fey>7Ve*kAo>T4>=yUfj7<0L`gXL{Z6awf>gzh`-QJX z}R5rjaPk( z#|@v7sGzHSDh<4DZNK8O)d)aG-!e|Q4+gB{jbzhvfNwX!@jV|#-=D-yDRv;Lgm~fR z>LKvG1gzy0M2Gl}z1UgwV%Lw|=zH($QI*^qeFKXov%`X$!v{7=_!ww?sPk(Y2@egz zxD2^hMl1oe@aX{X88KZq76%pkpwPnT8|%-6{OIKfFIKYvKG)Shq_@zI9=Hf)7l1IX z`j6|lTXf=ERbWX*@p;hqTSh-fP(Mc@zn#2w130b)O_iWu00|e!x9T7^tOR`Hmq6pa zR9qquf4cy(tN@3nH{#wwiUZ$oxmIs*jiY(3ZRMbVr{3z6L?EWRAZLGB#-+*3 zVA-bPF!PEaOxLb{`kv`4Pm9h!U1xNnFt&9d^}%I7qw~#YG^S=HXJL#lm zOv59rQ{$S&pGz`s=K6lsplN(dJg%}}usm40R`SErt1WsbN6g~Fh6!JbgtgUm!$!%Q zQWdaLZK19OJErchs^P#Ntkf00!Y($)ctLKx z^Fzj)nSCP%7U!IbDsCqXeC9?TQ}k{Q0E*gbIKw92XhqTXHD^K>)ofD%@I7v)rs1~iTD3J->E<6_?(_4G@ zq|~zo1J~sbd@{qX+G1hh*}cQiPxAB#3o?ngJHE?o=bcF1S!`< zNMj=(EiF#h?^;c|cjpTk{fJ|ZiKvBm(PF!w?Wv9i@U-T$tkTpcqgt}{dAh3SK*0V_ zsbF&CLvv4fh=KT6?(W_$-mpl?*eBc9;_KBJE9{4*XidnYfn zwVrN#iYnUT?3jH*f18Ceq)=JmEve}EahijRrK^fU<|ayEx*8!<9FI$N9MXZrNMCzW zP*{MFtW0#HEDwviW6DRB@;-e+|2VV2++Z>vm!PX!Mm-{niU4xKA=9ipEvvLs?U6P8Z(Dd_O25 zwZt^8oQ8hQH!XHVp2B3>M?{W`12v}Zf4*d^Jm5nnGqXr~)_&n$to zj%`A1@IG?(xtcnB`0#NGee8&Z;pfREaU15Y(oaKMZlqbqhzF`TA1Su{$a$q)L7_oH zm(j0I4lhX!lQ|6FiBueB{B!>a1B&@MUV6Cp6~r zGoDO|_0mo}SK$EOO%U))Uh=6~n!`Xu@AWuK9uEE#-adZi`9-?*Iw*R^5B-&(#OnrV zxDr%Aa8A$A95sMp$l`E^$N1mT6jyq3LHh_kHihsPTq#XR#NpFyp< zUS)YzD5Uqv@XB@ml(I9*7cI%|3m-{8_KpC=m>0eZ;vohrqLkSQGCq}!E<4YjTlYv@ z6~AMMJT`o8Pr2s{5t`!(yWz9q{3*_KgEHhumrHuCVz~ zO{{koO}n>d`LSc3@q3aMt{fU~t6LaDH*|IXJ5W2V5{+$be{WCYD}8UD(NYziwl$h9 zJy!R|qR%7PY+B7~`_avf&x{^4K3jQ2>|&+R#$6qaU!zlE=;NYc>u;4wrlG$9eC%KEBM^UP$Gst|2O1<4c?Ttq(beyqtCy{#f>2xJ&-aqo>mSAgQb4 z{N8kLgOevv$@@tAc0Y$`^u6_xPCHUs+Y$~Hqmd6B>T4igKfiOjIU;4&c}{cg^jyQ- zI~uEO~IXfNLE^<%5~3b7;D{#db2CCu2H)Ffl1e;or#5& zX;4FRX*50ajW{at+$Qm`^n8BSN~7)@{<627)z>^psHs@8apItUuT#>euc`RW=Z)8= zy2r4Zc*l4)-p_<-*|VRV(Qik|8^cSCC&|{yU|?V9kTC8WM>u-!D#-GxF;CML8+QFX zoq-nl&H?RhjmKlXjH~W2!ZW}Hs|OOF6StIW`o6g#3EbIA{HRJ#Y#$Hv%9%$-^Rmh= zibJy59X3A7-T`cbd!Ou`iVY)Q-x+sLi8?_C?&(p-D{1oYY?cH}Po&~6s=Rs+<1gNy z6!xeFE1eU;fheGE{V7F$f1^sa1)znQA-`~$IIXgc$6GX`j4g^#z*hd`uY8rX*>@I> zugi5`+WYfr`C!z9Lc8lT(d(8$-tIEe4o}-_cK5>It4n6?03CZyBlFO!^yCQDs~}%$ z0^h!|pEgMLItL9TTc?3b&KKwln)Tu%I)U$Q&lA}bTpaqt&2G7Osto!~r3$8VLH6U>~X7gKD`zbr%+(0oE3bN1D?ilu1^1j`y3izkUW;fP(+Z-lK zIvJ=pzQAaof0(q@UcHq6#*gxOsp(#i=(U@l*ix*WvZ>G2^Q7e`l`hA9P?6TY=3v(~ z;=hSD{Hhm7*b`j0P2{LR4yQWTDZNuBb5|0jPB|3YR*;so;Oo52WmCWNbl^e7!v?ba z(I$LNk2x-NgW=`w+fgJRaoY$D{XHM^ZS$jj%ny%6D?Im;1H1WJq4zfw+4^r(mZ)+U z!mZk$`?FT!oVtilm?ZZxjRT#L7Rn0g@OGmKo&O*fIzvY#dD)-dDq@r@FrWsG3N7Do6b8lB=OrT(s<~)vgCV4(mQ}S*X}Ib|lOt zlCr=1wdCR(u&KhE81x=o<#M^TE{RnfGOg+MhtjKdT^zHU_4JjLk&S(n?3t8vd0@&; zr{XkhT2F9YV-|gB(W>o65qHJ#i5Aw~Ko2)XYlv3+B3b!k4LOjv^v)RiZC$D?|DiO9 z+*LHY4VsA4?3w7C_Os5EXj^{wmJaVgmd5hX$r*rtoT~n|hOBN-JiUI0Z(d`b98KuZ zvsTC9P#tN5Eio#CLvl~+<&pU9z~W$4FbLigN3r~2u*^KS~_?_^vPaM-_p z^UJ$gA9vY20T`?8s&}_McubCdd@H4vBYp`3unTRSxR(lB#wSwM*B9nZc%pAVCPx+D zTl-+`TueMI4GIr_AVz9Xu3S=c|NDp1h5D_&i9b!E$3)>9uRL$t1c6Pyy`Qv%Y4%?o z7OH*sX=yTzP4l1YV?J89vK0q?2{jY*;qjJ6*i6R>ZSWVbOEQ+#d`xeB3UqzVEx*`& z^36guVJfa+woefhQ))OnJ#bLwwn13bxECd10-&>Tlp~7UXl9@{{x&eXEpDMn0e7h|hjs{Bs@6N&Asw(=Fk&&nLtp$Lu`Q6F{QgNVjM_FTAyCs_1>n zO7p&Cr;r;`#}5?=OUmA#6q@di6{Y2ee_r(LOkb5Hd1OfZ-NuKhzLPgPt{d~H%_l$g z$>CULb5Cm+W|l)JRi`8N90!#$Tg^K68+DRV4`lg|98%Kp2i%s~l&-2-K|@Q|@h&x_ zN6=nd8#P|6eAj@22BA*>w z&LH1R)!MQnP5fGEEXS%APy4cIS8?_%wRNM0-UykneElV(WckueQl)Tg>1EQr4j#SG z(HV_d`kt=yJRAC6Ap>p2f-#be1NX%MX6jQz*)^VF+>IBW>1O)wRYCjWCpMNZW9w9J zy&V)vLZ29!A)~`YEjdu?;zkbqLfA41diZ{d1NN`xsJ!yH0}kAwNtu7%F9>;hhK2h? z*FV#7Wr{bAn)8MxIN(492<=ijXIq*dRI9FErO%TvG{|sY_ls#5O?u90LLSO5_#%vxRjiuXUQs(YLp}H;{hNX^Z56TacD}@k zNSY*?9m&YfR)F5O+ZNt;UW5HnurNlaPsrVK{ft7B{=-UDajIp0LFNW~HpwM6+h#kM zu2s`ro^^rh(RJC}$18Ja)8ZTNg{>Ar(E-uVWcDjZ&#FMnxxhQoxm!1_4|oTzgTeC?(wa@5!X)5=@DFx>18#=$Z(T{B;yTG zXhZge8*AsPH3+jaNy)Erk&DN4gIbGFs&Nb1=DDWOoOjHubocBsi~VM=IJjm0ME4vI$ti z!RPo3xi21j-O7uS`uka;mWNS_ER*}oTf9%;IlT+N(b0Ego24E{6a-2})K+K&9vq1A zZ2+kW^mD_~=9rlbs3r84w&m*==$`<(bu4Z{`w?2OQEAww+g9Qh;TM{A9F9G<@VI5| zzHes^?DKZZ_B@kQV6K;=fo)Sm=z0%hSAVCW@|)`%iO6 zy`>_jqp2LvB~lBFggs&_TFS3Xt=f69`RMttrpFEky?)oMcmBX~-@wtn(gUG=(TUx7 z71WJX;7_X`55%2q^-@0gXTz2|5Q(m*~8_5nTa6@=JrQ&TB^q*UMpzxn}QM-(HQjaE*3P@6~ zKk@K$J~PTFv(E-tKh+JWEw{%@mA2(>!dg_&=`@LNp7=w1T-s}Mvnu70r=r8BT&(U5 zPNa%jRNaBzb0o_(Yv>Y%ahT-pvkPw&#;R0Uo-l$^GI*9Oblc0#QzpV6%3cI6Vmw11 zMgL$_%YcbG+!;6`KBeuQgPNVEy11H#diI=%PPZD510QXO@BWzVd0=VA)`J1|=2{!x zOVJu!S{2ddN^Wm|5)#aRynElvk0Kn+UM4zCLD$nIvgIzFquU ze}Dzgx0XUH?mkw3_Qn0^QTt;jcYpm9$_LY?2b7MQ2D)%hUA`?{tj%atQvRf|Jf1O* z0ih)P`8V37Ns9)CVk;;=G;nyehh*>9Z(h>KRz@ev$fqB-rW_%J7#^nd!@G*(O}@eC z`nJOgpLp*~7mCJpo!b+mUOn8YwiIrKtsk6UdP73BWXawu`nKBZBAx7yCeh6+2oUY5 z=LPnrn96q!-v<}ziA|yl5A9gky0uK*O5C`X^2($pt+#k*q$8*2u^0?sE}un3m#}Gc zOe`U1j*)w_2PKqndZ?kX^EHkFnc3F*7@Xp!w%)&RIOw5A*_64ZF1EQ|r)fKNV}4e~ z16_&U-QwlvZ4(OE`3qJO<@ZRZtS~Gal=c^eR&Ox3>;$(DZXaJ0%-?9@Eq?LyreO23 z+x>j1Y)SOOQ^YzR$4K|mOD~OMRICc^(V}e>N6~i~SMnAg`xKwskfAkttyZr?5xo`- zoUI8d3z%|WB>6!&CY|`awHL;uFWf7ZGj>GDV2Gs_3)+<)olK@CpRx|?c~&>vW)Mn7 zpGL)YL-*aFH6^)X>r)5EW$9($sO6Ff zWlUH=d4)1m{6zO~;-C`CV8DS!d3@XX$OGqb2jveJ@40g{b#5CxB3qL9oCUAXntUyf z4|`sMe=0x75pOwtIKM5i(!@kW!zU?k-KzbDc0;EKn_Tm}4C_xQ1OG5j^wq68^|5qI zT}WGNr5kZuZ%&cr9Y5jAxc9ZHRj_zijpQMavP5fojeYb34n@sDo%8iA&3Cv)8E_oaj3V?%7NCIdXu!KV}FD~OrtcM)S$7+ zNIUqHSYx|RswUmR<&}w?Q5&2{HVk_iT5jQR=2&66)DuYCXo{57pgwH%(Uva%Du3dE}HdUj$2SOsHB*fCzqWQyxd|#GsJ5?>4>s>h8*;82=8Y%%OEG^# zuWErUsp{44C|bDIwAhL$tBn=WvU7d7-FtMrG{_71dGfEV?$k63GcB~f9@^CWIUaDu-XJ zcW19~!`-kWkT=~>%S(+&ML!b0|Gn#?3-H)nTJTM3N3%HXl*P|G;5{ijo_OOlhzLbD zl5MY*-!JtKp?#wpBM&Ow+dFc+#{$Q3WU~q^>6nd)(_TO<`v}K}K zBx*&dboI_inf04T7f_4`SZa|ldX@~nd;{nP<`hcVC%ooG13shU(mCS$Jx|oh3;)& z6vlY$yu{~;?C9XS3%zdC^&8(qZOU$4YkSt_d`kLLyfq(=557Tm7PU64L3i4I486Yj z4e(!Zd>TCf;H)#754QKb)MHkSLfQ(O@6OzkkJep_u$ssBf-KeN6R=$6*t)Or^xLb; z*A6*q(;_6TRur56sFT+|9{*J7Rl&k9Kj2M@dS z4Wh~)n1s|NJj?6ezcr0ufAt&5*~K)BdSra+9ma7{cz|KPS`X0aj_31mPR1S&sX?A3 z|Ft`>@jNrvedFDV)LU1neRupc@|iJF#C9mvp@ycB-SYBiSPQ)<+EpKKJJTkv8GMOTCSQLB+_$M7)pYXMh4F8U{84qIp!~ei z`;D6q+}&HaNR@lb_I)qFd;r=nFQcD!(@?v7UJcpj?eg_%58+6kDu2Z}AoK2wMHm77 z43BeN5fk?;NKwipBotAa4F%~XC{4hw2y4S#S3$5V2`GvM z1#yiHWLb@11q)aJBNjkiMP0>JRGJFbfT9Q@-A``)8GEJFi${*WXc117zJ6V)YwRV+K|fj3_s|VdtO4%tpapw9bP_Q>B>U z_sQ)_k|4V5p%}^AtsVRo(U|o@1O16IUZE~==yx|;lplQ1oYOuUf4Sk7*DQvnhyBb) zYV9pP8XQ63G0)BDP1{V4z@pSGpv3y}aF{e(dNJC332$_ z7UT0P*+e`1x#b)BgZt{E@>gy5 zGpkqe@b4*eocZdPEb7Fe`F8vN{k~iITGbuyG5YEq$s2s_AMO#%A(_jfTY`2$am+c- zyZo3JvD)0IwjpfUTaV+=*C}ZMu5W%f$;--;-kucRBpM zjZs;4^%ZV!{t&DkyCWen^5U~u*c_D|x33GjLNJ5%JYqKglKuGxu|E{k-XN}O=!cw# z6$rB9(XaOBb8eRkOg-GJbShr)l&-Ve*7LRoT`7t%8sWIU9;yGLWD|Ipb$i7A0@Nz8 z$PLkMMu-W+izDkulaKryX1gJ6zH-yOpd)sj0Z8_<<}Y-cyEE|bJGOcX(R)n>uE949 z)^j%R+0W(%+@&l31j}YtNF~c&U3VqBJr*Jug@e}SS7~u=ecW=TGkU^K0)5KjbJRi zx;LM1u@@cnPGFuHP5iu?@NYS-a?q>0xc#9Q8yEWjZL%ux_Dwg|k*F!+$|Faz^SJY) z0!uwz1gEyFpcgN5TYmkwy1Eg83l7_#E<;RK{Gz1SE!BxUz1fVZh8x$Mj6MnxQfA*-8GteLrCGVb0wA zC66W1Lu{{#7ejGkd;1R~Vx%P65Wdg5d#+CP6uabdQt_RN`j3o~zgpi9uXWRm&6{j6 z@m)(WBZJh)OszF+ZAb7s0)uZIiZKl@*@dSQ&xl7Qc=-7If={vPDN21?m8nraOxzW^GcK%+Kf&1s_-gwokucj}w zB78m_n|N~g@icm=Re@@EfQuEX5!y8_mmq`+_NQZ_ z_Rp}IB3$bi_iy8`oAzHDoo|2g?i2OVV-L`#RCu4+wpeSX+KTIB;^I>WhOfB2&h~aJ>!SVlij?x+)Xr5h>GiKF+aOWWHnH7!yFwT3QoK!!3e-(dJ z`w-gKX9)!_e*bgGmamPkDu>5uJ!dASRql;5H&*fWRIaRvL!Xl?d0QTgi`zMyTjp2% zU}N0T9|nYN18bT7YHhyrmKR>1%#F5%d!IIAq-`s=T7Gt{icM8)UdTI7r*$N@Ezt&E zTYxglCd_#nw;`cRmyuPoLE^^PfE3wj)m^ovqpQU$tLQF6wOW{;pl0s0&H!=VurbT5 z%H+9Q@fqt2=N}e^$3Jaz)bN<9zg_UIAxlMdxwYeEmfm}#5kh{5V@_T8)zV`op66C; z{Ht5dtJ}7vwKmH|?cLQ(!$V|EYcT8hBe$bB-X)Pa(}#|m%oM!C9&7k3spNQ`obr6i z$`!hkZys6ZJ^RjS*08&`NA0?Ia?#^+?`AAH_b5s@Q}b~X_p<$xuQOBii9gC_)a><- zDHvsb;oDo?2eu6{!*6cq@Go@W8O1aHa9WGSYakB`^Q>xWG~!>BiA|5^S=UBrEcthY z(K@4N50ff0HZN%}LE5hz^w_s+?cBdlE&f@)uz2jx-N*$=w1$fYS{8D8k=uex(XNh3 z$E#OOx4z37_3={pXGxi!L_ewc-nF_c;*+L^ZeY{3#Ac_R8mbOahYn40<-0t-*D1Er zSg?})WnbnzjnXkuqR(S2lsa?Jko+gbv$CAL9rmqXmi$4Ih2e`99@6rg^&$Lp5W+V{ zmRqOoaOEJYa?t8e;b$*m_myj_PLneQF2#aLKe%nSG zZD&%m+mIzDqth&SmpY8C?{DVYJgP}-eOkYF=9G?oDin+Xwx~m8~)zq%ft4W z>uxx?Yhhgjr{clrCn1{6XEX=b-zH47(dnyr^tmRJuX`6AKQtJfU+-oU{||ph_!9RP zJIyUfuzs^0Hu1XH`J!)N*r?#t+e!1PUoDvrFCyn3yQS0cYV!`n@wdvXP3g)ef z8sdMBH@DC>9T~Y}l;5J;M|TVCzaje1l-6;u;;kK}0*msCMmqwhk}D6pK5%Fn9QP$| z$EDTqzoM?OD0TMe&2;vTVbRXp_;%~w{#X`MsA<*6nVIqa*!bUUrdp&52I0swJ#kpu zpz(G#Mx(Bc`(CcPK{U}O&BJYSbq4!eFi$YJEeDMjyp}{Dd8!ZV#pW+}BB(Eek%|Z! z)wded%+-q!{d48v;;Cahn5dTTjdlL?)@1A6TEjQ)ENWge zF9Y)NkQfJ%M56P|=56N_x}WPjWc!K%Ci}l{j+MBi7-reDsMD|Xfu-Pd|E9VURo=1xt z7dx4~Wx`GqBqny(7O(8!!zRiG4n8{glgPIb1g#p`_qq09BPXy@=aHab%By#QpKADG zhNobV$q(|x!=&d&RTi3<{$%Wx1&1z1U%VeM(Xps zYwA>E8m$=n)*B(IO|OD(T)Q1rxH`<9zqls0@z^g*501#vJ>M09SDoK$KjY}7ePQd@ zR^l(+jF^QkZ^Bw8J2!fHf4Y;YV{!elj^%H=R!x7L`7WsS*-6jvv!-+AOc$Q`JN#p9 z(!1)6tQi%x^LM`(?7n$ZYP#L#%}kTYi%qq6EcZMp`vKEkS0yCoVq5jQ&EsnCnXk;} zD>*g@Xv_T`zj==COh(2h@ovXFkfq^@Z!dTksBOl*+%G$yvDt^T@Zia zhHwLt`a%umyv~tCE8Cr`Yj)GFzbcq`NQaNDk!)zaqu&{ezZ51RpZ!-&Q*HG(bz^W@Q+?#d!w(UkW@AruZa#RMHz(zA&a9oKTT?pUtG;pz zBy_h~KjYZV`BUG}W|cvvGwqEef~nu+Ub2|>C3sZqO3Wey_)Zwv)&;??U&C98(+sdwf=C@ym6Htn^yETx;er$ zQ&0f%FT5&nKTSvJod>Po3xb@mx3?N3(K;~pnUJfWQSiF{=v2P3W=P}881+e4g){R1 zsCaU_!9(+mX?e)II<3DBzw=<8VSS~~UZ;8Q4Z^$r@u|C>X}iGmDUQ_ku)|H&s73ifd9?*Ty(JbPbP~mSA%#HS>qt-h|48 zVTn<%gUrtEZ?1nouO;X}i`Wt~<>w;T)?b~rsbgf(4gcVy%zcwKa=0sE^rCl7NLDY7 zLv)+-7{0uahrO>rqs z->OU*$Ty-$7t`V0H~xG5@e;<*t=~fD2DE&RQSv!?x(j)`X9Zu3hh08 z+6Of2_xQa3z+^`mGe%a9RoBM-MGI zj9xeOwaUo3?XWvRvU-8}b>*}f{xbmfiuTjO7uW*JAFt`;0f(rAdz zf8HQAZ9W4(%ecG4@N$v!^#YbDY=~9im2!K?m8a3B*=z5o6!1C z)4h0dS-w(>FUw@Y`^*^)E#6b{u6;_59|!YZUg#=J5^OShLTbC*VJ#i?GtYf>LOkD} zR?Yu@jr96avbn^jq}FlKR?9=9J>n+~MvEU?eAJm| z<~FM6AGf`Q4nCcorEw`AR;yUNB=1!03-1YBBrvbt_;tDajBhw&uI6PmF022fWwbug5FEV8Pj;95VBF+I+Bq#i4U1FJ zS9h>sY3n@R-LDBM6D!@=-8%i=`p%PlH?5j`Rp&G|Gn}Wz8=*e-M~|Dt??Ud4eO{R{ zvaW{oe!XL4U1QMTnEaz^leQKupECFSq|!G#{$gy+U2{nJPEuOuD!%e{?PK8!v^#Sc zhs#|MbX|h0ZbVUOW;L2#Hc7Q?{iD)1J0q^ve-P9DsAF_QY8)%#@ANz$?eZmFPjv%- zb9ux5H9Rb`i<>&PO>Dj+jZVaEt9l|>JGEvczW2PHZrtjb+d}nRG%))%N9D_GrM`A5+awUZb7zjG zn7;CokuMuRj=$~S|eYV6dWcic&Pw-~_`LZX; ztDVv`Etauf&AEic3)EcOk)Z2HQ=LFF8~Glf3O_4{f>on~-cJ;)tq z)^STGYI^2Wsxjgpgc*`1$Yy2limsPUq_iIyJ~@o%9pYf)?q?&N#+`l$A&}`b=|oCD zs^u_ifA>I7Z{JWE2x(wkPz+llo+KCcyLTwrjCd zwAsQhMyR#fi4@k!9juKtNwsHcD)mo(ED3mDk}`oC@TnwaA~)beNs2#L=xB_3q{lYJ z;+a{0f=-=idQr0ppJb0_s})iI3*d7-+s-V=Yz7BGNJDNGkxxn(6?mm)mcg(r{Nhk< z8atWUW)M+Bp zE4fHU=apRLjGtGskc*fvSNOXn%1uA^-cn;SH>2xHxtOSIg)@`VYu@Xb1;`KO64YfV zTn3vi*r*MK*?mq~afPQXQ3E#hMQPPWGKmF;WLR^+7I$4S?z#e#$xY@6n=Db&^kpC1 zl_W4>RVwa3HU07jQaymu0wz$)VpFsNtk6;E#vjd%Wa>CJv6Em+ooqsqtYDXW z$|`-%#|kt&-Re{EE{T#=M0ZsD!dk~V&Z=YGXFX?KVevSAoC%zX9Dhy#SaW*>Daqz6e1>DHW$b!2<`5{bF+q7kZ!&PdOa=0B7$`?vcHQb zawr!A4{&jSaEvkPnm(y{i|K3D50=cS)Ha%3!mek}H-ota!93ZAk4U}Gm%G|5Qx*4e_@e4PR2%YBQ@{9HkyRCSR7O2;o;x-9)tK%z>7LeoCV z`iG^;ZfDWijjW}dXif}g87Gz#M>$d6w3Yv7)1Cy5RczWa_a+GMa!`E()q(y+*eR&2 z4YU8V4KI^AI9BT5ILg7E!VO$B7B4Ef0@C9ce|}ul805)1O*Xf?8B-pg%r0O*VrPQK zNq3Ii&)v;9gfg2#GybjTd$*H9INj~FfIYCiE(MoD6Qx(S%9^nd9@z}1hj5@JP7;#Y7;3~Xh3tN@JG=LE7GlH_ zmLUoA4E76_Wpspo6VX7N3}ro8PY)$V%mD_IWOsw0>-h($y^{5d@`<=*)OzM1q_FfS z06QgRYOmAPmz?ipzg}|Hu++#mbtzXlxk5A^)kQ@%D283VR)}tbhdLq$l&*54ti0mZ z?K^+py(dI7aca`MZ=!MNU{MZ^{>8*l(G&>ks(+u#B0LK52U-#t4FxL-eFDdqCg_k2WF4{sY>;1|UznEy>>Yw4 zM^LF63NTCPW2u?Qdyw=GKy8nd8o$>nz%_Jw=(I7tq>Jf7(H4iztJ8eMCP*qAqPAv$y!V))%OU%%_K)N>B$Vfp6i!g70 z(4$8O1eTG(ktCT(y5yEiN3oTA)}cy;N^y{P@P^lKWNui6(nSxt{Ubo>*!z!g9Q>J# z66hzP#!XtwSth2AigutH^l#tWJAQP+`F2#4iK?ML|3Wb|{Wl$Z@bq2L3Ah3k=8r_X z3K%$w7NTcR6yuLa>-#N$vm61dz#lb1rgTR6Q3!@8TbQ|r^n|+9GITl$ztNHf0JS;~ zN#O}p+dn{VIBJJbMp490My;mD6$Gj~(a)cnou1zVrmFysEsFqZ*_>{2W}fbfi|ZhC zH85V7%y*Uo2zb^cZi}I z^uZw9h5^D^cm;;~1^NWZbua?qK6DR_d#Q1}z5EoFpqrvh#M)SDV7`K`<~~6o0SfBE zoI)^}f4@{gpq75YojZd>QV3Ou8$ZC6$Z!f_ zqZ8n*7&Qoe0>EC&U<$!HC}5(OUq~-o`b2;^$~>bGtek+L5bs_T!lws-5|J_`6vBob zpr9z=>A_$Ut}I8hvl2Fjskm3N!4j4#zx$51Q`eQ_o?jZ?3wK2Fk*eg{?3-LmCaaYqs_*dxtMvH z@yz_qLd>SYsKy|IoIvI&ku^e3XN;vbgS<`VMPwOd8x+qL!g@iz+S&pdsl&=seO>pu zPE=PjwlY;%=8P@GP&1+3c@k~K_eE>VbBwiG4L)qq!W#`bX3H=N-fU@5HP|6-00Xe~Ia)4Y9dI7*ZWl3GZ5mqv4 zo;~E~mjHHD2BSFs@-~Iy!g{ULi zA*#pBu-xO)GYp)Doj4_gTcn>NbzB+GKYI?2cZ&3J27bQqf^^>$r-_E)7=H0`k@UMQ zPUA8~J^hESmd8C*fS?vQh|A1zav-UPa8%5?1sIcU?}un>7Lzc7lo5Y;?vPzg{Md2-e|nAbtFEDKP{(HKiizb z1y_|&x6?w=ak3&A<=2?(0xT)+cH2<|#v_!RnS*b@Rv+@QD zG|6gKo{m6?jIqp9CXZW^ffoKGD;M4-&0PztcQi8t&oornKotwkZ;*Jv9<3a9#*(|( zCymLQ)xzvixS4hWCy#Ns;m9kJZs{M%h7M+zuxb>}rj>}VmR^I-3Yrj%j~J$K0nCTJ z#}OyTY~keDlh@dJ!*Xr1*&sgI%uZFV@CUI>%mn&S?#g43L%FH7&=-|+QlIkRaFnBe zWZ_gtTpdOAh4aVak5DzC(>UD2`d4nMaRe-8_$xPWOx8#;ikoMbHH!MM${J05u(P_# z^WRo>>?MB{=8VIQ#sF{zEcfyhR&L>CDdj(1ge28QQI~risii)~=OWa{XbMB^45vVF zamP5^T1R?9x#xt6^u$;v+-YcxWok!O0(qL7C7?DKwV_iR8nq$vpGs)i4xs8uePN~( zK17viC0(4sF``IMc-;y27#V3U(W@9LF#rQ=pQ)>GmC#5(^GZg!;1wJ(f+8RQ1_9t3 zoO%X_K9rMwLh)#9qAHa5V8bgLr`1~E^Q8p@Bnf)9$4^cuKAqRPuf(w;_1S(6fB z^?2N12;GW0R-X3MAYx-(QhnBt{HGO463Z+;=_J&2!R^s-p}PyNKeWO!IoI}njYO$D zt)?6TP8S&xn`eCvX1O2-o(dk7!I%@je{B!~Rl?4H>H?tLy| zKG>WZ3&EO$PY0(x&HfeC$eSuF0#^*L90l=Sb7~Lw`5vx>yj3Zj^aM4v+sn-rq&*d! zg;L$qN;=udO3i^^d&7P?taO!nP`WQE%Hn_`s08=_Q<&y~yQ31}Ll4}NKH4mAAK58H zJ#k(1j!@4Ncc8_wcqB&{;EC&-#GB>eRHK{sJ+~|AYtpx*wk+3qpXYs<*P4x8 z-xen1qPjvgU)&PZ9p#I!7cSU_GliwTI7wf?NvbK17dHCh!}S-K^N#$iu*_q@YKpIZ zPB>O9J6Sl4hv(rUe;gxjL5)VLOc1V0t9cke&Ikq%KhQ2HooZjnL{ z;mX+ORw4Qpdh=YIHmMl0vjvsTXE@r)x(4WyzN6|$gMR<4uN}~5@ma`LUb9f=~k39NAf_ck706s0ve$^zccjz`CD@d&iDF)cit2CoA-+gc?xO zlM>-eJIijIp|sRz6Xf6B>l5mLOO-L}6wA5lcNeUB7!w)*Qv*0#Va0=0Xa8!k#hBfqCcv!J+(?+cXXb*K8 zRf{U{WSDoT+!mT}hmXJ+%B)BaFD6z6RmDCqV+;eq2Eaf;3ML;)X+jy`KgbzU=C|>X zFO5tj0?b&ZSVxb&n3#5${6IPha9E1(;b_-&-;w1xi4R`;E7lC?@QBi5p9o&_*a{ zD`lM6q1e)-#@7Jj;}%-IC1a@qgb4`b+D~v} zY`~oj2?AO63Pe)oHO8#EZ-Dqc(zkOkbwp3E$NFz)%WztX1uWo8*a6q{fn0q8!yJ5q zAS`8nbO(%%ER>D*DQop`?S{#jI`Xl>@Dr>au7;j6t`-6AA%6bT<>YRMU<_n;zlyst zX59_50cE6orvvP3zfwBrDy_KN0Pk+;A}}Q+RrXW^GEH|vG{%O?D)YU-D8S{(a0)Ps zX%xan-X`0l!NSgR3twN_ZQ*G)VN?A=!)&_iSnY8j+(x-@>!PGE6f5ldj>EG*Qx>}? zFb)%Rr~_%(5G~Mwsf!rI5Ssl6s9eJN4v`t5YB*->I49@vF0NFa%FElwm*+QOqJKbO zP%t3gh-`@w^hr=0nlcr>wu|Nx>hx(dX3m-&F8vxqsMF>|{xWx7I$GW#;uD(mg^Pau zZSn6y`y#Ymw3E=pm&PmuWT)s5!Jx-VS4fgxc$83`WDH4BNAUp>7?fBG5z(Eu6s)8r zuSv*^POJkMw}0SJ4TE}8lvi+$Fd};M4FMrfSPaPD@j!#@M`Jyv6XxG1;Sf~%?vqF$ zFy8|I!)S@6;3WE)KD~37+FCW zo5unz^|{gH@JXbNjV<-fcF~eWaq~!9j_g|LG2x5~!b7A+!?YFA6nJj5Fs*{H5!$~d zh6~?S5QFyIA)L^mLZ>^#X~S;9-2(QKR2MegA=ZfQ5-P-YS@q_J=wE`tXJUsjY46w5 zMN)oGhu-gstfyD#{j~a2`~da`Sg(7WB@YkiBzb*Yh7fxIW})Z|@C6ea;+hNv?;)-PEw(;f)M)v#vIT|YWwtL3R&#(iT!|sLI%}j z6_n5q4hiz{^Oq0shywuY4m|mIjEU*^`}fJB#KB(S6gqlNSl@CfkqLQaLU(0Fp$pdu zp4g{t5q|AR( zo&eauVXc0^M5+iU4?I3m1h~aAa*7eqKSLD*tba13gqzx}rR;+!0a)4q;dDLyeB>+v zNn8P#R0k-*DY#Zk@d*lr69bMMNRArT${0$w#8rTGH?h89*Jr#&lmZMmC^|wGC=41( zV4@s|52)YLlp*sGLV~0j^rm;}UWsmD5$p~tBZkR&-#!InQJ$>gC|BbI1d77_1xR@N z?0>?z6imUnw=eXE5zs(R^yKYdL~I&HoIIVE4|4txacRn;1vo0Qr707I7cX6=4i=21 zsi+pJETetJ_vq5J3bo>CpHNXMggM;lAzIMn6#t0pV2}HHU~0Q71_-#`3rCUo0j*)c zvkf0y{%k|;0VbvoZ#KXRmhzh^J~0)@b;_hu2y+9<1vc>BLbtZWG=QlMP+}O*+uuJ3 z-n{VlvY7$U_8B-0`6~Cj%I}oYU^(|t8^xCgy261P{H0iqkcBxZ!)d!yM0)>-Ie-J_ z^fevj_uzuvG$VR%7^)!GV3c7A0td;5kU*yb(?trneXlRWth=LCj(q-~FiWWodIqFG zt+_vpx~nO}tfb*8Cx#$2{J*%`$i@e~A{zcDOvh6(^ugc5zy|DoHYmfiJt2)ML=Qp? z2ORkyIJvK-b|q{8W;+083;my0P^gX1G#gK;CU*ZrI3M|g79gjO5S~B|Ua0Bgp)#q5 zLb;@5`i57p-!wK+Ri}@ipuK(& zmC-D;B`u%7w0`~8CVg2&S_-0GO8L0QmeAZYoY5?j(9E?7IzvfWMO95*Lla&%(9s>F zCvATX0>)6^mP-AiGErOmgICZYzce)C9*e}0F zJ47#9MfS5x&wwy^*-|jqf>kz)mo8jd2(LceLPfjjgqC;j2wwVFcM4GaB-%%3!RrYs z#QeEYONAPxgfX#fe&jr%Z7ESKJys|wC77t_4jmH>Wnjc~7~;cqKSaYBs-k%MU@drb z7agOx$m#!+Dwu-^XbAJ}^Yp0q=F|r6=%F%zA}=v@i-&OQB#o5rjb;LPSelk7m^91T zs0#|g6G7BScwRaI^P{F%cGEx*0gee#e~h{nsN%nb>^h`7<=AvTY`V9BB1nad0zoD= zAeAKbq!KBNN+mYx|B*_z^rc41V6sfolf8f&u@!LARMLm5u6uBwh$glHOq%)?VbY%F oEED@eY3wWY(MDKbw%bSQn+zD643st*C~q=Q*<_$9GEj^9KYG!*T>t<8 delta 42588 zcmb`w3qTWB);K;hnS{4IRKQog2?=jP!ZV;%P(aYA2xxt^4GIbsMG;%u+6_MNv({K_ zx{iLW-IOhC;|DhAuC7~A`m$gj8;kZq)vC3&+E&wUtJbRg&YhVglMs;Z{~u-M&Yd&o zp4UD1+%u0`SC>>*mt+}D(_5p52N)5Jf*u`5;If7Inm}x2Aw4n$7YKU|MZ{(&%`Bd) z)XtnTlfb_Z;s`O3FJUj-eg12LEf`;+N9yr~Hn@32yl<0?tXflkSDq|ai-wZ)32$p)oyp?4JZo7`CMygzMZ&$o;Du# z89Q{b!bZ1l=+Lz)6$aHYL>zlB;lTGkVU$d*u!yvTcjH8snfQ$z@E?dd32mFN83?Pk z)+qN!)Nlo(J3tLLF7S`i$49j!MAap?_Wrvp$oy7)uu0Mu^u-v3HGIpKpkK`;`;<{F ziI|Y4V8is!427TRE(bRScXe7n(`_EMX*l{;-l&1b%#-Rv&7akWAtKVOHAs$`N&j8P zbgk-RT>+L`SWdn`1{Q%q+|lR{WeS(+4zrOA2-E*2hqm9Z4>o%liWIFy3e+XHEHw4D z1zAJtL(GJsP+_(jW+^o2PDI_fu3lS~$qUYjQCmb^yy;$BP>iTcWR=@s_~Rml_0N2pi;5KZ%zTB-x4l@=C2A0% zWH|=7qC;oo91_EYi1130;e9!a&Cn?~T#WFAU;X5=No6_ap!(dhys{~XAYM*YpyP5P zMbVnCupI-u_vQmFM)45&qlJpyLB|?IyV0@MS&H4kxF|iQ!+bhWcgmqfAqt>ImYHcN zR+vQw0p=hvS}V7BUrSYdT&%Eur)vy2l3`pwWcbip#jdG)TT>N#-oMxSzI@N8_gXuR z<{>hFEkS^aO=7HE7 zzh=IN|GZH&F;}#5JVDXkK`5(^(ygb+I!Z+38?Au}Mrq(g@}jXca7O5+(E70U2cqhS zwU3ReACBm#z1A`4v~g%qI(<44(Z(4;VR~Dn#jDX9U5c!WL2Oxs%Oi2wrxBNZyzBh& zQE(%TkAhxr3qk==)(nlVc@$I>^lHjO>qDAG+a%t5;W)aWw>+$VX!95-j~qF2uZd_2 z!SLb}){nwa>g z=Z$O-FByp>M*rY3VQEpnMc;|Jq6(h%OhRm**{n&x5;7)46Rmtb*=%?-5 zJFZ?u)=w>wCb6$_PWKk;Z@vwb4{MeANMo(A_*~Adq@Z*>w{>o}&K#xDnaFLAbsHY) zZkimkvVH=3=~L8m%G>;%VI|ajG_Tt*&$w#XP<=h%y6xRG$tG!?(~bO3Mq2~TB&zRj zUD>U=0aw@-!ntKrEh1z)ZOYnK+1)$=?donA`Eg};&@bkwk00x{X131n&iAbhVozI# zv{ZM0IKSH#h--KGqV7`_fVQEtbw&3_4|QALZ<;iQ-(dK4Es+t<-C?_c;nPI%PGy35(~M-z?|B) z3(61S^2$@&RvAOX6ZOQ&-E%(4&Fr*ZGwrpCHM-qI(7qp_g@=igN9TOcg4Z=q zFcD%niEPHV!=sA6I^9Zi*Jql0_dMS*W?P4ezM5Z=UxB_oy~TRVd>UOoZQhGFt+3f; znqw6mCc5YKezLb+^hxuCJ)q}p9b2s5H)WcLc|=gm9b9i5HzIz7=#xerclt09+&Pa3 zes>~&wr+%Mxbv)u$gjA1_3*nV(G}yN5fNpoPq(Q*)m)i_rKR|ETco`_>eFqbKQ-+= z42_8Pwx2(le|QcqRgCF?VhdL^oy^}=(7U%{4*{w>{aVhJO%t1P3`M7HLkfCdZ_DYN zmBW)TVdSVqvrlhZa=LTd#?#wgJiTq#>23dax_QF(hfi;R?)3J?)7y`q)=i(+?Q0ut zCZWHz&g+g@xz`qm&YZIO+Its_0MIk_*tFU=bhK~kXy4qSYns$WTY{SMnx|}^bHF@u zLoD?`l#!%f-Jdr)oDIxu#5+*|B8Z~IQIXSfi)Q44^W}dMHIYPoQp!d;_w4bTvG9?R zsW>n@Zx758iMVOgl||XpADUS-tr%Y`se%wGmy77G+xSp0@c>Dck}v3j^haa%v=I=g z7`!`7zUEkTTG{fbx>cv%w6wm$q%#lOR9XKR%2vVX+fu4-UBuNBW|O_1?r2%8ZY{Id zAFF=68Cd0mFm{V?3L&37i{eS0_UOxS*z`6 zzA2R@)N~W?j2-8b$j!#lrBM+t9zXNK8MBhzn((&qMMPXg*JYM_<^;C(lJUU{@p*A< zIhfrNEL=W@dpQCr;IV=vby8CObV#+2B(^+I&|0 z5L%a@fh|;aKCZM#Tc1`Mo>Ll(N~k!oRp||gkA3)pvLf0dG5yILTSuEVvPfEg2(@{c zj3kEpI4W zElMzrZM3&R?7fZhHi*0-HVfCaZu;5Ksx;I?=#ypGr2e_J{%6BJrD1bKC1I%lxpCqv zy}c)bZnwUn)Lf}Euvo5m0f{8GrglkucLukZ7sGaQSFVpzq!zk0!M1 z5*lMcy8Lw;j8#isC3hKzELB9B-LHF2VTm?eIl9+ex98ST{(^R+>f3PgQ)9umiR4M+ zli$V#l$hgKj1Ykux{Ph#4%K%keN=`IluAO1Q+IJd{RfLT=>JH2*UT3;$yk0SF+QMkl zL*}p1pQ22?=D4~EU6d^hJr`vOF~4KD)^1wNotkKluZuummB#5fh`mILSL>d+tA9!q(w>H`oV)j8= zWAn6Uy{XK67443(jN1PA)oo8+ zH9U3I^fXuFvwhpuZO>mdG+fmkH#RRTJaFp!7SgXVt|jj8afjpHkNf1nb3Yy>y_O~{ zPpD0J+E{q~2hlp^9Oh&#C8b2`jI&lgQo1P7usE^8@Cf`ZNNf%6FlZB7LplukiLF~Z zAkKa{L-lf|3Y8|>rgSN+3Aiw!OJx~)qlqLFH^snx_aj0MXO84S0Bhg={( z&Y_k|R+09WvGXqzqms{X3Qb1`E`d%_$(e@cxmMtizz``LMlhQRQz%g=cSDqPNzGaWz7p zUIyqlUL9RfR#moq|C!gfojuptcBY=f8_@~d@DsLxvK9DX2;Kzh@OFins2^eufg_V& z+b8ua8cA@Y@u1D-b09b4*RRS)?#MUOm(OHcf3MJ?&6jL*x)e5f7qSg8^ctm&k&jG2 zXY)OQ(=b{P(m71Yuk|cJ*Xn6B^_=C~Yq{s@n#{f7aCY6J=PJoEvVh8=s^~Rz1({E+ zrdFME{(I`&Q|GpyYoBp0AANth&Z~Wfv8hp=&oe?`f=MAG9BU3nC}2dSn{Vp1`Lvzc z0#`kS<^7aK=bhhlMpM~)K=IeHLnO^--#EMfEX0Jv4m{Ep6GA%AKh zfaAUE=N&n{ce$M2HHZKaKDCI5hX^pcuuz*@lC7PFBfv^RM4T3}q2u*iJQzd1P4yas zsKt%PN5YO~=oFG`gh46fXnhS_GnGr{^{(WvSxF?!F3Ha=N>XMQDB1j^JSsrHOmhdPg&jZ*dp16PVV9|D7 z0WOIHlo$c-2_EicJ37$ME6r&nMXg#5G4(z2U?%BJ#jJ+pBmS+Cz9RiVO38kb-j#kO zO;9Kmi3*iMtw>T3#J1H^LoK5oPv%7vvaOmcL3_7YJ6eXJ*5L>bLr2Uo25t{jY`SQ^ zxck;o6fU)_Wu99^A}<9Vv&<8jkH?c?;q3U=5{g>GP~$6bl_h%HO{w9Ql)12o9I?RS z)eM(IOrdL8Ep4rpZaQCoVgGq+SnIW;(|P${NgKWb1brvG@BQ&jB(l*m z|0DRH7WRu}p%JMOS#p9vy>b)XhHPGtb_+Cx$D2flgA_YtTY_$d>$*s*ziIg482hnV zs?qWAaOx-1@HW}*7zKdV`I*W1Ajo8z3jrcD!YtZz=a`w;bN86pXU{$HF%xk(fq5m1 zoS_(}*wcHgvxBz&3U%p@0}eKD&piy9%minXqq2g4{e6K72oCQYYaP)seFQ}5_B~{A z@oDe1n^3(J1JSsxNVvYe_t==;W8lBXF;&@QsrPvZHyT9R2bt5^B=S$jtbqG5$@T>@ z!LLw?H4%ppfer1DJ{(a+3^95RyGS3&xbg^Vc&?Ba8* zHCMa%NNV_)vx|6vUCfcTKICo}*>Y?bljPVgCNraR$p?mduncBqF8Sw}Gt!@>oT~A5 ziR|yPFJucM2m;H>q3bbgpL&|Jj>d5C;3ldK8A4^9unm*7%jA3Tbl@gy0@*K*m+zCx zU)j#in>TjEWZ8z9>-@*d@l1MsM@*efY|es17R3S<#X?!*@b*jx5^OhMATqgiGWxsB zynatzhk47M^&OzKO&!d$`D7THAcx|2I2`!}+5)TD)&VwQ=m3`tCbdt>bmWty`scY>T#^gijKe;1Hy3LL6$vzDZM z*ZoOfw|>LMP4%0B`x|6)AvuitpJ!P|(Y%DDMO(KSSg|x$krL7Nh8^s|!CKOrj@ihY zL%np5^n{d@*`#-*=cMKGCGw^63VEgcF>DSSrG`zc3yJKN_UA&TfQ4`_1WB3d98$sA z$rH@SYr#&~Fxk~kZb%I```XDyY3n9;JE>spq>{Ch$NIOEXPzWCVkhA%df~-Cv&>h0 zzD_I~#k&Y>H`8P-vJYf0g599>J}x33i&x+xO6|wIs`KT%FKCgu`U2d)%jL{pvViHA z*O8&w`EuyxQ{?^ia(tTaoDEYBv#G9k~9F{7|uKwsYx;c6NtV&4>I&NJk+ zFpiOW2qo*`UI40(fr0`~{_`1fv~RiHWTqTC_Y4`ynhZnNImX~R7=w4gfOD81aO~sP zP9hp^sg+DFEGXoL7(((gF@zX5f*V}zgXT^m62JR0Q)UZ-5bz+0B%ul7Bf6L_JD2 zZzd)FFYS5dFQ!);|N2@}^WPY9h8TnX+Ps|{DKh_G3)c_!LO*DI!@?cxCne&x1AqU= zL58ei@!mQ3FLJa$8wxS#I7sMCAa*z>p*sXWBp)UeQ*uj6<~c|uB*!3L2#lm~1k`JNPR_?4rkcWv#7Mt_l>4zT!wh?|T5(l=K&??-z72Z0PoCfM{ zaeSza)?~%=?p#1i` zT=@)1OWu3Gv+KkMaD1C=zDN#{eDrVY$xphueQz!>}wQFef9-gJSd?kN-~8p#4VzY=b>>~c(!U0DGR`anU=fqEg?Ew($v1$l zgu^BvPBA&ZWS)Qv$#;6l#~$VKu?_|G5VFYvAfQn4Bj|ezXFZq+J8>nsMM7>R{{iAQ z_8~4RXGU?sj6Ck1KF0Pd5ceZz6?%uhK_DjZVamk0k4sHGmEAR*p+yb zG(oxfk{%+upm6fc8F>X$cnM4VNnc`mFpJIMN_t4*P_GXK{^85B4EZm%U-upyLP;cg zifE+#h%AaAqEQBVLbh4fDEmKIo9rFg@`xP~|A4`vB^YXx zL85jX*qVm4ecDA@MA|#j@TQ*1p4Xectc<{6&@Q~eRiC?7nb{<5>nJX?9jRycX0mZV zpl>X~Z3J>SZKEMx6=_qYW`Yf?9zd;QQR7^IFt3FW^aUA4{-rB&T=ki26()8F5Zf>u z?Uq>{L_zJ1k+_w&xRj0W&2KnQHK|2m)Dz>$HDESTN@=vMxd&!fUey zp;jq76KZet-GAPuHTkriXJxa6+jnw1QxJdL;R|8Dh=<{J``HUPl3Qe`H3IdpTD#qJ zKD;uh{(J*<`N9cnqZvfG|5T8V=|WqN(k9B>(WB9|ei~sDH~ufECtPQ`V2<9=qtoE? zHZojSRk^6VwzO&)p~zv#Kx%9XIF@)+R=Ij*Rq2xmyBV~&ba6%b!kUFE5dULqSCrR2 zQC+i4xuUu(o+%8Z}R2 zFGr~;!%bmwh6Pat&BMUsUb@VRvw0*%i@v>bl_3|i)BStRi1HTwaP2xnp5waSAu^Wg z4WNFx!NF%zbl}b3ZvTGg?!8_DMgm$aF_P48J10_Jj3kEosX2>!fb#NTNN@4I=0~CA z7ce9+C^%&3FaW#Ryp#&o_YmXBV4(*EcR+{2^Eh#wPwoJB#`*;CdRq1#74I*=Plc0S z#AkiHoGX`kUo zHeJ9dCN2gLa*fwAfuOA*D@bFPHiYL!5DR8Jmk_>QDxK;&V z^1^}{cDIdbl%#=&U&{@(f`LF*=%%ksz!uJpD|X^UFeEeUH+#h$id{>6O77f1dLQy$ zN8KXDVIxM48qJUz>i2_s%G+<;JW57ni3lPSjYqR(56R}q%(5f0ZrM%QHJMk$*oc$} zO~m+!?1;RGsS$+{bHM+@0~k}0#T%VtCU2#r{)-eHor;*P>DGIcCYyjN=zlCu*H7>L~6PQ%6FUJq`at%acuQFlnCOQ z7f6}Q=nQh401ueG&5ibU0fBrXxp2&34U)+q$19vx96?|Y5tUz3QV7AU9Zr%5*s?fm z0_82=TG^aB$dP5pXEq88njQ5!a~ zaI-~Xy8eH*JPQYRniq(Ca2^86cbm&aUN{ZGmY0jf`tjuZWK>b%WEb9F1c`sa>CetP zm~;#$$;oz6N*EB)-#8IrYv3grA)J$9{k;V4E!g=4C*orIs7ccclM3|(bL|!;X$1Wh zai;Ic`GR6*7v~mb=Q!sze*-YTK0RdUL-~$a7-N+DAGqi-eO$Thp~?34*u7rT3Z{RT zGkrl1fuMf+Oh=o5?f|s9fooOZ@xw52InIYTB!{5Y&$w0vl2c46$t@OiCCOpCfWf2% z=prUN4UlEx2*7>ar@tvc!qWuT9=^bIi>Ayl%FRP1kAAU!@*TU9B5qA_4g&Xn)hi`mfOesfldsX}pY+`w((6EuTJT3R4=V5U5@Z?PI(Q2 zY?GjE(uQ`ODW&alS0Fqu(jc{rtP4b^rIw*}-srJtiw}A&8cm7PBA9YQI{RsOUgD>q ziTf{gDQy|(IhnnK;QqlZg6Ecp`J<=g7O&SXHGPA6qqOs75Gg&!oO?zj^W$y!e_!77 z3PX+;-)WA4;O7D6(HPN~j5M@CZc)QS+Lm~;vQY*+psTV5pDwL66op09g`t=Tix-Me zG+d|?qqpP@J^4^wq0!Di^hlj3fFy&Ms`aANe&X1ZKUm|o1l=%G%unk@3TD*?(a^ZX ziiR;dcwT$4!jf)EL8S_d%9M(CF{U)UlbL!u+pb!F--SS)8$_cs0dPJ%8Pfv~HRqeW z+j=UA`U@5K@|>m%c=w>0z`HaIg9`~52p8nc%=MyC4B99PfJfW`z{d{YiwjKFM$x#! z$D$j4H?2pnD@=8GL*b1CZ^U>*LzfaaCV>Q6gJK;$j;*`_mGHc6+xbTES)Um84!uTq zB#`-Fqi9mf{7749SFA0(?UF5I|HZC}HZhtMY4z%?`vz)8LvjEBh6BJ&IQ1n|{n&{n zG1;3$&rokiGlfcO1l~8aiAFNNZW4{9PDC?%>Zwr^M(^k`jWUDxK$%g{(ev_6e*({e zDX9RQU{M9=RCIpRWq7`-{!-JoAgOPl!X`&&qfu`()0Rjf{fQ_rBd8QZWw;J5`z0D4 z5P{mL{g@Q@X3+vrMkV+dSi z8Hq15jl!yuzrGcy?1jgncJy@a=n24bY2dd44*L@-!j%fHNAd|o7%Op@21Z=cWjH;59#CPn5q-Rp|BNa1<&Z|+Uq+s>Ul zRM;T0VD-qGUldVv^Xull;9BoAGZ2!t>|@Acm^}D~Na6$Y@h2g>izj+X zCC4q1_&aal$Fc=A^SiYC}SshTB+q3^d+*a<>CUgNZJyMTI0~R zH8R8FGH#f|mFxfog{F&!23boyYE3}f_Q(vcz?~$V>1jNNWt-e0n}d%q+y%pHvKA$3 zO+?$;WQP5+7A~2_!ufLt0EYc-xUT5}$mAMeXu8N3TA#%OFFgjz=3sk|~apO{mERbmXvod&&2!rsEQz*tq)t}elIyU4n zhu~t<`Njv}lr9mnA&FD+<`VL!@e57Q;J2p|;qFW#zG+aE?y)+3lD!&Vf}wHLnK(VW zs6ab!27&Oi7$~Qv@^KiFBhk#_927Nk$&!TP#Wm&SEBF&psHA*#EsEyuO`yDg{>vUR zjH+Hy8;=TBz}2hEV-SJVNy#axY3Z5_6=Ez~#i4pUBSBB2IFx{5Q6hTAiG{s%Ms>~d z(ki&poAQ^q5sv%`qBL>Vz2o{7f4pbh-ZQYd>t zLY%FmoOMaWKV#TRn!>0;A!64_u3h`ke~b6--9aQgbY_FoHuy&b+76IZ6xsYw5k7DnEL-}s=2QWi=0b1dwJ{olz+Xx})f>}c#H){|~eR!}U z#|-J;5SZt2Q&IsKJ0&fC$c~JVoPxl-s?S6!3eUIZPtmBjHi4zj$pGR~7(34rpbMGq zGOZ@)OC;pPxak<4(s1)KU^68SX0PLj=6 zMB-+fi1PXDi=HpfoIUr|d4}u|-P}2m@@IUOQokJhMKs#}7?D3cT7MZD10CT>9lis= z7QP{e=g%xIDYg?1aoe{5_9%B2&pmiBIle*Xgd*rGFyVR4nK`gyD=03WEtv4o-vc(# zF*K@Y=TAJ`2==b2a;7$i!%6=Da1C5zcRL)dhVl3N>qv&j1G2;35DF<|e2A02nmj1k(eH7%thh)n5QE zL%i-$799(doTdRR!R>r=q=FKQc z%A4tUM_Lk0NrIC zcrYa}!$N8R?1tMz2*4{F4=AB+9^FLBn`q#k4FONXcicNUTg^*&uCnBzg5nb86t30V#X#I92XX5vI56^1?>L&I$$L!c0Wtx? zR`A1z$ZWb-;!nZNEiZ2$UqAnVAz(DWG#AjL#6fT?YdAapmD7GhLc{Sri!l$3MN(OW zoFVh+pPN_F$&@OIA9zzy z3g#5zfTR}y7|e+<(@wBMv#yEWAP?T4N7GJULxZ`~QGI;(!+-#}Ay`NaEz!C-8~PCd zb8#ZjAPeT=MCeBWY?KgN919oX;zPm1=>X2%!!0~C>lYfrkvp{a&Mo3VCUBo*G+mUN zox>#VleE*hlk;aDvh9-ukq0H>IrO1wU$Gy_yyqt#$BY>wj$o3a#qrGYA>!d=8IwIl zQUE$XcyKrkK6m2~aVB$gi1-cWe*(o%Lj9iRAhB=b=VbFJv6ucjxshUrAp1B4!li3q z2s*}%kB$K5IvMPGBZZ)Afek*+aY!FVs(csO5%d}WixNr&gmRr6o}DwjV1{E%hE^X3 zI5`LBh=%|U6DDwm^b-Ka>s$baM=CzV;Vft^fCcp#sY3GX-IX&UJ^duWK?v(&Lf6T# z!lIdZ1zJadMLz{#yahPoq3h&Ot=7er(N8;x6O6X<682^l*CZD3GtjxG;^>yYf5SEp z=nAK~SU6n==zuFsoB$n|r>otq2e2`Hco+twvQQQD1^|QHwvbg3XyQ>M&|3f-#4tiCvAJLoCJD{uS%7=cZRo_&nUG}=^;Q6b%%&T<1ki8J z%;G%zS3o}41`!MY2@ruTcNaRxJWQWHumRBZ4IRU#Ps6u89A-+J0IZ5@RcJ2me&tmF zbN64@$(Vw?8M6feF#T65jQDdb@Bro_pf053AzoAok|j&>05GSl3OWzX_S!6V>jcZn0U-Dt2e9MEmq0Qs?<{+k+d2R- zt|cFS_~CInYe_^^9srino&d7|)pLgJ&x2Xt0l!D;tCnCw=rX*^%Ua^`BHi&SmV+#9 z&WFHKSsvVS+s!u3ZS7Q)X?ec$-mL<@0FLJ18rWqen}N@y_@~PR7>z% z!sE-K*(+FSy~+Ln$ky8nW5?~@HwfYy-T=NF>?DXR;GQ7f#5MQ_aJ}p%&;%v8B#XzI zwM2sNo4?6|zWJt~?a`)Q-`j7@zWs*nQMj3f5pi^8VQw(on{Qg+&lX7B4g^H-IuA6P zm6fC8;ofEU!9;LyFnHWxfcrJDS4w9P zVOfqHAz??zHw@%lo3R3Ph8fISl5-prD1(0lq6nFQ5ntl;^eld!R+d8u;AAoxNM@2y zG&+u5+$sRl96~}SqXeP`d1tNQ;NAspj?+2D(vlH@Iec*XA)H#0hwpWV2j-M556U{uih$J>wh$KZT`dPlWMOtN!f;VmRgQ7i>_`$rL>hG^(FcO4Ur6X;uQBvo zakw*}5fK|Huc)ZPY$vZNH2*DQf7 z+-g)@4r#p7DhK>zCn-4p$6v``KB;^u5C8<(2^WGu^#YVI1Ie}3OVPst2yxWu`?&~B zn1JL@1MD*ax!U4ffG^H1K_%!xq~gnYf^s2S&MN?c}KNl<_a1uj&OtvWw{tH6f54CJ3{F1tc$ISwRTo#LG0-6AyZp3s)xcN~_@BZ6?ag zhPXt)#2+Tr4Uq>@^Yob3JSVNJT8qm;b!D8WdA+V#QMn34%PSHsDXpwx^-~LmIiLd5 zJt{DXQyxOVKhHb>3N<)b<{g;sgUA!}z`I_^yk|xA+k#!Z?#9-io;)GK`Ug$(8s{;2 zpw-=`SUrLJsNvOAj5{2-0_bMY@pF0K)o;%N2(D-8k(*g2+cm?w|_FaaVD>T$Ou ze%M+9>R~mn2j0hnto!XL+LC@Wk?N`m4o)b)L3uDejGu$WGzj)QYogx<@=EpGP%~Jo z832?pP1=}%LIH4{Iv-PfVvWF3p?HGf=#3Jg;U?2WmI|i2j zz%AHC=xR6pn@zu@x*_67)w8o6EGHbf3wws6W6Y?8*M403ML)XWZ3uQArYyLZ^FJpX z*kAv1mi~0n52ikd2Bix~K-?M0wTYLMQd$z+J;RccIU50})92|eE2Z_l8sUEuqo|f0b(|h(o15^z9@H}Yf@$3T2*>m?$J7=a& zxc{nm^n*z6NSX2C8U&O5=%b$&91g~#>FBxqq)Rl_FVl9-@|Zl3YIm!4ugdn0yy`VB z`T$Wo^a1?iT!UNUv44Fyr=ooSfSphu9+~vSqhS6$Af`bu*^fT@Bh@ZNR^gC;x$h{h z9u%fOHvP7D58VF>AUQIpvyyiWYO9~{tWbDg7Q07QJBU-KyT6oU7_2&n=8`>Xj-AeP4GHA^U(A2ho-zIZ zIRELl1sBx~rX%)8ssqsp#pAe?M7W!3Zf7P*D_FsJhI%GHDEfxKQ4;X6x-ptwY&Plrt!{BhmU*&CBQhBHZye1N0L|E@3E z5kdbO7}J2-8%TNf7Wy>cqP)S3e}ls``0+1E?Npw=kM;)Yg)005WvSUM(A8IO;A1^x z|B@V84u`b4PN(nhiG}{c(0(zwAsVFKaQ}15{`K2}i{b_``V9t=9zWsWfmV-BG%3lh zIQR?%O!3^F8^MR%K$nz`FhshWS4``x8a+I;;++12A7n55FZxeq0z70&lNLr6*%s%D@*l%Zi;OIG!XKRibKNzSx_ols2s zA0MtfBhvT9p+w1SX8= zkE;&spN*RPrF8(^c~)J2q`D#EN!8TZB81`aI&K`amBR0?Pi$BRiC zs7gz5^M?raG5f;$YosEA~zo5*C(pT z=5=CvXC56J9O6anR+i+g$FHxmkK8j8^5_&_Z$BcoBQk*)?a#y>kc^5B;0}X^5R;3Q z@cpl%l5LBt%80-~V)tXqSFVp%s^izj5V9cV!zr{BzDMt0Lyz?f0n|C!>s7?4q0G?( zk}$fM7&VNURRc?z4(CoqsMG3;i-{qj{GqCTej(u-X1~rmoNG381QENwsJyD0o7}E1 zDP2@mPI!;x&W4O4lxhaI1hld5D+i9|Fu-xq>>0$cF^ax00tXkr#^!6U8&Um4#6A5J^0P z$ru82&oP+7pHAgY`=;U3xifMI?{u!lPlIJNd;0Wwgl`5EXBL5S{WFONa(JU4e8&+` zX0I6!0J(7Og9H=&2z^Pvky;@N=3f-VPkrMbeGFdyKz-g3aeqLZehc1GEOUEH5#9&J zFDtWnxDDM2*yJ2G!TZ4Q9uvHUD|}g}3*dkfx3_SDvjw=INS^?(liWK!9rjl!ualv3 z*!Q;`u=EE2wub}59}Z;E0&#_|-wM;y9|9b_s^r#cxBbOV9%0}|07mqAF)1Wl_|gmz zH&sLuf9mtXQJ+uvX+``e{9IqwiPRS36^ETnJRrpjH1qJj8QL z7=yu=u;1(Ktq^$;6P)j|BFD=*dU&@>AK+V7^Tb;2KdPy&##wmBWEKyA>@QiJFmrKj zf;t1K(-LM>Kc1k5Jj{4_=6(X492C5J{oP;W>{gFfKIlWwQWK@B{VwEDi}s5KfOCrw~he5b-_^sHUg zuCBN*8kC-#j?@}ES1O(^4RTO}l~oI|%>7Z}$W)bvQR#8-2AgM1K!7WM5J-|UkSg7N zrL*LqCqL=_Nc1QG<%P6U@0wIUb*7_h!B>JQ&(IshMwoZgC)~fSvdA|HJ+q& zwGdG{t2ZGcPsXp;6<=+5)!krrg}+b zRrw(30r>zX-nThQdZ&P@s+QazO$IndP&IaIxYSo&wTlO1%P395IUYUhdBB+2J|R%o z%4I9>PYp`qU8~c{y8GDVfw8c2k0j^=U_04smSWkeGzrs7Yh0v^U!fit4?A+g3=f=_ z-Q_z<4wb_j)9zRx_vLPYsn~KH)Ig8-K+S!)VyQ`k4l$qs;y14K@XED2O?^p9hP!bv z+VaN3n<41m$r*kv`~G-PN)omiUe~y!$MJUQ1%E?3g>+Jnu za_ApSAUO#otALIwYz5AegQ6+@Az`~4zk#?>m6@EL33n$1B?k^1*9+0;`%}AcR0JR3 zE@M2_3-R=W2F5aOoX0FB^$lnX_0v3tWv)YF3Oo(wM5FF&gpbz_HtqneCnpq_uf+5~ zmn+tDMTdOw4RIuqb1Jwx_ybGly_7#OciH3zCZ-v|E7i! z2NkZf#gC{s{`GGM|u)83ozVECh0A5k$FF3Ew6ApAt0T2*qBPbZ0EJ%SCL zEPR(7CeTSRm4#RJU8dt7Q6ZDz(;tFd0YN7N9P$9?_T9A<088uxmdK3(O8B(LWR{b( zeu#rFNjiUF1YH2=;Cm&m)G_36y0E!HObx{!1?)a``qR%ow|@li0!*cTY&MFchFrY# z&E;>uyK?pWAAY=c-NumT$)B6w6h{rcam#g45%}&BzGi0u?IRJfzn%Y({M39&92w#Z zpJU>d6d4{G#(sYZuNiTz*-sJ``~dqMCPidabWChqe1bBOAs?ZxHz!LHhQg{0?1z{p zz}NTj2bl7v-Zis`YaUa?*#$R1xKd=t~*P9Izsa`mxw|6d>=c|@G zXZ?b-fdc~En$IE;L3 zFd#;pX>eg0#($XVb1?)a5tznbPZZKP5W6uBuG*&eB`gW`=LM}Ja*XVH~!kKc?xHC0vuj4M8ObJb5SekDGBQZL?~3XJ4RQ8V9ky+1!fvzbS{#` zu^PA%J(xHCo|%IL4>SrfCc$leupK@{pjKz5fH68|*3bh}!5P64jIcJgRtXDWuz2y_ zvvY947W8S(cKC0kIj9_nX|;;=W_A+OGE>xEm^(? zox)E1?HI5p6k_B&V~LAa20+N#ze~mhG>d8G2OKa?e9Q+!RrvYw#ij7o8tyl!V92$j z2s=({W|AH}8XLkv=0hM-d=u#Y!66vDy;o~8A*ONWSFo!CqG!9H^dts|4DB#DqvFh? z;64d{B+WkU1Kr~x2R^H3hiCT#=^m$x!ISYMLZ8F~h9l1c<_RxO=za05_R%UQ(t$9l zQ(1Gg>t3A+*+3UZl!QaUhn&c8X+VT}oM>^RS5z7h8>X2L1z_Y(0fWbB5+TlkFxrzY ze9i@W3=Bbz%nR;8K;mRa5HOhd2ci=&M}GPZLqG09>5k(x))7q+(sv)Gg-IAa7&t3N zXD$XGgZC|gpAhLlOuOkN1IIrCz2IXm{^^6Tzxao}W#LH4z(}0}Vst&m1B7d+I}nKo z(lpNG4DblX2t4cUnofZs2rK4~m^a+Xbm)QYaqhCP0g+}9Xg;-6%o$(i{98o?1k zBG}%6R1j;C~RP7=mWIohReyPwm7A{s($ao(qwE<>@j~K*;N~LI@vmPTS#) z@o`2#p)Z$pa-tnjo^T(_nYhC9JizE2-S9D!T_m2?Y>`lnd6Z;n= zc*1wPajLKWC`AzN%X&(xAt}PI>M8i}XrDzwR{|KnNT>j;&+^VS@Q}hT*M}7Fhho|F zm<1Ui$&;cWLd`AFh|%GP832x3@zI&HkvuE%C2F_@A6>xQR*W->94p2Nz~b|Bwc44m z#$g<=)) ziuxE;Y);@vaMsz^#U`f_B$pLMY0T#xPVrnRt;&JdGt z+b4~9^&@ndc_Wf~hP=WgL{TXLu&xrRL!HqU3G$0!Rzy)RNLI0{DGDv*cUa|~Qj>*D zaxAroymDw~EX7b{=OJYRg-BA#%v4h0qH3gMN?{=*q1+=Q*uqpfYzl7^{j>28YC$)(Fukne6|e(hudS zsl60lcr*K*uv#Ju5rhTpM=wbKD$UW%)MROvYw9$QXjW;WG@CSgHScOZ(45ko(TvC# zpD{0EamL+oRT+OsmnWUYN|%?%qj)o*4< zfAP=YKLWA;_-SVrHHnlnle2(L@pzlxiJ)5=U00FKOQUP|Vb)|(kCEBT`7BBayTEK} zJ^e+hHv7zKZ1R?LO8|N;9hSTOSPH9}-%iqLtr6%=dDB%> z#Ezb(?_sqq?cNlwLgB11#et!FVRdLPG9Tqo8uB6|$)%#hVp1)`>inB}!%fOnJz!`T zlhM{R?H5dLE*S1bW=Srk9(get7LGe5xBRxt6o(Ncn*-2$GRtx_j%mxKq9j00mUb(1 zE|(flZed2{Q3>Hd=5S1AkSU2>j0*@tF{uEg%cCCj{#!}~3Q5-fjcLjQ&i=++%A;~& z7dr(O*_p-^O`&8GKoE;wW1gBqMPOlUWnP^^g-7v&OvY8aieqVtQ$6OmX7c0{=@Fs zD>=O5?2s69{k=}}>ww&R{n9-f#e$m6_!u+cY}kndZj=LU=(UIW<~sX>tnYmt2m-Fx z?L=TJa8vw30xZaPBe&N|YRssCGB1E8(ARF2597+$m}%3f_z^FrA(mw4WzpPaOv5y4 z*m$7Q8$-X84%{J@Fel`I4QO9GbbcCDK<3C;o$Y;!rPSsHs7`d&{mQZg8Z;4y<2Ag5 ze+6|yGf?$ay1hz=vK8nHX8Clg$R`AM2==gZIyHGh%%&UlH#hg1Dqtx#+A2nAsye@} z+cw%f71q}~t@6%q>g87Hi`JFd%6cMOWtPvN%0_9oBw-LU zZ3^Ad1027RWO=ypHfm8@<}+7kQ27({)eQ$>B}P~Mf9=3diK7;lC_m!Fyp-ehYU zbd|p`zO$$)V`b@$Yfxdji9`>lTh^JP+Ik#z4n6-RX5}oZI21bQFj!3#mWeYW8S#3Cwi+Xx3vG0l!s?Z8UI;`~z~ANbfcC=WtFZn4g!KdYZ$To|k=j_sl9z6(Z2ApW z;ruO#GF2ab$Dv$UG;mm3PtYx_6YM{Vskw>3pbyVgI;h(&l<2me*Fi3BQqjJ|EGePt zMN?CC%tReEg7KP7g^*L3k+Z4z;KKCVy7qUl?S2P4>rEXKtffXBs+mn~k?d@teDp&` z5?Qc7z~`t3yt-9dpe$Fuu548vQXW?-6Su~<$Nw7dl`t$JG+|vo{}#kNAOFAcZ^U!k zgYgSu-j4rw{I~Jk_8J_IN>Ck0P~0+gebaY{9;*8?lu6&|OC?Yv2a?EIQ4@%vWEu6$Wn za^!pF(XXjjeYR|UZX0|?o?R{aCJcW*c=)?{@G|u}nY1;|a=R==V6L=UZx|{zi;Nx#@@cAJGG`7C8beW4j)- zhNEL~mZ448(1l1#AlevX@kQ^&b&azPHFv<$s050LwA@0Y;={|&)Sm&gmhYiuk+vlQ zT7@ft1d;ZX9K+(1VO>%yRrf^8I@J0MLS(c>v~B}3pMaBpiL(rU6ezJgWD>XaphsgY zvshC8!fg4Dnyv>L#9aZ_o8h{xCeePSTR "sys/kernel.PAKED" SYSKERNEL >PSTR "sys/kernel" *-------------------------------------- MSG.INIT1 >PSTR "A2osX[Stage1]:Init" diff --git a/BIN/BMP2PIX.S.txt b/BIN/BMP2PIX.S.txt index 98c8c3d8..6d514d35 100644 --- a/BIN/BMP2PIX.S.txt +++ b/BIN/BMP2PIX.S.txt @@ -3,7 +3,7 @@ NEW .LIST OFF .OP 65C02 .OR $2000 - .TF BIN/BMP2PIX + .TF bin/bmp2pix *-------------------------------------- .INB INC/MACROS.I .INB INC/A2OSX.I @@ -94,28 +94,37 @@ CS.RUN beq .3 dex bne .2 -.99 >PUSHBI 0 - >LDYA L.MSG.USAGE + +.99 >PUSHW L.MSG.USAGE + >PUSHBI 0 >SYSCALL PrintF lda #E.SYN sec rts + .3 ldy OptionVars-1,x lda #$80 sta (pData),y bra .1 + .4 >LDA.G hInputFile bne .5 + jsr CS.RUN.OpenInput bcc .1 scan for any other args + rts + .5 >LDA.G hOutputFile bne .99 + jsr CS.RUN.CreateOutput bcc .1 scan for any other args .9 rts + .7 >LDA.G hOutputFile beq .99 + >LDA.G BMP.Header+S.BMP.BPP cmp #1 beq CS.RUN.1 @@ -156,8 +165,7 @@ CS.RUN.1 lda #S.BM.F.BBP1 * adc #%00001000 * bcc .2 * inc ZPPIXRowBytes+1 - *.2 - lsr ZPPIXRowBytes+1 +*.2 lsr ZPPIXRowBytes+1 * ror * lsr ZPPIXRowBytes+1 * ror @@ -235,11 +243,14 @@ CS.RUN.24 lda #S.BM.F.BBP4 bcc .1 inx + .1 sta ZPInputRowBytes stx ZPInputRowBytes+1 + >LDYA ZPInputRowBytes >SYSCALL GetMem bcs CS.RUN.RTS + >STYA ZPInputBuf txa >STA.G hInputBuf @@ -252,6 +263,7 @@ CS.RUN.24 lda #S.BM.F.BBP4 inc bne .2 inx + .2 sta ZPOutputRowBytes stx ZPOutputRowBytes+1 >STA.G PIX.Header+S.BM.RowBytes @@ -399,12 +411,13 @@ CS.RUN.GetIndexInPalette.RTS rts *-------------------------------------- CS.RUN.OpenInput - >PUSHWZ Aux type - >PUSHBI 0 Type + >PUSHW ZPPtr1 >PUSHBI O.RDONLY - >LDYA ZPPtr1 + >PUSHBI 0 Type + >PUSHWZ Aux type >SYSCALL FOpen bcs CS.RUN.GetIndexInPalette.RTS + >STA.G hInputFile >PUSHWI S.BMP @@ -412,6 +425,7 @@ CS.RUN.OpenInput >LDA.G hInputFile >SYSCALL FRead bcs CS.RUN.GetIndexInPalette.RTS + >LDA.G BMP.Header+S.BMP.SIG cmp #'B' bne .99 @@ -427,19 +441,9 @@ CS.RUN.OpenInput beq .1 cmp #24 bne .99 -.1 >PUSHA - >LDA.G BMP.Header+S.BMP.H - >STA.G PIX.Header+S.BM.H - pha - eor #$ff - sta ZPLineCount - >LDA.G BMP.Header+S.BMP.H+1 - >STA.G PIX.Header+S.BM.H+1 - >PUSHA - eor #$ff - sta ZPLineCount+1 - pla - >PUSHA + +.1 >PUSHW L.MSG.HEADER.OK + >LDA.G BMP.Header+S.BMP.W >STA.G PIX.Header+S.BM.W pha @@ -448,10 +452,27 @@ CS.RUN.OpenInput >PUSHA pla >PUSHA + + >LDA.G BMP.Header+S.BMP.H + >STA.G PIX.Header+S.BM.H + pha + eor #$ff + sta ZPLineCount + + >LDA.G BMP.Header+S.BMP.H+1 + >STA.G PIX.Header+S.BM.H+1 + >PUSHA + eor #$ff + sta ZPLineCount+1 + pla + >PUSHA + + >PUSHB.G BMP.Header+S.BMP.BPP + >PUSHBI 5 - >LDYA L.MSG.HEADER.OK >SYSCALL PrintF .9 rts + .99 >LDYA L.MSG.HEADER.KO >SYSCALL PutS lda #E.IBIN @@ -464,6 +485,7 @@ CS.RUN.ReadInput >LDA.G hInputFile >SYSCALL FRead bcs .9 + >LDYA ZPInputBuf >STYA ZPInputBufPtr >LDA.G BMP.Header+S.BMP.W @@ -475,10 +497,10 @@ CS.RUN.ReadInput .9 rts *-------------------------------------- CS.RUN.CreateOutput - >PUSHWZ Aux type - >PUSHBI $CB PIX Type + >PUSHW ZPPtr1 >PUSHBI O.WRONLY+O.CREATE - >LDYA ZPPtr1 + >PUSHBI $CB PIX Type + >PUSHWZ Aux type >SYSCALL FOpen bcs .9 >STA.G hOutputFile diff --git a/BIN/LSDEV.S.txt b/BIN/LSDEV.S.txt index b6bc1194..9fd25014 100644 --- a/BIN/LSDEV.S.txt +++ b/BIN/LSDEV.S.txt @@ -62,8 +62,10 @@ CS.INIT clc *-------------------------------------- CS.RUN jsr DumpDev bcs .9 + jsr DumpNod bcs .9 + .8 lda #0 tell TSKMGR that all done ok, but sec we do not want to stay in memory .9 rts @@ -73,16 +75,19 @@ DumpDev >LDYA L.MSG0 ldx #1 stx Index + .1 lda Dev.Table-1,x sta ZPFDPtr lda Dev.Table,x sta ZPFDPtr+1 - beq .8 + beq .7 + jsr DumpDevFD bcs .9 ldy #DEV.DIB+S.DIB-1 ldx #S.DIB-1 + .2 lda BLANK.DIB,x sta (pData),y dey @@ -103,7 +108,9 @@ DumpDev >LDYA L.MSG0 bcs .9 bra .7 + .6 jsr DumpDevDIB + bcs .9 .7 inc Index inc index diff --git a/BIN/PAK.S.txt b/BIN/PAK.S.txt index 2375fb9a..a6747590 100644 --- a/BIN/PAK.S.txt +++ b/BIN/PAK.S.txt @@ -201,18 +201,13 @@ CS.RUN.LOOP ldy #S.PS.hStdIn jsr ExcludeMatch bcc .8 match, skip - ldy #S.STAT.P.DRIVE - lda (ZPFileStat),y ProDOS Device ? - beq .5 - - jsr CS.RUN.DEV - bcc CS.RUN.LOOP - rts - -.5 ldy #S.STAT.P.TYPE + ldy #S.STAT.MODE+1 lda (ZPFileStat),y - cmp #$0F Directory ? - bne .6 + and #$70 + beq .6 + + cmp /S.STAT.MODE.DIR + bne .8 jsr CS.RUN.DIR bcs .99 @@ -240,12 +235,23 @@ CS.RUN.DEV lda #E.BADPATH *-------------------------------------- CS.RUN.DIR lda bRecurse bpl .8 - + lda (ZPFileName) cmp #'.' + bne .1 + + ldy #1 + lda (ZPFileName),y beq .8 - jsr CS.RUN.BuildFilePath + cmp #'.' + bne .1 + + iny + lda (ZPFileName),y + beq .8 + +.1 jsr CS.RUN.BuildFilePath >PUSHW L.MSG.DIR >PUSHW ZPRelPath @@ -494,7 +500,7 @@ CS.RUN.WriteArcHdrFile CS.RUN.WriteArcHdr ldy #$ff - + .1 iny lda (ZPRelPath),y bne .1 diff --git a/DRV/LANCEGS.DRV.S.txt b/DRV/LANCEGS.DRV.S.txt index dc3e324b..0ffa3ae4 100644 --- a/DRV/LANCEGS.DRV.S.txt +++ b/DRV/LANCEGS.DRV.S.txt @@ -122,12 +122,13 @@ Dev.ParseArgs >LDYA ARGS .1 >PUSHW ZPArgPtr >PUSHW L.SSCANF.MAC - ldx #10 + ldx #0 .2 >PUSHW L.MAC0,x - dex - dex - bpl .2 + inx + inx + cpx #12 + bne .2 >PUSHBI 12 6 x byte PTRs >SYSCALL sscanf diff --git a/DRV/X.U2.DRV.S.txt b/DRV/X.U2.DRV.S.txt index 99857579..4b038c66 100644 --- a/DRV/X.U2.DRV.S.txt +++ b/DRV/X.U2.DRV.S.txt @@ -146,12 +146,13 @@ Dev.ParseArgs >LDYA ARGS .1 >PUSHW ZPArgPtr >PUSHW L.SSCANF.MAC - ldx #10 + ldx #0 .2 >PUSHW L.MAC0,x - dex - dex - bpl .2 + inx + inx + cpx #12 + bne .2 >PUSHBI 12 6 x byte PTRs diff --git a/INC/A2osX.I.txt b/INC/A2osX.I.txt index 8db00121..a908a8b1 100644 --- a/INC/A2osX.I.txt +++ b/INC/A2osX.I.txt @@ -206,9 +206,9 @@ SYS.FEOF .EQ $3E SYS.Remove .EQ $40 SYS.Rename .EQ $42 +* STDIO2 * .EQ $44 * .EQ $46 -* STDIO2 SYS.PrintF .EQ $48 SYS.FPrintF .EQ $4A SYS.SPrintF .EQ $4C diff --git a/ProDOS.FX/ProDOS.S.LDR.txt b/ProDOS.FX/ProDOS.S.LDR.txt index 84255ed8..6a390f80 100644 --- a/ProDOS.FX/ProDOS.S.LDR.txt +++ b/ProDOS.FX/ProDOS.S.LDR.txt @@ -529,7 +529,9 @@ LDR.BlkDevScan stz idxl lda #7 sta LDR.SlotIdx -.1 lda LDR.SlotIdx +.1 ldx LDR.SlotIdx + stz LDR.SlotDevType-1,x + jsr LDR.CheckDiskID bcs .8 if no ProDOS device in this slot. @@ -539,9 +541,9 @@ LDR.BlkDevScan stz idxl sta devid =0 since disk ii's have null attributes lda #RWTS - sta driveradr + sta LDR.driveradr lda /RWTS - sta driveradr+1 + sta LDR.driveradr+1 sec 2 devices jsr LDR.AddBlkDevs bra .7 @@ -577,15 +579,15 @@ LDR.BlkDevScan stz idxl iny $CnFF lda (idxl),y - sta driveradr + sta LDR.driveradr lda idxl+1 store hi entry addr (low already done) - sta driveradr+1 + sta LDR.driveradr+1 cpx #2 CS if 2 devs or more jsr LDR.AddBlkDevs install 1 or 2 devices from this slot. .7 ldx LDR.SlotIdx - lda sltbit,x + lda sltbit-1,x tsb rommap mark bit to flag rom present .8 dec idxl+1 next lower slot. @@ -610,8 +612,10 @@ LDR.AddExtraDevs jsr LDR.AddExtraSPDevs -.8 dec LDR.SlotIdx +.8 dec idxl+1 + dec LDR.SlotIdx bne .1 + LDR.AddExtraDevs.RTS rts *-------------------------------------- @@ -620,15 +624,15 @@ LDR.AddSPDevs jsr LDR.SetDevID setup the devid byte from attributes iny #$ff lda (idxl),y - sta driveradr + sta LDR.driveradr sta .1+1 modify operand clc adc #$03 - sta spvect+1 + sta LDR.SPVect+1 lda idxl+1 - sta driveradr+1 - sta spvect+2 + sta LDR.driveradr+1 + sta LDR.SPVect+2 sta .1+2 modify operand asl convert $Cn to $n0 @@ -648,22 +652,17 @@ LDR.AddSPDevs jsr LDR.SetDevID setup the devid byte from attributes .1 jsr $0000 SELF MODIFIED -* ldy #$FB -* lda (idxl),y check device id -* and #$02 SCSI? -* beq .2 no, no need to init Cocoon + ldy #$FB + lda (idxl),y check device id + and #$02 SCSI ? + beq .2 no, no need to init Cocoon -* sta LDR.SPStatus.U device = 2 for SCSI + jsr LDR.SPStatusCall status of Cocoon : A = device = 2 for SCSI -* jsr spvect status of Cocoon -* .HS 00 -* .DA LDR.SPStatus.P ignore any errors. - -.2 stz LDR.SPStatus.U set unit# = 0 - jsr spvect call to get the device count. - .HS 00 this is a status call - .DA LDR.SPStatus.P - lda LDR.SPStatus.Buf +.2 lda #0 set unit# = 0 + jsr LDR.SPStatusCall + + lda LDR.SPStatusBuf Device count beq LDR.AddExtraDevs.RTS no devices, so done. * sta LDR.DevCnt @@ -672,12 +671,10 @@ LDR.AddSPDevs jsr LDR.SetDevID setup the devid byte from attributes *.3 inc LDR.SPStatus.U -* jsr spvect call to get the device status -* .HS 00 this is a status call -* .DA LDR.SPStatus.P +* jsr LDR.SPStatusCall.U call to get the device status * bcs .7 -* lda LDR.SPStatus.Buf +* lda LDR.SPStatusBuf * bpl .7 not a block device * ldx LDR.SlotIdx @@ -718,12 +715,12 @@ LDR.AddBlkDevs php how many drives (carry). .1 stx DEVCNT save updated device count. asl shift # of drives back into carry. - lda driveradr get high address of device driver. + lda LDR.driveradr get high address of device driver. sta DEVPTRS,y device driver table 1. bcc .2 branch if single drive. sta DEVPTRS+16,y device driver table 2. -.2 lda driveradr+1 +.2 lda LDR.driveradr+1 sta DEVPTRS+1,y bcc .3 sta DEVPTRS+17,y @@ -737,18 +734,16 @@ LDR.AddExtraSPDevs lda (idxl),y clc adc #$03 add 3 for smartport call - sta spvect+1 + sta LDR.SPVect+1 lda idxl+1 - sta spvect+2 + sta LDR.SPVect+2 jsr LDR.SetDevID set up device attributes - stz LDR.SPStatus.U - jsr spvect do a status call on smartport itself - .HS 00 - .DA LDR.SPStatus.P + lda #0 + jsr LDR.SPStatusCall do a status call on smartport itself - lda LDR.SPStatus.Buf # of devices on smartport + lda LDR.SPStatusBuf # of devices on smartport cmp #$03 bcc .8 only 2 devices,skip to next one. @@ -759,17 +754,17 @@ LDR.AddExtraSPDevs lda #3 sta LDR.SPStatus.U + +.1 jsr LDR.SPStatusCall.U do status call -.1 jsr spvect do status call - .HS 00 - .DA LDR.SPStatus.P - lda LDR.SPStatus.Buf is this a block device? + lda LDR.SPStatusBuf is this a block device? bpl .2 jsr LDR.MountSPDevs bcs .8 .2 inc LDR.SPStatus.U + dec LDR.DevCnt bne .1 @@ -799,13 +794,13 @@ LDR.MountSPDevs ldx #LDR.DEVPTRS.CNT-1 tax lda LDR.SPStatus.U - sta spunit-1,x store the smartport unit # + sta XDOS.SPUnit-1,x store the smartport unit # - lda spvect+1 and entry address. - sta spvectlo-1,x + lda LDR.SPVect+1 and entry address. + sta XDOS.SPVectLo-1,x - lda spvect+2 - sta spvecthi-1,x + lda LDR.SPVect+2 + sta XDOS.SPVectHi-1,x lda RROMBNK2 write protect lc ram. @@ -827,9 +822,13 @@ LDR.MountSPDevs ldx #LDR.DEVPTRS.CNT-1 clc rts *-------------------------------------- -* self modifying jmp = smartport entry address -*-------------------------------------- -spvect jmp $0000 self modifying +LDR.SPStatusCall + sta LDR.SPStatus.U device = 2 for SCSI +LDR.SPStatusCall.U +LDR.SPVect jsr $0000 self modifying + .HS 00 + .DA LDR.SPStatus.P + rts *-------------------------------------- LDR.CheckDiskID lda CLRC8ROM switch out $C8 ROMs @@ -887,59 +886,6 @@ LDR.PrintX ldy LDR.Print.CX sty LDR.Print.CX rts *-------------------------------------- -DS1216E.SIG .HS 5CA33AC55CA33AC5 Reverted 7->0 -*-------------------------------------- -* id bytes: evens for clock, odds for disk -dskid .HS 082028005803703C -sltbit .HS 0002040810204080 -*-------------------------------------- -LDR.MLIOL.P .DA #2 - .DA #$60 - .DA pbuf+1 - -LDR.MLISETP.P .DA #1 - .DA pbuf - - .DO READCAT=1 -LDR.MLIRB.P .DA #3 - .DA #0 unit number - .DA 0 2 byte data buffer - .DA 0 2 byte block number - .FIN - -LDR.SPStatus.P .DA #$03 # of parms -LDR.SPStatus.U .DA #$00 unit number (code for smartport stat) - .DA LDR.SPStatus.Buf - .DA #00 status code (0 = general status) - -LDR.DEVPTRS.CNT .EQ 14 - -LDR.DEVPTRS.IDX .DA #$16 S3D2 - .DA #$06 S3D1 - .DA #$1E S7D2 - .DA #$0E S7D1 - .DA #$1C S6D2 - .DA #$0C S6D1 - .DA #$1A S5D2 - .DA #$0A S5D1 - .DA #$14 S2D2 - .DA #$04 S2D1 - .DA #$12 S1D2 - .DA #$02 S1D1 - .DA #$18 S4D2 - .DA #$08 S4D1 -*-------------------------------------- -LDR.Print.CX .BS 1 -*-------------------------------------- -LDR.SPStatus.Buf .BS 8 8 bytes for smartport call -driveradr .BS 2 -LDR.SlotIdx .BS 1 -LDR.DevCnt .BS 1 -LDR.SlotDevCnt .BS 7 -LDR.SlotDevType .BS 7 -LDR.cortland .DA #0 cortland loader flag (1 = Cortland) -LDR.BootFlag .DA #0 0 = normal boot, <>0 = return -*-------------------------------------- * 16 bytes moved to $03F0 vectors *-------------------------------------- LDR.3F0 .DA breakv @@ -1003,9 +949,9 @@ patch101 php xce back to emulation mode plp rts - +*-------------------------------------- * copy of the code that goes in the handle - +*-------------------------------------- L2C4D lda 1,s sta 7,s lda 2,s @@ -1017,6 +963,59 @@ L2C4D lda 1,s sec rtl *-------------------------------------- +DS1216E.SIG .HS 5CA33AC55CA33AC5 Reverted 7->0 +*-------------------------------------- +* id bytes: evens for clock, odds for disk +dskid .HS 082028005803703C +sltbit .HS 02040810204080 +*-------------------------------------- +LDR.MLIOL.P .DA #2 + .DA #$60 + .DA pbuf+1 + +LDR.MLISETP.P .DA #1 + .DA pbuf + + .DO READCAT=1 +LDR.MLIRB.P .DA #3 + .DA #0 unit number + .DA 0 2 byte data buffer + .DA 0 2 byte block number + .FIN + +LDR.SPStatus.P .DA #$03 # of parms +LDR.SPStatus.U .DA #$00 unit number (code for smartport stat) + .DA LDR.SPStatusBuf + .DA #00 status code (0 = general status) + +LDR.DEVPTRS.CNT .EQ 14 + +LDR.DEVPTRS.IDX .DA #$16 S3D2 + .DA #$06 S3D1 + .DA #$1E S7D2 + .DA #$0E S7D1 + .DA #$1C S6D2 + .DA #$0C S6D1 + .DA #$1A S5D2 + .DA #$0A S5D1 + .DA #$14 S2D2 + .DA #$04 S2D1 + .DA #$12 S1D2 + .DA #$02 S1D1 + .DA #$18 S4D2 + .DA #$08 S4D1 +*-------------------------------------- +LDR.Print.CX .DA #0 +LDR.cortland .DA #0 cortland loader flag (1 = Cortland) +LDR.BootFlag .DA #0 0 = normal boot, <>0 = return +*-------------------------------------- +LDR.SPStatusBuf .BS 8 8 bytes for smartport call +LDR.driveradr .BS 2 +LDR.SlotIdx .BS 1 +LDR.DevCnt .BS 1 +LDR.SlotDevType .BS 7 +LDR.SlotDevCnt .BS 7 +*-------------------------------------- MAN SAVE USR/SRC/PRODOS.FX/PRODOS.S.LDR LOAD USR/SRC/PRODOS.FX/PRODOS.S diff --git a/ProDOS.FX/ProDOS.S.XDOS.F.txt b/ProDOS.FX/ProDOS.S.XDOS.F.txt index 99e25461..27c7e255 100644 --- a/ProDOS.FX/ProDOS.S.XDOS.F.txt +++ b/ProDOS.FX/ProDOS.S.XDOS.F.txt @@ -668,9 +668,9 @@ XDOS.SPREMAP ldx #$03 assume 3 parameters. sta cmdnum bne .1 taken if not status call - ldy #spstatlist set up memory for the status list buffer + ldy #XDOS.SPStatus set up memory for the status list buffer sty buf fake up the prodos parameters - ldy /spstatlist + ldy /XDOS.SPStatus sty buf+1 stz bloknml set statcode = 0 for simple status call @@ -679,7 +679,7 @@ XDOS.SPREMAP ldx #$03 assume 3 parameters. ldx #$01 format has only 1 parameter. -.2 stx statparms set # of parms. +.2 stx XDOS.SPParams set # of parms. lda unitnum DSSS0000 lsr turn unit number into an index @@ -688,31 +688,31 @@ XDOS.SPREMAP ldx #$03 assume 3 parameters. lsr tax range = 1-15 - lda spunit-1,x get the smartport unit number and - sta sp_unitnum store into smartport parm list. - lda spvectlo-1,x + lda XDOS.SPUnit-1,x get the smartport unit number and + sta XDOS.SPParams.U store into smartport parm list. + lda XDOS.SPVectLo-1,x sta sp_vector+1 copy smartport entry address - lda spvecthi-1,x + lda XDOS.SPVectHi-1,x sta sp_vector+2 ldx #$04 copy buffer pointer and block # .3 lda buf-1,x from prodos parameters - sta sp_bufptr-1,x to smartport parameter block + sta XDOS.SPParams.B-1,x to smartport parameter block dex bne .3 sp_vector jsr $0000 smartport call (entry address gets modified) cmdnum .HS 00 command # - .DA statparms + .DA XDOS.SPParams bcs .9 ldx cmdnum status call ? bne .9 no... - ldx spstatlist+1 else get the block count - ldy spstatlist+2 - lda spstatlist get the returned status. + ldx XDOS.SPStatus+1 else get the block count + ldy XDOS.SPStatus+2 + lda XDOS.SPStatus get the returned status. bit #$10 is there a disk present ? beq .8 @@ -727,9 +727,9 @@ cmdnum .HS 00 command # sec .9 rts *-------------------------------------- -statparms .HS 03 # of parms (always 3 except format) -sp_unitnum .HS 00 unit number -sp_bufptr .HS 0000 data buffer +XDOS.SPParams .HS 03 # of parms (always 3 except format) +XDOS.SPParams.U .HS 00 unit number +XDOS.SPParams.B .HS 0000 data buffer .HS 000000 block number (3 bytes) *-------------------------------------- * data tables @@ -927,17 +927,17 @@ XDOS.DATA.LEN0 .EQ *-XDOS.DATA * variables used by mli for smartport interface -spstatlist .HS 00000000 +XDOS.SPStatus .HS 00000000 -spunit .HS 00000000000000 14+1 for S0D2 +XDOS.SPUnit .HS 00000000000000 14+1 for S0D2 .HS 00 .HS 00000000000000 -spvectlo .HS 00000000000000 storage for low byte of smartport entry. +XDOS.SPVectLo .HS 00000000000000 storage for low byte of smartport entry. .HS 00 .HS 00000000000000 -spvecthi .HS 00000000000000 storage for high byte of smartport entry. +XDOS.SPVectHi .HS 00000000000000 storage for high byte of smartport entry. .HS 00 .HS 00000000000000 diff --git a/ProDOS.FX/ProDOS.S.XRW.txt b/ProDOS.FX/ProDOS.S.XRW.txt index d4fb536a..0e0d67d1 100644 --- a/ProDOS.FX/ProDOS.S.XRW.txt +++ b/ProDOS.FX/ProDOS.S.XRW.txt @@ -2,33 +2,43 @@ NEW AUTO 3,1 *-------------------------------------- XRW.START cld $D8 to flag language card bank 1 (main) - jsr rsetphse + + lda unitnum get unit number. + and #$7F mask off high bit. + tax + + lda phaseoff+0,x make sure all motor phases are off. + lda phaseoff+2,x + lda phaseoff+4,x + lda phaseoff+6,x + lda q7l,x turn off write enable nop nop jsr docheck - bcs L5334 branch if block # is out of range + bcs .9 branch if block # is out of range ldy #$05 -L5310 asl +.1 asl rol ibtrk dey - bne L5310 + bne .1 asl - bcc L531C + bcc .2 ora #$10 adjust for upper 4 bits of track -L531C lsr +.2 lsr lsr lsr lsr + pha save sector # across call jsr regrwts pla - bcs L5330 if error + bcs .3 if error inc buf+1 adc #$02 @@ -36,13 +46,13 @@ L531C lsr dec buf+1 -L5330 lda ibstat +.3 lda ibstat rts -L5334 lda #MLI.E.IO +.9 lda #MLI.E.IO sec rts - +*-------------------------------------- * read/write a track/sector regrwts ldy #$01 retry count @@ -59,6 +69,7 @@ regrwts ldy #$01 retry count * now check if the motor is on, then start it jsr chkdrv + php save test results lda #$E8 sta montimeh @@ -74,14 +85,20 @@ regrwts ldy #$01 retry count L5362 lda drv0en,x plp was it the same drive ? beq L5372 yes. + plp indicate drive off by setting z-flag. + ldy #$07 150ms delay before stepping. + L536B jsr mswait dey bne L536B + php now zero flag set. + L5372 lda A4L make sure this command needs seeking. beq L537C branch if status check. + lda ibtrk get destination track jsr myseek and go to it. @@ -108,9 +125,12 @@ L537F lda #$01 wait 100us for each count in montime L538E lda A4L get command # beq L53FD if 0 then status command + lsr set carry = 1 for read, 0 for write. bcs L5398 must prenibblize for write + jsr prenib16 + L5398 ldy #$40 64 retries sty retrycnt L539D ldx A2L get slot #. @@ -141,8 +161,11 @@ L53BE ldy track check track lda curtrk preserve destination track pha tya - asl -L53CC jsr settrk + asl + +L53CC jsr drvindx get index to drive # + sta iobpdn,x set the slot dependent track location + pla jsr myseek bcc L539D always taken, go recalibrate @@ -155,8 +178,10 @@ L53D5 lda sect is this the right sector ? lda A4L read or write ? lsr the carry will tell. bcc L53F4 branch if write + jsr read16 bcs L53A4 if bad read + L53E7 lda #$00 .HS D0 bne branch never taken (skip 1 byte) hndlerr sec @@ -164,6 +189,7 @@ hndlerr sec ldx A2L slot offset lda motoroff,x turn off rts +*-------------------------------------- L53F4 jsr write16 write nibbles statdne bcc L53E7 if no errors. lda #$2B disk write protected. @@ -174,11 +200,13 @@ L53FD ldx A2L rol write protect-->carry-->bit 0=1 lda q6l,x keep in read mode jmp statdne - +*-------------------------------------- myseek asl assume two phase stepper sta track save destination track * 2 + jsr alloff turn all phases off to be sure. jsr drvindx get index to previous track + lda iobpdn,x for current drive. sta curtrk current position. lda track where to go next. @@ -187,10 +215,12 @@ myseek asl assume two phase stepper jsr seek move head there alloff ldy #$03 turn off all phases before returning. -L5427 tya (send phase in acc) - jsr clrphase carry clear, phases should be turned off + +.1 tya (send phase in acc) + jsr XRW.NewSeek.TrOnOff carry clear, phases should be turned off dey - bpl L5427 + bpl .1 + lsr curtrk divide back down clc rts @@ -210,7 +240,7 @@ L5427 tya (send phase in acc) * montimel,h are incremented by the # of 100us quantums required by * seek for motor on time overlap. * -* variables used: curtrk, trkn, countn, prior, A2L, montimel, montimeh +* variables used: curtrk, trkn, trkcnt, prior, A2L, montimel, montimeh *-------------------------------------- .DO FASTSEEK=0 seek sta trkn save target track. @@ -251,7 +281,7 @@ L5468 jsr setphase lda prior clc for phaseoff - jsr clrphase turn off prior phase + jsr XRW.NewSeek.TrOnOff turn off prior phase lda offtable,y then wait 'offtime' jsr mswait (100us intervals) @@ -264,57 +294,58 @@ L5483 jsr mswait settle 25 msec clc set for phase off setphase lda curtrk get current track + .FIN +*-------------------------------------- + .DO FASTSEEK=1 +seek sta trkn -clrphase and #$03 mask for 1 of 4 phases +XRW.NewSeek.1 lda curtrk + sta prior + cmp trkn + beq XRW.NewSeek.TrOff + + bcs .2 curtrk > trkn : must move out + + inc curtrk + bra .3 + +.2 dec curtrk + +.3 lda prior + clc OFF + jsr XRW.NewSeek.TrOnOff + + lda curtrk + sec ON + jsr XRW.NewSeek.TrOnOff + + lda #40 +* jsr mswait + + sec + +.4 pha + +.5 sbc #1 + bne .5 + + pla + sbc #1 + bne .4 + + bra XRW.NewSeek.1 + +XRW.NewSeek.TrOff + clc + .FIN +XRW.NewSeek.TrOnOff + and #$03 mask for 1 of 4 phases rol double for phase on(CS)/off(CC) index ora A2L Slot $n0 tax lda phaseoff,x turn on/off one phase - ldx A2L restore x reg +* ldx A2L restore x reg rts and return - .FIN -*-------------------------------------- - .DO FASTSEEK=1 -XRW.NewSeek STA $26 - -.1 LDA $FF - STA $27 - SEC - SBC $26 - BEQ XRW.NewSeek.rts - - BCS .2 - - INC $FF - BCC .3 - -.2 DEC $FF - -.3 JSR XRW.NewSeek.TrOff - - JSR XRW.NewSeek.Wait -XRW.NewSeek.TrOn - LDA $27 - AND #$03 - ASL - TAY - LDA $C0E0,Y - JSR XRW.NewSeek.Wait - BEQ .1 - -XRW.NewSeek.TrOff - LDA $FF - AND #$03 - ASL - TAY - LDA $C0E1,Y -XRW.NewSeek.rts - rts - -XRW.NewSeek.Wait - LDA #$25 - JMP $FCA8 F8ROM:WAIT - .FIN *-------------------------------------- * 7-bit to 6-bit 'deniblize' table (16-sector format) * @@ -620,12 +651,6 @@ L57CD pla place last byte into user buffer sta (buf),y rts -* set the slot dependent track location - -settrk jsr drvindx get index to drive # - sta iobpdn,x - rts - * determine if motor is stopped * * if stopped, controller's shift register will not be changing. @@ -930,19 +955,7 @@ L59A6 lda iobpdn lda montimeh bne L59A6 L59BD rts - -rsetphse lda unitnum get unit number. - and #$7F mask off high bit. - tax - -* clear all the phases and force read mode - - lda phaseoff+0,x make sure all motor phases are off. - lda phaseoff+2,x - lda phaseoff+4,x - lda phaseoff+6,x - rts - +*-------------------------------------- docheck lda A4L command #. cmp #$04 is the command allowed ? bcs .9 if not. diff --git a/ProDOS.FX/ProDOS.S.txt b/ProDOS.FX/ProDOS.S.txt index 277041d4..25aabc66 100644 --- a/ProDOS.FX/ProDOS.S.txt +++ b/ProDOS.FX/ProDOS.S.txt @@ -9,7 +9,7 @@ READCAT .EQ 0 Boot Block read Catalog at $C00 ENHFILENAME .EQ 1 LOWERCASE .EQ 1 ACL .EQ 1 -FASTSEEK .EQ 0 +FASTSEEK .EQ 1 FASTWRITE .EQ 0 *-------------------------------------- .INB INC/ZP.I diff --git a/SYS/KERNEL.S.INIT.txt b/SYS/KERNEL.S.INIT.txt index 2aeda66a..586e9724 100644 --- a/SYS/KERNEL.S.INIT.txt +++ b/SYS/KERNEL.S.INIT.txt @@ -23,24 +23,53 @@ Kernel.Init2 sei >LDYA PAKME.MAIN >STYA ZPInBufPtr >LDYAI CORE.Run - >STYA ZPOutBufPtr - jsr X.Unpak - - sta SETWRITEAUX + jsr A2osX.Unpak >LDYA PAKME.AUX >STYA ZPInBufPtr - >LDYAI Mem.XHiMem - >STYA ZPOutBufPtr - jsr X.Unpak + >LDYAI $9F00 + jsr A2osX.Unpak - sta CLRWRITEAUX + >LDYAI $9F00 + >STYA ZPPtr1 + >LDYAI Mem.XHiMem + >STYA ZPPtr2 + + lda #A2osX.AUX.S + eor #$ff + tax + lda /A2osX.AUX.S + eor #$ff + pha + + ldy #0 + + sta SETWRITEAUX + +.2 inx + bne .3 + + pla + inc + beq .4 + + pha + +.3 lda (ZPPtr1),y + sta (ZPPtr2),y + iny + bne .2 + + inc ZPPtr1+1 + inc ZPPtr2+1 + bra .2 + +.4 sta CLRWRITEAUX >LDYA PAKME.GP >STYA ZPInBufPtr >LDYAI A2osX.SYSCALL - >STYA ZPOutBufPtr - jsr X.Unpak + jsr A2osX.Unpak sta SETALTZP bit RRAMWRAMBNK2 @@ -49,8 +78,7 @@ Kernel.Init2 sei >LDYA PAKME.D2 >STYA ZPInBufPtr >LDYAI $D000 - >STYA ZPOutBufPtr - jsr X.Unpak + jsr A2osX.Unpak bit RRAMWRAMBNK1 bit RRAMWRAMBNK1 @@ -58,14 +86,12 @@ Kernel.Init2 sei >LDYA PAKME.D1 >STYA ZPInBufPtr >LDYAI $D000 - >STYA ZPOutBufPtr - jsr X.Unpak + jsr A2osX.Unpak >LDYA PAKME.E0 >STYA ZPInBufPtr >LDYAI $E000 - >STYA ZPOutBufPtr - jsr X.Unpak + jsr A2osX.Unpak bit RROMBNK1 sta CLRALTZP @@ -317,13 +343,7 @@ SysScrInit >LDYAI Mem.XHiMem dex bne .1 - >LDYA FD.TTY+S.FD.DEV.BUFPTR - sta SETWRITEAUX - >STYA Mem.HiMem - >STYA Mem.Free - sta CLRWRITEAUX - - clc +* clc .9 rts *-------------------------------------- SysScrInit.TTYPtrs @@ -350,10 +370,17 @@ SysScrInit.TTYPtrs sec sbc #TTY.BUF.SIZE sta FD.TTY+S.FD.DEV.BUFPTR - + tay + lda FD.TTY+S.FD.DEV.BUFPTR+1 sbc /TTY.BUF.SIZE sta FD.TTY+S.FD.DEV.BUFPTR+1 + + sta SETWRITEAUX + >STYA Mem.HiMem + >STYA Mem.Free + sta CLRWRITEAUX + rts *-------------------------------------- * http://www.1000bit.it/support/manuali/apple/technotes/misc/tn.misc.02.html @@ -842,14 +869,19 @@ IrqMgrInit.TClock stz ZPPtr1 Try finding a TClock Card... lda #$C1 sta ZPPtr1+1 -.1 ldy #TClock.SIG.Cnt-1 + +.1 ldx #TClock.SIG-TClock.OFS-1 -.2 lda (ZPPtr1),y - cmp TClock.SIG,y +.2 ldy TClock.OFS,x + lda (ZPPtr1),y + cmp TClock.SIG,x bne .3 + dey bpl .2 + bra .4 + .3 inc ZPPtr1+1 no match, try next slot.... lda ZPPtr1+1 cmp #$C8 @@ -892,6 +924,7 @@ IrqMgrInit.Mouse stz ZPPtr1 Try finding a Mouse Card... lda #$C1 sta ZPPtr1+1 + .1 ldx #Mouse.SIG-Mouse.OFS-1 .2 ldy Mouse.OFS,x @@ -977,11 +1010,8 @@ IrqMgrInit.HZ2Tick *-------------------------------------- EvtMgrInit >LDYAI MSG.EVT >SYSCALL2 puts + stz CORE.EvtCount - lda #$A5 - sta A2osX.RANDOM16 - lda #$9B - sta A2osX.RANDOM16+1 lda /Evt.Table sta pEvent+1 @@ -1077,7 +1107,7 @@ PwdMgrInit >LDYAI MSG.PWD PwdMgrInit.Load >PUSHYA >PUSHBI O.RDONLY >PUSHBI S.FI.T.TXT - >PUSHWZ Aux type + >PUSHWZ Aux type >SYSCALL2 LoadStkObj rts *-------------------------------------- @@ -1110,9 +1140,8 @@ Z80Code.Size .EQ *-Z80Code.Start Mouse.OFS .HS 05070B0CFB Mouse.SIG .HS 38180120D6 *-------------------------------------- -TClock.SIG .HS 0878282c58ff700538b00118b8087848 - .HS 8a489848adffcf201ac86868ba8df807 -TClock.SIG.Cnt .EQ *-TClock.SIG +TClock.OFS .HS 01030507 +TClock.SIG .HS 08285870 *-------------------------------------- MSG.Init2 .AZ "A2osX[Stage2]:Init\nRelocating Kernel...\n" MSG.HZ .AZ "Kernel SYS Timer Set For %d0 hz Machine.\n" @@ -1154,7 +1183,6 @@ MSG.EVT .AZ "Event Manager..." MSG.TSK .AZ "Task Manager..." MSG.PWD .AZ "Password Manager..." MSG.CTRLR .AZ "Ctrl-R Pressed, entering ROOT mode..." -MSG.PWDINIT .AZ "Loading ETC/PASSWD file..." MSG.EXEC .AZ "Executing %s..." MSG.StartupErr .AZ "Failed : [$%h]\r\n" MSG.Init3.OK .AZ "OK\r\nA2osX[Stage3]:Complete.\r\n" diff --git a/SYS/KERNEL.S.STDIO2.S.txt b/SYS/KERNEL.S.STDIO2.S.txt index 00a1b9ca..a7b861af 100644 --- a/SYS/KERNEL.S.STDIO2.S.txt +++ b/SYS/KERNEL.S.STDIO2.S.txt @@ -67,9 +67,11 @@ NEW * + %2f : '3.14' *\-------------------------------------- .DUMMY - .OR ZPTMP+5 3 Bytes + .OR ZPTMP+5 5 Bytes PrintF.Cnt .BS 2 PrintF.hFILE .BS 1 +STDIO.StackBytePtr .BS 1 +STDIO.ExitPopCnt .BS 1 .ED *-------------------------------------- K.PrintF.PadL .EQ FAC+5 @@ -87,8 +89,13 @@ K.FPrintf ldx #2 *-------------------------------------- K.SPrintf ldx #3 *-------------------------------------- -K.PrintF.1 jsr PrintF.GetParams - sty STDIO.Exit.Cnt+1 Total bytes to POP +K.PrintF.1 sec format string->ptr2 + jsr STDIO.GetParams + + stx pIOBuf + sta pIOBuf+1 Output buffer->pIOBuf + + sty STDIO.ExitPopCnt Total bytes to POP .1 jsr SHARED.GetCharPtr2 bne .22 @@ -392,57 +399,6 @@ PrintF.PutC phy .9 lda #E.BUF sec PrintF.PutC.RTS rts -*-------------------------------------- -* Y = Bytecount -* X = 3 : get format & buffer -* X = 2 : get format & hFile -* X = 1 : get format only -*-------------------------------------- -PrintF.GetParams - stz PrintF.Cnt - stz PrintF.Cnt+1 - - lda (pStack) Bytecount - - tay - sty STDIO.GetStackByte.ptr+1 - - iny - lda (pStack),y format LO - sta ZPPtr2 - - iny - lda (pStack),y format HI - sta ZPPtr2+1 - - dex - beq .1 - - dex - beq .2 - -.3 stz PrintF.hFILE - - iny - lda (pStack),y str LO - sta pIOBuf - - iny - lda (pStack),y str HI - sta pIOBuf+1 - - rts - -.2 iny - lda (pStack),y hFILE - sta PrintF.hFILE - -.1 lda #K.IOBuf - sta pIOBuf - lda /K.IOBuf - sta pIOBuf+1 - - rts */-------------------------------------- * # ScanF (BLOCKING) * # FScanF (BLOCKING) @@ -500,8 +456,13 @@ K.FScanF ldx #2 *-------------------------------------- K.SScanF ldx #3 *-------------------------------------- -K.SScanF.1 jsr ScanF.GetParams Ptr1=format, ptr2=buffer - sty STDIO.Exit.Cnt+1 Total bytes to POP +K.SScanF.1 clc format string->ptr1 + jsr STDIO.GetParams + + stx ZPPtr2 + sta ZPPtr2+1 Output buffer->ZPPtr2 + + sty STDIO.ExitPopCnt Total bytes to POP ldx PrintF.hFILE beq .1 @@ -637,28 +598,44 @@ K.SScanF.S ldy #$ff K.SScanF.Fwd jmp SHARED.AddYToPtr2 Y=char count parsed *-------------------------------------- -* Y = Bytecount +* IN: +* CC : format in ZPPtr1 +* CS : format in ZPPtr2 * X = 3 : get format & buffer * X = 2 : get format & hFile * X = 1 : get format only +* OUT: +* X = Buf LO +* A = Buf HI +* format on stack +* Y = BytePtr *-------------------------------------- -ScanF.GetParams stz PrintF.Cnt +STDIO.GetParams stz PrintF.Cnt stz PrintF.Cnt+1 lda (pStack) Bytecount tay - sty STDIO.GetStackByte.ptr+1 + sty STDIO.StackBytePtr iny lda (pStack),y format LO - sta ZPPtr1 + pha iny lda (pStack),y format HI + bcs .10 + sta ZPPtr1+1 + pla + sta ZPPtr1 + bra .11 - dex +.10 sta ZPPtr2+1 + pla + sta ZPPtr2 + +.11 dex beq .1 dex @@ -668,34 +645,36 @@ ScanF.GetParams stz PrintF.Cnt iny lda (pStack),y str LO - sta ZPPtr2 + tax iny lda (pStack),y str HI - sta ZPPtr2+1 - + rts .2 iny lda (pStack),y hFILE sta PrintF.hFILE -.1 lda #K.IOBuf - sta ZPPtr2 +.1 ldx #K.IOBuf lda /K.IOBuf - sta ZPPtr2+1 - + +STDIO.GetParams.RTS rts *-------------------------------------- -STDIO.GetStackByte +STDIO.GetStackPtr + jsr STDIO.GetStackByte + bcs STDIO.GetParams.RTS + tax +*-------------------------------------- +STDIO.GetStackByte phy -STDIO.GetStackByte.ptr - ldy #$ff SELF MODIFIED + + ldy STDIO.StackBytePtr beq .9 lda (pStack),y - dey - sty STDIO.GetStackByte.ptr+1 + dec STDIO.StackBytePtr ply clc @@ -712,7 +691,7 @@ STDIO.Exit php lda pStack sec -STDIO.Exit.Cnt adc #$ff SELF MODIFIED + adc STDIO.ExitPopCnt sta pStack pla diff --git a/SYS/KERNEL.S.txt b/SYS/KERNEL.S.txt index 8244cdf6..13f40fbc 100644 --- a/SYS/KERNEL.S.txt +++ b/SYS/KERNEL.S.txt @@ -20,6 +20,8 @@ NEW .INB USR/SRC/SYS/KERNEL.S.DEF .INB USR/SRC/SYS/KERNEL.S.INIT .INB USR/SRC/SHARED/X.PRINTF.S + +A2osX.Unpak >STYA ZPOutBufPtr .INB USR/SRC/SHARED/X.UNPAK.S PAKME.Table