From 3880d48b57abeeee5c81e5969e58eaf38bbbe675 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Tue, 22 Jun 2021 20:59:02 +0200 Subject: [PATCH] IRQ, NSC, ACOS, CIFS, SRW --- .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes .Floppies/A2OSX.TEST.po | Bin 819200 -> 819200 bytes BIN/ACOS.S.CORE.txt | 145 ++++++++++++++++++++++-------------- BIN/ACOS.S.EXP.txt | 74 +++++++++--------- BIN/ACOS.S.FN.txt | 137 ++++++++++++++++++++++------------ BIN/ACOS.S.txt | 26 +++++-- BIN/NSCUTIL.S.txt | 27 +++---- INC/IO.D2.I.txt | 8 +- LIB/LIBBLKDEV.S.BB.txt | 7 +- LIB/LIBBLKDEV.S.D2.txt | 14 ++-- LIB/LIBCIFS.S.IO.txt | 86 ++++++++++++++++++--- LIB/LIBCIFS.S.txt | 4 +- ProDOS.FX/ProDOS.S.IRQ.txt | 12 +-- ProDOS.FX/ProDOS.S.LDR.txt | 43 ++++++----- ProDOS.FX/ProDOS.S.NCLK.txt | 38 +++++----- ProDOS.FX/ProDOS.S.XRW.txt | 17 ++--- SYS/KM.NSC.S.txt | 47 ++++++------ 17 files changed, 426 insertions(+), 259 deletions(-) diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index 51f6619df7f90e67a33e2f7dc43ef693dead3735..c676bb3607c426756f90f0774ad22b57fa8c65b3 100644 GIT binary patch delta 53110 zcmaHU3tZI2_V|4F0bicG3%fiPknb)FEX%U&E(?57LEeI*Ads({1p*3+fPl{)%a$OT z6lyt=kBHL5q`*qDn`w1R?P2t=Ze1(8m6^AUy0@2={?B~pyMWg3->3Eaoik_7oH;Xd zX6BqTU)p}Zrf7rT;6<7AeUsnz|HztUXH9bN?UnK<+509oaC@hGCA???xBnqG0*Yq4 z(Ze8n&xHK9mv}s-RAr!=*hqhbK1ba9CPm=(K+j6`?YanbG6mgZ^rsnTP2S#5b$ae+ zw`a0)#<|_0OqDfWyY|Ubjmo#*RKDYjYN9nhC$0%3*ubYsK4BQ;-G0buiq;?kJ!|sS zJXQIrhg|tz21Uk&`=ZMNt|suQHD8=%2v<)PUpVS{%PAQW{w!-7(!JghDC~pSy6b>+fda@jQJTrH|*#14qpv2Syow;5qXq1w7dq@Sjnu zUGe<(C6{%HW2Lm(uHhSs_DPR*AK!Dx{F?ITLq={Ojx+y#`;p6W+0UC_8(}tgZ8HyL zkH()mUD+LZ`nJKaLayKhJIEgGjPV^Zw|N|Gi}4*a_qRc!w&#BH3B}0UJH1A`?ljMa+Hk)K~1`2j$X>}8LA5VNqC?L#xVnTu1= z%bg?tTi?08Vl*V$*vCGjI6GxT{@TdTZQlK}pC~gODmS&2RxA5oY*+O&UE#6ZPuq8o z8q;nv9hz(6&g=K7x@LXQRq{c_Vcb({)3LJCc`u^

8G)D?5Q|5{h<@yoX3PuPz4AKzm?-j`Vv z5Cc`8gsMHE>L^T0dknD$PYo#n4|olWJud;oS5;@iV@GyPqUkpcEt+{|BF;O# zmHnZ8qa6D8;K>cy?K$_pV&44*OaShDRBs9V!(EQz0t~&p?QE zL}A|{NmyK@;X)PxDgk);4fDVo=F`fNr~1*hZ1Wj&iIh7U#XW3b3kF`nB|{Qz3Y`|H zq9CrR>!g`z0Iw76dDXdn(&vwvhjKrD(L56Nn)1K3-uFn8v_8S|_+&9mMxcfD?| z*!?<=ds?D%8lww_a^s{2Ya8B;BQuf{6g9i`pD~mhwtmL6ZiZxPnhdy2@=x10shM&+#7xJkGB?u|uXXH%W@7z`H zp#C5F@ANEr=qjw^uRr&S_?_ghM(`uj}(Xwj^p%1D-ZpYLMKo!`-P;4Nm`C z9*ggqEe)QxDvG;HKRCauA!_LS>BN!ZQzTi~)ku?>LUM9f6G@s!Y(g@=tC=JxkLZPD zcvlNe1`0{PuGXmCtMKS-sh+99e6chFTZ^Th%nI^82_Ey{k>plNM;Ar?Nhyt7su&34 zUOl(%+<;bi^fA56z)Cz&ERAKF@!4Xjk!^_Lmh1Zy`;L@I84u^r{Tvt14eUG~$BcUP z8v1o@!CVH{?a@00UVXVeTtDNx^LTGbzitC+XP^Vos0a7$AE!osD!TWNG4=WX)18-e zf5E0((>hlNQ&nrUO1nHxP<dxrUnRj3AeeBG416VdsdavY?Zu^{34ep;OowxC~dD7wE=E>yGZEs@k8r~cr z&y$4R?{(_Le@1@l^L}3Hb=tuAlYmuOE_QzLevPS+w=4dzW43gW)R%7_NAbCCmZ)_|~-u&w6974Un4JqR! zqf+i01C;;9Fc1(s`YfpNs}V#ktqnz5<9_8_FX%BaNAS|{=MP7BsD~N(0)3@In zKn=SIfP#*kJh>|(wqM$HHHQ0+5LL>#y@o;d^3|9#5wRoxsp#{2UJ`p$dHnS55znKl zVWKf!=5hu_JjWF#QnfX|L?#;NClw` zo0~skDtbN4z4C!;Zw)CwJRdWn>g-hh7{Wpmj$Od2PT6ruJfSEA4Eg;X-u0ED;YS(ZX#)BgfoWh8G_V}mB6h1K_?n>h zfPLYk9_&F#1E40jJ#c>nylb`EgD_zN2tI5O-VCWY_1ehjzL4lp?MO#R1@Kg8yw)B< zp4Zw#EBa9ZR8(schW=r7w3ck|^0nRaC0Z_Xcxt%ZTN1p;^q=_4=I@hUDN87SvHYdE zne$G})6NecUNlMm2*Z4Ggp(KTBs*nX{H(kgHpc#e_?2gcy|QN@{^nWv3}Lf8Jo%_R z8niOn$Yx&qeAz8fCUCfOz+>&QIeHE~KhP*D2_exWB+Pw6qB%+_iHnSzMwG~8 z3ThGZ#YC%+u+SV(k<0aX?-Z#PH-&hH1wW)8R14j>8uZ>N4wCXXh2QGoz7Wq_$W)LY z(RxlV;S`?C?6Mq7SxtRSRqJM?U>VcSmexv_At%XdMe$y^a+#+|;=^DjzHzE&m><(q zU%d{GEc4XJ6^tbb1l3lL9Br>orp<5`e^*ta!;KzhA;}X+K^AIz%zLbHj>v$S8kcj zZcE9tWYfCTCKJdGAr^i0itK3X*8(Vc4CJ3GzGfG?>`2W+s%$}7 zPF7KotE9QEp*0_cPsZQZdj`wx4ir2Ezg6!UrWIx9hJBaQ6yNbxP5nOUB!f zYSxWqizk*X5y~>9_`4OJL6K!Q;@;%OHA6jf1>8mR|DqlT@>w9Z)q(sLGCh?I)vhRm zEgvavCIgBllk$;j(T!Ey>aJokR8c{jviL8mNJgrv8_U*AEW3o3t^JF#DM(d!W7*~I zvKFYfo{C`w&S>-uayHz68`LEB{XAEZWmegAOHOG~KJs5VJ|e70-Tdbf!M2EFy_Jr2 z~@xphnHT9Ho&bZS+L%aVpY{vM!nDz`8_MA?+DXv$vKT7v?+fX^F9-7Av1?*>xc zZc@l^+XTjy+bQF2lHLz6P@AA3c2KU>m=XDLY^z$)7nqn zSQzkryCzT%-_78zfNX8_UP85{rV6nSi8AS>)V&{Oa>^`uvvW~mAKt#w)51K0Us~yz znf@q4db%_(E7wvy({8cZU6!ncE`8;S#HJN`6tVC6Y`ddf$hJFb^(b*ap4JSM9>WdI zo(m-h7`*kN47DVsAJ0i`bZA2kGGhuYuRJfOyu*@R=He0mIJUHSrdkg%qA<1*IB2v1 z%Bt@ZP|i|To>f|oyq_fRgl%>Z+wRP1+VN~o>TqhS=jpG9nOj&X!-Qk`t?Y%MJKozp zdX@`*cThQS>g=0CXGd7`FK3neD_GeuQ_cP$(Nwa(@T*pT}KjPlMcBNZ;@#1;!k;|7qyEdYLgPrej z!PtaQ7g!ap9J_e+0R;G&x3?Ydzf#a&SL`rzD102IPFv4lQM}O?f=5}`A0r$knHdC%l2=8m1&Empv(npb(jn% zeBr0n%4IzQgtz7(Uk{mSCrRb!qXY0b(q*m5*ByZP4y(&9;cQjWvwa5#7eG(bJxfKUy7=u5wlkRXbe zY)y6~^T|0W))Yqyk8HvCtuOtoOvsKw|H%Cp{9eP6gZ^>;p>77)e3YQYa|iv+Oc=g< z(BA|j`U${bBJe*UyoW~y5Z>Zx_RC;)|y;-p$r9jQF>)zc(t zyHAvum4o~Y?kYi)LD52E%WGCNIo3Mv6A2~9;I<)uM|vzR#9Mh-@QgG8Jrx`$!g)R? z&6?&&WBhCr8WC(4d#ts2T~0%*dpv|B;U^9$ zg5w>urktEyYpx@g&&|n$#U)uNvUpx|U2BcI*}*Az^pGORD^(_J)na+97*ND`q{&Rk zFd0u7P(et!X9u;-=ar#PX=8rKS!kCnI5zD$UKA zUs^m1u~QK2e4CJbD(XS1bU=a?tt_vc<|?M4>o(Mxc-l#Y$(c=P!1k0!ez^$tm9VKM z31vQl?IT$N$^IKQzS98@R&;Fv>S=ClzHZ}cOR$;OBDN6G-SP}XcgwIBEh3<`$Y+)a zvLQwB^<2Oq8rX=FOe@_H-%sUkFLR zzo7{``bfN#M@B7M$&6FRUJ#38&|k;W|bhe6Pg959q-Ku_8(RCu>ZJ+Lf9>o zgGpVuE1@yMc`xM^R(`T6L+pKI@K&LaZg?)Paz(-5&Mlp5$rjgt#a4>nxMWF7O)CoA zM%n=frL6M2^1@kp@pcrj{W^-UGYYw%(n`F6mg)i26HO)oB?g#2n2>`J4zD{!30YC- zgLsjpF~qP7T1?JbcBI@hu?`r?d+8VoehANbT@mE$y)GLF2RuyqG}3n3MtdWc*U zkD#8)>MD^36!0i5I*zE`cSBLwx2pC}EClq`k4-EV)@&Dcw+AR!KK)`>!NKxz%)Frp z3OYn-mX>DCng_q^*)we@W&kI=p@?=q=^m-qiwmk%4^FH_+(HkDqx3LTLe6}tNcFUv zdNHN!_Y4I@8DOPU9ic?rU540Uab!FzjEqXe9VIG_^m=JtQE^Tu9DiMIfDIa}c@Bo# zM4+7j|9%57iHPNS)H4y$L14%5!`8;YnisCmN+DUtuZN`)*oo_5Jis(B-cVN>0DWGf zh{S&IG9BZY|G-1G#%ate_vC-KxXiZriN6er{~zVnPCn0XB^im^?` zyX}pUlAt&7_h%HE(?M0W?AhaRkIaf{jxqvhXY7}k4;MS<24 z@HrsD=}hbsyB*2D0D6F{%-BlZ$iA*%memjNRDmW&f& zwF&&rO~tXrz2G_n*siI;O6NX=UM-d$h1}emYbxIJ+Bsl*y z)*}^|sEE#^s4#A0b=d!1AfXqJxS&OWM63b-p4=ESKYAh*uvsut3Cj&wsNBm9*q{i- z@#V(Mz;YuTWfSNLF2yo-@+-+IFV8ETedImG{CqD}>}6H#zMQU~aivyi026J8R@vZG z#`f9zpK9~$3kJvM$kle=e$LmM^Y!64OEgy&-KRJgtrSqw!tWuJ+e;{c*Mb_pYl~`*WU{XF4>WIBffZIB)%cDt5&4zGLV! zt}>>L?NbAYi_&wsQ5+iud84v{yG~RL{2bF|J>h;8D6*nFNoH#Yzif-}ua8AdL8>!01Xb=D_9_0eS>9QtS2?XlBX^aRMg0rCBxno2K0P3ALMg#!a zcBGmw0^sNefFz_?KmlMYwP!n!YT+0KXk9iK;Qls7fdEoasOsi15(EOQFQR~r*WOaW zFJSoETY=u|nRPhpX30-GW~#j~b8Gg`M~c+*wal%<3zn!qWFm=c`2hK+VBgooKez9q z;H68T&N?&R>LU$u7J%t}V)j^}zGlcF1$L5uxKYFYuQ=c6N59zjB?sQxtA@VA+~Md7 z3jAf?uK;}X;=Zrp(f2fD2=DWvD+K)9XmFspqPUaM7taTb{8;fQ!54sy&T%N<{Eeo( z0S8hwlKmFC(Oe&e5z+qmGC5^^*u)Gi=?SnX(}F4{e6H>Y6r(9{NqLbdA=>S&yRa7y3bpnOmh45Hy|SJ zB(AMfPd&0sJ)a$(uT>sk_P45+;YaJ#HyeHmso3|`sJ!n8h`DzQw=br56Zxl;{Iik# z)5Yz>hELQf>=kmrh5G%1%!ikrwgsr~O82IPdsDU+#I+;Bs!Sad1gnA*YWYycKe0 z5@6XOD>q={xI*wWaXGPr`QT|<8aFtN3yB|0J)J(1M6fN~ocKZWz6-Bh8HqhOD(CJs zv`M*F4Ft#I^yJYe+J+vzY?X8CEFOcNAw^m7_|s3+kzQHx?U%T$c(8e+Y&?8P`71mw9=jg;cArwt<#h|n1}UAP3H0spD!-8}RW_XRFEuU;rg zh{wg})nT~eGqo>%{k%G4>Me2mM!8$!danuGaEDEUa!9s)zmrRd??)lqe!B1zP=VNm zdw`16)Lq4$j~iUJ;ot3_bBl1~XX*vaBE0T1bsUg>%-ZcIlh%e*?6|1bdQBxbn}G^e zy)6kOHL7stMYY1S;=;KKZhAbHT~KpjcUVU~UQ_;Zg|D~*UOSMg7wyubnMOSAf;xhE z7b|1@qHZO<6@A(`0-*!(fC#BzaQp|3rMynZjmU*m{|Ub0G&kyX+Iv)f+G~^nY#3Jx z7)agT(4o*g7*an~gw&jGKi@44x$s#9K=IH8wcZI-E1-^0GHT{=W$}Zhq@r1;g`HoiBPGCk?^kM#IwZQ5ulb?5hl*PsK(IY?h8`{Nk zq-?+bwK`!WQSY5xfUn=~;U znwSEu+eKyK3+zH!yya_k4jf5FzE)dzTnf=H0#Z5L0n=ax>EN{LZ%EM$kd>TU5e*9H zwrDa0bOa-uU<4l~kt`kuLDROq3<^-W=HT9FZyq2nxteLa&5a*j`8n(4%zQqu;HrMe)SjQ9L`=5yDoT9&}EKiS= z>m)svta6urUP0b$1Eklx)1`&wkneLFq^XISy0Lr~-)~p4{ z`+j&WE_Hz={Q=3CHzsh0BqyhA2XWWH(=sb-K2l=I;@q0WtCrqNojA&!lEwbSc3UF? zG(C7EWs+L;prkgxt{!ZzP#BKXyCfa_qz*o^gXfO$y2yV^r_4wA%mWTylDH5r)#@#h zn-M;?J~>))3&O6Qj<5g)80-kc+1B>#oHE2MMp$)Q@+77jza6FzmV$E-&$unw;=Pm} zwBu?K9=R>q$k5^{Mg8@~8}Lw=K9Xr7r9lKXv2~f% zFJv`T0!L~K-@dfbwWhMJ^@PlVqShkpz0;wStV1|qrz0ACG5Dcn))>hhv<;FNC;noY zHCp0A_`W-mgTRg-bvLf?out82ms@?EVeQ2FCfLX9mYh}1%{2|89UX;qfcXiWin(@6 z`2_nq^4Tc1HVJ7q3FqLBoHAG464!k50#{=L3I#JBamhoudBCMTImK#SMGSVqT@z$u zDXFy9ZXev+f{A#NY=I&?>8`Szc0G+_0ci@R+Bd zfE`Hi0F%7>#?}Tfs(@{d9LIwM!<^U&LPZT=nqqsX5y|U8WJ)CQ73y8cKy8Qd$tV8(?7R$3YF&(4alYbQcMG$abZJy}GOnPBzG}7e8HZjba|c|E#y_m|pz- z2A@F5q=&I$g*Afd!=E)+1HB(XrkhC>k&ogTE3A{^!9WM`6GcVYf;5|#&tA0xX~04^ z4$N&{T~^}d&Uh0n zrR8OaeNt@epx9Q;(0E$~M~2YY!&up1jbxs})&{HE`t*d>idBU@GXYirGJ^VDMyuHt zhYUxk9EPbJp2eoO^6yyrK*}aNiY_zINPySz}Ri~kJS^0u2mk=LdM}e;q!`fQXskP9C>{Uxp;2Q+A zo`A~0-9nm`bOy&ZS?$bQ_?{+fXh_i8q(i}i?B-y4R*4OTy+d-fe|wL(P{ip|vZ^(! zy1JPN0xaKlqiKt5(495B=AUmDZ4~ zbD}NwV`wC?#oAHur(;y1!t%@>=u};xW0ZzX z5KHG*K%N9Vr6RwtMLLG$ROAD8J;8iuM}A=1BYG#w0LF#DZ;7Xdn22cT1z5iomx*b* z$}MW2??j4l_#T~@1GR;E|3Dl#LZsDyMKtiYfYon*v(;nAYMDG3EPnz&Nyz7Ccop<0 z()^0E)>_r^S0>OS*5lCMi8Exp6UJro2X0$y)i75DAvgoU{6|=v(AR-r2^6)dyZe2? zC@2JB*iw*>l-lti7|TaaF~JzD@}TCiv9<@WfCg&|5EjR~FBl3JuZExw-im^JX#9v0 zvdK800dFY64`-~ih8q-Mej`3UY9T~{5XB~PorRBsV-9fI`Yu>1rijS(g#u*+qGA z$S(r&Os2wy$!e1cX(ln(tJwYPt==9)&zd7~{03`0!{L=b<^>u9bbxrhX3-N!8R(iU zP|tbI;8aA$D7JhCmju~2vUs&Y`mIRgH&+Ojn2)2~k+SxKX zg1v7d9Y&1Wnz<1070Cw9mjb7d za&jg&G`6~0pr(ephNXyICN`p;7|8{uR{-Qlr*-LMgVYV@0obt;U{0Vez$l@fH#_*Q#6x$Mg`Y@pbxB1HFX`ci(Q4K zb6h%|4k`VpCN6bH0r*pYl3W2U8eoxiU8uth2bW8lLEn&o^?_Y>nT?Q`ZNvo z!UqdVp9a^4k|k{{xK>MugC9N&utUN{KYWrr0+N1{=+Z?aY$JgL40#_8^fmFrCn32G zkXSu+S<3etZ4&P&fFv6PH$Md2CeD*b6OOM`Fzo>faG z&Bq_b+ak&!n1YN4(X$lsHy9r`78eRHSb*d=lkQn0%I_8;Kkzr*I*|bAuSu17hS?UO zTukBQunPXBN!54_K0cNNb@5zTb|z$S5vC2x+)lrTP>kUt#zL`;Zug1`&Gpb)$Y z+kBfek?d-k)~o@n++vqd5a?vs?8#`-T6}MUEy8;phJpVtdB)Hc3@A0SF$}#Mw4w) z%q+7eMwisicNH&Lf`aUj_os8VJP8^V<-lG$9eO4in|C^5JyQS_n<~YUrXs6@M9!IF85I9+GRV#dFLLnjk7na^xQW%Ys)Sl7BP@#1PKH1}l ztSXVxC2$TEZb+%r&8Bd`-Y6cheaoc60XxfHgxGQ^JzC!cY>-hz>>J}p>$xJud6Z)G zd|ddT!=PIrRQW_a;c=aAfy2K^L`?B>hlDnn& z&1}6+vO$VRv-Po(b}0_c(Q}fGQamL`A1~P?#kD#5h|tYs9;|aUH8&)|L}#}^61G2v zE-Budqt`R{;y*>n!q1EClN=~yu zZjV%m*NfaG#p|H3WA|8L8x#)TOXLSjsUa(CLsjFdhE~_ACKAYKwV;AtDKKX1uCK~$#dm0Z0-@~{;9eqQ&uFW`r#>%&8jQ%Pmn3y|*#+Qh|RJed3< z9_@3aF)v|dfj*h}2d;R;VTRbCF7kL4_Y&YqJo<xdDM{{@TL?xEII#v_q>~W25)}UF~w(u)(l*<9?0Fnvd!URAH0L&Nh4>O@9U*kzd`f%qZlD*bNs;VaEB-MW=07&4M>YE9GWU{yT zR#a@4>16c#4%Qv_<{)HLP;2?6d3nO-z>u5luqJ>%=KC=u7#+$VAO!|&L#~o$v;U>E z%>Tn*Kjw({`jI9Je!>w49MipirpdHl@QMQt-s4wL4b!jS#}7F2CBI2=<&dt><9C4N z{eiRl9l2nN*x2t#mtK>a@XW(HZAQF{*i%?UgFk{9A(8{aIPCd3LL>*As34YIG9e^7 zL@MCi)KJ%2c;ujieQ%0plW^L+ey#DjXY4>10(V`AbtF4mu<$~Z1+njDq2PNNaS0M` zw2&((umo*mge6Gjc|V-Vz)1T5Jz<6Jzz^S<9FhvRRmfQtXqDE`plnbq)P$kk#Q>xk zRB{(=@H&>%t#!c=u3BzE+#Wo7XL2O77b~4$uYU-qIg`_}AExK2x`yhys>;?H7byt` zDnY5&G()LJNE1LKS=)uWTvecxh$WpMk_O%c);8S=X(--DTnhtQr6PBGIpYdlfDpF4<~!1g6nle6OWy!{o!;^0OEnV zf!tG#bNVz98uVD&?6%xQL0o;S+g?NPA>w=$;K4ar3peKekGOicLnqiq*V0Z0n=cEu z=Y*r+Z6XL%-R*QNEA9}k&3cdVb0@tw3m50;z1h2jNrZdv;5DWVRb z6Ryh%$NUaxamYsT4sDXWleq`^ZJKzIb~9z4s25?^3Ww(|VpfHVwD*l&q;+l;n*jIY zgpE72`+hRw;6(p`n6*R9g3iRWDdZ-cTZ9iX6C&+*GuKDj?-50~_s=4vk@id2Ua=O? zF`{9c1#Ke8@KF&2YInad3y{xa0HQ}xIcN|B-*gdrc>Fy2I5F)Ar`CZn9>br+p-w(* z@*thCZjr*dI*W4Q3wlJToT$;K#M+;B!%*-M+~DMc<~~dGtPrgq6+1f?1%fglQV?9| z7IF-Q@Mr>BHvt6miYN+l@F9b{tfqBU6TxJkr=koyhCg!h+JqNKL1MJe0W;%q+N!$h zwe?V54$1-fyeJ|-SoBL+*Tx4r|3L;XSuTWGLp&DcFn0=bkq_t$!N3Z!aVN*-L`l~4 zJUE!1B7pTS1Kdu69iQT~m`q(llB(A!I>=K*f8%=eH^ z;`3ec`JQNpd|xC}WrfoL*d;+_kk%U@P1sO=IG!f?e*Y31A6pJ$KSvU4T}~?ZazXAN z6PR1>1VrQx>cuUdF}W+wQ&J+wfuGR^lOmR!wPbr8`0wjL!q(|?7{9R53i^_aZ{nE% zkvj$Ba$z+{;VbH{5NRqdURQ~R0>2T`d`H+?Hx9zqyLh?td&(>s0>Uj}7+R|TLLK1bC+%ABX>VH&XQ4_HO ze;k*Na6@=L3jBE-RForJOi=tv+Y)8SO?AK>^eeQwg(bzSS_xws;7suw#Yv<%LBBJ& z@?&dYfbtJYZocKFhNjBpDCru$d>3z*APN54NiMojEs@YMKPxMzpfJ}$I#MB(^t84t zc2N*g%Or$nw@j!DRxY8ZY!4bK5$1`z@8-2mRw8NR68i3Bg5*AU7c)85E4U4ZKDZO3TCi8!K-N!-qHUvH9UND>rX$ zNqK2mQZ8JDi4bW3({N=2d_Fg#z$}R4B-EFdH#cW?`3Agou3f8A=txO0{0l%dNsni= z^AXumW95s=%3Nhp+YptC)K;1!^f*}O$kYn5$N)??ciUZV|3 z9`D3)k5Dd3NWmX<@MZ;1D3Trnqf<(n1XnP+B#Ch{p0N@3A5&=^c%e*ZsSQjQD;LZ( z!X@XRjBzHao9p04U}beP3YEEax=6_!3BD}RCHt3(GsFNV__#9c z+{7E1oA7~6yiPY4>V~}zJ5PMh7oQ90lUs-{ZQ>)Do3Zz1p3^N7h7?lY0=Y1XCU#iZ ztwb#N_*Pysjje#m3|0dF#RPv{hasl{&TXnHQVP6c?sf)M|Aiz`N)3K!GoKu~%0b~A8PhyCv1(|wmqEUDX&euV^9e_Z0J3zyg%aO*w1&Dkgl5fp9{9gpgjf>Hs| z<&iVAAU*$P330xxcM+zLUkhasR^wEpXr&yG9H`i5wU`G3cFj0%#L-wt2JAYC#kOr+ zDl7$uugB&se3(DP$qDz^cocSrqz50{$_H2ZK!}{+#|9rdM8^r6HOPlhIQQ!1W>xHJ z)!op{ZPhhZ0=K}E8Y1CHa$-mLKs=lLNFVNqVd}% zq25U{+%yo*<|b2CG8Y0kfNjMCq_Xl#cQZnEj3bTJ1$Y`f4i^i96>R4i3-%jX2zwAK z@8z}0yMO~?v)nB{_t0nfURrb&oXi_mt>_?67rZR4X-2WV_||**7-JtnS?>ZXPN z6)V8r*9c!+0R+EC++)KA-@bVi58TU}nEm*lP$=**u~2>E@`e>;utfA@)qQ-p|Kp^L zu!v+M-61^XKAzJI05jAhW#3qqQ&#AjhGO7!dmkUo4B{R4@j1+4{Ly{9HtebKflyXb z26xR@wd7RR*Q4lXBv`o<77ZA|plDozDG=F@&>@CLw(x2^tD6swdsZ0Rv=0Fnu4g0l zQNq#nQ#au`+||vSJ)W1CEJ-`?%AI_mWbzC6y>32Dc^pWHTguQE;T@|WHyuU3MBv24 zoC-Rs6@n5L*Ed2?LbbpV()%Q#6#&8>3ZK}@tJ8u`LT#x#+@n`y&-WCeE9gF? zdF`)=ypEsS%7^LRAb4O$Aj1=8Nw#oyY!UVeVP{Bh2%1Que+&C<<8@vmbmS+#jZ?Sr z7Rfsj{G>)_k-RIxpK5gBG4Ijwp*~CW*1AsU@J81C0|SMu3()} zl6DDydOy$0|3grB^zb?o$M_A-dH~3Mi>n{t`$U*`I*W$=odGZpkYO|W%$)S3$SqqAEv!Rk`vYe z_BX0HVt&VqcJR?t{-9h4N^MMKT&0CXWvsdOCmcJnFyI#3!JGZ0)C>!OKp8SA{%Hq4 zmGQueoqP~3#C%vVE2V0e=qIz6=-c7j@vIn~&dT}_8$5(H_|j*fAF*IwH-~%_BK;&3 zti<2%;?+`Q4#1ydkh7Z9lb8q|J7IR%QAn_KOr6gnHhcH79YQVt79NFa@rs>%hz-1W zH%teg2tXpU!G^pe$9y4hw}OO1nsoTcPCkx_0uLA;#=zyloqX)1Xt8k(jpAJNi4keQ zF5ZZ)*etyDK|UrnhuFL!kc{qg6}g1S*tVAi z*B@~@giXhvJjiQR1z=<+%S9o58fRj!U9deX!nR#}eAsNtK$KWnY2K`?5@akU9Kc-D zhXjH5kBsNU>k!m)@X#(kFrgG=4T~ZRu0LT7E~lNRzDYV!Ac9_xpGyXlDR|zWvOr!j z^Raz5AMIQ~{j_kB2wn)Ua7}w69ZLyf|0dRSGp$LxNFXO{n5mtB2Hrr7Aov1FFt=|C0|gUaBY66Pny7#G`h_X5nM$^$z7@{3LjRgu z@RLBqxr(@ZiMfYeO`9uULmY-{$+#3o>N=77dI0<0M(B_rm<>pNI{*UjAnt9#_t<9C z=T4FFG$eNd3fo3T5X=IX2nPr1T>$sF8`8}R*aGqJT?Q&*Ydfyp%O?hGr0kB-G_#DZ26|AGs zWq~_s7Yb$OW);Et&j%clLNBHvb{FYA0D&)3)CTfBKrMMMe*Gcd;e2S!L1jgLy_8ZE z_?(f?!(%k$eNaID2>inmMPQXrN*p$%-mh;mRA$m3KXX@|xIQV1Kj zjie1x_|9J5;2fYjmfCxjPtsINO>0AA^9tlQNYk~A5HX+_q6rXL;q1A{?=YD|#jc{_ z>BY$RDJqyK_;!&G_$39l00&%?MAgkLhug90VG)OvuzW78c)>TL=M?ua053At%Qmxs-}8I6?(eD{ONEPLEYl z=%ge9Ux&GG-63{adEWeTqp!q+-JCu0qw%D@vUOwBLFC-^;u^jG5ZqPUiQ4QUv5C0Rvz zaHSqD-xSV<>7u$cCMK~a;sm{RI;0<*W?dT&ueZ?nyQA~it$Hau~1bj&BFS>wLip;3QXMoH}xrHx>k;Pr5rZtaQ zxC1nQVh%nYMj9}IxeysSA|u~W*?6aEWmGphkRqJ&;_kEvz=E}&d@c++%}IMLk`fhh zd^jjgdJRnU@QE<8M;YriZ-Wf1%))q#7P63U3{ZqsO3+B~K`?PI=taH(MxxvlCYhiT zpiz0nMMxP>lT`D`R-ljsd}bdX>;)hAB0I*gM0|N4SWK;yZBT&uMbi;$gC2mnq_ES{ zqG}9q$Y6F7Q5(Q+Nv!c~GDY-Dp^_8SykDxwLh()I@EI(^H(;jGPW71#Jku?t-&9&D z1h0Uz#V>tgsc95jkx516<||7^w@mO&F2cPzM~2_tl5Qd&WcXuC`Xou73`caO$4T;K zU{#wo$!j{ri!Q*oJjNR=g)|v7Lq>K;N%_`oWVf^!eAvrZBL(@`0wf#jtD9R|k+ujA zKE{WJ!xt8aiIYM*Vq;=mtA+2-p@`WsJoFzfOzHl@g4F>+K?x;Td4M;2&!KhADwW~N z0^N)-wu}OlcRI@no!tHGW&z?SO zK2pJ#5t?cj(_oz3>{7(Sw-HDOvG7R*@{G6{7a!!cQlwvmt^K@Ca*GTvDb(pCx61IQ zLS14^g@|8CpX$Xxys&V3nQL~9q2%q1^*#B6AD)UI}y^ zieDgr8VLJw-CWcXHtyr7PT(f)k^> zkyMMq8f182hAvdnD8r{_fPu0}22n1$MD@U+Ll+SiJ2 zeVpf*Rk-bOUN2cK!^1Omde1dfh#iOcjzei3hbDI%nzH}U)NW7WXhx3nIAUsgk{397 zh_6=nZ@ge?I_LETbtuMviSL@4ZeqT|15?w3nTz<9sp&S$CE@{hT<=2<`G&Z@)2?@Y z!@`~LEjFa5o0-da4tb2?JJW&C_jpHodX(|MgivzjeKdF>oT!xRPMv5)3<XBPESj{M*KZvxEq9MG3c%a zFqVOp6%kt`eAktsM{@xR+e^CydsU^|7#W^Ym7W9#@y4oj?IceyI*9Z^kAmBV#BY$# zsz3>wH-4-t-Kqtjji8RJfxfU>`w9k2r1!($SEcJ11rDrEw@n9E3?)@nyBu-gh#4o< zzG78Nt7~zMt7he@%6f~%fWWA;zNb2UQe2P(gio_cyaEXdQ3s3W3u}jP zL1bOJ6-^Dr?^LHxfv-jS*QCcf!9_#1Obu&WTh}yB2s3GEv>??a(cIx~hB6WWu#ckx z0%Zw~8WJg?B)*x6a6N^qMDL8zO@K8}IViwOLso=V00g0Dk!j1S`O?p^l95vdg<4IeSMNtUj6$P0kOFNdN?_ZLk_XEEt+dzkmu@T!l zGh$b)6x_KTsk~7@e?;d z;~lWT_^hLFxB(op9-N7AZB-h4yAbW9ao%xbnI%hvLhPNQJJm^fRkx9gCf8q-^>K-W z;naM$JKG&)1?MWb5z|g1Lg0{Sx3(MMx}5(;2n!*Gkc}jzDCiU&xb}8qQXKMyC<`#w zbO?DQ(n7G(2w5cBqN#o@@`bMw!;!zkm;zs(>!!>FZH4diHdzDblkXA}5kwhM;ff;! za@lUUtOr3DW19mz@^QyvKujLQV2tO0BJzev3_HE6w~N`oIfK=@_X#Y7VF*Tty<+VT z;c444G`9IgM{S4agvAd+k_;9Vf+=zCq$A@j+2BS41wffN;32*tlORu0)boN{-Zprun5#b z@R7f!I4n|pcAO$aV+a)gPAO`hCw*Q3F;Nq4cm^D!Jpei4mB0Xq#UQ!^AP`v{N^Y74 zLPUlLDibfCs$ax!Z_fzKdP%I~Wm?Cie~>yrdgPYUW^x>Yq+oP`+oDMEDy>Z11(N8D z`Xl#ez)kI^O}$=2b4T>Oa&8k=_GRQR-xSxkihCdqJ{wVH-kN#p;(4!;9~YiF)sG-? zxZpwod}E~$UJs7^04O(86zRy1wVcD$D}xXHJR3h)+>iK*$=lA2<`77qmHQ;V+vD`L zkyLF3H&&q(DtxgoW052y0dtRJXq;0Ll&XagNIdk;n^O};y=vDJDz|f7?fToRIWsz; z{H;p~f2)SC*ih0whkGoZtBd1S6FxNw0-t^(KXSuy+y`-7v59*oeo$Jp%2d!VyKrvu z$Zxe3+=ub-C6A{~+({FB%i@ZOQw!fHX`ey9RMI~4!ns8k&T+fri#kkPuW8h4yr58< zyDB}c$_J_nG6^E#X8s?Q`G}RV0!gfTG~*WMtT@VduY4$fRMuZazJrs0N|iqnKwI)1 z6=&eck8OGikm;rRKY=o z(C+CXEBNxG89D>B-4Q()Mr5!jdS7whPw;1T9xd88Yya%sQxf2FJiVr(Z8&LP#%hT= z9zVV>BaYcUe0E=kRSi7^ANR7^I&@Ac>630^t&%?ZX3~)Hj`En$QGXK|p5H6$M}4E@Zz^SO$j4ir%a|5@ z*#vC1$;Dn8D+MhDZe*03Zv5?Y8Izn=gcwOh}@(1yPYMIHtHZgQl3H*oN025|86 zAQ)lt^m))q_tHvX4nx=V%eYfQ|7o?HrwMw^-BMu!xyQ7JD7f*N*yl-!J^X(YJAAIa zgtVi5PU`bZ ztux?n9SL3KDa`A(|G}S6%1Xeu9?yvMPECN80cBf)8w+u__>q%*7T7>5Hm_D&gIQ3OXP zCvJNuQ|;Xbx!}5qbio0(NEe~K3r=ao0(-Z3y52xfs+M*cK3}AZcd{K6pxP)Cj(hi! zZHf&Jg}$A%o>cO_i5?g2x5MQ(y_GhBMT?}6EIsm!EAQW>D z-*GlG8t&sfayBzF^bjpH(L6B1J0y7M z-K;`sEVASdvc|+$9PPEU8>>;^U2d6{kvlL%E((aJ z64@=u&lNr;CMI)Q*P=*>qY~VR;G&+D4IQ2{E7v0Y(H8i4*nAYUNrG)F^3^f-h-g-% z?Sh~%!G=l->wlrl77Jna|8lkqWkA0z*M+H-U~`8`g?Q%33k>+3rJ zn#j7gZxTwVN$8zWq^S^!QluoI1w}wWK^GAf>;)-GPY@XFfFiMjy|=Z1f-=~#tf<%< zh>DeJL8N?VG68k>{r{KuS#!&rxpU{1Gc)Hr$2UA1{1XmQPsj6uz0{PDj2bZUSPrF?CCGRCgUBjK6R+8Hcw-U^x z#H|fHZx5eeBf?iYBK)L-K{BY;{C=wigLj^|)%v5w3mbWQ)G_2ORR$YN@&hu`4;CMU z5(tt&%r?_;()$-m-amt|Kkpx$N9_Jl=hEp{Ja@{T*F5ENnKoW85n>HO;&kx(!N=Bl zp}8L2j(muO5GB97;mqxU-@3${&(?7m%q5&79m%@dP84y$0*0o^2r{iRlJJQFw|J7q zJuG07FX1@HB)<6+|w4&9VpYi-GYwIBi*H zspNO2crpvoD@ltYFYuJH}o8-I8HR-UEkmf)@ zEaLN@bP=lk&($z)4EB^{5xO;)Bt|CtHgQ0T_|gIxo^{gUc~_E0d@j|mFImXbqk$pY z9xmSje98WK$aN5EUy|(SgSM6Vk{gONFH5qeCahAfK#eQp-Y>BiTtPAg>RuhJ2!?v$ zsqt}nM1eEXx%PVj7#m2!OF__#T5@+JV;_~fds2gd=9f<`x$Y@1rq}V5;iau)-2>}7 zV%;-%B<3#U>6<==UM20FG@p`}{pT77wsey1^2I=}Nw>?F(lxGu6w7SK*?%NJ-c!9d0nbzLLdov$eqdPO>c7=Pe;( z>13P3J58k21$7B~^N~oin+l98zsn~Y_47aSwR)t2I0J$>DJe*?j|whc#NJ^|?U#07 zpckL1fyj^3N)+kGY49|mf?=qix*=VL{K`$2gD=S`5Y$j!S_MAj(`P#1M|?G9sgP9%v?HMCANbxa)luk7Qm8uX&zeNvtNmFQvgjJp^JtRi(bOWou%|M! z2bZzn8Hq?!Thb~ux-Kc3u18*groJR!i?G0x%vTPg8xaD*(wj{a7cS;&2u;Xy4v>t2 z{y;aCUcMP=G^1=T{lM=GwiFgp%adO^mlc^KSrrC!Cu`!XzJ#YxYpC?p_IbpR8WG~_N`UxI5f}E9F$4XJ)C2!>&8)E`SI0m%AbOD0BqLbbxv-}=k0Y)rjod#- z)#G1#isat@XHx-Vz&VNo-Q<8y7xF1ipcBZ6k5qexmR#aTh&L~mRS;Ly`!mGR(R6xw z>LoshLem-#j2Fb_Ghv_!u=zwvct{2|pNWu?YQdApZe#pKBeyrddC7^h5Hm`WgbkZa zt4@{{6QnAL5j{{UNvckf7KX=x-8myhTBzwKNvlkimih+!A0SaoE!~j7qVPXTx1PkZa4Ir8eU0Boq4<>d*Yf)*dv5Y&s0oWt z*FoN%yL={<7j*g+{I-VAJ@DiUkKi-n68yk6Z7LY#XOLol|L&rlB`&+l*HRF{ngnL5 z2HE23t9*SSm>q|WtBDCHdEArQBN`WouNA=TD;zvR1FR3F8uTxXu9%#CM(YQvxdJ;eDS7ab zZ6i(c$i`OM{A;joA&1BCt>lmjjax8vzPKTkuRSd>VLCxcG7D*dsUdN`KgP`V!8S<7 z3}I+!u=9V6nNZ `Idj?IP>Lj*=Xgm;}9(93Q#oEuTKgcm8b2hc9>uMbe>ZuLZI& z;!(`oJJ`q97G4|?%%(_bos{bf9`M%_q*!U$zL6oe;S)oAC3LwG(^jC%CHhWh6G6GV zzEMS8JVu45$5-Bl{DN!=E@-g#EG5~jl*=S}1RpeFN+VX8`PzDiO#~9F9dH6-677^y zZ0#!Fepl0(A`Dm%Pr6|yB}lY3cx|zTI?EeOzF};wB6T+Ptwhd(M8aKjC7GqRjXH~1 zb$?Z2l~QK~PMsDvFHsu*lTk*UHDPK(qLd<x$n05YZ!huyMaqPb)9N2XtRwZ#|#2XsvGl*&xx!=Wy5QA6gJW)(Oz*PTP6EQa^AtAzP4d=XU7N5UJ!@q4029tFlmwk*kyy@!N_~o z)C8mjDj4|@`nREoG(ig!Ul`9)qpH)y$5i=RiW;N{b4{9fj<2sN4|Fiea_WNAb;w>Y zgEy|WzP7{`Lg-+U;SM?&F?|#b2%~VxgaR#0vKSeaH&SI)DL@Wp-!NHUEYFsGO;Hq^ z^qK35RZ979ceGK(v`wmD{h}i~LQd=A(22vo*zX)m584tG7IHTvbljnF3&P8%jE=~m zi1#IHWC|(wY1F#aY`iUIRoVVycXuDIw-(GQRJ_B~IdJA0ec8Nt<_`s*9ph|jmnR>c z*LQe?>coxauhvFY&HQ53wP~Z+)8z2<1q$(d;;zJhyrds*7Hssfa!F&u`pFqGbLZP~ zMwu%-zv{V&d*Fs{JgYuzi2mtyHb>WUx<7Ai^($Sn_(*|}N5Q>k?1_uLS$XEij(5>J zj)gJA`?kpHbgposO}<~9Ic4&N6*-x)IyLQY8|=;6m8EET&A9c>z-{zq|MNG3Ry{bcgN`#o!w7MnOyj|wLFZ!f42N#rW<*I>un2wTGw$Jh;p8XD^@=XFj;f`) zGLv(~wF^u?={-NSIAKw>)5rFy_H$ER7`l{KqN*h7i7RNg%gU4OB2OEotK3D^?vb** zZC7$$P@K;mU)Ch%AMjZ&UM@PVRKJ*dRF6{F@rc2CG^amkXPats&i+X5T4r*Y_PUZ5 z<3euNgNXQrN2e^!Zfp~VD6CezUpkfQThzavyLn=i=h7v2MKkj=Cr8l^vnS>U>vvw^ z?)$r4lvx@idk-IVX*ce5Y3b+&!>$TXO4Gse1v_q1J)@k=p1vy6$?4ZNzIDFtk^Y5I z-_e#WRa*{BZCy*hyJgFfBS)^7A8C47z55d&7n7rZIYR&FQC{Mg_qVW&F%xu544J_T$l%*MXM?Qb=mxekh zeKY3RJ&ieczFVnsNW!$JVf!5-qlEPj6z+BYEG^BSohTO&wFwWmp%$z+TxKweS&^!$ zdP&Z3S)l8@cCnI0z`gVzo7ziEJvA>Kr=Ae2?HiT1(ROFB3$D`DrG8pyr_$P@z3NEs z>@u};6IFF!ylsH#^cEMTo=KM}S8gSZnIm`FP?&N| z2K{wm=?{c#zEYObDo%DkF*#~O`7E++eVJElXGfWEyQ$#^uE_g7&5~h3X~5n7ZlYz@ zxfkv{-uR>UuAB?y$IYEpXMS`VAOU#32ca_Olb^3Q-y&^L4Kft$*J=bZw z@ae|pj5~k5UGs8;>W8TvE_=_q($2ctpLI<=ACha*AaXY_#+J9QJ?|&Hyqsd9+7Rm| z1~QE~=3?+x~dvowlA%k-{9lLdpe& z{TCD}LKU8bD!jX^@Z+xHEI-9GKgEhGio)6}2AnOL^;vzx7Fw^PrqSy*FOIWt}7%wC-{jV@rzg97SM>g{yC5;ivUu#Fyn@Bs=t7iGm{E`3>b~JV9dmZb`Iv1{ZznBT zdWIUNvUtUkbr8@>d7X1(A;ffGeRgW^DBN{$Ash9cUxfq>FN21pj2HE3$?L^1Q!FjI zrbJq%B-OZH+&PNha0%FAFhWD1elglUE^XKKg>2mnG`=7qHZRs^isCdI zol@bQy4|K>1v{dGYqMi-Eu3M{fg@&0XyIk2HzvKy(1CSZtA+_rG*|45@-<8;b#+M< zOg^Exul%i7{H5VFXRn^kE`I+rk9oW$s5E8VVq}9NvWpsU8Vc6FKsC7Ro*iL|hkA`q)ay9AzWV08>mO$H z#w9p8Qm@bdkymqRiXw^%Quy8#LaQ#zRTEbCu#rq#Q%%K*HzK1cS@h*LL*pm9j9r>= zD|wBu_}-Qrhap!uOO#`-MZUB4>Rj1W|BppYQAGFRTj^_K)(olHV4r*GybJo`;ClIs zP701%%rCHy$|CcStQn15O5D!Qk@wTjwja*R-H?!+T7j;=>xieWIT?RN@sI+i`Ss@P zlShVJyo}`Q!_PL1&E-}M>6&%pYKutu=lznom$nF3jcMDd9zB5>K!3eaUcQ9CVeNF* z-zTo)Dm{l#cygs5r#WWE#_^NwE+#!xRlRw{0n@+GkLq?TN{zK^k{G#%o{x zd`COobjKIr@=;Mjw&}3Wr8CCYB|n)nLhs0srTglhpT1*~Nc|RWJGRH!nZGnEG;-F6 z>j6=lD;CVpw_9ktcCm+zT)1tj+h0}bAqE@j@9N%a3JLkU>e@%S)y_rQo3Dsa?1lxYxpN}4SPdN6lxr0BQD5K3`A&r%muAJ92RwU| zlCx3qv&pU6yTT$%OM2V>DMyXnkykEzf?BTzMX>Iyu5xQ9-DsN;%6NxZmZ{gghv=`f zU*f7~`VVruT3LF~^GH?~){}yY&NOrWp|n-@$zSzw9)7r=Po%L3(p5qtv^u%W2%HuYEaS86->dz)G|LB}% z`n|nDcIA5!^~~<#4Ys=zgKfSgtet!Rpp9tV+^}IUTBZfQ$T%A>^T5q0t3#s;}{XIOJ@`_nF4BIzOJ0M;GkOzhB9dQOtI3?wt*qO&mlqP3;L*>f9JR<8 zU(iISywuh46mf;}2S$us+i;+>k>b!%TfA)L)2jmgjx?8==UH}gD2#oLgYKk9tZ9gh z`Fy=GC8p(i_r>o%+Ol#V*xwy*n72mEJSvhY%ImJQNv;Sx?tA&>wpusS_7GX$OKW7j zH@;k(6x@ibssD8D}#Tq9DX0lqb+$=@5d`~XbheK^ow+(eoi4@8(Rz5i1QPDsJItA<=O4rY6C%RYXiWRGJymx|2nm`E5~ z_V@7-7lsKfF0NZ0vdSVw*E?insSGFSlGY&$?w!xORV+ff%V>L>Me+;%KWi9zxpS+g z2wFSYu5KAPrF}P8-S$;7v}GG1V-%)vFDrQfd(R?%DjVz<6 zm{Mwo+$+%cgq)YxnQ0Z%FScHm;lYY_S#U0;D+LLk59f=fFp!*T|5T)Y`KXqQL8t0Z zR^aWfyDQt5$~l$%lX@(37TVN#O9T|^^gYY(KD?;Rn4*g8_vvT%9q8s#HaB3X@{5S+ zXgaF50XLPPm)zuc5u@m9Uw`RUC-kOyeX8E8jD-mbylISh8kf6Noc;y;5t@m%0lD<~@^i?5Y zr7!dL$`+*J*4~3tpBKLfK9YB9^LZx4!Su71()Md=oguWU5sFlJy!^O$Wn*iD9Q#m> zYQyb21}6Kc^R){eprQED;1A1KO+FT0cX*c#?7mWH@3`pb7^f*TzZs`OcB$@*df0nK zW1PzrTEtRhL`U5Fiw?OPAZ?XKlXVA#u~G7c9Szj%g)dQBoA$*zE~66pbBZ3{w|6^n z15v8qiCmY`M$X?e@972|#~F8L+&WN3*&4q@<2;vQ-Q|)U`fwl9Xd9w;4O^xEqYQtt zY<7|M#fpXU@lP*`mu57uHwQruKYPP&C-F=~yuERg1lEI<$ zmlYCh?rBBi`>2}Ju_~)#TZpp+nADCqZSN&kF}5P&6RoC%yg@YLGRvu z{BaU3d2dQf8hSeY^3Cf$77Ff*k`Kz9FJaEJ&b!FLGgZrH&AcEosOjkmlWp+I7lh4f z36!slU}x@=@AVPs1nO0$Xe=+i8qyPvD)uN9`d!%8`WM?k_3@@mCyG!pZ5G|@OPvzu z`))+p9lu9;-`Y$O`rK-KVaWY!jtfE^nyaV9Xg+Pm6=}Cc@|#ajtF}M}`gLQPx$?72 z%NF?rIW(pHz5n(QrPDF}lVH`4y*4V&+R%aV7QSQ$^ZOH;5Y=)OEzoRO(efd&?KsWYkYjE67D2kto(dBWg@xN$q3r z4e2u1#w@(UwLTy&FW&fxjrOj(KIV-@)9shs;ptfcWgSI1<M^o z=U?}k)ZS5)bw9g*oIZ{T8C^_`$){d-Hpo?l);zUWNeQ6@M>DdL> z3CzEx*&KT<+Pg$PBPGv$c45$kZ8ns6P5#iC^4pFX4MW4ixeG#tp43SB39dG-<@uF# zLE7_A>d#lg4!+)-PuiT-WhZW+(L)QBXnf8f5U`sYtP?<+980{2p_K8s|!; zVqW~_hu4jd(!IWx30r-ALRP8ujLUgdhEh2SG#d@>LLH=kq-y82WpTdm-vm&b+eEgu zRbf=I+@6gyyN}S%HL-VI4PZuFWCt6{oLS+XJ5}-1XQPWhCn#Z!bMzhEbevhwAXU$`)* zKJ}kc#8qoeTamt_v8N$>bkLj6q3eDGWQC&*TP~H`58uMeamkU7?^bR6Vydu&(HB3} zy#3pWWycCKHaT59Yxr6xBwy3~@iqsigrald)0Ed|An6Sv;?;|fjiP5j)*o6D9~)yZr5Evxwi zOC-JJ9d$&YZoso$tqxWSuU2Kg1KaEv6x_x`8+zhX%lN~;HmoY^?B&v0uTf`4d56kZ zW<-j5rYdb-7h5nVeO-r)k)3R0)=755hyK$!U#NC6@j@rlK2ffE`5xS0iasr616BU% zr%=|g5yDP2nRJE|o3Uq~r8mb{EoJ}xu0nZ#&J05@&k)Ma`|n=U;1#rcYR<91yv1+D zhYLN!QA!2+462In_ktW`RKm9OK6X>(EiS(n8I44vjvrM!_`%O0^O?`up)Yb(?V3ai zcUp>yn(bd6T5j4O&a`;b&yfjezCbs^Y?T~F38(e=DKswfzkkd)vM>dw-REYU*)}on zWMTALXZMUxQ`=)`ALSINMv2LS9i3mewACNO<+l|ZWtq7y_v|jTS?d`YBHW0I?)Bh| zy=>N$KyF$Ce@vj2UKZx++#P_2%yP(^dPlj#a(&`&lQG^KORrJ&|!V z%B+z6hBF?C*!{;5gC8TPG{ zUkYU%l%IZIr2;OXf!{yBM`jz=&I(AoCZsf!$?r$(6-zyHQT{Tz@v*k=57%838D<`9 z5Y4=VGp5k3nfzqltNt?Q1JRrYF}*LW&6E5ijB>=r`jy}OQT}-(`L|RGI6}qNm1y2 zi_#vNbLqN5&bdpd!y%{rkzAYq-l&<)#TvezIJFx`vR_3qL*JK~9h?$`QhHptSuT#U z>-3NMG`blxxaU%<*gN7ouF>>VKZ`X_vsk%QJ0HQ&amy? znq937y62(on;*VFrUffDtPy7DL`6?p+I#Hs*Ne2LIs1KI%gE;z8YwZm3`B-GN$EdM z$t3sH(msa<8zX9^Ly@wo^|^ozo>}kMn^FVEynE-Z7XEzS!U&zrA2OXH8N0W;j8}*h zhh|KYyY?=Aq4uuT9!6@MsKY%9D%XY8R-&k*4StOI*9)CB!6wY`O^VN`>f?@Tm@2GoF1>xcbDFI@vTZ+PL^=0*Z2xuquETK z-mW&|4@j2rKK@C=rU0L=4gT$`7-MF6Zfc0+wqFcHmcRE9rA!$pypD(!Re9I+FFivJX+F zrXzIz#AN%g_VUM&7h?H-e%+w9&#_%oeW|@dDxJTft4vv~p|?&}`wNe{;zeahCRgrT zM;Z2F5nI`L!jP`;32lq$dGJJV!pcMF!s>U;{yIUfNVBI9aj3%jtzT|1ohdEk_r51^s?UZ#qWklok9GiR-+kdRPm_1s)t~~e-uA|YAsr03{7;SsF z*WjU%HCMHIY@<%UkV75Tc9vE(_A$$D!y}_RA^9F_Ma@m$)2QwfPk9`p$aXEGwAC|O zT~vef*&dPXo)uO@?Tnq2bdYW>pvbZtLC7C@<$`?wD(cD+c+CNtrz7hDm4vaW| ztWAXK14o@dAUZQm?R{q8*+av48^ZGxud}_1eT-+b{su9G7Jp8cfVD4m8*m^L~ZKu!#O1afVd}XGuB-`lzyD%9CnY3jMr$73 zcvcLvG&5@h(=F9qhue9Kw)ACw_D(rtHFm}^UR#6w4WSIGE}`>A*W|*(WUTMi0|)PN z8NrAZ*|4y?o-&^6yiQ~kjWSZu3V193-bl6};ouh5My0K(_TR1Tp17z+KU$sU=H{jw zI@j~+kTp6h400=~dVFM+OI*T+tT9$YURB$>Fr=|j_S1pTwfFY)C&$=K_-;$v{Pv{n z!XtGTYlTfh#gyk4jyR_+&wht=hxC7lk>8F~FD99`KGcY~FGw>zCj&Y^Wpr@!t6T9$ zMqIp6EFWyp^?1_8fNMLgJ;ECrH?4e)VlNh!YM0g)yXXtgO><7+P#PQLI)-~T!;^q( zK%WCjShuSxvu&bpb=xi@tj#maLuA=&l6}NWku6LX9z|Ns+T-5*Gi7JxmYfZCTgtn? zQ}R82+)nA*h;m|La$PzW->%!Hyt5S-iW;M(hJ*D+s`uM0T zT~n%UrwhN>zRgOE)=sR}m^>y_Z%MU9_!PBMhm@~ReY0e{h_XH*P4Q9>C#XifmMhq` zETE-KZ^!XC-`0Yh;Qd^e!k$_txvx3Mb8wqk?*stbfv8y@>kfu{gisxaCUR#@(=UU1G-pQ zZZ96BZ+y|SxLk9?w5&Fv=GntBzJ-Q&U&|yfT9h;Dt8yN{CjL7+OCYl;gm21PK0+DG zneSOrO4FRP>uyZ|=Sm!6qj%l?`>X5v*aFdxb1f8_yrMEgk2^IZd+F-J^;=Kj|4gp? zyt--Tm93g7@gtAT4Vs)$v@!>K@}Y5S#rx9+<8w_IOt-V?oh3!pv0ms+^YxP@PH(ST zdf}yRsf9nEpBQyhZ2L^PxSaXQuYyv3>4kD6rTplk2z}Xd_fLMV6rt2X0YQW&iJ{JK z=5M#joIlLp>ISUTkm_A19yEVbsVB_eB=)Be7vnx@#A5tFYW_%C9W5gafqwUOcu3o5 zBsJaDcubz`55v@_q-mVZvw@R>Y1|94-hgSG@=GWqOt)QKkyayVCdX85l9$KQ&KWG*3(2Tkj@VgM&fwZ>1rmb(sqA zy(iaan7H7WPi1-Vai5VC2D1a= zCrcxuhXweExBcxCBJTX#2Uy&JeTOi)3JVVfZ$D)OCy^MYauAbBcs)o4)|B)uelujcD0Hc|IoEZ(B- zYoMU$ByG6(s=BXh2}e-7N5hvRe!A4#n9?Dx$??_^x8``u(sVni;&^v~mb~#7IQR7F z;_cxq9VPl#@?ybHL2RF_rB2oTPTWl$O4sj)c9VxvfqDo8>QQ^CVoHv;_7HlX^oI45 zQq&M>hejBv@m3Jsss)6<6cbG#vLVgq2>!)E!$=4XPE0hQS_5AIS<-e}M^0jwrb#oU z)5P&UzHCK!L13qbHbRb%q98hm# z!V)iu@^g39LS0sp{I>2U`WV7j?K#z&=S}d}+WG~NjxXJRnaiTva!`$-jCVKL&#$-9 zVu?RR`I*Vo+i0@N)hGLXwW7QcD`0*m!p5P*pON_j^Y=r@-;G06ECzlJ{L8X>V2j#s`DdBS}yHRnEg#y9_Tw`>7-q1Z|Dm@EGvyZJ*}8v!gM z$6^S_eS&#pJi#>Zi+MyqFpr4c^8LbGf!`#NBn>bkNdxpH{3gI7;!39JbzdRDBNJ*; zW)r#N&j=@aBQ4@Azww(uK5>@v?tDKvn{uv*i%Tp|xqDtXQBR-t(>z2;AzTMPluY;6 z6tnUupUNq*{{5Lku*DoC-lIb>9AZ2vUk838f^E?S0?R{0oPp5;!EYp1sQ-}FSalRB zU5`Y9BVs|=8`9Gk3{8nRc*;cFn*l)(`$i(j0ns0jf>@6z!-2Agu=tcna6&8yd^0G4 z2%M)ZiF^b0qAnwl=5z6#SNgRsfc40}liYzIK3Cvl5(lw^1#7BK1!_({Scz_1F`dXfwW z;JkC-`$CY5K^a5_M7;olJ*f=H@CKnmpxToP0lD5F1labZLWm3yGILM}kpV)0e@`j| zGJG5n11R{23|y9D?=upyfQe5k0m%1!fLn6zpadcVE*8l7q!NTg4qPn|^ht6!aB=oN z(69xALWm5w+J%Echzw|G{Gbpb0~!j%eNq{~aOeXKO&F8`8NMSBD{)W;kpWFj5)KL> za-glrgF+l31KPTHPzaF$O$DMq$!Y94LG~(l6V4@=p;$m__vb)Yh0q57Yn+42EWLj6^b3h^A5h&v2tB04CY?Ent=N?Gx@4GZ6Tb1pqC>>9 zMf4%0IAaU(nO=XRAj{vc04Z5X8|{KvHoup^wJ8oGg1<lO{^V`elYNXcSSlxi3}L{*@H4*82iDv2PPIt z4VX)i0plJhS!@Nuuxv;ZIWX{Z2ZazBF!1vRg*ZY6%u#Gm2$2CpKVO;w2S5f4eV}cT zHiXCkGyCPFZ?66d86v6?IJK-L$x_G|M`A-WL6aDq!;OVNybw2c9r0L1kO&g~Vf5kD zjS?phTe7EB>>xc5zLm+LLOtIFvET+2X5KwgzAVwRUkC-iRduIVh8~C6g9gg_;8b2*h zmOXV)bA~|(BMk&gDAPeCL7A>Uk~kK&R5%!pl?;yr+pyVbaY)}qTx2EC6S|U9%-nv) z48oh!jdToF8YLZkz!%~%IANruiYi{@&?ZQ7krEGTlHQwiZ(^JS&yJ5&<@P1DSw(+B zqn4Ba<$&bDBtWX?juzjsQu=w?MsS}dellEOAzXr#hM`9pO!ia?Lg9=x2%fLeU3NWt zylI4KxZNVVQ+8+V>g=c-RgM8?JZB*%fs@NQ#*wl8?_av@NISaiz@M@$)7HUur0wnh z@81Nn_@1qU-LJoqcJ70JT)Uvbzr*(Y;lKa+4PP*P&u@PRhSv{&G#uF?TXCJOz@Jhl z*0B@#YMrtuY^)7zdtMt@r^23O%J#E7Cd=L|PP7x)C?|8;WUn*3L_?}lIpY0xf(SVX zf~DsN!Ef%VX^Y=-1mR*Ydx4HP%3csFPX~q+SOdh@>;*0*K7v`|`91=^4Ly;#g}^MC z1UH5xBBn1UZVad^OQhYOB02E1gFr)ul1}J~A4{AC8e(db&!-YSEjp8BOSpM~_b50* zL0sEJv89W^PW+dPz*fA*MKG!Svx{IZMLgL}&@2uZB^XWxM??D|^6CnT$%tH+%1cKH zrcuk~JOvEOUOg`XMQqF!7?$(60yagR)j&#@tl|(=3F*EPXL|~?#Kk-TldAiMOkCl? zdi9wn2sTh^1OGG0!yQuWAWk5881Ixgh>2(N1@Y>-JxKB#9h$sg6){(UyQus!;m%*#!B-7B>@Qog2L?~ekW|Pgnp^qCoP`HL&Sruq$)ok zTL`Y>u+w^mO(cll1G5w3p^KAdAPov+{_7MbRO(>6h*c|JO7SFd&x5uiI*nYEbY-L! z!Dnyq0w8!cQiGj(@KjTi7S2Y_%5u^ZLBmYse;xOq6M!s4r;{fzQ4qJ|TIynL*a50D0}ev9fcQAY;{EX%>ucI)gliB9rPJW?Lc< z7(_u=vOU<)04q}`M+bXTYc~8(g&h(~6qv$)JWNNye^pf2VdhW~y29Mb-oepn#Ge(z z%%NVGIaKox4ja#B3w#3ugUd4nf?SG>gR_fk$!Ni9p+1vvM}*#$PXJwP673D5^`u8rU0ua0 zV+1*JI@SZOlM`%6CrG8CkRx?%9!C038V)BL>lYroiqM#T$t0Q!({`}AoPRgheovr4 zPP`yg5F@@9DlpZdo5E8BxLUHzAw=$o5+d&JG{1RMXNnEOU^f)UfzH5=5lr6`E}$~N z(-F~GdMQ*-^RBfO;*#Hw! zM_lbM(4**zpZE(bX%HhZQCsO5RFf^h#UzQ!IT8Nnkp18>J*cP;h#Vz)l{b@o*Li>dFfe1dAvo$9xL*Bnf1c z-Li)yMoC(!VJxOZ2-IEKR3hdmj5+&}D{Xm`vQ38sm?F9f*#)|$)ZqA}*$Wp0&Q45P zVap54HuZ@OPclUgW>j&RyDvwfAO_b}=2;qaSKREQ(oO_7T2z;6#o5E=_n z-~lDd9BIPS6bV&8fsmAV64eMTMN$olR0tJCB8*578VZr{Bm|5YsAh^7BdNfYLzDys zheJD^rA0sv1xL9^Q^BF8ND1@|Qk^7p49N>9zfs~FDFR=LyO@^%n3epKOLMi?Bx@E@oU95NB)gBC;92PP~+ zAOqAHNrtbtAQ+OP2a-Mk&>Y5?fn*>dOf_&IDQVhRX$n}t0-?0C<^3 z50W~;hYYOb!g11-JoMK}9!9R@O5wyxJ~qH77AcM=eunr2BBcqEU%tL$kV*vcGZcnC zL^)E4lq3TD1>vzsX`&<%1V=I_N!vBxKK~baK6(_{5+Qvuc{YP+;uzQ`W7qz7+nDmJ z^H{`W4hRYvPYm2h>980>j?IbEWMDuL%o5c}1Ib_*sEog)sj<9JVhTl(Nuen$S-xYT z9CTI5&>b5*ff%~c1IaMr;3?8nkZ&Y0e`5xc0epTSQG4n@2C>ShOp~U9gWyEd2aCLk zxy*N5vZVFBR*5_z)*vItcJ+A@0}J)R#J;0-63 zGnf%30D*I*NeCAVh4X%;93VMgIFJS^Ola(a-;%^avT!gRK0Xwh%ZeY&hzKB-2j-%I zjDQetn3@o~mFz~~--PO*>PtFR62Am8i1@9N3?fJoB$Efu9}WZzkcQ^~vN$*hI)ep| z1Cr$U5Qq;?^bR~15E)bdJvpcSHb7$kJvrl~vxJzOGygR=9p@6N&a7XDE6o|4oLck# zoSZN?iMcsnGB+nLkWx}C{9|rH9dc^MOHT?@GvW8CnfULinJk@}i=|UDWngNKNR>{_ zv|m#*ot!Mn8N_4>4;bqUGc5Cu875YlsH7{@&n7B>dip<9#-+b5mX-VOj?DY5F#q2j zxeN*iRK^wm>PSgHu9O~a58q0aR{!eAfCVE8x;55@2>3=hZf@fbb-hNokA28L&1cov2WF{3?cD!|+-RzmDNIF#INl-@@>JF#I-#*J1b_48M!v_b|L3!|!AG z0}OwN;g2xwy#04jh6kO9a7HrOZCO`|I4bTDT z0`vg-00V#_zzARrU;|75rT{a5IbaCD0$>TS0$2lV07C)80K);c06PE&U=MHrI0Bpi zBLE`-&HxvHE5Hpf3g8a#0C)nt09*hMzz28(d;q=xKY%|#00;n#28;m&0>%P@0KtF| zz&JoCAPf)=7!Q~LhyX+aCITh_{sKe+CIg}YQvfl5seoyK>3~>39AE}uCSVp|Hee25 zE?^#DK41Z0As`;G2#^3s1SA2H0gC}k04ab}KpG$&kO9a9WC4T#5g;3|6p#bR1>^xR zKt5m@U^!p~U?pG`U^QS3pa4(^C<3entOFDS)&oiaV!#H#M!+V(X22G}R=_quDWD9n z9k2ti6R-=g8?Xnk7qAboA8-J05O4@^7;pq|6mSf19Pl^b1mGm#6yP+V98du`12_w) z1XKae0nP)e0XU!rZ~<@;a0zf3a0PG`a1BrkxDL1hxCyug_y=$sPzSgJxC^)ks0Z8! zJODfdJOVrhJOMlfJOexjya2oeGyoa_uK-Pe*MK*Gw}58AJHUIu2f#*TY_X_ zsO3m$5h+PG46Gz8(_S~VTVZLpy57<6nzMV5?&di)S-PiBn# zZMP}XBnbYVX;7SeTk)|ka>S@*N5_E1af6TYnUYT!Mq%_r@rD>RB2dzhXDUDS^icf9 zpvZ(UU-TV7j~F!4XV#oQ&5&k2Q+(l&*DWJFS%gfii8Zs!*Z z`kk>m4G3u~4xG7grt>u;|9G7~UZIayjRUV3wfjaHZs1kpy>fU;XTe{5NXW|9w=exp zmo#1~B&74mbwzu{hr5pKe%5$G@#|CZ>_7r*d~N%|?-H_KH=Y<_Hg!H^9LgR|Ja)XY zEAsg5gP{d%{!!_m^iWrG(yAR`H`^)^|zidE57^CI3oV;Bjc=*AC8TD+uuskUf+GRea&UVts}I7 z?-<#p=t2L15u;Ck$I5q&PaZ}`1t!p}l>U8By=y$=I@&*t{crTNY557Be(xF=U)kpd z9d4yrH6x#~|A{`-dKCU^EBdZviw^t{b5L^J;Hli0u#Xu|*e5#U<$RA;dvbE^UedK} zR8+jSV&J6lUS`CLy)CMrX^nsTJ>#G#WN=#NNn@+V{SERxpvG3|;wytp2*D)Hf`WiO z@-b}yY45#90So?D@XaNSy^cAI_6+s0j|fcNah=$;bz$Nmz{hUk05hu$}`JM|rkUs->rzQ>c~i1d52 zMl?MOdPV&^wq;+)9uZxzcZ~deV&sQb4>nGJA&d3b_b34KyHm!2Q^wyLL^h>DZx){Dj8Nca20$y^pr#R_8?YieGxeIF$3> zeeEL!CCSlz7zl(PciK3MMHu}I2KY7@7^l;nJeun)oerre+>lGfu_CPQC z4v0hvzgF~!4zU!#e~)&52QJPzZJcw;*!jM(V%Pgv_pC@4X^zPs%1IDESrc9Y-}3lx zjWJKod7oe%-i|Au6{%(li5NFOj*Wb|pXA20O7oYqkLYW>pLAzckiP!}eczDTmL*-= zGw0B|`tC8NW8~NU?+(B8tZ2IU;PawKZkSBn>OX-|s~Qotvk zHL!oMSJ|hdjz|3zbtUR*R8aIS(L@RK$cNrL&J32YNXJI$dc6*>h`zZQ&nOb>Mcq2w zl_pl>^c!=f`0gTc(1P{R?8d0Ug`LgOLuZdCjT9dv$%4)nn#|;r(>hm^q;bT;Clfo@ zkmR(HC_Wk1xt1ma`J`Xxy69c&@o14aidl}iVsSV&7mK}^Ch|Q39t+^Xb)we>WJd=i zuk}g~FNyxRSK5PSbuq{C524p;K#s1Q7`a$65X%1Z%(gQFA^fA4>0t(L!~2TGam*S# zQY?-aH%GGvOT>(4`XBu)o5&9AJd(hSdiEsr>so`@47SU&ClkK3OtQ`kef9(1^#0 zkzctAc*DJpv?1=RJK7`z(j#rmSGqQj9;O!^zRZql+e8-+U&b%b7l(!3q1%c&6u%8@ zI@({@>3O7Lo9=bpdEF7eJ~An)Dx41cEbNN1RNnALc2@zdBU@4Y2& z%pLh}KZ38I!1g4LtB=0K>P%@ocOi51K76~z!#aFG^m$K%XF)}wGInR{^Y^Bzr-w+zMr{KoVAycyt+zj@wc7EGL> z%He5;JTiV#Jgi2mV&bKlW1l;2A#>kw$AIVB)u8*3_2axjBGOl+{s3<1h@l}H-ObXPmp zB3T#|s&}|dHYAN8Sx|YTki`mF$w(T-bT>i0(rCtTG^`LOKPquC#yUwF&PEK1G(B3S9FZoY_=Sgn2{_2AdXcolLbi=X`O*a z#$>^6G|N#Bz%mI~RwPX#SkNsCW3u9wb&-`wW@WlFO6Ha2XCY}a)7`QbKsGlBCvBV= zEee~8_t$xaMo**lU(+lblHCB{#yXcN8HJ@2#Gqh{6{%)0revH^wy4aWRaodMS=~~G zLb7n!O0VGg*#i0O0+$)7?2sBqYpShp$wTVgzcK(R^O)}C%}uTnf|G5}L4NrZD>^PI z4yg-pSG|{tnT>l^dTAmHug5K#j9bj(rq2CqEs0j7EWN&Lxx1_p>Y2xvy?Mdklm%Wa z6nJ4nev6py%KBmT^$|cvAt)5)g zLD{ejU#)Lf6d+>L93dRFkb33Y!{Pa0CLysoUK0arG7seKzKjUN8~*=%)*v`G-| zW-8o@7REhDo5`yqT@5wB`z5_ic&-tiYw6Q(9n;;=R0*s~HY4?V2A?|~7^uI4zGr@S zy7_2ciu~@HjOnD9rL98sE?Rx?-ONk}k&rFqC5m5MQqt07NieQM(tGGjt=K@jR?wVN zyR5ba$=YDls+wJNqPI~Dga-9+=0uWZa-*Wy5do1wkek?a&mZn=)+ z{o^G4wodARVjJy%o21VJjA<(7HN%6n^{O3=(5~cdRVy1^(Q)QDB*TOpXr`%1-pzDV z_|&*W3-Wtt67{f$anUNT(3stXXtSdVNgokd^C%_m)5F-yOu0olDCsf0WtEqS>BWav zd1W$>}!wjV2-y@Hr0 zaYD0Kn)x4$(3x$f>@pWX>?hwzz0#+#%jTcqJQ>=x;l%rq>yReC|a;nc3t(`?ZDgNlJ;r{5krJt8&!cDk=ZDq$1{tEE4P zf@)56UOc^%g~$2R{DS?~Q?Ou9z+TIwdiK^+1OGbBUVd-j{OPwZo*wy#z4RWLQ#0Ii zD$ZLbO%8kg)aEx%abL|JWI8$zo!b0@`}@Nj5x3V#`|7+uU_1_H z`;0LMb9}zNRnZ-fo{SF(_+aewWwVbPY<|OkKJH`Rp)iM|eeqJwsj<&T=99hRaLF{; zU?fv;aItKR>AOkR!@#@^U-2sOts8LTEuuU6#$<0W6$fAUeLLvppRQgU`EB4gug;Ih z4!e$wdVS1(Hg=^;b@Ad^pONpr`*v)^8y3R8M^NY8M|-av{^{z2h~SUCJAhsp_;{@I zH?Pb)T95Q!$?vbsZ~YIM#K-@B7k`17`UQCSl{3B~(QtXZeIYX(a@O~}xbM90OOJnA zFY4>{%bwzbIR%uKSYp^|$}e*rmE<9Qc*uGCRgSy#KHsj|gkuWz|qC@lA;r7UKP&BCD~A6$RlZ%&MC zQoTXGeEF3P^)0n49d(^T$sj*`_Pn2Fn!iB6ZZ#ucIZYh(%tL_+`rT@?a#mO?Btv(U zlgS2P^A}J)P-xw%GFVwOG`V}FR?*_gT(aF}w%Kf)4Z5YC=vJ@>w|?QL)do?#6myC# zg-fxgnp1759I|Nf;1_;oCb;i{-wAx~3%|%6gZ>HrA?^l9^U#z~oHpohWWsRupud3$ z$M*vU6M+vw$~TfyO|_#S7GECp*W9F&7;2Y*`f1tjW?dA;Ni(O}(m3QBO_QYWGQE&x zM}9HxDq#pUv3zIC9V;7cYi;)ngi_*g<&eKEIGz^b%pA;I2{ZxY7i7Tuhx|3+iF{dD zXDnQ3Hh0jnX3lIg+wWQ3+;_nL3O+aFuc|OkuqbQ_U&Ow;smW2_GPk^`vTDUW?$(=V z1zEN%E(Z zlNgZx1{^jZkJe3x@1f}ugR8o}c1fMpRk@_9+Oc%XlnmfYUM>pFz!d}X5YY??e*0OS zRveot!M@MwqT{4ll%_2k%I4XpkX5IvrNISMmee_1RSosGIhvYlckGvEDjrtw3-H$O zJ_nTzf5RpF<&SFThNK~~1kQ1^kR>pgcuI5Z3rmaVAP)|8Bk5EGOWZ~zorb!b9koa~ z9iA{-mF1RGEdLA&5Ejle5Z($aXKNNB>t$FGBR@NWwIaXh zX@|^2_?tU8ZE8N^myWUmMAy5pnw(8Qummm?Ko;a*bWNSbe4X*48FP0eHl%xnmhpAt zp*uOX$29n|C`_|tbb{L zd~b#nEE-HHW|Vv@f>pXZ&w`(>_0r!Ys|28V2U+gQmIw`mZdD6yb_k$kBwIGYky>O* z9p}gjWJ;UNk(B~R>JfhTE>3%Mqrj0>0;x14Z5F7v2%uD0^uRFHH6qy>0IjTbnK-0e zN10?VETtpmcRMn1P!m5Y;des9vICbmxuEL15I@;ZUUFNfSd74Pr%(f|SzNSI-@646 z2b1sysDdm2+7Q3*@Y#r9Nl;Y>kPZrw-ivslkJyAer!<5+@1t#kxtuJQkaRO8pzVa` zk}6j;h+ZJng470ZAVP76V`8J*c~~x=LsR3V4hboj_FeURM-Wl*-2^ z7XtdKJ(G)tHd*;~=U&<>S)VXKu*KVlXZ%a9(ezWArKMSO7QiRFXto8#K8aWSOCG~K zg}44o9^xFBOi@_K?iVEQX&^|pUa(vra#Jd%RQwK5K(rp_G37IqhUl@4n~Ofv2br{D6!LZ?8~^*bnj5 zcjb}H$2ej{uAl!OAg?&%Zi00)QGfyeg)s&NC=8DkWaray4D)?%rDsL~X8{ouq8rhQ zJk9~VX`kVpBl4-s&yN?f-bZwz@(U`AZgeF5lByBq#cML)WxT|66RCJZUTvH2JTz(^L1;qae1+NIt z--YKN!t+nm-BP&@Nv~4Eeq-Qqo5{N!tv7>} z!;{)TlwPo>f|tjQfug)|;(Kykk`J|{C}ofjh8-c_hSYwP{5Yz$u1gZA22kzXCJUG5uEYa z$0vb-*@xeQ8tB$7HId)xg-DGw?Kg$#HWe0>mAi_IklqALJ$OpKFmHHalWHGxFia7d z$E09(iNXSp(j|&yc(gB3n3$A}&n;05Ket3Vdj&o>01GWUtfo?PU`4d!9i>&2E5Rq8 z)1^42d33F$oGGEJtS!RoPnk~v$>V?m3b45W>RJ4PFq^Gr^VA%qEOt}i0l7$7;wHfZz=Si`O@jck zQo(FAPQwBK(8y)uGzcIYDa*%c5CCZ9c|5@BGAEk}1nQkXP6UjdSy1nSaUui&^)4JI z0)T8VS1l3%u;T$hGLkQ*05JVovu#Lu<2VKAT{akyZW^Zmn>kGf+UPN&gipY*$4H=L zJ+8b(^y`kkV6p=x0WBOYF~RP_<#WFOG?eaQ~$_nhDR1^beo?bY`lVCTnF zP~dNS{|CT57x#VzkKSh?ga7`!zJkZUs0Ty4E1F#qbMb7z$j=pf2tE&Nm;eIGjWp#0 zD3EeYr23uxP+L&JX;o#WaqhfI2_A_BMM|%-CS*7exvArVras?(l&yb9o}SNrYzBMnc16YD=wy&6^; zHSkgFh}Zpx(K*%}+bZo<0f-HcVrN9N;%LYll?>c{v|`{$Y^V7Mi;leUa_nH}fJ>Ah zA612Pwu<`wlR8}@$oS{0v4i45)Yqa~uKz__vG>bSkKTjehUnSM7R2`4Oa66`e;dia zPPPEIexgcs9ySbKIvzbLIex>4xNyJWxZkLQZ8Z$~vK5BG6>N6=;L_FtcBf(RR>+x6 zfMrja*#Qg7ej7LF#eNw(nAe)X$`S@=uv-j+X~)w?k_onnebX>#+|q10 zxS(P~4<(Iyo>2UDg{!!ttsuV4d2)Z@7KCd8@^OUIbkR(j>nG#li^+fQ67V(WOU~o zPvA!K0oFR_coc*L;KachtusjNWq3*Thae6S3!oSba6fm z&i5Bp^9eg5fE^)3@Wb|A8C8RZ{GMvTu#umuVF>znWDBQKtf8Tq+i-!_S82~VBWKt3#}g+f3iq|~e{ zzRQC>8$al`_dLM%exU_D-hY(GB-NxtHK{=QVcJ?>APr@4$tA6wS%Dw8q&2r+Qng=F zw_nm6ycG298>+b?bdN#l+XHbt=%69Zw*{ejWcC~D8`4g7YHP)9-$8HxN!wCAR{c$n z@6dZ~W27;5w$Lz`K}KP3{>InZUyZTSFz{2A#DFzdw9oZS+BQ$qiz2txbK^gqk;8Rj=E`V zZgW*h*6caCi1p1g3^T9Seap1(4{hHc*0(>r!&&Z%DlM3qU#^cr^4mq-rYr&|$|1=+ zgk)KHDI^tl3Q4kpgtY%%LVA8yA>=xR)PnrnB7)uOP8Z~q=SLx#3)0jaEWKOA8xmyq z0LYm$Z_b=W$Y%q5FD?c5o^P9I+zSxcF0$Lpb`UoKJWX@579zz)(UKg;lGV$$Q0IZ7 zL$rjL4IM&SeXppnw%%cHSY6-ZXySoZB;O>e$*ZjcGagWao#B0=g8IhQE!nG=mN;A7 zNCBHgHTH&T2S7JdyueNZsc$BT_fb&57Ew)3WlQA*lJb60O=0B{M_sev&X9MBYUWoq zH4Bv~w~A`YTAHAMkRP}W8nd9(v6QE(=3C^yopc)99a~Jf;PQ9?pNyB)w{sEgTx2`P z9^`b9pZUqa&P6OH;P1U*5!Qu7O=E7vH-$u*L^mURczsHY=vIVp-C+w;R>F=KSe!6ww=lF+Dl(F$5P5NuYH3pWtE+Lj%* zP*LzIQa(s41CtfmL_#}cZmU%a%D4>=Nut6xhKEJLCmKF__{6{`7Cv$CiHA=Dd<^hO zgpUzEQ{ZEQPttIBnECTL*z6mj!iTXf3?IHD#ZOBtV8mj_wJmFKt*NYS0hc_AUWf1) zw&|GlxNf;QPIL#t#XD^=qPzGGgo}r)5{U{>?FK7FG!!UrT2bn#thS^!BlZ#e^-6Q3=22w0 zo4Dz-UFl%GC@X`l3)1)C`|Hfn%wzbOIiHrRrG{%1br@=~Lnu-FzV8xpPt)}@6b)=va%vEGp?)fx~9a0bC!IfrJ92l(l z&L0puuYP8t^SqUw@BOoU?{mRS^_SkO4o(6JRfU3?Y8){iSP1pI;>|`&0@6QEnRSRV z>jmsuy-H<%kub>)Oln?TRpn@ICibYmvhA?IHuh!wQN1~GJ{Y0?!XC}h$v~lQq<&>G zRA{C8)yY6NCtoL=REt91z(WmYttk9W>})WHX^sJ|5FcT6fn$4)V6;OqjI?Lm9s(e5uW?kZXkNV%UW#C_P?5k4fI^rD z@s!(aU_%CL>?eYm@>38FVy3jBATUWy;Fed`*72B{&xKmbOS9~=EvcrNStV8!dLG{I z=C$S^QRoF+yviKn1k)4|Eh?p8-jIDIyx?ib?`wgCJ|zvwz99)Pr(2QVx3o;O9xMZa zmxsIa>{dcJj}lB*waDj!NN|};zZ~{!GXLn|9LI(h`20jP zD(_?f%a)*Qq+s0Q9V5SvgJfXVqRJ1cecuIl;UDu$7U?1IP%X?Mtj?w?U)=2RalCWp)|>a#gxoh_})eU(~nW?2}lKon4rl zfczo>!C)w;pJp&1HOoxs7PksE>agbqb0QOkSN)tD7#{%fUDxRoJ%L=ShT~)K$_?f? zpID*UY#e@M1L$}N@S0F7f?6;b@cSFg2`LcYB`8>*DI~mux7V4deNLVP&CE)7w@GTI zV2uS^+RW-%RXl5b}=CDxxq@PzFBNX4VvBlK3++ z-1I$6CTZf2K3Bp9)- z$_hx$u%%(8++raN=SE??Zn}27 zZl>UnTZr_Mac``x=M`)l|DMB}RKZud$Y%+O?&HnmRrHH<=ehN~BYMrN=6FVN z*aOXtv&o;o`nkRSEkmSY`03ZomzUfe;)9Cpg|n$c@LK=0jQB}>sh=d!4<;Z-ZF^C% ztDtnQOQ+KzrJQ<5CR`>;3J_c-0igG9e^(yoO9sG&$Zw@4iTKk zv)3Y@Fn)?6e&cY^w`4(*j65QMh<_xcC!EKupp7Bm%tHs+$x&ota3feY^u=35c(B$h zy3`{YvSoV67JSJuP?W@b!u%E|sQGvS1lrOdOg!LSH}XDg;>F~UkBNAw$-spsQU8bq z5Q%@pD)>i|1^-BjFlVL;G{G~%37(OuLXm00^9JELU3jJoo{x zYlQW;lvC{D->|~mq6~{Q1x2*rp(&PdpVg3; zS5O481^9b|dUm_Xa?oTA2oLaw_lk6XWiu#rq*lO-5j)%Zv8Z89U!hfmAKDfXG8|T9 zy@!b-!6F2cbcN$XNVvjvo*HpCG6!MN4qK9!R=g3#1&jHeF%yb!*WPtx=TkBzi#z&{%tJoIBq+;Dp zTbySafZ{k@w9^&~v5wG%Fj3reyd9DYGsJuVNTrKJ+?6>TE6O4C4aH`PVMZZKC<0yp zJ7#bbt%eyT%Vjc|$POAFC?uP5j^JDqdWC}RSlMmU`sN5NPevhmxVYOEoS9FHT(=GO zokhUwTv^saq?tV#1tuka1ME=L zPZCyq*10WBD>}$4+*nnEf^WtR581Tww?NN{BD1tjcj-AZ?DN*zkjJes5WW?VbsJ0& z);1Kn1V8+P2A9>TojI*+%;(es8ltzXNFW7BM2h+-fyKQmI27Guo2x}WY zyW1Aev}5l_z$(*$6Cbe|nN4`%Ben?bePU3O>s*aZ^~s z3jFn>HaS>Get*;!3DM4>J+>(09y$s{Cc&CQq8wrAq~0qg`;m_3%G(^S#>y5jJJzH8 zCva_#ZKh_Qd#udKNZL;%bu9{h5}(YC3KCiWfj{lBSs-jj`4}jk{W$S4TfXM$$t4D9 ziLfEul@}EvN;rV`K4yzIJ;T?Cq|ZV2x=Q4CP~7c+7?o%}nIDE}8R;QFf~9553p-{; z>6sTX+iTM@FX4=tQB#CdHZv+L_$XymmNg&wzCydW1PlSwUd1PSZBrpW zMv)(t!n}dA9*2SbC$1-tH*pUE9>XUew@ryXP8$#p#mK_k?}WP(d3Gdyi#90rUs%7# zmJ;xe7{)s#?*aFlH~n3_Vvp?xFfKf?2lU)~_?S|Rinpvg8z#xv!k@k zr+9Xs&BUC=>-%h>x16I4SkvTKTFHyq=VI9ZLdeVcNf|_dm0wH(*a+alBtQxQd^rh_ z3IO9*_{Toz$t4{5ge}bZHOXG}pLQf%fa2IT+4I1#} z{9p0aCu}plf1}B%|HDQ5Y@Fv6Pzf`C$D8-r@y5{a0_dmokUz5e9|U8<&fPwzV*^>qTBxL>}#eh z6eJv`Y-n zciiQKygm34XNp1J$23)&w-TvRJOTSD2uB2xO;9V6?xPKv*N?w(rj$oMNt4QdFzeUD zOVR}4%6v>&`T&ryY{h5qwFTkZTA_yh7`LW``wl{|4RxagAHYXjQ?$X)Fe@9XEnR%M zDFPJ`rO;>b_pK=*fd`qYnq@Q_8U^AKJd7h;DO%_Abfer6+Z6`v&2Q^)Gyh_$O2a zr)8ij7?4SI)i<=5kop+TTF9wFk28Xn1(a*Z8c*QHgoACg1TcDam1pUx`->XegM3ysZPHCuiW$hx0`#AkE9=gnEsSl~q;Nw-chJ?znK+_9N*sD$``YPvR3j zV84%)%Zcz$4i1M?Uj!iRxL1->l?F=#d9!G2_$p#);AdEf4R3avYX~|7QMUjdY+gfF zYpJ7r$*>1HBQp#_I%I&3XX@q2X|#Yf_DO3gEi3A+xvUS;X!_T zKVREM-*)$w4$MM)0JP6W{sbr4>UWUghLs$Ih=b~JZ{orCcps$5%AACVcQSto5x-G( zKLCYoeV5Qs=pCHV;;px!X9R<;?7<1fU}jQ?csFxxi1RTQ1e*16Ui6V{4}j=S(_=3gvp&kO@$IJHJ`$)W3{cpUxX{UkPWuOa=iKap z&2tuw5chpbs9`FE%I+6xecBB}K|}a4C#RYE3>dA^_nD zIRaYengD_BQ6I#kPEO@LOto9+^VqMI3vnJIsN^J{9n6BDa>#(!RP_5#9N{6ILGZd#L(j?#S1A!HB<-m4O1Y}?-kIQ+se@H-X zwi6IxJE#aZd&(Rws~ZWX{6CaZZMBoM*Hx>40Yr#xh zP!FCda7`ffCDN>QlR(f%)i<=Qq&aiSW|~MBmES=fFjbU-tpbANVLrP|85TVmD{%4X z1bg@+s0&fx4-=q5J0D;#|A}@cTA!0N8Ih#w0wz;G&{gS;_0x%2Hs<;YhoqQ^fi;M10f=!T2DK?FCP z3^*Ye=qVZ(6QR?QQmom)MHG08c&Q?r3kd&|`;cU%D~TpGGFndPo@rra%c3l#@)Pkd z5SVKhlopidX3blOSUJ9P0~eX1aFZiput=_SljD<`fWIZD!Y4Oyfs6)!v4M-z2T|hC zzmoFOvg8~%)u9!rK;Pj=0#XNKa~r1uvrldt7q18-x!~iZ42cNGceZii*%9Mp3d_pj z^-{AGZCpTr7z)%ugWymt;jSPb(kROFjA(qOjZ0_rIHa93ddCPO5sQo4xe!sD=)q5P z3AypK65*wg8E8AB(-1^j@hswpO;BcQ zR8}{kz-bgQX#!Du!`~pnyB9DW;Et+xtE<{^TP--mQBXS0>fkiK86az9E=@z`OkCB$ zB{5ledj~Yej$iEH42B$9M?B#T*)(c%p#WJ7B)8Q!xSC-Z*wWb0)PgiKvHV_6?VCSN zFMJj@-^+!;(fv91ax7Db>+j`K{pSdBu7|C5LJ>Z2FBi|0;Q!pq>2!0UDq=b>6`p0n zvz$KJc{qF%7s)KZ>645#2yO}MGT<@a$3zDq@> zv~4J=Mg+4uF7eWZiLABwiTgN;y)0V>An}*~Kv>9$mT_xm!q&7`7&^_&HT0l~_O>jp_XXCKd_}0x_sQ+!y8gkx> zL!oO$a8Pe67vz+!6UGP5&#i}iayT9GA;!!-J-M4&R>C({c^7nZTeYLgy&h0Oh#5&v z9uOIXnejpczNx$a(qXDAAQ*WDqm(j9LFkEdPZ-VvuCWJl+RX5kQ)6=2kq+>lXY3U&zNxFm2LQ*U| zchYBAHzl_kPHES#UfE8bF8H#<(S+h2CVA^!S+>G9*gRD^T)1}&r-|Q9!C>8Kt6sfw zCD`*C;7ScB;P;4Ige-8=%A@$~7R~^{MY8+3*z{hZP+h}{`juoo7ruw6H!|9Ku%2xw z%Pq`v%_+_)$SZ)DH@`kYiRiDqY@~Yv*Wb^v>V42;-qLBdZY;Bx6}V=g*na%@{ag(5 z4}AQ7&dv;Azb-B$WdDQ|m6epi5$M&;_R6|C6f=mkx;Q;EgqL=4mV{?`@vr112xJUS z0wdM4q~2@a@+r^Zqg|Yl8OGmsalyh}T^78aDjFX1^`IW2P-FWt&TXpTV6 z^)$kc;)k|!q1>w?gDDNplv1%3D)oJh(BgF+Qor$crT>Y)h0jPriwbknzwq*HoJsVy2tTdXnMCi1@TY2BSnRuWv{fTSN3H9C;c9TLb2T}Z znUM57niu`P2*HL1s}pUz$G_ z;RXJ>DdM;@BHX3X#W4THvIn>*<`ZmsfJ+10+R_I=>7TCYD0va%2f0M&C6UPr%7u>8`b|)Sqa9X+!pn zpiJQ1`}Vr*G|2b7ECQFVX4I`5viY5GGgS@W3(Ke3lL&vQ*F}Z= zOr?EXwM&1Y8X)#p+_i&?x#2fjKd+$1-~Ip5Lc+VRzVa6wD>D5d!lOGlqu*7E3*iVE zVa^jaYsWawHg}2@K-yzgzyOPgTN@RqXp?=%~X)H5SCi;kvOTFi*vFmMk8S zOeYYAMRqi8y0FaS$2vey3nWbxh=BrRs!2vZabge~ejgqWK;DUiqz2##;ZY(rqN=Be z!B8N~I6fv}sT-1nKkep%;RZ0ePOwmmbr3k}++yffE2eoU*@oGNUnlKYrKMZ3EHyS<6sYSEIxbQ(vzi8Yemn76* znmZ?}1gQ#Xqb4&}ItLgFQ<=0#ur(Lcu~e0asW4B{sfly3eix|uQe3!EIdYtLazRrGlFk=MFQBAD7UILZxM1^QTF`25%PuH)Wo5&yPdD+EPFm#B zsFoBI1Kv5Tg@6!GeFc#&T&&YY8Y`(#R5!1vttJX#i6BE@AFHMwA*q8tjZ5*`-CSZ0 zIOO==))GHTBl1`d9y84f>QTLRDhMRbInYGSbuF-)f*r(@eyS7d-IB@pY^QuFq>7Ag<{S0S@lSI{_}c3(^YSjf|vD%2RVIe)thCDd29}=y96y_uw;+aB-pyV!Vmf zg(bB?K3Na9)2IJNDiP!*gk?>KFi`hWqCuN*$)lXcNnClvI=C4sR}x1as2UQFlT4xk zwD$`=vlka~W_Zg@Fu6_v8%`HsYqk=XC{YFOT;tjeNU@E!k~b*AxCL&fA6VR5W;Sk!;sE5f5+) zB9BMlpA@`-{HXQN&Ib09aU@+L#xuT4(mevoUV$Hdlpj-{z`J`mu5O>0U%66ysNzYQ zYId~LH#Dt8e*d89ng;O7%b%hN;8sD=eB?JkUXWr}Ve!mj`CSj)w8s85}{=RTM(?I)Zi5)9hpFbwU=rbZv_(a=Y`tz zSr8+32z)tUqi`x-q?NgC6w1RwI|@tl3rcg#kn&{;fDX(lSO|3}!DYx>S8@u9=j9YY zY#{gz1%YrwULA+zP%6H!K_OzUgtcP8>*G}vI4Oz1e}a^lcL-xpp1ZId$&V2%Ax;pk zt^zkBc^Scl3$djqD20j8-hTR$*>=c`h7vDJX&$fchIJSt0|7 zztMSZRTrtV()TM6`bOxQ4J7H3AoF1B{DxAdd_vN1sqXUyuOc7D1=#Y-lUa#mqfnS^ z&ytZ6+=yg$8fUWKPoUVG)XWbo{Dr75((cI|{8ixKZ<9E9Md0A?*LD*OL(ogV z;+7Bcf%IR8Kq`~a#`y|QKZ%n9{3$>QS4s&&D@X&}D@BfROf)4x`Ip88N{Gz>`NAbq zEZ0Ny3}6k1x)e*sAnemVeO5|{vqWm{^dh<+Bz zIbLtW1x~aH#q-MHrb*Inz_igpmBE!w!YtsIMk@ua0FDbkZgQz<6kC1+WtF>K(vf5b!uG|&3A$cA!5Rwgb)lJPUa2JvU-}yDGW%BW#PjJDa0tp`anhjOV z5>C{^-B@4*oiZES_i;v_LP~kg90{(>*Ubu*7EyqrSORe+RW)!08r+!Ch(b#w_~m?E zun$}*K*S=rRD#dt>w*f)B;6T>#YHpcEadMFXslU6qf&CROCe5*@>mKt29QAshl>Il z9WVxDFJ9-UU)E9sSJ{vN7Kky5S}4Ie1v*x=NP?FZ=yamR5`1rgE-4o71|Wr{a3uhF zs&0lF3kqhIxr!WX@tgKn@G=qW0$lQ8&db|aRp+Q| za@Eu>tKnnNk*-0~y>>}u2OJc1RaRFw!C4;{5ST!SZj|5$W&?9pN$~J&UDUKDIvk2- z{w<}1&DqGWg-YMdvSK)mw;I~BuCl!uZjy6A1QOViT$a@Kr?~c~rnWydt^KJR`ktEJ z6@HD&+#h@~MA(T_*oJJMVz24x$=0*fRj4~hohc;K+C~BjNGt0h<^{&Gwh6ZT;E5qi zoy7CFZhE>Q_yX}#+y3IEwtk79o}O-DzQX6Gr>la$CRkJdf;HtE?4O=)WWL2Wz$5rF z!AkiHmVOksrl&{8|3Ez6DV6ur_yz5c1UvOF*ruQGTj}YM2Q$*osM0=|&EJ&|cMBWh z-!}yKdwUoQ3$pD6d1WSu?kIyG^DCdTLFm&&bifcHuNnJXmru}!1IyCC^*8b-GAirV zRIY;v0rk(MdRkvoHSySk!(I9d^)s1%#hNAQQ-Xd2mw9!IrE)v*Dm7GB!>Xnkg8e(@KtD^Rml`9;sb?Ig_U5j6;PQQT(!M|0f$AK$_b)<(m!vzb7yLpNT z0Dwi0cAwm23>FoLNKg=G%49hBQTPDtsO$R|P5UQ@o65-~)Uu`lh5$(gcZxPvw0`}1 zM}75nvMbKUFFMkFV&ZtKOM7j7b!`<~7U^2n&`=Ggt;%W;_IPU1Q5o8oruQw)h_X(D z7!KFOnT9&*ZuF@qo^gJI1|bZ5oMa;vjQCa9uOlO_pqcm9wv(ff<|MeBY&E@yb>eh~ z0)iM|-O#=^z22NuZ$+B5)Ri|8tyB+Fn|2+(vm+zO_jbY02X^W^a1TI4ck$6lYQ~A* z?a0tNTZLu_chaivCPzC+1g|wEmB#QQ**yXgIIYs=&K?i&CHgX8BXNflR>8#H77wS@ z{O^TG3}O}8NK$eV?m!GT*0%WM1mwG!2ysRMdD8od+E|Nx;Wk>}PkVeS zTz$5U@(2Q|pmF4i=Ew&fQ$EN8f0#|y zOPU434MVhtvZvCOl>>7(L4j8<2x2FQMF_ft7jMh(yAi@D_?k$ZmS9He7itn>vo!w@ zY9hyHCTe-TK2Iq?*aS}jA|~8Tgg^;ijt^4`aQ#`u_6*fB2)80DN$8w#;4T0HBS^F;Kp+a5 z9OMgxFf0L5CY!=)D)Eh!i0klWJvsdZ#49H56vHm z>hWOBSkar2x5AvzyPBPz0M{&BN!*%w?BZGPk)IbII|i3fWF9+^e<2^P{wRR2PmcTm zC}JazA|CmO z+}!Y(fqjv`cB5?;|7#tyFPypg!Wq_{SUAPN&NPgAPZZ>9b652itx5(}9Zuj`!v668 zR3;NDlkz07a!&f4mx#`%}%=HIKMx~?~H^CV68^}L0Mw1#;!9s=5@0mhV@OOJMbb9E! zEoLy3@L+e$-eUe2KK2yuozqve>pc8EN#RUG;Z&TwH{&+Ziw69Uy%`CNefad=46_PG z2(GfogxfOQgP=(0?WMBfN!w`w#w;ddu(Jmm6tZX0*35pi92(2|7`HuEX#^mn*dS{T@OKCVk~4no>`q)R)kX2J;>55e?<# z!w{0r)^u#mBpqxk=zxFu_>&hiGUw1ng!C{&XGZ;|Z9PK}Lbjd($%G$UA&-e#Nee@T z7HWlIA>{(jXh)@mu^^VX{w3%aFZjKlv^BZ3HSqoc+xsPK1JC=A5O#k8aD1Ergtl8A zJ%tWWyhXiUlvw`%Pwc>%wi42qwz(<)Z!HtA%wMa+*@rXY)n5resgl!{ThVK!mEMk> zhcmRI&yBeIaK<_37shwa8+TnWl6PNV0xy;MLZSvzTm|S7**h1E@CpH1e-aOCE9QT% zC4XmaYTNvpTGY0nf~Yp_Xd+}E7Yzm-J2o`GSNz}m65+C;k>9vh&%;|1Ik_y0&3*$%SLDfeDT@+0|A`f{XINp z-SxfE{ki3P<1OFojJrpT6+JT!ePi4@3x1@8*Ii!xd)oRF{KCm4BYyr!Mx@VKBYf>O z*PS)ubCAZ_r)Dl{Kbp~gbVlFN%z$t{ejc354M=g@#D0dvg_+jCM!8UDRIZ(X12}G- z1g|XA#YC=$y<6Rq4%k5Pdmh+~!aD7Czy-fdQrjhR(=vLE8zPC|02j8XbggR_nHww=V`qbPj zm=5R`a6@!a18mWxn`qml_mQ@MS!%Py;7PXp)=vEV`{bbN6409lt+2J{ED+QL4{*kBqeYUo<7KBZP0Ry$$EnN+=YtZrv75hCJejj_W{VY$ zOzfcO8qC`m<`fnb7n(}85W@nwpkODpF+}TA!FJb86OFK6fOV4gVRs2Iwv?DkHu7b_ zbh>K-4eqohiDH*;X>L}IJ*zz3f;5lf8K*PV+8%+ldE?}`fbhp~-RaCQh-km}bY{5E z4VH*IPG%V2brvM zK&VJ>v2G}{Knz#FemBI-Lm;&1X*a}T+fZgDID>A8nTG(*kQ-v*Hk8>2&H*>X%tHX@ znQ=(!28b5}$g@s2$da|8EQJ(%&J8j15I{QUhFI(y%2El=up46LA%OF|8)C`bP?kn; z4!I#_9s&V5FVMlf;YEIEsvxGRt`a}+@604Pjr01yGi~07DT3`~%rs?N`##KUN;4HY z>X8iMlmx?^avs??k+{cF$10K+IG+HBX`BLJ>@^F)pC6vCvMdrdX^nX~ye%4nmUyEL z#4GXV4{o|PUw;d3os|_Wx>bZ<8OaLdDgd3lED)GP)|l!g!j_G%3YOG_WwAQ4-3D3tfSuckd-)Tc2=+rB9F-O zyA)RAWers;;BshL<2Vr#eMEBMba1Joxsfb2QBaEryG~}Qb6303pt3czQ#E-7g}Ja7 zqosJefxWJw*^yJ*ggn-X;9NHZACU!d4Izg#w~O%HA94dj$?aC8vAJvEjAZd_q;Znw z@{qp5tSBb|7ZsDmJh{rsG*PXSqt1jK?Xz) zaYq85O7h@nG(;Q;Nqfs$#6n~dzbgbc^qg!{zR5l($Ha$bnzD*=79!1d5w@(%Q^i9( z5swEy+JI>=A~^Y=guP)U-wsng>}l0I1xe|q0wsNjJlCQy2p_6yguUmrC8#X#8kc4D zBY3nvOC{tmUu#>5XkJo#;oEQ z0X`^G2^Y1+O3`od(~1WTCg0)8r?Z~ZV9{ryOFQ1o(qKFcpFpd8U?L%T&H{Q~vPlpJh#F@F&mazrN$5uXI>?KI=D` z#G1xUJvf^6Co|0akTqt(8TxEin>2nGFF77)rkl4Q3xn^km#cMFn${+hLqu?VoL=f( zR@sH#g2Rx4c6st|w2S5`!X1$Jc;~KNUF0lWlhwgPV>6hpsOMwvGdn%fT6jiBh0k`O2QVz7&!j z1Q8~&CMihvJk13+qUI3!3PNvbTSI;-!leg`43fPFxWqZ1iqwZiaF7HvDc>kUo+GV# z`7iV#j!by@+?32~;f9J=L~wkBybuYm62T9Nwzx>|fDoAMk@Ph|gS-wwUF7-_h;)C0 zN>ccnf+QV>7_hbQD_ti96mZ1$gAASr~d@iW(lv^VOHL|C~lJ5U6X zYGh_}o}q1){+BXF{Rt%j&P(W(Ftf?dl2IVGP$WG^=&ePX&mr!X9(kGM=L|eQUYgjM z_?h+#f#Vm5Jb~@(OF`+SfyetRk&;)*lcvnC`6<)MN3iizrsg}Latkl`Zdtti7g>>w z3bL4nf_@;CRgFv66e@v%KMKuj9DiFhKMT~aeXZ0G#YSet_zBFQUq!faOSal6M6^xH z@`nJniCNw64`2=N0S11B|9Ned_Xu)IOsL3z?3!{6iMMc1jv^Xa5h)gvSrjHmey-_Q z-kfypvOq!{(>$Y85c~F*Wr0-8FAGAw-Dps&j5?f!+1?kQ+?uJHC-Wyz7-JA4IYpS% zL#2Y=F5m{i9qoe8JOBt21pt0Vxe6NKUfh6XQ3EIH-^x-sgWM>vBngH-ns;QB6qmpX zKn8(w?p1>jDJKu(`)kU}t6SY%gB0QJ?$fk?gpf_HcR=z;cP^jwXNAmi2gJF`bwXxh z9-sD$5^{5k;m7f!-6bKliOzN?NDs_JXVNuiKAnY5RWhaGdo^v5O?p8 zNUX!w_1W(P?uhV`MA?a-OjxMNjpM^$FJFi}ra)*7oL4ycMRuT1PA-Hpz>Jp!cR#?= z!WV+-gr!ATGQRW8>`=yrl{@nS1EeW5fI*r{Bb~z2@XK#zhv_(AB@HrEPoveqTMBQ_ zYVw045L`DMH~gF(3_bA@%QA$t zAzDVsjD1Ors8K0`P(nYy6M&et$ln-~T(~!JO;d=Q_)Eoy9%Z z{e1nIB?4B_ZDxlV`1P8fmy^di-#=!Q8CZn?j+d7(XVlJ{i&MdBI&%n>==HRhA(qMjo@uJB8?3GSd)obp_p!}*du_&B9P0fy&d2`~=MxO{92n=5f8%`WZ=6s6jq@3X;~W@g zu%7OpI0tOG|KB(VZpArhYu^9F87!*%zv6uHZ=5NA;w%qV)crqk1`F%{Ecf4WE{8Uo zuR|a}Ii%Hm0|E`aaKFtQj`a4@2E=OMjLA70k#c;8IST?cbGZNB99s_U2b&)RWC6rw z@F?(s<$3>o5e3j!wu)*3Hq#GO-`SkKb;Y6mZ%gpu9UJyvZNVGawunEwtRMM*>~i$~ zxyuN!gzrz~-kB92^gO^G_nd04WB;P16D=o%Ziif?%26-vs*4zjNt-^Y!jO&A@!k*z*2w zzGiLZD;AyeCtv?rQ!b+qicq*eY>p}~yI^Yyp+Y$TJh$fwKMjv_XEq@pw0F?fk1#wQ z$n<`c_jUWIEZM`$zfe@>L`mteox|y%$f)G(4hZ426cpS3LTeBrl1=2WmTBhB`o?_E z8e~z~h_hmzPK_$@4~6cl*&mafiLoa{p9|EeG8<zvbH=*SP*8|f^xW@Pph8CopkzH zhVT6BP0kh+WIj{JU@-5Z)kwSh%?kCEN7P7r`m)x*ss|cKK0}YcL+zEyKv&;khY1M< zv0w9Jhd#vY&GU|^zp=k1#+N(!!%6qoANVPRU8+W+QsU^x89W@Xh3$H$K<)%E?Gfg=J<#FIvbolt(N9? zC&m;js^xaiD9`yEPe(f8Z*b<$6l*yn%1VvW_RA;lD#fRxC1#b6zdIGPGoK`|quq8? zJ!xkNKJ8t)!ioB3q*8lMPR!nbspt8uGJP+MJ{U;O>u+mZ z`#NSS2)GB?Tn#tZ4;W+P&9O1{5EgTZ=@nin7ptKp(30n=WQTJxBK0iaS}{uFe_lPZ z_jKReThGr{#)~K|ExD;~Zw-(iKd&dLkgGEqV>S1FB^WRR7}FPRMX4zq-vAUIfI%U6 z)sDi<4Kj(wBt}MYHe-iNUfPvDc!cte6_qgFJg|ZsBVj3YA+2E?Ri)Z$D0ku4$dlsuo_i&ToRDXCSp{n) zB0oHSenZW(1F1Xaef{}nB3?WzQ2u@Y?B!C$HPzS84_UDkTD?hZ?9&)SWs9m7ZO%L~nO=EMa)bI1|(J8^jXbFvE zX5FqUR*i7UDOLkI)cT08hyY@q$!qY&@$up4>H>J&FD4Zi2pM7upSgSpnMhM%%nw_i znxx@)AdI%KkP1v(zU-kIE`Ip?1#X9Y;&3S_CQQ>XL&XsT}y3*_d*S)x7 zZ-QeyJ}1>C%%Ya4ILX(s{YT_MRf7-ZHRac)hS)olK> z5_RSICF+VN2ki)Qb)6E?N+YZlKzbUA_wpCrGgO^|Cvz2@OqI1_C&t}duU@HqkbU_w z3rWtIuvn*|#e9?qvIpm6 z8QiZbbwvs9NFz;{$?I{Qqmav|7bcs}GWOy!i>bTuW5dLsZ)+cotPO^I`+0-)bq_-9 zKxkso(iQKZ-~5ulpI`BgWZ*kBqJim`YnsTUdMGqhlzXxP{z=WY$S&v5`4&R`1BSOW zvf}*#(ypsjw75!|lR@70RHwLaou&P%pMre=^~ zvlVKE_+C_S0Bp7~yrSsWK?+&@@a=-csb76#i|<`t5~9Y&8pJ1lV zw^K#%x!d1J-6KvrqrLoI*%3AIg*&y+be0P!I7kW<{I)1~>w1La#ZC%x&5~2RA8&M^ zZxBE8;=4osf%L@ugDO|+h({||`J|3tI4G1z)!udP*`*gp9>2Od2c!*r;%Q1y`p&!a zvxG%zlf>mlAyHnfh5(~4akq5%!<_n7yMA*s>I=&CwGb`^0*|%9IG8&3cY+6dc-N*oi!@3CsH6F zRe)NSD)1pyU^-Q>BVBMNT_`ABC^_9AOH?IKRAod|HAhsnk5g?sr`mB&wH!{hJ|%T; zCG~tI^#LUfY5gF(KzD~g_t$~mb=p3y+Q%KVkH6MFQ73T9PTP>Zr`AN zWV+T5EgKQ*3zC5m#e$~|mXQyf9WJVkFgSX|SjR@+YNz(~fBbyBES9Gl&7Qr;zVGH@ zR7ZU!IJPF(I&jfFhL&<}C)Vp#u+^H_)XlZk6g>q$oBp1t#>#E1cQ6b@Jxm?v_Raz$ z9YasZlhr1+AgTKxwC)JiGZp;roo5%tEy<1MMDgZb&exZhiEZ?~%!f3A(9ptwc(d`u z#0zZ|r@u`MT;_7)xqawjjFgR`f;i983w`Pms+S9DQ%%rkWBhjY)Hhb@eWjVNcR_O% z0tKoQkeRobHmKR|clJ@75$k+f#9T)if!1?*N-UaSHbWgMlUmQT+IbvZvPfBrw=p!{ zHX&51=3BIvYqd;XgW#9(ZfPXAAk*IHrQ)GbvV==2VXrbvqhC{iv)b6$n6Tq;8&z09 z>uSWFj0mlJwVob6DaNtwC;A46T+V6tWil0u^Y82?(jf4!t#Eu4kcrP5=IpR5w2wrw zAC-tYGaVesFZ&@dF6+Ie%|i``vQcG^f1jIkoxCqJ^P$KY=@o`BHaO3PaKd#YMH#$3 z56E>R)Z)#=)qDv z^wEP6dL{|+4Mi}l7KBAlJ|Jkg zUb`M+^19ossn$j;7lEE`r0Rdq-XSNEI(6=~~h)# zC$n#>EQ$G`4U~{h#+^5`+28=FZvZ?e#!2rE&?1<{`tniMFKpCc=Z@xYBXH}1WIC6rw(pibyT zKu0Cyq?$d&74j{ea;bgMq8@&V{9YSvbj8UDIhVK!LtN_Va|H{L*R8jg*{E`r$dQ`9 zlI(NUzBl}=>bB~t9xK<}*}^!VzRizgn7iBH(zv(l$2eyRMZdN)PzPCzHbi1MsNU$w zKwHxHTijJ9)n;@}CPVMto$0Rny}XykKDEH)B$vVvsr9t4vK&-pe&Fl%(OvZcItmY+ zY9g&`=x zhP!emVfav}-5dJv6cw^E9>3W9ej{HMm8!{%KP_iNWYa^fWba1yhjVv6Xg|XLDZU|z zbHBX`Yp8kIM}TU4uPa3;_xv(`)C|ptjoxrQHeniN}?stI;4(~0V;`Sm7;vik-y1>uzmUP zocs&LrfZ-3oY!2^J?jM`LZ0i9gf<9sOG^c`^6+q5Z#b3o=b@E=&pc8HGy<*U zWj|8I(n{D-qM9%z;$3Ok9t8N%>gfS|dKmER)R9kxv18rkCkQ0*UrGz7zNG(hT`*eZ zsO-{tSRhKEq_UJPfg5D)v1#J zCnXK3V7VLm{R?(*s(WH0Q{v0GkVH{c`uCnZ3_3QSuOaPJHaxGl z@{F0x0n30_Mj<<0mv5w~6;L{$XY=3#4uWQ%g~L3D00UK!0q0^bF@1; zN<%LNqqWC=)6n-v9aY%tUtpii+`9l^h6?F62;^$HI(ZAZMnM*-U?hOc&3fh3Xqw^7 zPvjDfyYtY##GyltQr{XrW*s|_s&xMmfn+LBb2{Rz*}{i-SZ+m1?bQoCFu|~&Ya%g)_Q87}| zU$A8v3OuwQ=|JaQ<^mb|cy8u8-HuAA@toX3f> z>C3wck`REpl6;<87MDbx6ajj&kc-PXDGK|(P$L0YaB}+Wz~J6RI<7}TjuVX}poL=7 zVMeLQx6G1{e6FqyWrW}_0*kqZa7dp!FM}F{IBt*OtHRop6N#W)5`E;tD zd?Z!VVQVz4d2@|QJew(aEQi;XK-#~kh%VZ3o@M`YY{@cv-5cH>>h>H`erZmCaa2S7 zd9SO#%ZpW3Yv097`hcVQb74t8i5In@GQe~XhCxEo-HNnrf>f`ZM$@R0u7}PXx*C~& zOfoE#3|+48B^tT#@b$B#a|guX15%hhe)2eiRu3;D2FR|qM>P!)eKe5JZnbfe=tC~P zo*b!8e4~+9%Z-bl^%9bA8i}Hw4CZNd?0$`#-UpQDV8e|?lirc!la*x%)CuU-3}N!J zH8j`G+)evR;l5@cVQMC_mR7APEqW?Epc`?l@=DdqkOZE3r!Kw(-;+%-cQcQ0zP1-g zC{Pd!4Uh295Y^TQQ($2)H6ui@O-<569hI2DTi)c@zRRy=Tvr1JC705W{&C^KT^?mP zH2f4hK>J60SbK9ze)~ERk?4>o?O}KL+tr3xp@Z8rk!v9vzEnUya^Zojc9ZSz>*|9X zWh5m)N3Ogn>%MxTdgOs#6KHJTS=q=EUE+J5eaA3_o%3Dt$7i*uSUc_ma>n*yc!9X| z-BXz)|2_}y?7YQT@lyQt^rtSRMjX&Kz`M8S%R}zuo+_z%vkgIH31iJE;%%PN@fl!W zUJ?g{j?`b)r3yDsA%H7#z_d+V_rcSbWs!_<&fwK6!BMwg|1QAb$UddNw}q#>y$9e6 z^o*TQ-{lgKw^}od%3bR;xPj%Ii``ecfM2ZIt|YN<9}D;)ju^^_J{N?61~Qxko;hfml6QzMRJ}x3;BR0 z%qtV_E$d72M*u%NC# z`C_SezKt5`M~aQpuk(dyB5X`Wp&QG1g{BCIaUXsvC(LBsIq3twH#CeRQ8^wPIq7_v z#v8C*vmZf#nqUFt{`cW(X3)fAN`|Sa1$p+l>(1^4XWvQ)(-t(jZvCHgi$wVU7jzQ*cka`{o^q}1OD=-9Z0c!hx-GB7uTxW$mi%_vKkSPNAt6PS1JsP%Iy)P=9$qWCAfDA&92hFb zUv-1s%;@ot)^L=7j1PuTkZ0E=RNO<6EmKT>$$N?r18PLD6c=JGgtO*Hc+Rzwc_Inn zeRkkTx522mb~q*x(EOgzW2>jm&n6pj5S9qCA`#(@YD1YSOra$nuvvxF|*}Cuf&|ZgoICv*3(-n=rWZ?g1fECwU z0+DViWZC|t{U$0r<&5%@X$z~*$8N;jq|;+?M$Nn(X}#fH7RpJ7QPtfL==MITF5394 zr^G2|=z+G(h><2L7Ipb{$8-bb+uLK)?73g=gk$d|kk2n_B5smx0HX)q(%zK@$NNaF_AquG|c{LGAVwIgh>IWu{f$7;6&pOu28+&dm1UTE+(R< zv5nX*5|Ya?G_@P*K0}7deD2F!6SUjqXKOB~t=McinlGKXqhb4VZ%nI|!*MN}hT6rY zG^tM()&ptTw9}MB0-q<T+B z`Qe1NoS-Y1+}JbCE9oFvCV2cq1ApIO|7SlpECzcpCMni1bo77%`b(7cQS>AvuE9Ih zcj4OeL28XuG{h~0m1GO6e@ExMq`3{I(Uv^MI35A1dlidpsb4t$UTL`h{2QK?OJ7Y` z(Avkil_2+i*16l7y3sep=05ehXs66~WLR^@Jn_)VmN#QWLkAa1B&FowDxt0pvg%kp zk?qTCM8v8Q0g}Vjw8xK0Rg0d}J(hE^wK?#z2UTu1rc?Tp)&zaF7Il8^+%#Mw^7M*J z?v)qVUMx(;01yzN)cYCMtYKp+fK|L`jd5yn`R!mq&yQk9@MmwAz)YW5%RjZdEf<^U zsW5<-neXfxR;;SbuYGI!liceNE^L3pU={JfCaTh3PRfLq$uT@ID zp^gSRpq;fJ(s=chB=L?mfsO^drso9OC&Pr&gT@ERmov5Y-?X%hv*@XNu~PX3_X~C} zL}L9HqAY!6kz6!-unCYQj7&0jh%8m27S_Ljm~`4f*zh1Y7Sgzjqi3Uw!z?2R^J9`M zMC)EHkqY8bT-5UOOe&&Xyx1O5(^I=hT}|^p3+25h=QsknR@1;KUQ49LVkVI>LFydN z+UFTao5(QPB@$PC9Zf&PUWL(68{$hp{+iZvcdWy9kg@TS|JP#9k;-SwMEH+O@;n)U zPH$X<@o0@#Uu~zJlHtSb5QtKx?Qb)szM~=EWnv9Cisi2l$O~KXEe8O_*TQ5dvmBN!1ArVeN%G*6{PwV+w!JhB`)Y!uS5J^0 zo_k~(AB)j_lPQ~8)juVA{L!ToN7p(yO5jfe=@;9HR>oyq%suz*srOU~mB75fGHTll zH56i?!rgg*G2rjPJuE}fRZStOEL_q|>hr1jA1Tm+S)1g6NpPgz0=V099K(>WyI zqK50$!JosYAKlxCHg6dp{JGzJe#-i+=e5rw9M$3KNNQ6r;3IBj-m^^Uz?usBeOW4z z;#Y=bq~K`}?Nd}M=QKH;+58ZjRDg@2T7$q(V>OQ9CszRp=q2wO3zg$-a3jf{>eXx` zRVW=>>>k8sYS`e}+K7WTSLvwA#ZjxEsZ|!?R6kLXcYk@K>jwg-kuN%3&ePHEVPvB1 zSU#0gB$VH8`eZ_R)OY^=Azm{2hq;2jPPU_nmQdU7lwwBOoi}Y(xrU!rLUmi5H^>%( zU+yXNR@bdpn=6ge6-_YL1*|C>IJ)EKu+N=U zC5TO#ndwiU{w?#uEZzhh^H_W^8c<0Wm}!6>S1kXHdY&3Gr?zTJguzz;SovGpBS#rv zfvHxaOgviq-Plksw8rO#df;*Z@(FDQSFvl{bFS)!D6d}WQ-OG0fq2EQTn)}hYifAu zP-*!L3bHN(DIcLfG^f06b+?Y3J`kl92qH@CJD3!qmnNN#Fo;g)z2a13a>z}1a3UH{mcb^+%XX!HI~UJ5PK% zy=?fSt(}3VYL8g@2f@t4^`3<}eCu6g6EP@wg-R`euz)aPfe0g2_#t)Ng`dTV;lX%? z7zE`@O>)w*=*Hh)bAMh-WU0_{VDX3BLt4m}i>glp!4-?8fVdjRiz)xjR)^u@$!UYk0=)vKqw zGTZ=%=9FTwx<0W3?5Ie@dvi9~(v$;k3I1{Mx!211tLnSp<$;EKUfnm|tvqn%+hwEW z<+>++)B;P6yW5Ya)`!Z#G@n|Ow8<722ey=1#>S3>1ZhvmdzV+Iev+$UzZaFu+HM*Z zMyiLWBjQLw*M@FnACA8A${JPe9&k&uKNI4t-115aG$mk!}iN#C%^cUPC}c#tUm zs}oaKjB{JtjL}!`@x@3@k~kXQbrb zNS16h8{0m-d-y#+sg|?zz3r#V{Ds}68Z?D+n@B*84gF+vjeiGF6b-~D9zY6*-S_s$ z+%Gmt)JLMJ&O153c$ozzvmMO~D^6Qy=XjcG1XSvA59n|AU}BnL&lyMtoE>PY&k#_# zF_$tqY!rUWm-i2tWR~ zwHOlJOt!u%=b8PvK~kV?`yn0Yk`GtmMfxcvKHIb8<_shYDcf{mviu#j8mpwsW)QD-Vugh*b{|#4jI^jG5 z(Z5ZSyKA42n*-1}Kvq|sjPVt=BLpUtSDpxKs_m|89ty!#T+)T@dI2;_aDMOT5cQjk zNv16jaAQDchNsN6)%gsh$VIuAc3ch;5V>S-B~KyXDE50>)!w-8tLzXO_i{=fQ>yKu zd&V>7C^W2MX%*-RG389^FD;)=x8;FR+x|RT5%G_%MFHeIBl|+p#E$qPtfracg9)fK z!67y-Q_1NJ*u5(QcJCImzJuMn4-}us9N{l+cx|N6mxpn~39MiZ9sE%@?0R44+aXqJQgjPT`_*AN% zi*?@}A_9bHYyGdj1jPs?>Y#+!kyk|t=U@9Z7euIhUj1#@Pn5u1_PMVM7SJQAK9+~)TAR+~Fm;%Ib6VNLXHLrM^y5dk#E zNFkxp6as&o+9*rdT5x%I-^d>L?@WZ@1VFO)-TjMoXyDHM+-UPAs>tqOUa+_f;tWQSRhrGr*3FTltTtMrLF8rVRS7rX)jQA6WSb`D?DGp(<4pr0 zU#Wv8=WPuAKXabp@O&&$RbQ2MoyL;&C+s5W2(pLvQRpJ550~rgd;@i`?4j{#O-*er z46i}fD<_6R0!^lm+L|!S0&OC^eD_|Nb)M;9GxmwyvS6fq3fmKKU7P1k{f*r}i4Q2Y zdq(k+0Yl$Dg^viL$3Tjke5jpwhK)I9?mf(O_?#@!>S7m@2mrH=A^Vph8kp- zKabxLe(KG6IhUvGq(jmy#B?ScmQlpnhd(p<%AXTQkcJQLTtTnVjuz-P=UBy|&b{FQGHr{a-mnxKnNbGs-kUFa)?$>-OQn0U0_ zpJ2&?-iP2tK3>B`T9g;d1kgSJ_q>`?fxz@0_>lT>b#(HZf3Kup z;>DKZ4a@u>r(e9&MIgvS!9)Te)L1?k*~$IkoX?dgEw?)ZI&-F05x0&dw3})|eqgAp z9=FCNho|l!2=x}JM*HB4g8;_{9%f=u-8Ts26MWCbJO%>jzsmgd@1!=>(&AqAaDRav z>IX?0ADK%tMC|&7X*yOffugX6i~oFir}QIsnXWDT7%j+`lR948rlhYh0)`eqw& z!)8OiQa5Xjr8{r9ywn|IlhFoe2O(KOzv(YIBdUJWuW-_G>iD6HC#9&(yc@cFULhqM z#?=e6WZd{giYCN5N+M%U-Kt0SkU`+^3=!9Rd2UYsa;3)WAw_n=1qv{|KW^&1Ew!UG z)RY5It-QJ|OrnuNJKPg9Z-l%^V}=ZH291asjY5F_XRFmksGK3P-Wb9umsBJAAj-tT z<^kaJsJwzE^qa=rNM%ao;Vg*CQM;q|DX$dZzYc|BU$%DNvP)HQdF-v+QT&T3t>FU@ zVIeN?yndFIfzav6xq5|H2V?z8i0~^ggMY@N}41m@8phQDzsYwM?PcMeLl75K%sk4zGqb> z2G^?>D5E*jH|*gCOrB2(s;n&AW`c%CCcxI_5T_#WSE`m^j_TJw%9fRPfGx0cSU z#bRBUbxP9Ya1+jasaDJR!PD_BWN%6{pj10V6Ptb%EKoox3&$iZ4I-NI3{v8yQ?Zvn z=YOQqlx^pUoDoaD!n2x~{m|VjtZjiN3*2*NCSQ5JM);r_dP346bBPw#Vg8lC{o!m! zO*zgfi+KIkQyD1Ln!6i-pI^{Z0Pei89`<;+9f9^iHU%MF`WRha=plTa0i)AFn zrUZzp)G~=n?M}{xd5|x6VCn$en&%W8=hqukwRRZQBR#tj+-X6-Fc>Z+_=O>Luma zfyX z`@vy+guE_cUU>0yO4;f7X^J97o@(7y%hikLIMLgy2UmECJ$6xbUwze*NpY5}rud}%Z&y9UImDpg0mOp`Hm zr+%`)=lkHW&}MdkA%C?fiZMVY7K?K+kTDSld9LXnIWN2B1kq!YI9*eymT)Oe_p8RK zkV|D(t3-z`NySL&vL^k|Rqj4ln>mvnWQQDrS4{gUXMOA_X?0yH7s3_5R#-SR@;i$u z`S#5+S(m$Ro^~5U0=WR`!pxyg2-PMZ3uWO(BM}{@=Yov(r&*XA$v+*biKks%Q9ksh z_>^Y>y37)p@YCfbvu6~=}jsqKCc#MA+h~+ z=e^v}^y7*bJSLf#M-G)D!~mk7xzgafN{1Hf07S>Utv%k)6R_xx)+?z52xJ-@dbq3ia_dnbviH* zQ6fw=yAXjg%3Ow)*1j2`nV=yfOfGw6o3Nnh_17fh^=!^Es7@Cr@!D0o4EIJQuS?2R zdS14(x^sr2ByS(ybf;N^Mwiq8^O9VDqjKQlm%>Ys>hPHHtf#x_H8-Ke9%e<~EE}h9 zku7g{Mv`w&x1=a+$qU_t>CBwg#L$6njnuF!7s8NIg^=kIj3}%VBbj`*lTR}Fo*$fN znv4;EPP3(iq3l*nmh4)lNoUe)&fp=_LB2~UVud{NWfKsAzvGKGD1_ z?4$dMn9vL~uy33Ck+JCoGTJ)g<0$9a=+R{kBr}sj*#YV0GF#gx{ySMfx`V}Hz*!IhI23)R1HefubLaB3Y<9#)sj8a-5GfCf}G#m zNykSO)s{S2{djNjAWv)7xy7E+egJ)U@^BH_0>%BBTWtsT(Hu!Jqf23(2c~?-3WSk; z;gHT}6czG)xl?1qfXL6{>j0adG7q)wHT}}+RB4fNt!>ZY6Qzt@V|`@F^Ys^W&dT)+ ziJ4mv6vKazsE}svi)UZEob_V+3=}o)`C6uI?Onir``#$oK}WUWqaJa_%D3%hKMh?v z7+-qpVk01F(ZZE8Mk7FtL^&+JPzc!BT4t)P{}JF2On)~$`8le7&4+d^q#EYbo)!kH z7Uk|IRzDR#S#&4SXJ`&Q(JFT`+TKS7$p&X)4l*Frpi6A*MmMpkw-qZ}vOUl}$ssoJ zctgUpW!w&At+Li&e}vJoW<*5nv6h--`dSu8j_FP5OTlSS?6p8sgJXV7^UTifYDwAc zG-2Lkw~rG`;k-8_bE$&RL6{jygLpCEU}_eppvNH7c;(P>bXNX-n!0S^yhM5Y{Mm8f zmdjP@6kJTkV^C7%Rclk&ZTpL-m&{xbs6_G-=8MyBNm1 zVXtWkT5TF&ZGhr1?}YkE1d}hzcNa~(naYHu{l+704ucg=t9n1RgGDt&l4&cND8puE zTWOorAlb)vWR!R%ukDACka<84`>!^|&<}r?#l%2Fs|mi2@z1!@+%> zTIEyt0C7fF#CvY25jw}gO_anysUfsY_kMCpdWGhou>*8ABEnLyeB{YuvAn5BmfLngOK*D#lY zNg9v%9P_Ih!th`N)7(uSf+W0`uy7aScr4*`$^ww7@eBa%nW~J%`>cce3Z`b zzV|AFwCgd~2zkSLDW@mmcM)&W1o<|s!Ngj*eMXb|ow$tBij>n@M(v=z_g|Vx%#!Zm zOP{`_&`zWrQ`mTJwj3ZBV{*=>mc^bQQfivS^U-cQ#>dtfE3{jBkLm6(k_q>{bfpNT zo{sJ^mbcAqpQGO4Nb!1qMoJ7Kx%;Z^lM_21>61E=aj4n}d6R6-McWJ|C@Y3>k6*67 zju`R`QwJ8x+=Nf>yMA`om$PJSr?I|mN3!W_$dVyM!23hTC_%F51;q*8J-32KI?Vbw z2QM*2q5<7CIbCvMXQ1(sOdGwcaHC8Y)Q?K0Hke zOG<#Br4XgA(kK)x{>aVoX?(7ubu~HdXwF-v;q-|^oF7sv`^b7Pfa_N}AVRaJaTdFm zunz51gUrjzFOdfGL4xD`>PcAO?dUrf%g!B7uN;Zg89z_3M)&<#$}A=4OSGJkVgvS% zJtt@INeTEF0QW-~xSY806|sL_Q&?!V1N^#QjGM!}{f$$6=#dC?tnrenSd(fkt`kDf zH7-bc`lR$(uW9A+!O;*!`)eQwFwDuuERr)(z;ldCW8VI%>8H=T8-S+?6$IQb{!T6dXI9Z!Ds zx>kF|D@x$K(^+YyLgAcuTqo^k0=A{>=BV>BBJ19}erTtDmYru2s5VpKeo}KtHfsv){_0`kppKWPp{NQc%@TG&t7S*blgB_S-ld zMV*wHW^x9XL3Ib%nM!fD^m%9>s0wB&Clk63vG*9HWb9SRn|j+am1$Ig?1jmzAq*J$ zWhqBoJ}m<;3(G{BA|>>9WyoQ^FwcX5=7Yv%R7G1k_R=Va&;m+9!?nMi;Kv)o=g#4j zk#C^uSdIJ0xA{zN-p9d57eoXK+HPJuEF#H#9ZK+!fKkN9W28CqDD(ZSI#)igd~ub z*-@32iEZlI0X|%eJnol)JH3%ywNK_O>A)MZ7I}PL5ZSy79e+sEqEv*c8Gc=Emv9gRQI!_p%Y2PAhqNy(=t|agVFBy(=vP*Cs zuuse@k*~-HITS&R6$nq4_7{Vxw-3OyzqSFpH2`>&#l!O@tAur-+~qiK~V?R*CY(_ib7K0Gc* zEfK`s?~4-EP*m^FjEg}!DGx1MkKfmyo@hc@B;t5reunNTEfBC)3~u;B0w>6A{QO&; znZ=nW-&IRL5<&jN&#A>>ujZH4+LWc<&)&f`)g7Fv~$cOsw`VY`xiD)zFZ-x!_d*IU?6f%T)c@2>rVQZ7e@JpH)VYJCF=?@KnIb1;OM=k9N*{H0pJ7{)x zb_tIT37#2wQww>G zZ`y(IwPtvxQ_Ma!@!p*;Cve4Bh~n{R3I&hhK=g$TgeE!I=2hxT)vi12HYUQ-0|!K3 zyGuYFw63NHiHBbyi{xj9%?<$PmWb#K6cEBDVp1M57L51aPrw09wbx zbFnGpcrbDM3SdgYe8AH}B}#_aOjL7;RzPTgqb?dZlWC^$9Adc47Us~5UMIm?!#opW zFSmyw!8`p5ry>Q2F*6IFv&1N zFxK&f4LG>*%@5{LiIO#9nn%Ccv57ARc)3-Y$u6AXS~%1u6ZjdqX2CtH_c|oFLWeB1 zIP{~7Xj-~HC+;KF&M+lnOw|FWSu(QOe}-%{uc`=5hw2SPQSJ-yFw@QaA4scW10 zaUfAzdKR{hd+)t;;>XQQPAW?syOp2jF3{#E87g^QRaoO&+HQ0xSdeRU#7->v|EEXWTb-bpeh;S7} ztZ{;I(8T@d``Q*x41pTbVX9y))WXr(O8pNH)WX*z(7_y3Rt0$>;2?Kd3sBz1%)$!b z25}@?hy(!L`0qS>Yk>RpzcVn+|IXM{x8MfxB!3q{z1hN#aJ7N(ovqRGZ@1VxvhQHv z+HYD~NkPDG3oWg1kPe2arIjcL$Rz=(_l&H4e4<>Su+PNCYbWWph##25kGf*;0)A+ZHt#)dN+Ij?R45ZHN1%+l2vyfXi%PgJX2oM*|a4fTwfg?Z#PewqQ zrMNr-0wSld&`m7Jv9P`SoNX<^;oZD2aHBWjFj|{BFmPxp<9?ZC{{5$xZj9YeET0Gg zC=j!}iD5y3bmdKE0743+5@GqR#88~lAY#M&SR_M}-%1CLkO%Fa{8ks?2u<+Q7k(>A z3_=H7Be(9~0~pCz=eN?oFKDGHx=FC(|GpK&y{AqDn8k0dLb&&)w2+X>k1cY?re+Ap zI|s3CATR;gM4|kV6adjG{aafkIsj#qpv{F~GDA?t4J#`=*YMUcmmh&LYOJi}Kn*YO z&`KUckN+EF3uizW6z8FKtq|s#-f|!}17##Fn9G=f%#%MT0RkZKhjro+CJVyz)UDJvIT)L2 zU4Kv)i(5yPYk5;g@y~GvnI?bO46BSzMQ)vFYpdth*51PW!a$l5NRpC8fzWYZJCHpN zFfO`S8|e!H9~A!B*((ET_Rp2Yc)mlG%q%uVp46=!Hrs)i@}qKazzhL4!|*Uy25S7$ zl{NSozg(*IvtXT?uOs@GKj zpr`=h6nmfB(D9~qH89wRIl}^W&(xKZ1(01SmJ;x`O$zX8}WxA`RIo#V<_5s z2gu|tA#dU{H#xogH`$*5;q;O=IlT;n80)?IAPqQZ3)I=D@(1-R{)Yw(l4)66)2NPl zaAI_8g8wfEn4`336H$p1-dxLn(SSkw=32^EVyrooq54M{TKm+6VL|84Gm;ORI&A_m zZa}yg(s6R)4E0*<`%MG?{a#(@^XJw=MGOx&=+B$SY=jv6`jWrOAzn5cSE%uuNIej0 z{4etu14=z_Vjcd9Z18~^@S7Nie|b}zV$Yiy#M1`i!Z-0tgq;94DER!(Y16*Ru?F=F zI<}hey@&jzV*l{~3aotiN6#2M;dH3r#ewJJe|Z8GpZ|E$1~q85Jn?%U#;{&6+&A6Jt;e|=5;^#zJEZwje^8wL6~bZAQ}1ibuiu22xvWc~}S{x@3x z2s8h81o;-X)@f~_?EsSYK_dGyfK^7Fh`0E5TSb$!A{&k`Q8bBwY9LAp(+M1gN&6ydwb?z7n=rf zZ$ZI~KP@(ohn6L{U7$k7-_6)GfO{M8{}py7@KIIQAHOqX$iPfULP7}pFbRYunMnw1 zShLLJ4w=jh$%L@)VJASs5*9l~>2U#(rOgv}x};UBl~xmLtvy|=l~$!zt!=T2xS>=* zQBhIsN&fi%eEy&Le4TsFz3@7?9R7da=+QnN+|~ zB+Kx`5H3#jSy<$oAkvX8!!$%GU@KB)=!#;!1x!T%TC)?Vd@yyVsZiIW$Olsqiq`By zDPZcZL?22~E>aPdR<*$ODE9S$rR`r-THAV;CC5~x`YYYT&kHA#bnDwnagj(z-Unk} zQXz#f-5eI=mn=etPfRTA^LjLPq_|BoLv10}T2Je@lO&}iBW#+U+SHG5ue}hLObuz| zdT5wrgl6v=(@tY+{$FFdj}ft}YfPtM_dQ#g73*s>Ho}ytGs%c=qk04+XQsJ9j>nl~ zb+1xqk_|(P+SGdG7!YqKG6$*OLPo-Ft77`#tA4*f92Vu##L%jHfF3w7x<{5d%-U5m ze!Omr-DN~+v%{r9W(uSsGJ+@~siZwu1ztnqpphyDrCI`z+^1C7^Nikxjq28$>I>Oa z5WWm6oL2>66RD%SpP6usF;8Z)NYC$HGq$MX>&gcPC)u!sYWEM6uO!*tN2zs?9G+zR z06}_>50W_rHY_;80Vhu_f{1dKfrTgjd)u0d)FPJr39?DtE^&nv(T?>NYN;vqj}z$#d#o$e)GGF4Ur+&Rjai`-Smv)y^q~}(CasA+ zlmgS_I#Ur-Npgz)m?zgKI#CMD6U0Z>d&R_p0`mkxl1)RD0`mlsl1)R3p}>?z$Yj$H zrNBf%>}0bKrNG%Ch_cy-Qusx01W?xR`k?*VIk&uAuM&>LlfPs)I&0nXE#sG*|Y^D?%GY z3q+m2si8si8J9RlMZ1JE?D0jAHmdW)C0AGvk~Oq_q_j>Ky*!Cr>~Y$OgZ`|XbaWnn5I|cD+X-q!ZxQy1%F$dW22IxH zjFJN_CE7-O#ikl_Gq-5B8}>c#&mOZBAW2b#8gv(5|P9?rPP=cDp8hjl%u5) z{?6`G69m8HHy;!#O_w&H{C>S=wz2__5LKpY8&t0C%N=9nOFfcoqs1}p#R8cZdu4B$ zYq>>;Lwybk;S%Bn*Nd(?cfI?N=Rwa!&!--zcZPSicb@mK_W|!iUiO~%&MNr!r?a5R zQ&ABA$bw)&V?k4a!}I@s=6F&)jom&?o|eRCujl5(=Um}q`Q1NHFLqXs0}~ z(y~p<_DWyY{E>&wJQKX|>NCMpDXs->*Lx!$wz+0%&0aaidBS_ab~5eqwIiN*)T`a+ zmG#MpEIeQe9;1%mB>QQ#8NLU#$VGpWmQ*M=CE3EL*itA5B-v_Ey0K6;*n2J3FYP|< zQlXsOF-~SCY1Z<}^KFx4re@8lIG?vuIPyjOme~r#F_G>O`r5I!c3acB#x;1d^Wsiq zPnO;!TQN$0y)+4>ZIk7~B-;#etn<0aaw7uM&VzDFiF`N7wh1NIROv~!HKEIX&05ij zVoi5YR!y_o3o*^wLJ}qw0PS2D>lWZr&4V|CQ zl*28L2ma*mK;R>H8=<`SMpAhNGN_j?afWz=BAZiJq+2(&CQ->PZLraePeXjTUg6$J-!gu zIg7FIWLd4+>zd5$)x(g-Cuzrjmo-51&Bfwj)0WMZMb@5H?Qn^2h$UG&F&D<$wUer{ zm-g0NIkHX4!OJScwRL4K=?ezKDJiai-?dFyO9m#=k0zizc_cU<2D zy?SVMt=PWCU9x4hYPNmNYIjM)#^wf>sx`HKt+;XkM~NZ#g?fJ5nzLNbGgGUgo+= zT1PY+6`85}L3n9&L_cIivs+}PX|5L8uUEP;PzLnXX0*s`Ylc<3cbc!TSEivJ)=xX! zB8OVCw1->ds9yaIZDzK1rbTA-him64jkdbIZqa9;S*{LOw9k`vZR^e~mv&dJT-mWq zUSnxrA+6dq%jLSk&P8}`ozjMD&?=mZEnQc1iDgX3D!Iqfz8X#UG^llK7cRzq4YFPf zHAqLNW38;UWZRI>N!af&9)j(68hL~!-fihvFTKgw9}Bn2Q`**b^45+fxfOAKWm3#7 zobWt#OUI%Nk5exfpbTeIFHdue6ucob%F`j>HJL6e z98;L41h}0>vuW<2aE^$#b1O%5%u&566prG$<(X9oo{qzib4;%b*SLiPzNg_pVPqN? z-al4*d6)EA^0mRcvCtN1Gt`$yTZXTg7tJe5TXX!8I?uWw`pY+qVWeyB`s96_E(m6PT&o9i=FnAN9FK+IUke5Bf@BTN?Zmy&AE|%c~$y zw8j#OS{#r%Dc&F)nUDS_$3-NHP#vaXd(=Ddl}r5NpVX4LSP_6Zsc>+N+Mw#J4;&mr z)J=n%qf3a-A4J<3h8T1EgQb;d4A;k~Ru?gKR$Qv_sh;2k>6Mi}{7hO|Tv96@8pACz zYQs@wRD~(Za5auifv2R`2K=alyJQF`7ztOYj^-xBh+AaTC#2dyG=T0>5g9hF ztHGe^*eiRKXx7J_U$yU-mT?}uk~LrTJIBSBY%KKSyD#(;x)yr(B<{I4-3;ZcRS6 zoeI>N+m6kLTDQNON%_KA*>!Y!;A)d*$17n{l`<)KwMo_S?u$hKuxV1BGZH@z*QO?J z&1hIt8*g4H+;s^9pl>MIcg+>C|V1DI@g^ny>7V^wlbLm8npvz47}EaS=WW z#MN;z9KyIwUt@}~x(JePsFA$Z)YPfPC2gH4)#K<~$m`>BO+dAFY=Equp9_WEOgECX z$yi1GA!8La*T_cmK=mu=D0QO$E%NkX^)f5B93IY#Qzlebl_GuUiXH=J~ ze7J^v;F9VRTqT$Yuhf+MQPmY3R9&tPR6tY-Oms0)q1H!utGX16_gW@S6~pSzbmaYUG7yF;~5R#_Ni8z zKGhnLto!EK>vX3ow%&BAHpHE(R$N}gsd8*mP8H5&mFV55iZ~D9*sPau3L<5TDjMdC zl&!iDsFcxF!qKD)Asp#wRz+OORl>PV6{CS_A3EHw%5zJnVOQRvit4VT$xgi-sEA6D z)?%J`+%4Q?p2BY9RPB4Ti(~pd)%J)pNgM2wg-N!<_`6$`Pd=*M^rZ4ro|JYAu_O)< z&nB)S4iZ-rhls<(5#l+-HN>^VQQ|t{xy1Fv^N5ioYXR{>;zh)ZiI)&BC0<6noOlKC zO5#<-4aBR7*ATBIUPrv1cmwf9;!VVj#G8q?5N{=JB5o$$M!cPP2k}ng7UEsRyNUM@ z?ipG;@gSuAik6MF5xBxDKkHE*^ e68Hps3O)mu!RO!$@Flncz5-uc9^!8-GyVtcAi7lm diff --git a/.Floppies/A2OSX.TEST.po b/.Floppies/A2OSX.TEST.po index 05dbd41961c1697ece5a1619a3cf6469daf976ff..f1f3bc18987dd7bca3c95d42c13fedd468c0137f 100644 GIT binary patch delta 41560 zcmagG30xCN(=a}hgM@G-+~Eie$Srbs1{4U$5e@+r1zptWdZ4a~Hy)6nL=lMw<1V0p z5k(0S44$Awf+yfLC~otu_CPM)?m{k%~w+)WDM= zi1v%mKXP85dyaS+7SV9Fm&jQJ97M`0Ga$KLM-$2JYAE@bZmg!BL!X{Q;cUTo(Q;i| zSYLENcO#ePP~aiC%p(<;Ao}(w#@Ci0+7v`v3qf4%kok56RQX){JSD!4_FV#7#48FQ zF(e@)E)E4aP^bb0#KNY40LrKu2<1b~`A|zY`n^B{z}~1~Xg;c{Lq`h8;**jw0Fb6A zO0cogu`xg?5N)MeucbLCCkn0WNcSl?((m>H_bLRcy7$dqW-d*^IZ>5{W&$2g;wDJJ z0r>rq%POiSE>_>3hq>%mfFBUC9!MnV6F{i+umb!ztsN}8mauP1>877oZaQLetD=K|-Fh3Xy_>IvpF38I=feHIR#dWCwmHRuh_ z0mD=y!7RjOpQ2zE7#N@`i9g?1(!@7GyhJK}#wr&F0Z~z)4SIkBJrEES5=dZQB^eVq zRP}jOm3mZ3s`4gR6|lnf?E&itfHeuQF12vTXE-1~Y-RJsV`Vf{pRW(-%p4@Bz}$g} zIN9=M){ZG>h)JN1h%||~2BvWq4tcf?#WiI#fCpqXcWA=_#QS5SZOtAY!EFjs^*Wv|A+woQe1GWOP==%aIqtCVy2>EO))pt;q0|7CH znxSao!U((Sc?lQ}61)JjNBY%m z(uhdDt&H4<**{@8pr1GeI+Zww3R+cdE=sDYRKL*B?HrIpG72Q1bJPt3cUIYR#2PMQqIB$O8Lg$w9@R@rqkW~5K?mfW zB0VE}QlEVVbm#S;$j8Wq8p}77IP#5F8cW3EBgl%GMDw#3EKp?H$A^NyV!4OCC@IF$ z*w3B<{y>GE{eM@L#UzSW7(2o>qQl19f#<>|9{PdKd_#GVGv5gDaV$m|2-Q)fwP-ql z>WKJ=l1)sw&Wt1sLPsUp0@f*uYjPwq7{|VkYGpDlgT+} z4geuz%vHvG{Xz?+2Dunq1HdX1zQH*LD4Us?6^o%VeKkI?^v85gO&zK%q}!RAp$F(3 zAW?|p7@w{_kMT`85{L~L#XAF^;LtA_+Lgd}GSuu(jvsjDEOUw#z8UhT(Ni0M}hLr~4xsr_ZikuntgqCLrP87|so!9d$ z4ILpnqB=gMKW(aoH3xxH8bM=B7^@6AMg#l*+1&``89@jHJM$6zd!Ik=qtDqdCE(_{2j)(#+ zYYp0gyu*@4r0tocSbdNpZ6a%{vsxX`(rDk@p`L7{LxfsQhK)sdWHZC$xll{Z@S9r7 zK2B+NqqMHE_O=iwf%1|?nFw94_gMu`QIV>M7YsB?Ya8=6iEbbkOaWF2EP0?2Q9bAV zN#`)1ec$-F zyAf>(MS9dsgm^hmLFYJW5Pga&QWPBi%vs48O(TIsSv8YGp>X8MSZLu)Crk!YIBH$c z*W!e5jX>UzajSv(aiLlZml`rI|5Gk%$dELMiz^_S6TVp9*2u0{s4m4bMCI1jFkAG} z+QmBTSw`Hmnv7k~GSZ)A9C?;;`q??3BlDjfNqKf8``MAoXB-iX+jRMm%{mxYZzcLV z!QI?Ig>I-RW3j=1zF$0_C7+^Fnm=QqzsU_mEZZ^ofrw>iKWV;-A_&Bse2eAlRf58| z@;_99b8jVxAP5r@5!qXriEf~}^sY^&qZ=p^+1YUCV7X9J8C%J&^^*k%Jg`0wtfq|Z z#I1Eh2~Xx=HIlJBs7Vll9SOr8d0;X-bzOH&t((#v!23cllmZS&)TpqXM?~18!j}>j z6S#&;2u33Svbt`7ru=lo11pw>V6`+^+so2048TUvLb`MgCYoxGEErTdp&=+by<`rC z;-y(tMa*6gtX3h+DHdc^2~Jc=KdS_jF)1DsOabu=6HLV_peE2pO*i_D&XI&MIdm}$ z^b(;~PGs(SOu`bZ6G`lS5j(6n{x#H$`pdPNiz+r%2{vL%2Y#5D89$_AW0hcoi0t6N zwZ-ThFvUw|gLvsSkh=~8EvVabQi7>hNs`b6>wsK+>oMfRPnnwpDp`l6Wk#Ss1!0)f zy$ZENe7auB7h~#qI=fvOhSAs3K-EYc?V|(&VI!(b<|n}wK*)n0A+6LFtJG^j-9bK) zPix^hBHcZ)vIzhxhgy_GIjGV`?sUoYBrz_*m^%ejAV%F1SD^(Pp}vc+$&9FtL3L|N z*_fQxk{OX3j7egc96$?HT@t2lBr~GB<_S>#my$4%hNGj7E2hMU-+L#mSLr-ciDo(m z+t;f~pQ(`Qp3>(kNh?1@LZH_vH8(Vwu`p(T0n|()C!yX$lfL zn2u@{;N`-qJPHQVrZQG7K|%xGih|K(00RL-NG+mo2*ZlyP*cvJsxA%vCdQYs1T1b8fifboM;gOMjaGRMA76&SHD z6>_aZW*)w(=T}Ed2UO#IeXkM@|LQA8Dy&le-7y1o$D~1+HVso7oO_jYMZc<4saBP& z8OiG?d9O;V7*u7l(`ds${Chzt3CMphSV=>!^~kOPP-)*d@&z$m(W%O@YfS^~b*Kb3 zt!jiwDWTO#8KP7MOPyK;11hO=tKhv#eVAayBM|_RH8ncOrqaRn(v=O<{t5 ztH@LVNScC*eg)~wph}8s)xo!-6cFpl*tjMoERJL@lauR;F>{?Ur1pa_Ryq;ub;g7w zlq_|@l#C}Xm|&u4)Y;V16+_KI3n=k1zE!Cw=vPVctpcSAv@@U*xQeDswC47yih&e@ z-tnHimfE!nCSp?iR)GtKa6g21y)V#|TeRlAR;hJm*b1ystAHdoY|U#Nhy2||wMHFU z^XkeFRs@2BQkS_4Y7%~lmrlXhrBktZ5FBI_5Da`|L`2vnv{gq0 z5DZKKK0pT%21tTZK?V#04N9q_4NC%<9B}!1F{V!ShTZ!Chy2AN>ZD2VVv+YG%Xzj~ zx>~4C&{8(dOrlW?+EZ$d!@fusfPkkCKtMP;rvL(d2G&{ICL}pgK^IUWh$)0tbx*$i ziY5bePN}U(I%zU&D{7lG#e4#W8UlBpfE8B|T3-VtXaI-*LYFa1Cx~2KExEzi5jF+{ z1idh6VHmfdkqG6Zzzxea#d6IskkGqg$f_RM95sS|3qMG1(83Q!9`?v)B9FVDxMA+( z&LFhEGnn@YU;vA7NAl<`QZp>iq(y3q9Wfye3=f;h5knPFs}hfl zh#tD?a9uH)K0wwn!;}=jo!RS#0k#~9klbggj^}2WCWH>CWvn!60hWQnY1!(um2<=m zj0q6TsO7O67B5_1>_jT6kN^k4(j(lkb^rVqOv6CYbq0n^?vVvbXJG(aX{_!RQ|gA5 zf@wu9vnPh^=#e>;0OT3!o(RdN(5oEil}y7FdYJ>gl<>5fSl>LgK=Z*^NEU-h2(&jr z3}ws!hW8RRt3ikRW?+5O!PP5NTYWVhLl`~6*PM)Lm~=WOFvGGbu4dRj|BIQ@8NevG z09}R$EjY{Y-RMZDP<6xov7}nmKsEFp$Mj9bnf_&(4|a_MnR7E z$cVX2OagJ#=;KI&Sr~nnev7~ht1xH*!C@u{XD$*P-yc{y8>kJ~v(yVjbm>ftA1rx^ zH1v!daj)WlfX_1ksX!?KQ%|T~9DdM&;J+zBED2m0kV{ps7z2#dlQVyI_~SRy3F=5& zV%wVWK_&X=K2b-aQtI<4Vv92NZqL&qYmaGUiHb0XN31w4TIylP`Jm$biYR=x5Z4*P zDYe7IIJtgvCab$fK}5fTQJMpcjR4@?-ApjA%><+R+NfEGM?34%vO{3>Q;8kuRkIT& zFvX-k7_-+@T@q4jaWXBbuc*VrW}OpOL2hb+6i72#-GEf)lm{9p@?P`u#r#@xIzCO`>3Vv^~Hp`VhGvPE3@sJ1Tqiz zQZY__W&C84XxS87vvIC8HCMf64zL^v&P4*VCE7Z6qP!^+rw9BRC@^ebtO`OUG#xvv z2y6gIdu7&=DxM*rC}2x;{{z*Ma7*n(*c1lW9#ei-j}8LTx9&9bhZq7^6`xgka5ESx z4fG30Es$?tilsEn3E!rJ;+)_d&1NT@k|=e?&}(f3AptLLfmF2_iTx>a09VLpoAh{9o_VvJ)C?A1_7dZFSPCDwCVW$p>0BD)5 zsZms*0pPDcsZd&49r~ruSwx*??*h7I)ZFWhfmBq$!YYiLdwnp$dQ9Ml>44qX0_*>U}_P~|5Af*)EK$qxf!%2srSb%s6h!@#f4 zNBu)J`+PBA5+QKtlRykCI_s5E7N+BeDQBZ+WsiOt3%0JPjLi?~45??Mnzay01g$FZ zWo-KW5Saw15L^`$hVcWD$8SW$>H6GtST769WYtL5W4(T$5MHl#3}#^j=mTofOK|z5Y&0?_z0+- zSF@SU5##<{gQ!8IX7$W!htbuJ<&6Q~N?M2InPTZ7I3)orUApC_SPO_68Yls{+aL!K z56Br$2dFD_T(c3G;ps}t8uaTwYE>Ho zN|4M!)5ewix;)BOtz7E=RPK}iAC0QjDTzW1ztW}NqO(jpBOpQ%U<#Oz3!#<)uz$mx zYyi}w-ON_ky2S547FSChbC5*=+5YK6c4k{cn?_wwR?R{b3i{>{=$ zK~^XoPw*f2s!-zRJ{8Cus(V19j~sokc3gV1fQZRWn*|z}7?K+`=a8@(1zxEs*KW?i zVcqCwH4>?Ld}|AYdG!iN2gG?0aloR(2vp`ZYjV*zKID1z$o*)xoT4a3n*Y+=RngQ##x>+{rHC^8wx7tVj$fDDg;N6&k7vX37G)owni-ieT)q|IcknizBl z%tPPC(TYvSm-=les*l|4k!6>JiVpc8U=PV1Uy@Z-0F5igA8G4TPp`~heM6RZ3kkJs zbRw99-HDP2bp`=;N;VG3Ow~I&k>fi$QRDujtYrx`cxxn4OpahKRzS?33$mXPu+)vg z`oP9s<&P(uFqv*CK#s%^i;prq@G79#|YNgwtzbbtWsF zhb0-eGdGUXDd`d{I0Hm`^Pt)TwnB8Uo&Qi8i8L1*}vj8bh~)UmTHw z^SbbzBNCn<7DHM_WJ^k7u}9IEa$x}pEH&~LW2Vq+rDg^6I&TG5=T1ulb!cZz{$ea2 zT+_a%WyE3yq$7(kuxjH6F;-y88}bzsK|ZTq>gXc|KV>@V?Fw?~B7oiEp3f2 zOJXpkMjF~(vJm7PIne7GbOeAmt9wSuegCp{dXNuba02R-1J&IVU(pxAhRP&_|a zRw{*B!T7MH&}-n3Aj9+j$pi}fNCSfdXvI)r5W6s#o#75_t+f^ga-cY&mU>_)CGHr& zu0cEK#h@k7UOGp*N#yAlu@rbY)J)0IYA!Ae%i9EQJxCTZImL4IW*jE}wLxc@zo{yJ zV^!%!kY0Cp*C8){hKzZ}R^`N2ecp(n{d7+HuLT)99XKc$#KOp0oUpufJtkcz`rv14 zwqZQy*a(gw;Np?RKV^t?BgT)EZV*lI-{+8*8X(3}16Kys;!#`yd_-GSI9u)N#qv#< zKt~03dj*}Na)IcPzXd5DD>;Qp^F;mrrXt_j_x-v-+#i;wfL+0t$cwQNp;>o#8wKs_ zYac_Y5*QfI-}giX;1<`2yc_!y0{E=Gyei2ETe6(Z>jL|_rud)u7SS$l*5w}oIGn>C z=-<0@|J;YGxYG2$@59*!hr^DS6M~yHaDmR3VO&3aNjDb8p(@d0>bZh>xJ&xgI34xg z`1oC(!M|_vTz+S`nrM7y;v$zX9%tr|BXT3=M?H(0H@9T&#^^J4aX2pAh{vG_Ud!1RzA8}@uQ%7X@P7$HLEC>NFPd{ieJ)Lm9%Wh z?ezUgE9un(ooyZyl(b^fEpKz(A8Fx2`#bh?be;kHSJiu$a7-u%RL%>Ll@mG z`(B)y@_@4^JH0QqwzVuP9r|5wSIUV)_fDjP9}%Al(dIUm7~W~`O6HnsdD97fsqZ3w zpU8i?XPfd{?TNBK_f&GIo*qBZzWYmDm)~cLBX-RE^4rORx{ZfjZ@4_|ZnJSBUt7Ix z_2X^}uh5ghw;o1hytqY&F1GVF)SsAnChYsW8B4nkhJ6+}%Na`zHAPJ^S$snKg){|26Mqb#i>%fC3_P}U*dHLZpn zxQk}6aIl%G*Su~l;*3(aYriCxb&R%MY$E!r&q~M|ei4(f>Eg0|DeX#bl%{~vcxpK= zFmvor*2zV2KKph)y58%$rZWxsJFqH1?Q^VNu+#nmQ7BySlKr>xJ?0N+zwib*aAgZ})5; zS_ZU)RTbVZDssDU&-(fWi-V>~t;D8sBU(4@wycPAkxY(_<2Jm|d_MH^ z)Tx7Ow`zpMolrzO1XUf`tsGeys;UACAR95^LTzcx%8wb2Vb!FG! z*9`BV6I+9?J&JH?uAF@^C>6z+%eQyWFE%2ZpGtSPWjQSWjeDCncrZaJ zqde6jovkn+WQ{Fz-j?F}vX&jt?@t{j|MmVYZ8KPp$7aq9wT+BBz-PSEZnHY}muwoJ!@^+eT&+zPp3u245?Gq<&7ZfDHgy38iGdrevEHRX)g zlrFES?c}Qx^3@LVwKe2xr`gv&vae5IUthz%ewu#$Bfa8xdc}EqMLYAxTIP*2%o| zWZR!yGV}Ig!#{FKO6BH~JvaC4*|VMboie+p@aWcU4#($|@Q?1uCv%}K!o3Ypr|AdQ ztFRk(w>bH6or`zwtH90I*^$0eB&p@o;n@qD5J+_^17f$mjj&5x zz{8A5W*l0ggZ=QbSck-I)t-0GtaUGqOt@fxnL*ynkX(ythHQg7OFdTYK3lg5G2II- zIJ#vee`UZj$_i)tDQ-PlXfyw4L0n{Q%F4%^R$B}zAX?%);k~IJtW{}Hu_&+7g?qWH z{7hURBk`0ca~FHbWuv0&oxTZoCsp5ga3kgT$De#!SzpAd#JNj~QjhK^|FVqLQ7J&Z7Q=;=gv`9>1e3a5&oP)G?-8-fDGwBx(D*;&P9al)x13e$4PS(}}~0<|N=^ zT=t5l_XKS5uJ;!v+Ae?M^)&67MjH_qW$6sXq-3@!@KB`5ZJfouSt&6#ig~^Zwwevz z__ONKA9X#eRjam4or0^|@Pl7{cNqnWi_rW&9EGnEr|NU7MiGdh?5Hlk{DEh=ESY@B zd1AsM=A3<79&bOwJ^nm*|75%S?mM+PHL=}JzC(vQ8lKo!XU2@|c)aHr=ZIZ(wnysS zTTJN7WY2qF=!pub&-ONQkqFsFC9iI0!K=;;O>f+DV?fGJ&EB$oS2;1`;E2VaK?m?u6=o@WwQd#A8!|@Lv^zpQRHvYB#ZZ0%bTY8N5r(YRvLeW-~|x{VWZFQW;#T<)O226ViRHb z%zJ^!z2170JvIejxQAxOaSzvUUGyL}@ z&x?(pRu>X?vV7x)gKnE#kL~bw)`)i9<@H*dy zcIG0Fot|bkPav-cH&0#gDNPP!S#>QG&5q1bu4baTohn5kA3NE*($aw zQNn)d#2yKm{xQiqt^cft*~U);J0{Hde5|E$hS_1kx}b?2d9{$L~RTLi2b}if*>HKZWvGt7?oOz=4^IhKbtq6a!_eKKYg_mVii|w|R z_L^Szx;n+W?-j2O8ySgSd=VP(Ox~X*8S432ewb&?BOR_aqAeTIHdUVYi(0kCdkldu z+V5R(w1W)4HKqITSX@%^wCTs%iig_a$%D1W(+GODGzP?W7b^c5io7g7d!m|#sFT;p}lai=Hu(vUU$^!Q&-JP*_! zh>6*kx~}WUQktIU6#|)V*`N zL_RdUeFr5zq>wz!rR;GXcnHU=YR%y|e2$^a^oeI>S2ZiB0%%XeZ%R#{S;E8fE98}%x_eTUtzT?Mhb?`|Q=Hk>`zci&^rW+X_^saq%1V8gLM7XV zg()5;Rn_E;tt+jzh9~LuA4pB6Af(Y$T!1@nV2|rO&%{_RfqM8w*(kbS*Y%0&rw^0$ zuH43Igx+9xr?mAN&32#VN7u4uePS-sN^k$9pq_&CaF=&} zJ~M5}HyobWcyfkS7tI%C4e$&n>`ac`)UtckCm#O6#KWg;hEAMpNOO^x4=8XqPaLq+ z+N0<9lSSCgjtzne~of z9r5%$9bSj{y3a53Y8*hc-r^#A$N{QDFOH@|%(f2?r7tHPd}cwlIDU3hCS-V)D}<&E z@HF1NeE?M+x6Xe0jz#~DK{&@__v-pg{9tRgcdPS3?ufS4Fft>#MEt&c@C^Poi{`7! z(A%~CF!MLv8$QWttcHi@)?fE7b zr>uo>)vm{%28A7)CUR|+6a0*-kEgxglBi&1*xj*;M0{D|Pv78_xwILlcoW=c5O<~c zQrWcIE?oONB0*G!eWK~?sOhH&?%VDfm)NuFdkb~!qejH|^IbgBrr=&fhVLv^<`xzM(@h;W9?kj`FQV}0~s0#^>I^E&U6OQVls~-i&l3%3i&{!W*&!6 zxk0naq3>JvzpbO~F8}>b|2={qh-mlN^2Fgpi2HhyKW`Zo($F1S4jJ6LXw0-2(*3Cy z{&e`+;emY`Q~!FhOO_N5ofvw|vs|7J+YUfCzwl^N zY-Xy;p>$Og@$mo$_q%Xf)Z_~dJPK}a6mEC)h3-z$r{g~1(E1-MN!7=_m*n&oNAF@V@tcA)~k=9GmeyxwSub1HD}!$(AmyH|dS>jM z^&XoJLde&k1NF8>Vfm$Zdv9=sDN_?!a9cZWr|A5?ho|CbxFs$}4v?ako|;ZGyfHB3 zu6c9g@q{CKl*Avb2}3fiyDV}_{Uls)CaKHAQ9USfg3tMlVv zD(@&^hwv+Be-8vzvA0cnuD>@W;^g7BTG~;xx8&5&&oDle?bAp(W8~`Od^4yQ@T$+oIir|kVXjgj~f9%xS_Vs1}Rta%$ z2GX7$?t^r^21XUQ*T>&PmhvB;yhVd2+w>Z1ovzUzio#b;qu{{u^3#sP?fq>UNJ+JB z+tYdr>mu9+lcO)7iHeJnJ!yI!0rtN2e)lZgzQXu!7IZOe>N0%L>Z?&_b&KMfRQC<% zGMC|F_Cc0ph}F2cWR?YFqSJ0ID(0??BMArFa4DPKLc5hFcTg7fCKT+R+0y9Yb@?F# zS9S9|_u;2+IQPe^Z2FYd&sIM!7Q=Z7I}LBKV5ebb%Dko`nq@vj9(Ktv{~=bqOk102 za;JO~DdE)}o<^%*z6B&WjeNQ=z0a|_#NFJ#y~rN+Z)=!EgOn_28Zl-m7tgJy0sG9y z_Cb{MRRPPERJ=c;T^%>$>t_6G7G;x?MUq3yo~)97#8w9g zlV0zRpLRg*TLMia2nV*Mobddfvi}DJHr}9}7S)TIV4lej9&Onnp4XRsrPD{nq)wbp z!l#3Xb4cVdxn%8G>cK5Zj4cmP(@90`2L2WF;XbUT5`|QR?dM{?3UFl)k3jhcr`4s{LKAtO?L5jsXZSBK{Z*p}2zzWZw_hr_H!=l}LVglq_DcI=W3R2* zTXnbQcU=_mT%Z62#zD3lL_rJc4!Rbsiedyb1pKxazUMSWi4vWrO*nrBTDp#vl3-`&!T#CbUv}o_aJ+Id|x=}W(<;4 zL{bIt=;3BJ6AcfYdJr`l4V9nM5(eGQ@Ba<4&}})9G!^DjcE^)_zo1&~-wPqQFyWkb z(Xk{R)Z1@$+pe)@%EozPb2O}rMT)l(Zw`o<+_C$T z`CbQ0dT8Y%w&#WOdqJ*_LLvfHs9LT7ok6o?Ue$ z_bgW%;(A*v=B~A$cC<)7h5X-?Cj@?sARgW1WPVB@EbC1(OIl#Ry<_AysR#0|DuTXaVp8o0j~x6* zQX=Of?Na6LXwx@#`^0F$^W&)%*RK-vh^~p>(rm;}GdPLgK1`5qs0&y;FqoO#m@+ok zTycO)9i|yBx%z&nO`~#N^(zmZ#3(p27BXBM&3LC^x}G1KOCyN|BJt53kWUhl6r$*R zWHj&K$C3aynmYkK^R|Ov$~_GC22qKXOepT=oM7ZXaSbV7X6XXCM6))|jFgh)9mtsn zA+)9TDUl39Mf$AN<&@98mUn(G*81+Z#kl3A5C3sOg$82`+(qpPH;&E?*LseRp7}U= z6f(d!D8Cb5SwDa9_JB^UU?e#K=1%`|;7VrlL6Y_xCT;nv;ANRC{r=tQdkWe|+fqU! zKJ?BL{Rl~phO%?-UiX-k>$jh|pOi47+y2Eyb0-x`SZ+J;?Q)vz=-v}k@7!R#r$-$$ zvTezqJatQ^Jo@*pE38{3(ERO3PLv&*apNaTUlId#R>n^*hgvmDp8VgP+Ik85FyEZ-MDGtrXj6o`zJeUzRO7J zh8&VPP^8ii${tPFCH9~6wJk$Dq+;RwYjA7gX3rzt+#AaqU9NRfwDRb>J)%K^r5iCe z`3ln3Gj?tN7n~a*fjiX(%aHe=B=`wwI8gpjV= zWXl7tT9apTSBwT}wr>t@lv%|J6BYPI*4~QzMf@wmrN?H>+WUF=00;j`LlbAYbvwIY z=nD%k_!LdbKW>>k!Sj&Mh|u|%Piz!72g-ats-T`n^p=IQcDMP5?K0F`20>g-$SYNf zo~KQCVAQOd!%ZXp%Zg~pZIJyvJypBG_JkT z7b}~Ll}5WB6@L6(7c-Vsb_V@K3H!TOn>^=PErpWGiQg2|W*#zD2EngFruSy=xgE}! zWm|1K%p}~m?dVoe8PHoYXZ4K-^$NY~N&@ou$`>JVvi7U*89LtXQ26)WkI;ndWAP!o zYq)Tmm;_!}N#Ey_3LQ)%TgjB)n?!ebj3ikbZ_Ql=bs5=-Hulue;;gTE+N=Y}WLie* z@77kY?rUOY@cS~-pLZ>%DtCR^ulHvyI^s#no^g4a)1B;?K{mA{5w|Ji===Iz*h>CA zkMjk`t!!CWl@9*<;#QjPUQH$>DHKCfDco6);emPk@78JVm*0g3C+{C<)=&nYk6Y7q z+%V8bfg4dk#IHQU#E;?$7nVgpiK9$bGII)1WPUNA-OGx~lJBZS3KE7$)9LV-3(TB* zVd$*MF=WFgFhSJ3U&U?Vecruw^XHr$t2#6sWURV=W`fT~{OX%q(u|t>9`=Q5aJ4R= zh~|XWQ|q8l*PALWs`E-U4iYQ&-Lz*ZSGvy-J7k+)@4m~>+p)_LM`|{t5OKG>;h#kZ ztG-83ce&5Hfl!8b?X!5LD4^y<*${vHNxDbZ)%EtRf$;0%$5?oSU_ol!p4}%>j(#li zu}4%qztMK;ON5&G6r)@EL&Hq221EJ$$k~1>*{9_6$)-1u&C&GiBiz08xTTBtsbu%Q z-od}xFA024Af*Z|wP?c@JjVWQdwyIcY{zQxz4IciAl%K#ncBKe@{nwwBJfqPM6Q0*u8AArARq}9g#6Eed_}KQ^u@}&v z{q9`@1gi+z7}-yu7bo3fc&c$}y}Rw6Ol_U6NC#-OUG>Dz!$f9=N#{F*2y~najfbJ}v3jL&! zzMm#r@m4)*RH6o!$$jNVCq^EI4E7~D5G~`-QAfX@rB+fy%WdegcdgLHIk%&pqj6fP zd!?N3{yZ&w@RM>YF_K&kZP^k&$L>|}3aiBsk(=(0FV_HzF5*ld3*2vB@^Xl>V^4x6 z_KZAqr&DLu{$%cQ-v#BSmTPGNs$r#74@9JXOnBLLA|zlqJ9r?28W!(!q79FoQPgy^ zygiQH8|ZE_;oNE0Cg7jfEA&m$p$)Hg;Cx(o_z5%j2E&E{2KAqKCc%}D7*z}OzE#hC z$D%qMV_UrV3@o-TP50>zXx1|5hY;TzP3lJjvwj`}Ds^d_8(|L;~ODC3Y)tq+v7}p)=qP&5xoYSJ`mfdVwA9c|C7_Y12 z`)=H*eCDlUUd0Oik4fP-N?iQ1qxqCN#P@iB)mlVj?+Jv! z{Sn8?^YA2dG7HmwJDcod>{F7%B>tZj#IBah!chWrw1 z?~a^|>`)kQkzLRTuDxry8gd_f1TRbE^59O+Cf_cDYy;RVhKcxVBxPkzYzP^JL#Y zGH+Jnn+5KPQTtc-^T}569NMX*@EB<8X71vFr=$%?_2bYrg`gZAz-G@@TAyd1t9uDa=q)qpo(rI@d9w$Xw47V)K38^{b6_`HC5d z?r?jX#^5BMF0c^r48bNtTSR9olaz}BtCVLg4=8<-y?G8Q>y%Po3c}say$Bh1naut0 zWZ9XUx%;!-a<7kkhYx!Hc$zqz1MTN<_H#^0f5s0U4d350xaFwI0%vI({N@OBH15u~ z=%ukX&2*b)&AV?Yn?F>E|Dbx;I{zl0VgFlZ;Em(_OSJ2jf7p4N2miTc zH|6fAdqlNCEsK3NEu>FuR#3JoP?gyKzK!%Jq%-}@0%@z?RUz3>?@wO!a`)zpg;N-r zPwG}(HZAE)DyUz(dHk>H?a%&=VqYtoh0(nS4{oWJ#O>W17dd7QF}a4$c4jn+2EIVk z`)nEKN^Yd1VQApgf(0&FI^|hUQ%}C$`0erMz=X_JiU}0hn!HCVujgR8N9X5VQpVb@ z*h4*k>&`x_4spM~3PPankugaXiXm)XfxHjKlPKC$GuHCGDfXSh6?s@csiYd)o8LKVGv~kZMh(dEMAE^jBupN?+(h zSKXDrrglDX@Kv1h+9mw?`tr<2m-lkRahHDv#ln}Z_eX17O1GH)_ty&)2u-$r{QChA z_V3pV6ecr<;9tPLzkwWRV%Qwld46+ZBIkvTe~dum1Vn^3XpEyN`~RWMSC~yxe}rK8 z9|#9>280Xe2h0mu7&!i2NtrAFmEZB=c?_?Vapl9%c#K%kY3dX|6#@Yy|`rUWE46>O(Qxx}V zqWu~(!CEsU>$Pk$c%6@|G%Hq9#+a!oW6ji*rEAQjYeiD9?7d_ziwCdhJ$x-&jyx89 zdi6W}P!!o}3O^RDZJh!4iB7l5;m@LFuZ{gjEGmlel_W+Egs(#f#N`rvfjv@hA-)0% zmf`gl1&!d@^SPLsJal}#yK9#^HBA+b^$dzLjWrRwS==$rGaIMJV&2O-yDDlmF zLK*|H5IuckG>yjK;SmFd7{1i1*Q&%xV6}q8aAqq9yjnv>$d*W$rK50mVP0S%&0F%j zi{zZ(0V8X#Bg`O^EXV8r5c$0Ig59M13YyqadK)3c1MFtSfkU9{ezi zM1X^cLuMSrHESLLUIl;7Dks3d!Jn@i65%Eoo}cwu1766bw8|K%WWMGB-X(JJ-t!Gn zP5G_)tx-)HZ#3RSyb1pU&}Y3?SKV!JHFq^HZY>6tlsA+&i{608t6TrBY;OoPk7_Cd zRqB66Z*<@OS0&ak2UK49yORE9>l@^Vsmw9A5nu2ktC|ElYIfa&42Qymf~n!utRzi% zvZ1S)Oeg$S1L9yNvqoMu$h0_!L)INl*p?1zv@VfRa=~Np5Ld9ABXMAI#6-j;YfKYP zvH-OsYH8m#?E^dy{moP7P1{?bhHMJFgC>4;K$lNVO+czUP<%Mll4Yp{`$V$98=c_Q zEZz*HrX!tFj4W>vp5wVm?0ELIai|TzRoWD2zMj*fGyqt&iRdB3?1PMoTlEUxXU4CB)8FFZ5?T=jqx-a!!BF(R4`eHJLEulLjyetoG z*iy5)Wpy5Mx(jK}iq(drn1JtB4tO$e3(&%t3wRUBWt_^F537lpeCQISv@CIXDH%hF z+0P)Qq1sQWps_Y>nC`@TDZ|&G;CXg{JA)05BQke(Ip90v!?06tH||lYmnP-!wa$s^k6#xtkHva5pM%v z{q-PyxP&^B)U>(bWThaj zqBS#`W12b5zc**UJpJ-))+8I)k3?zR)cU@4)Aj8(@EZJ_bBqSYONJ@Kf-#vfm9dnu zoUxLzhOv&Zfk9&!FpL?88A3)8;{~Jj|ADi=v#j%a)*O4->N?vVzNE<&f0rQ0L`0fh z;LtTB--;gHaB#K$**slIJ)Bn8j4>ZFaeLRkAJLzBPCVwA?C-Oe1eo*#fLvy z^NC^@Jks8SDCvA$H#qb0mvHn|gk!ie+$v%wt{d$D-%$ZS@t%sE)|rs{72tG*BwV0T z#SvInS--VzvA%Ep#2R|?{Eqnilm0Bc2YeLQV=bDiZzOUKjv}aM%j?4)u&vqnWSxbC z!wBt}`s+J(1`6=?9zhDKOHLgLr&^P)x<-cfI z`TtP;YwzU)bMt5TtPrOx7*GChLFEvOWXK@ox?N+t@G4-~}Q7p?nM(K$mUC zdHzdz4srktW`_j*`#mF#Hi!)E*ZGC^PY<$|&w z%850#%Y|08dt~Mz2wOpxkt@>dwaZ+18WlWyZJ7&rU$V?4KIga#cpJMuTT>}G?jm-s z5N26N!cLPaTtdbK=>J#Qo4`eJr2oTxP0tKS%FhJ%@WeIarx&KSk!VrObFr815G>M{M-UNQ#2)_@3onkb`u-+ zxn))l-mHSZ1>0Bf=L+93^qm%Vv_Rjr-Yz0ll z$>NW;7(O4rl5=O8zvf;mA)}{@cfS!Hel5p*>t4_l-{8k>!p}EMsL6ieQ_Hq5AxTlE z)?Ew-bWV#Rb#D5-Ll#u0gH`s3)q#bD2R&MDKq)!cG)&iR!#Bg>=D9B|&0kvD!+mcz z5id@#d~GSF(R*6-noebJ{*)^&B@;#o=}b87rd73{Td-QG58$Pw4fMYKmX@5K4qAMJ zVZlsANE1=HNz9_RKIhz}q$c7UO9(`L*Z!vu?5{b{M56Dx?@CEST8tiT#iGHgu=nC* z*MkGs&0kvzzOod2X{kMAac(=rnaao{SF+yTlEZHnVu!0d{;ER1tKbku?cc0_aYFY5 zAJv_`G5i}anOUY={U5dYPQJxst5x=8Xp62wy({pRv-wj?!(~X;4X}rZ>M?i0d!OrG zxl4kfeCu-yA}DWbdl|{Hga4{-JMf$DYD36ATD?C^{mMmkr^?Ijk5zB~W9yk&J(w-<4UgWQ*AUpb%F1 zu0X&h1R{M`D$qzX_tA%>Rs$T00vxj4tenFyzaBf@b)p-(T{p-rACXMhJ7%}KWtvX| zZP@2cT*OCYt_wJ?7dV#+#MM0KCIZCRZSS^&R^`LxF5gAqKSzMj0)M{Q{cbPz&`Osg zIGT^3$dLw)u(EnueNG!bG#2sc6vuu45j4~uvU2ESGR8H=8Xhsr4*P?A`_)*hUtRG8 zj@VNORXp+3Vq_r>gx{97ab{ygEp7^tl-t`aNb8ox+==N&Ing_fco}QM4_^@vIrS&3?7?BF`Bk(nw$lFR*soISF@B#7=-wB-32Xwf>`TJqW=@?h~4ADQz) zDo6RQRBMDgl$AUD2|3IK4Cg>Zo6Putb4bS8iGVJ|aH7ccAPVYuWeI(9!`Lfde{>R&fE23e(Z2G7I+G`jj_U-R=3&lqS-yRafXj-gTIz( zv$*F!B`c`t6z0*=!VUe591fWVU4pC(XE0wXO$`l#O3fEyG6K@-ZJaGz-5`0w zj+ymYO~kUc`Yg1_+(1Hh9}TA(=;u{wRD)uZ+Ya}GdB@7~ZnhY+8Eys|)_gUPwIPrD z@H29}>zo;chE9Z#alyABNkAG#kftuE;2S0^&W3h&o*fe2ZK%(x*vQKgVC$@%V8uxp zAu=4$4B^vy$VEsLSl|y`QGsx(KzN76#j9ToAI~6Rh-)9hQr8TVA1aa`EG9lS50OXX z{GkvPhby3jfzF(a?QntZdqRBTfvf9nQ(v|?S!?n)!ody)KMP4lRx98Vb{la&2zyn5 z$eSubi7M=ID%-IB4<0g7q?Ddn{zVKa#T*4`nfaUXtW!4|Xq!a3o zLg9j%6QAs^V4w5!I~Lr_M8JMA6Wr8cR&X{ z=?yd6+OR5bjhj)P1&jD?l|;>C=ZllNCxO+TEVKwRCfZ_UiiIAMQ+e^{SY z9fxl>PT;6^(&QRz{d$ab+c+z>*rGo3X2dQADj<~MglaGJ>v2}FdH`!0&flvk;$vvv zS~;p_S_MR5x)awKasCu|+)jC8vF&M~f9gu{v!IO`)$IP0DH*WSrBM|mp3LR9lY?Cy z4dY+Uw!;2CZUP413R7U2^B{auH4Me4feo-J!S<F!`2nywK>)vu)y|7 zs<_JH?K#$_s7hjcwsm82i+vex@@>zx0(98+JSzwS7a`m8@r};yb~sJ?qum<#KTmJB z&a+#$PqaGS1T-3Mc7i;EpDlMOY9>13H`*}va*>{60z3_nn_)vkIkl;L5N6|$2-oR`$2)9&-gDk4XvRntmHfLyn9vcg| z%_2_d)&n_EUelJ}NmZ+=le|tUE?l~N|GB{DHIH=RH->~9edNGrUtSD?i&~$0sDedJ)U?f zjEahZ%R3$;rJx4I;mf^NilT?kCXe^t@|al9mm{ojhA0lDQHid>BtwjpHZkV2A)btM z6a?bPpP8YMylKLFL?^GPhNyT_n_rSG$FYc15${VoCyUggC+9mHG8}6JYKDL+L9U88 zZMLmU1|wJ#B=5^&^1?>UB21rcFPj+{^Z`6;Ar-`L*hd3-A5hGAQj;yiVAu%A`|m_m zf;jNhV6W%svfL7RY}wHu-6CGPC_)m>m{VAqUzk@E5RiQkggY%Na3Jqt+kxDie0e0; zv1B+=KSU5tqGre|Dgk3aVxwUsXrBV*_svjq^R0Lr`|3QWNV*LTr!)BNHCG%V6 zx6Q5QeVlO~r6awZ1E1dIR?nj}LxvgaUUs@8S~~pixF2ij@ZIMQY55jcaQo0OBj=q* zJw@q~xzzd8{R6%;dPyguOge!!7J02a_LggO*a6 z0xmOqwP|D1@WaRasux^Lj`cXDRPwxYE(ha0}=>el>loKt%JlhsvuWx zLkM;mz`8}4WV&JsCgBkbTz8D28v4cHjo10p z*xg<2ss4dLtJ|~++QPd~Rg!lEdLg;4MRzVujVhD9+Rx5a@6cUJQ=klkcMy8d7F zGNBLyn1~mW9p?}~hLetT=fblAX473rQ|c~;hoOVo9bJtl8q6TKvw1MW{{H3!^chF* zrv{H;9C!54S{@?YPUKJMH3RxZ>yBw)TDmbBZ$E?7diThdZnTVRdyg8ToIBsSVBw<0 z*zSAAQ>6LDqm5nsbb@GpIzbLjqXx%V0|=i2!mJR5NkRv?f%~YzVR>NAnuiHYhjQe8 zYINcqecdQ$bCPfm$pit`+@^h0!UJOs-bCHy@K`>rB5*Q<;h3R3lb3OX7~-69PLW?# zAAU6O<1!(>+?*CVlp6w91McXZ&3b{G?&ZOXNlAkcFo*yK1A0?+|4X!5++jTx!+o-! z8m>MLd)mh}c2tIPr~XDIa&aF}`N4aU!o6odpt4oN1qP|y;SVUp*6H1GsE)h#0i`Fm zaYH_&%y6=QNTpK=7VarHCs+l%g+Dd^iW9T36Ca&hCeaCloZV*|&$ctZoW*CW)0>R~ z*uY)?kQ(cH&s2BQ?M3qq?n(HN4>cn{M!GM~=_Zaqr%u~;AjEgoj=NgwwD!z8s*X=o{z2_#e_TtKKXUi6_VG}2mBLpR+Nw{$9jLGn0Ac`e4Icdn zx6ZbqQZDTvwOKJI8AX`60|%*i3eKzV(nGlG2dP9V-ptieiowGi^&RfXO(axQbD$z> z%Co!QlGUaeT=7Sg4ndL1M_O~AN>yuyO4VXUg=X%Xr4(8oVS*w9H(`Q-nXgr|%}}et z-F~%dp}9}3sx|ZPployRS~bHguT`PE$5oc`wQ3=k^f47rKFN*wm>NNOOj4bRqxr(e zRBXh=zO=Z#A5&@gvF{o^iW~X~b-!!s+67C?Q6_SfqS;p4TsUOoLmoaj@Sy|_ODe0% z*RCpGG64e z(VL<+9L%p>DFk8k7lN6!t8mcb8^H%tYS-Xkrcc8M6KmHB!6-f$R=ZBSZ9V5dM`_4% zF5^55Go+n|rqptLJrqvU;dFm+yP>(-dwA02wi*mT1L-E4S98thDI>Xt`~EzY9I`@- z9@aDsZ+QGkKKW>hoT354+q_b|&j(iV0ZRiPSfdT6Ov6m$@q3xVt+o7fF|dvgqzX?U zRUX!Gul_a&5v^HF%=3&2*!gCo~&QJZ(1=8I1 zT%(j8oWFM|+BKW}nbh>^{Xiq42D($MQfQJ$jR=F#`3=_l>?Wx7$BMOnpvN6g8tr9e z#V`;Nz_Bj?wZkGcsi~pOE`^~NdGqTfGK}!W3S6ZIlLo_Nf2^AvNPIZBuW-rm(Vbr1 zq<=wgic|40shdPjdFPRR7hu&QOlsk1ZKb^%eg7sS ziPw_)#z7}G zmfddy=B8&h9ZJ2k7cTF3meLB(Dh26TPAdk!ziB`q}4g?Hu_cdBL-m z)-qpy{f&?3$kA%%@OS_Do)5eRa{c#zN8tLl=M7p(cO3nRzkZumxSk z;K!d`Y!4{9P8>Fn3M&ke6chz{0y%5wD9dDGu6fNqPAsiJ@rXQtz_LEAV?* zfe(Og?FZ#JexjRKj!$VNd-C*|-+n&}!5+_7bQp8af8inoZh3wL?Yea3>NP%af(}*O zxOwaL9SGg@T%beAyIlA&B|ip%N8r@VUC$jl#4|ueden^CGYHO6jG7r35gEl37{aKj z=s|D^18@xO`k5@F^q@lpvi=(z4>61tOR_AzrWW>6Hg+h$AV2$b21Uiq7%@u7dsa)a z#6rQi1;0-gPYN*C10_KPHvzdkk*lQOy!|Mh9-v0BsT4z0^(zNPTwG>lqy_YiO{c<$ zF3CWP#U-+!mkA_X%tN3n$XsT(?5 zS(&UGO|W)={fwj9+obXeE-MMF1BAQXPr;LIWfMw@rk4h?j4cG=_VyFbXe)z(;-X+l zV5dOJ`R-v-Qy6GF#U*g$NN5)gXH-hpLPb821*u{{$w;jW)h*O}^&jhx=zrGV*5g*u z;;S%|LWNzr`|3z19s%0qrs_MINTFBz6z12x&Z7ja_g#o* zSB<|74i~`R+QE$<&4i53(RsI_pS0dB7&)N5+P~Y5nvyCUAxYpK?f_>Zu@3|al?mj8 zu%3HtG-HT^6vm10rUo@@5kHouY8f&NCGq80&5+|ecD5YjA#PPmM?!rE^ypZ(3JjZp_Kkt>S=RfZSA^dqS2*KyQI?)t8|9P+9;0FG@S9i^^#^3qR zdnN1f=eh^VorH;PYNx`ZIXO zVcr?|yw@2tNzd&V%b3T^wyv6sY-<)(KonZX*CmIN5q;* zsy36s<%L@&BSaI*&H>oEelR__0?K5qTy{Pf+C{vfl{wmAU~_7rtyiT|1>`P>>~MaANwd3N-&W^RVFoYVKjAQFX5gB;cke+NmailXDW}9qEq%~ zGP#5H80vm)cldv5clg54EDg#tdKKvJ+}e|jdBFNKVd;i`-{Waq(@AE!`jN*Tf8xof z{_sZ^N8X{XZJE!6aO%^{)h%b38RY!dnP-?IW2v06!OAatJTs8^GWtEt-#IVg!D#3;c!& zq0fX+3WS;(0FSxDA_6$5@nK)Ju^|k-u5Sq8DdVRNnm$1I#u`^~mU%s<(cpd_!C@ml zJckdpsFBm1gW6|eiuX*r7PdPd150%>QsA>D^PUEK#!-gUS*uamcspVjv1!5r9ho$OF=(z%Ja;F3g?S{^c0tS zo+)%aWv*i}^Wuyp=if|4&BM`#Gc~_8z#Jcxk?f5^tIbo+g!rQCEl-+?AT|{aAwrSse-hgPllmM znOv8+)iFw-cUevk6Z|S!pm?KQ=c8cmV5_4N5R!!9s0$+_I)7Z{Vmno<$x+;W*oP>;n=H^KW{L=hE*c|~wpmzjY|nB3_^ z6H_?mB7+A1Ar0mdg?Y(_w}-%LM75s;G-%X7P@%dI$V!M9DAQGETzO|rXB{2a z{i_r1axE7bjSHwcfk!;nm+7c9#an_I6||c&e0I23UC^%aDN&NeJHC26mNiXOyvfOR zi{JF1=GR3X9BT2FR}VqYTIvW-4~X@nu6iKy=&D1|cV-xWF12{`FyS<`&FoD}pbJy<`QzJVck z$jXvgcF4%3mzimto7DGPqZvieH_EyXs6lx5YDg%7ypjmdkEsLK+E-DN}kl?EQQ{M*_((FvCvDh_}E=w z5UW21Qx?ADcMzp+zwY-m8o9xx7L?Qp!n8hmm3f|q0q?Qo7Vsy(uIgc^k)7;2MAkrp z$UvEByw;{YWte4j8kZPfGFBNE86Qs?rWvUj1^s`pyZQmr?ppGWeMByTPnq_6Yp&Vonsg3deov$NIzgx+0;PmSCfL~GG- za8e>0_DDWy0I1_Q!jJu--RCgC#Upq(r2zpEP*Be~>_rRP+4y56fjgh>5iQ*?jaK@< zDI{cPMcnGn`p$~BzQ->*@4|}XP+%}k=GTO}+dV?=x@=-_8EeQv(cqL!1u=VhJ3TB- z?j3?>K^3>qu^R9s4%dPo@od^aJ^|F-=Wvbg_!_d5zrC0nv!5!>jDWa$2M7<**LpA5 zq24iRJQote8=)qm{MVXagU(LGMYx%$2RF9xZawi76p`5HrlB9$xiRoh@=@~6K6eXu z_d{@FDo90iy3GI{zuiGUpL;;?vhj@M`vZ;0^gWE%FE{Px4j-UWMqbkPbJG2&l{@JG z(8o#lBbPLjnhaBLo;payaVNIY1G$HmQf%x1_v)4o_<6{~20?*41sUnoW5!a{K774XiyE*%sVaOQbs&b$K#_!v8+M2qNmZ3u^u zcYqx$cU)z`&I;%!V?E7BZy5Tx`ra`3Vda4V{g*rZ0+Zx=1-h*Of+l zjW|9U(CObL#AH+DG|qO7j-pZxl5cSKD+WA+s1wg1>clgMI{A|APeb4I6Gxof!>1U| zYbeX1eA3~WMj4>A!F0q0486iFU=*W=h)LPf!4r| zyw(avxenO8^iKcWH{sp;;9c+kYR;nYeT`?aVQ4(XHCvd35d1J49yXrkeodibN8@k^ zgwc7-Xw)ZNUTVs*SL(I-%U<~wTrVMB6y3-kECIHEZyRhE&SOo_pp{@c#gnn_#$b|>5YK^_WvnPZ?DtB7h8YMa5fie zxjjmSX+Xd~jeE-6Yu`{B3e9f4FGO(}I|ero{fIg)pGFJ4?)ANy8 zSQQu<*i2ccmf3Sl(o=2usXPT1CIb-F!r=AMz;MK7D#c+d%+8%yDu7@(;$`Tf&lbAq zGHS^=;5rCkKnnI1>OLYd9*-ZmqN;C-?v%}x2?irdb0r}AItcfPAlw0%kd5%z`DV&k zTAD3^fZQ(N+9(bT1e`NK4Z}qF@qh#S7Qo&X26PWx5vjR0QS+OBZnLdjNxdda&r*Bx=Uud_E4+Ajp2;S|Sek zNRhAxgew-w9RQeJfE^8zYrPYufI(^aBt0Gui+~x$f2n`*B?#Q|#46PEU$<~@ecO|y zP=;>hoqYdrgL99Ag^5=@CdFV+Avg$g6$+{eJNiQJcqS{9WHT2rR(T%uDBM%3P*N?} z>37F78&L1;<;W`fj%R^FNx%F4zj@M?0NM8e4_OV64-fK}k1A-GrP$QW1X^ z=JRz19Lk$pr+5@^V_nsHo>EZCk9m*b7x3zhy)H#!7)*-CGnxMkYWgJ%C4o;A-@pz9 z{^Af7V=pQ#lZ{Lyj14` zi2^WNdQs_&RP2xuU?(8QZU{Mc?iCyh7qCA<#g~@NbmXRHmx@ZjoC2;l1+KxYI09*x z>u|tIkDzd;0an^iI8%WwzfeNKf)L;uD{wskW{Q{c2$hm&o0e~fj7ZJT7W}&BArhnR z;~!(QC*rK7*|tJQeqnBDYEc=^wCmJBB3zi>fXl7`!&&jFXP1Ie{rJ<*zjVTyR>+C# zo_{GK=&tUQI9EIe6`^>w2!H*RLaD;OPQ31b2Y#=J7;x=I4|a0iB}j_ivOVN7jMJ$& zvWUIisyL;%9q)3`T_r_D@**Iw2#D*Di*sOM2WgCUIPwBh53rUoN?KYP5YPaY{y`l4 z<`oeR162SEC`uq^EIkOQ*+)!}W`Zzd0XIvCxVR+75XI8*xO}Eg2ujF|1K1D&7EE`R zjw}oMvU$eLLjbdi)B?^(mL6PKgvY*91M>!$@h-r@v?X3+2{GMSI=R3$IagvzFgBVd zc1nIh9?#Ozw&J|tJOG>|*+9)EQVWz%mX3njCXgKX%;W$J-os2?K}Rl-16;lg$m9YJ zyq&yo61iwRt5*)o1K0sUWAUsKQ8;Z`?{E%B~}BA@uDPwWKz))g1HG|nGk@z)DLFND=bUTletd^&@8c3^kV}x#FtnVZ4z;* zV(fY%=>+0rnh<~DcziZa2!Qcxdr|=`GPckvq7Lt|0aiy6&MYhel5isd=IsZI!t0Zg zf>D6Dj)6$b?HdWaBIi?&ie(j{VXy`Viq}ogKsGc!A}Ts&P;6X$!r;UqL&2lSFTOA) zoA4rwlb*rs{Yt#9B5g!Egl~9?*n!IX1z!~(o(~fQvL?Xg9na%znB<^(j#au?dcL5C z0cFMcPzZYXb3Z+dka>}COqgPbLZ%pn13`_5w9Cscz@`Aq*bf$C%PGh&luglMN&u%5 zae=CnrDL#QGDT!c0jBQ6+!3r9sk+58JjQKF}E?@R32 z(AKkeRB2@E5I1{VNza%y8#L#(r-=;(EB*`(%zOFR7$;Co6sdLwwLk~VGS(Qnp2wNu+QJF%>`#_P61)O{Ss+y)6$@ z39z&M;_zLuH2(@ya}8VuxIir<m?oYB)|fiETx<| zP%GF4eP;du%A&+=08o}rN%tblremRke@r!ytM3Zh_c<7P7Fa}KXGJa7bH0T$4zGNv6e6-W!V^w>PvG-A} z_jRxrm7d>WhShO4EI48H0x0%;x|)fc*e3-xKnnD?TewuoD{y;+PDcT_FlD;Qo5p<<9*`atIdjg9G31H|Rus$v2%K)?VgAIpjHm9gGHE%|0 zHXoC#l5kKcL=#aGBHCW7f{67{+1*ZRHCoJsipf_lJI-MTJJ?e5l*C`f$=LyNP>@Afuvf{$!5a0-b=(s<0@SjV3IXoi%&T+zjs zWe-;^U$Jr(zY^yWj{IHa_k5}{GEcxn9G>=BmD=?Ty@mO~mhF(&I0K)C3<$K40!hKr zcqA$(-4^V2G8+IEsBZ$}8c;9s-E~Pjm>Ph+D{8J(X<}-n=`I<$X8{-Jn@Z$_K^MM; z;AyhY0Sqc-TruE=6cTEPINsnwCW(xhjQ|_ar@Zv8Z*Z03>e&QJG$2^vTox-F1I%o; z$u=Zl{=!IGQAl-gq^(cAR1aLCmd7&58u9T!jh9cXVwo2K_A#!}q0-EfwFYf{?D9*1 z8`qCqENpC1CXDO*M25FEIhNAw^U( zq>)cfS>K8I6TAz}1_36T^rx90Fbmmg<$=!ttgq%g&5<$cyU?0@es7N|ks26eMG#Lj zwDE0{`kvf!pK>~v_9s@=I{%L9wNM7unAGw*8md-PFVw;19Z!rp%vH_2#qi@nGo*GN z!vRxRb&mh+G48 zCfk2>pwxO#UO|$7{K7Ic4o$N;k~JgmPamN%A@~bJ#jvYS8PdREoMsFh z!@^Lh4uG)Tk1lycIvC^Lz)~IHN_7C6SX4T70^+l-^(lvX1IebtT;eyHMfD_GnPT-V z?1*5t?4%i&QEUSTBF}mueclvYM+C$OVI6}mKEQg7db|zkqZQLf(ku)M+Fl^ZyaO<> z7rdhOh6#NZQCXQifP?L5`rsn-gZakG$Ls}IpS~gp7tz}y5lkz!tPt^FF`=?R|+_zg1oPAc4!oB2Ku1DIACE8t_Cy zaTVhFA&}eIFA@>Z1C;+U2LJ}!0q`j&ag7zNc>u~D1Q`4UUzQy!;+^h{UB z(*NF%-CDQzu2jQ{w1t%`hOey%)AGxESCp?CzGThHl?xHfa$i_gz8o*>#ZSW4majwB z+%TB(z7$zUp!KU3FIZQex*&b!+Q(AiE%Xo?zPS96;VafxRfSb9L9>x=JhCob2U`Ts z4MV7WQN>D>yJpSGHJK=T!3wkjmJzQhU$CeG=>`D2xM32X^8CiSzMLOh#7ul_$#?&B-~ delta 42251 zcmaHT2UrtJ*YIo#2|Y9kNLgAaq9Qg_gn*QQh+r?Mv7o3|#R@hOkSHR-VDtqPFh)_s zB^dOopkRU(P%$b9NC!bg#UP+aS^inP_kQp9|IhPNHnV5WnK^UXoSDonm+Q*qy2{K* zq~}gnG$BetX@MR9F9TT{K*xX~B;%RxGkycX-#`Lz_Htdgc*Uf}D;F>ET>t>RN0$u{00_ScRc&CbApAlyT|Gwl4}<`!1A^xTE%ovJ zhf=rWzX(VFCM1B$#ohtI|KPw+-EaSeHt&XTjI-&-E*8lF90N(m6TJ~S$K=(L- z008O#LGxWQ?|-2^CH%j`fUPb5zgm0yFPa7o{4+S=2$^n(5UBvre)sbapLauLVgBQH$zq+1PUfx6?*k1O^t9%ZOMIt_Ek*UW1D#!lYY0& ze0-~7*&(vgmkHmTzfT=m9KPh&lEGyMm;YM6c*W9NVWwijgvXeYAeqg7QI&C}D#DS& z^H`8xrJ*vFApGnqX?m4oWR6N3)p)C-qP;>#a|WsD4N4U*Hn&}&dXu0Wq&g_I(cU-G z>?)T5l=@b+Alq9>L4UlKc?no$B$-ujGZzWg_@lit2|`?N%cB=GOOPPz}v%ki@Cb<$a(heese zN67VnqzdhLBgw%pQnW*8YI#NT)0+g^4;RpthJF&3S-K)-!ePtZ9P_sVO}xV&IHTR{ zytFE5c~#j%0YL(wfHgFvvMPZ|AWH(AoX8&63u6_9JOva-Ay-ituZZCHR7uOKGOuDU zd#Y46(t;`#xuT#-sUv++Mc=C7q6USY`4}t0^;LxuE4kin-p{E^VV&aGf{|M&jnNutt;b%7$UmoFKZIYn;qnLn<%9>=x zI!EwQYqLyS=ZNr(oi!&O%K+8+EETKFOXkCmz`7%_(tMU9r);v4R1uGrN=7q5HJ^(m z$6+rbFqs`**I8ONS>*!Z-CRtm0WWCCu%LxYMp?sx_Y$TXTLPT97>xwU$~z&N>T_}g zmLuh2Wi(my`@A>|!UmPubZI=sQIe&pa@BJ-HjsGC?k~(6J z$^fNuMs7T&Btq2dZeJs?GKC;Lho36vpO;H*F#c9dIve9}!=!UC{&uVoRKxa5JC#4^ zY{`5#HeG~3nFZs5TbLonBuxG#3~e8hwTpl>Rd|yz9Sy^BA{s!A(z{r*=6c~SIX@dy z+4JU`n(_h)cgp!!gcN&w&T5R#&H^=(d2VcUa!0?5N_q$W;^x4K}MJc8kZ_ zo-6^mm#~DCVC4`$4wJgbl@_SS$M?l47(_JPuY&t4#^ch`}Vw-PpyT7OEgO4ugf1;Lg$tsFc3kI3d}=K|35%5rdwzN~xH( zIwqX$;Lo69dFmKi(v_!yN$Pn45)!>!rM^QG6a4H5koEFdB7=O^!D8Vx2W!qOEK!e{ zlc1m|zb7g+#l&KPJktv+r~S-lmXRbh=<-UaWpijaP<^`B={w@EoMKR&?ueBqD1V5E z`Aoh)G&3fD7Jf~ihbh#QKVTGf$E3CxKNyqRVf=*{uKQ-yOtD3=BbG_3hs_7L@JaQO z{VVx~^hpO3k~Yb^YS7HK z-0@gKp(B>UN}vrucAxkuDUjbM{wf-Jr%QGRg7WOfkpC1Rh2~hgU3~&<&J^QY*W(Ba zrKEaCRe(z6FLkWvJ77|$dj5D!YG0q{P!E+an=G8>y^-2vmU3g++LXTWW^6ecgm@1(1VmKgdzG zKV*oG!}**3HccK&HWlYYsV#*Aa6t3hyTdUq>Zsej9)l(ijjsWQ5?Ut=Wq5%CEnoSa z&aT*mxv73Z3!#Uz1ot}v=v>Yn^gxF!R2-OvcRmO`m2ZQyE<&J-bru`erdwgf`nBnn zSfL+Cx5aRUZh%%y`v4`A6o;{)cfo+;1}*%$QVl2Hir>S?19H#Ho#QbYay{u7ZbT9_ z7W9EyrDpCiEP}q(M4*y|@VOkU7V`JCvlLe0?%6Y#yc+jmoB&1xmh>2Q9fk>&j@v;{ zE$EAoZo^o4+p!4TQZ!&#kdaZrM}aM5wipHs6NnD6VW@$eD0TCu$YI1$YLwFkB))EJ zc-xSIsmSowi$$xkM4YV-*j5_NRHBvxTU4o!!TKaiVeG?W z5#)}}76UkHXvSsD0*a$9l(&)$gAJ)3AIgv4VWx-9hT$rAqARjm*feqK_*IzF5XOO3 zSWY3Sz5$9s4Mu`Ky38$am2l!D3r-A{%)uawa2&?9=HqIW3sH?v{LNTK2$m6wNk(x~ z4DXUHz!CIod49zPwLE|Hd6#Ss`m(cPGv-3=@Kb*4@aKMp7|QzK^P*^@m8@d8bA0lgqVk!;TyW7{zxCgK` z1k~;jJZyww>Ok3p^H^k53r$0bB%}qxA9nI)s1(SUQSHmkScG7Ejw9uIVe<$iP7L0R zZTsh+{|5$(lXhaLYnRMdx)+1ks&V+R+@zbaJUGMPJV#>afi9VSE=1mmk3>K<0d?u1 zPNrr8-lv2260X)RtotO+P$JZYWEFHw*q)6DB<+Nz_g-mQsvPLviFNOQcXdiF{B8$^ zns*5r*hzn2(j6E-6id^X6pH=xpW`Oo3C)57(Pc!~f|HEcsT>Rxj3kF(c{`zUVXrD8 zWMQmpe4tQ2#75)V#&NiCb3-8;zlV=Yqk&$lnvT(H;ka^FV}-QZmyytX@ljg*zUN0` zxw|kIGCoXA97M0x;P1fboH3QqrMobi26`4+cE&DD0@yhE7!rRkMn9-u%ioO^8q~t* zum=VyX92oziX8Ei`vucFs zl$leu!%tJ1DJA6p;isguTZ-ti2N0&=0fV; zud)dctC^^>lODhVsS=8VayN?fm#fOqOJ8NWxnaVcuExj#;c-_7{V*7T({*aH{nK@8 zaBS&*p~BT?RTx%UDkc=_*JLioiuYmZ`ZWpVG!;ENkQW5Ic0|JG^A@nnv{htDAgV5h zHWCOUHyq!~{W#ZO4`2cv5kPl+mDzT0f+>kho``_ojjPxsTszg)bgWkz-m6{>8(NNp z;4DE{5-sg8VaC)6GYrk@{nf#Aal#;1asRObj6U&9^RqD&C;{- z%<(nFlp46eV@Sr0W*XLr;DRW9AC^EHL|=av7_%U2H>Mc{uEsFET1Zq3YDDA|COcyj z1}E=~HP8pH=dHor9f}YpsoIH3homq(BtoD?n!4I`u^NOoSYt|BLbQ+b z%c$n-J`851{3xt&T+P@07+;L>qcLrmry59toF&0Q#wzJ0Oj|5uPq*VlV}YvA{395u z-S5a$w(t*Q*}=TP?1jAfkWJZ!j`u3#z@SM4Ab}M}Fu1UNt&&Dz z+R>P5p7M(9#cyN5wq4I>@%%ahUbB?yWk5-Wt*VImEc(*`nFNXu-ifp4^L){ljY854 zea8M*4`R9dFx=|$ zqA+$M%xz%zp^(M${GgOznMAbYEr6H%Z30Y z5z-`Z-_Fhtns^9Xa1c{IEmdm#50SG{m9!6wL^_kBFe*JQJeU^@{d}PV8+IKG`CkZC z_-ckN2P%(NlMktYH`( zcdUIF^sS?pu*?uFF@T^V!SzgMaR^omqlTK21l{dB8x{2wFTv7{YKoWRE|_T_f%q5sW4U$15Sk=vz5OSasrOz}Yjp@B`CQ4e1@z)6(X3pS@xi{2UM*y5R zb5dAO1EAxkupmR}w3|G z07^`&hK1LqYt_IQ$C8E$t!IUkco;uScxo1E1|_)_6;w-kn97cgj_(stsVww$Z-fI3 z(86c4P$wJ{UzpUuuxQXHGlDLSQ*_aB5(Lhx!_5NCpoYE-o=|W0Y>o}~cZ~Qq!_R}6 z;_t=5OItWkezAkr4VMXUk$|55fcrANhVPCQo7C{^jTP^ z@(YecsnLKxd>Hxh)si+0{V)i@1&R@@%rdKiYY;evD#;vt4uy$}2w~+jm1^_|J`ZC@ z;FC=;QUfPHHa`2}+Jbh3n-h%p1L@S7F@)bA=G2-@Sds+8q0R1r5vhNy;{@CqVT}8T zZt9h_LejC|K4v`7Xnu%DVrZwC`{=lJ!NnG|xrTjk7ePrcr}g5KnsEIbD`@+FPYHOP z@ox)-O^Lzp`JuG4nW*eTw{}WlNaEvj96t$86#Qg7h6M6YVLOvBDIe2L#+2sLB+Ts- z0_!CNnRdk~j7F6Jhyei*9Uqxi4gWX>HO@bQWt_w^5;3%B<}WJC?RS62G29;LH6tgn zbb3u`XQCsb?2;znaUD)`=)zzBj1c!Sp_{uSrN;l|f+rK@TiWwru-)-Y^r-)pOweXJc zHBuSVP3bOCK_)WRVj1f&n0`Uo;z^isEq@)Jpz&d@YgEe*z*sO-<+EUl03F>Oi-6fL zG)6d}Js)HY^nRDj3bs5UU$|}VbeO7(=9<9ky}47IV(}dx2c>#vDO}DdJL&A)SUCN_ zg-$GX9f#218mk!8!-bE~$irbi94%8xkpw$S0^Qh9MEDX>MJi*;zM;}4*+WSD092

A9l0R~rgJU{bvOm(j~eFDsQ@x_onYA__z#&;)C>ypLJJ%yE` zMnke6IJhVGlyD)_nv;Z;!Zi+rKEk07a+5HXA?zLRIv;*M01adNP;8vrk7(o? zExjsq^9o)IV*sepNY|{%$)2CN3+@$4mbtNWit)WVtoZl-oki9zdDc#OUN%g*J3GtK zcS8ZAvsTE{SIEC)W6E!IcH-|v8Y>YxGgMpl!ZL!OAWw`*F9|<**_vJ%%R{o^6-Hbl zx@t&FK@JP3BSTDrWhD*- zFd>IK44ulKVl@bB#9_)T#Rzm(F;#RyG716GSVb;2nm0NMDf#LOPV(qz5;n@GDt;Fv zEBPe3WSCEuXKV4(0gWwSif-y`oFVE5`7j;7Gti|I{spMAB1z*EY^j_9=T;QRpFCj5#!F)fj=CyCQ$Cj<(V5JTCBTZO z4;eCI5D+gSaHwJ*C<-p-d`toV;5c4Gwk6$spbT<3 zX%oqbjC|0_(_V zoshHCg!6%s`Gt{Fnoomxa0G3PW&%WnEfwEkXr;@a>Q#JTD9`+N7sc%il}7OwMj25+ zL^s*~#`wrawe5bl!q>E=Zzb~kY{#18_uKNdZ1MbvH*b^oCLak6ZWZ`#@<#y>4dv5J zVZKB}RkjiUFVgo6RRQc8YsZ-qIxMr{v@+6Zase?Nl}p3Vq4O3ubd_irElwy^7e z=pj> z3^p_x5qZp9+>~T!FMTlNVfi#X7V-4id<}&p2?dYPcnn=JjB+uFA@3g;LFKSsz~ZbegP@Rur57!i0oImN&1gm;!(j8kWf<(x|udW`?B z>RKL6k)Be(KellFI0qzEcxuHO19t+6N<*1k0y~y~a0x{4o*_hxUG%k`c!tF~PCO%2 z%^^o=j#k?XeOAsORokO#!kCrDobUEBikOOa*mE7xu`&@ao8o14F*-O>vNXZY7iV?{Oj;i>qT#{#!7@tQ zYtob$W`4#nW9AFX@E^5t`I)?-$lZZ?S=O#;ryCwkWQs0DY29=BMUGM$yy`;#<9h4j zgvm2Vgem(D0Uc}3_?b7Ce-WTYO*8#XCy-r+_y*j|E+Lmgrt|LaTiQo8Tw?hd@zdQk z3rVX>;DyXRKPNKr{!?dxJ(VY%x3@K{d7VD-UG&|({_z$a*7yJ88g)2Cr{fg;RHN48 zVCTonoF9icn4uspxrlw$Qg^mXd#kl0wRrRSs8?58XC%k@C2(5aENi*wJNSOK+7TCr zS(im~FXw$u+ncyiK0Yq&)S0_Hq(i5rg>95IPIu)VhWgz5z4k2qPq}B~gX7WBgp)Br zw+SG)Yz!fQT+FH4^%P$Wv^O%Nc%w43n&#wK_-btCd zvmz3o2Y>l1a5Y%yQVKe^)a-RS-GHK}uI zGcp8it{V!cIQu%j85*M7FL?EN>OFz>on2bD^i-4V(u^_+&Uw3Qm1!ZFn+40;MBf$a zZ$A$mJH9rA998m`vF+*7m4lrK*Ys6V!S4392WBL7EB_Tgu7wUz_HiDCO!!lHL3sY< zmwQeFPnYd%PsTJT$4Z!t=@XVsI72#RGwKvO?q0Cs)b6#XW_Iwc+*lIpd;9=GF=d}~Lx4`LCZED}Zbv1PK$+MsBk926ez_)tB zQL2&4&oa{X;NUHbC3_y9<7AlZ+gp6^OULum_n$Jq_kZ7ekgi*UJpZbs(RYhvZQkpj z9#^EjD>GDo)4ul43-|KkyY6kw88%( zcBk{juFqgr)28&Lon0zXP-fS6l_lM$ENaeqLTe!D1xlEm#{uAPmnYy*of7;kL@N0t~Ta}DM0 zE683KuM-=QnfH3r+Ab{0@j3Y%bC~7q{l5F;?$oA6eRA2PUC!LAmX4Hqoq(xp^k1ya zWUjB_J~|$or&oO%d@zjVUjEAYRpf5Wi~V+LdrY|Ze&UG@6itmgOxKvblyqYI<2dK1 z+S#;#bIU&$1~@%wcw$wx_voIuyoEKv z<>L3LnBNg~DghCE)YxAFm=9?>tMA_Zi{&s*OHIISj%Hf#F+f9{#%X3;4USly!T#J( z+`(NSa5LAn2m!ySC{57$w%8#pn6n))X(nXrODlDZ#4QJp8C<)2JAKUs?Pnyjw=ObNuAy^wDjCja#I*%ko7o%PU+~`njxp zXt-*T;i?M5)qaMnAD#_+b9PPe*)>nkuJzv6cBS({MyEWf^Lc#4%PSET84<6NI9scz z3#6$R%a~CM7>zBMp?P*rsH8*XuD+UYl zGMguAOb;dwxD~v!)p_vXL21`b6UxD_}#2<+pEHkAJ;JKM-~9pL_{PuRrU z3QVuAIz)`krnrYL-NOtX(OOa1LP!UQQT1>KSAKaXoXZp24ies*LU z7?r7=UMp~JoLhdSXDHU4(_A;<*ns82ycg$|tGP^ZkWJw{yl{=|tQDA~g9f(mird_9 zJ=yr4!y;W$(ro`#drX=2YZPZGiCm-BP0=nuVemx7JuypH=QE$}Xqi*Uc^@)QhfK_^ z2esVUAWCPw#WymrWyVCbaF|GV&G75nizu6y%4BAoN`_tz>CJhq?RI z$QkDz6-0}`U}EYY{;~vPLpj;x;87)V?afldpbayY z9bAFP&v7-9pG6!uch)v=`ZIfA()s3R7c>(toqDC2&~oan=1H{h);dnLLe1Z2ZC&`H zb(>dn1f<|ml$4b*@!=KC|6F4H*}Ze^ghO1Ab8V{~w)F!`czxWay|sBM_8MC)-k)my z(Rr#kCG?O_=ACr{y>W-UraE=Z{yg23zg(kaNyN^&6@tQ&LpjC_Of&V8m#3riG}Ct5 zyan~flOCnsNS(EBu9Mo5Nzt4`7m5xk&C+V#n7nRV+Y;`zM=f&Fjw=6cE{@ta7p`6< z7;+UzTQerk`^eS(1NcrWcofGyq#9u%<_%Bed4Sh{Q+Au=?UQNT@GSE2`Vv}SV(@`# z&oKADz?t=r61vBmMj!bD9Ca;NGyU$xcr}0bvi%=IS1q`^EW@e!igB#PbxyMIUDv|M zepGEm!mqr_M|$?t-xmZ7boHo+7ux}t?0E)&vg!4`)XF^P@rv~gZ77L1*T^`+timf* z?~)+Je&4xPolO(&TfKTznPP9Rrjo3?HQ60_=Az4VMhp>_@Scg*w-KsEmIXNK2K4L? z(dRjbo!7j_dOdD80)3j3)iCGK;nwjz%>=(|8IRmf6w%p?sr4&lk>A#@x9B?Kd(Q5Z zlmC~pK);uP{uO~g=YP`jKWdfmHfo(P-9$buJy=pu8Mb|q>w)_E;f%6HQz!5~DziRx zEL?g2wXys(a9$S#j9xg~R_&jV7QOt!S>f7-^d+PVE7Br*_mHE`c>eJi+tDg;JX@o9SUc?uCe!7>jbVB z>b**uRX1SogPDQW%7?9aTXoH2ylFwZqOz#Zu9{uhWMvU-zvPKd#~q7k_$7i6dFE2< z^1VwH!VhbC^pL=~YU<&R+B`RRa~uK;RX>}w1B74dYNI|nHjaa7e570^O??*_WtuOE zv)a?z>k_N?a9~J@B>E*-e&eb+T4Z<}p-GE^!19?ze-W1U?9N+N!|*9;I?vqjb`UxC zCC)l#ZqdW@`dhs{th2TkL_S`s+CaOQ9_G+7=tbBtx)H$q?_21u$F>71;(MTb8hFBd zut)trvvN{2%{U`3z}G!`op(m2kT<5L2-vUOsaxNgs*V1&{znQ)`wjEt#y=huAuB%i zSP?c%=ETt&3R#Of(gtmsw5h{}Ox<5pQUaUY`XfvfsghAGjinoZT~c$;Xc+1}5*b?- z_0)2M-jdjJfpgRCiOMg*YL^%nQoWyA70j6zqSU4NS(S1^W>@HVw-g*w5kD$O%-n`w z*IzC_%H>w=k9hg~*JIs2nme0JZIBKN*9);?&8;^*F{ouZfXt@q`!VX;DE_DhUt@Eo~Y-Vg~dE&?%T_o zEu5G;>*1P!%*e2m-1MC-oz~QyT37rvg-;12cDKo)gUFCCWs6KpBO$hE7}1O75{JXd z=T7D!>>pQC2#bw<`d!dse&QF(@hfoR|oHM9a`eLnI0tX0z=j_IS` zp)p+MQ=#Ll8M;znu=b#gSmD}i_F;*?VLKNNdqvqLtH+61W!)LDo5^!P?l7a9tr7A0t6}vUPXOoPUhzcEz&g5{W6_ z7IL6BTIBs>@H@Zl&RUHPqbI#CKTnw22`JvylQVX_YS9pw%rL z1$Ay{`d^OZMIY~`&)IpS8(m;QgPA5Xv!$!`=EvH2kh<9BQf!^^wKwtPNiT|C5O&r{ z%nahavlfMrhQAPcXz-i;xxnJW!u&9U6a8F`8(hH9>S>NQA7ERsE(gD#eWV8+Ut(UX zyNv1~0-F64?}kwOQuj{nyrEB)} zz~o2xFL-c6QWRTp?&XCCG{n{V>p04#hx)xCMEO0#?g2J2|Gni2IoBjAf*M8O#MHEO za~ZFrN7#fSjsBQ{Sc_@i8y}7{ODP#$Me~RU8vRq}sisA2U#h|E;+1bvuna@pJc-NW zfCUvWt9CoPn*n3>7VD`wo5Fkr-64s$WFl@$@Y=4)ayAY6$Eb~(z9$@eAnO1tLrQZHn z!GabAaVPpp2lyxjPpU3Q*|Jls6R7L%sVBEY?Q@6c=9$EM8hI~#M#bpCNM!B^2^cMm zNqBItuf$9}u3%wTE9D;7u&J4=dkDxgkU{pb1FChBqH#Z5cqfbT{Qstn(mK(>l?{X|K=*vdJ`X1E;>5U7!vT2HC1$Uvg1NEx6eW#1X3sl>4J<@I^ zG?9Kn*KBuwf(~j99Q)I3z}JHga_AtgvLp)yStlGb9Q2t{yD9E22&;wZ`ph**zCv+& zTHfby-&5bOQbgd`Vk?)@1xuXwesbwF`!x5}E_<*7a8AY!vzcERBJk(gWb-TKqB#NA z6YdNgOI)<&y+~uxHh)h)P_&Ob=zZ+7hSA>TTE&kqx4#PZpJHzpxy&pqqh;bETb<+c zfTr<{3-P3;SF|}t*r2oX%RnVq-eFDLHzDuBlNaSa40ZS2`~M_7*i760!3!|xr!Lie zB@KiSMV_2%XV^u)sxFP~=4ITw+djY$F`6VGsvk4nrJpi&V4Gzk*I27$54Ca;v(J^7 zUN?2&Rj$>-1qc~Ck3?AXiNLfxt&(&43uVCkXzPH_y+XC^<$hs5yMo#d{8ACPz0FAD z*R&gDT#8zs{x2o+j(XiJ)F4TA$W`*z_VXR~=EIu^&;nRT#dtuoJ zuHLfp_|vPcC*PeixkSO3GP#sQPX1%V!h8Yc;~!5fso;xDr?W zYL!yskL=IwjKfsm*yeiXS3u#upGwWO^5h72ANJ=T$Bxx|t3})|k28*VU`i~I+N{2_ z!9Oxe`5z?i{wd)jE{Asanwj3A#V0pbm-~Hc+vI$xRqf)AfXwuxs@OrU?z%28cl0`< zg5Uq@RZsS#PbhW1VXC|OGR88u(WQ9b~FuHxB?iitTb;`xJ}|*8x5sVMEu2~(+7Zu zFM4!-dc(E-ftHE+GNc7_`^FC?+Hr2Px!6woxHjX!%jVHr}TqmdkS@m!JV&4M-cS9Z+@ zQ*;K8#pd2R`eGrcMM1ilthFdZUD;UFeDu)a&5U6RaJ*q`_ec7;61|6m!s*qbb)F6| z2LeMsj`eAf?tgjR+D>VS*SUBeMfJL13X%1`2U%pRC5)}%EMjJ89_j(tmy?UlE)A-V zVXJidmiST?Hwx(kuhc9I=j*)+C{m(^l zb7G@wOY}#p&ySUkZK6~!e?gMfnl<7Y&U~-k@y1p=)`&a9?rJ8jZyMbJg0GZ>2Rv3} zPMJ8b=7 zL~k6~aSkU{8o03C?pFw5!(RRR$9E#1YPBSpKkS^tQzqEePySUBFMRf{!t~+X@0;K1 z#m{-_a!JQ2;FkNQh<2Mp*Pp$Oh&{RjT>WhJW263-bwaAU8whm_h~c7#Y?)cFlnSEX z9___d1RZqdmIk2nI$qya&Cjl2j-Q7=9loeQKXKZ}4ky_w%IY z!tQr%4im_-UW6Csa-4Nzxp3b;CdWi^-Zg&3i-eyCMLN!#L+KM^ADzBr=EAkvRB|@6 zJh<1Io8|DlkxPi`0Hje8P5tyU%6XD?(^_h|u4g6i80In#_)JGv-+hvx`;=BVu%Fd` zt$>4ieHh(O`RuJTsp)HkC)0X#^NC*X$(>ZM*@HnyS&z%ilU(#@Ot_WCBhIcVsIRQo z8w{?B+h;%uwLg<7j|Kbo#;vdFZ3m`vA0#u~Z=TuR_Ns|gx_oVnJYfw|7i;Gd6}GcN!O7Kp9^-Q`7zHzf2uKX>c1b{(S4@{9rYKC#YOd(8(+&rIm| zBJ5n(3w*MUps}4BT5s8K@+LoKuQh(JKkJCts}1PxK)#0+{iV4D&9vPX{j26ttAg@Q zOaJS^msQr=U%due7U!4;KY4v@oth^6A|Xg@+If^;0(G;|7hrG?V$}DTl1H+QO7SvM z0m=_jCZ|Tv;Ee6#rNkEr#hfd4gRzuuK=>onvQcm5ax!9{3Q*4IH;vk+ayo}LZ_$Zo zVEl%OC;H-V20!pMVOjU4&wumO9P0xr1>pY_sZ*3MCSL;{MPMjW^DEafA9#&!0L0s8 z*3`NDj8B_25D*ujid0Vuc>bDeF&lY6Q@q}vdYNmptC{L`{^KW9W0T2^Z*-GEHc0a}KXB0k2MSEgsMoS&e2n%woli zr$uKlH(8lbpL%=VO)?nSKz!1wYo6dfrMXw4W;5aRrQYwlZo8IkTYQXp4_ErxbsgO0PPf4Q=#m06-a10NgC$?r&PM$TO98N$!gj1?z z+Rdkz)h?`Xa7aALCHHfI=4U`%{+8*#tT6-h3`BB=BO^2!`{x=Q>pWsk=>MpSJNc-> ztddO`mXTWgZd3@?vSM!+xgeu9Dd$EvQ|7(BczVgJ85S-Z4xQD?`+kks#-6b~iW<9y z|Hb2jh_mfpQ8_phUiL$z^L|9Lq{8Igw?EvZ6-HIAKT8CPMs8N*X88%)KHu68BC6%u z?(XhyrZt^!uiMre zTRb=*3jeuH_hf=6o5pdQ_alMWGIjJgMZopY;UFiDOly zHmMmK|3@VMW6IG;#gEpnp$8G9#g;Op@cc}RD!Dgt_^BaSm*}4v29)h*Ma!%6VV^ZC)9clv4&o^*pN$` z6oPursqYEoQjEmzuRlrLJ&vAosnI`vUO~$Gnon)t0ij6vZHu1OL#nhW0rb#_&lS4$ zv~JH6pA(ExFE{VF$P?-lEYYN)xkW_FM*P$+&|7oS0ySAHcjcf1(VD#*EI&FR>naw_b-&cZMrK=9SC*5$ zMajd~;6_#sVmbUf3jOKv;$*MH)MIWt5Y zE6}fajY;7b-4?S^=u>coLG#^{tysiadL&nof?CtZS7bc~FXksM=L)TMRihk>&yhV* zH_jGl&D{=yfAt+JYP8OjeDS4>rde>9K2AYww_zo+(ILLx`=TuvjYb*1&)Ng1+n+Ek z*MQL%R>U5y!kP!Bgm9a-GaI%}ZCFejP=E$6eQ=_pwC8#p$Nt-8E;bD~#7sC|O?nex|M;QjKK8*mUf-*MR&nPH7ZUN7ES!5*xK~V^CXI+}!w-3OCK3io*3Z*Ud&jV{L{_B*cHOgE6g_HG(&D9g?O7#9<1C{U`w$;Xn;Y=3 zy#JR|?7%JiT>*rhqg=3JtpZHWtqwYJ#On*t&4>>@e9(=QqcybOr#Z=ctvBtehXavR z;JFX+9jsxB?_R333_*&Eu3Ozb%_3@7os1zH$$_=i5gPJ2PCaWc)w-D~(N(*I!zVxiMI8k^+Y zI?T{nelP-f#vj*7|MqE-srB)Hl<;6muh)Yf!g8T-=6TMXIZdY%Du~@5MHksFR#Rv0cw$;@ceB$LtVu_n7-k zf1%&CJ8(&%2j_1EwdBM^%LwSLlB_8gwtWLhGTe!?I9hSRehR4yHDT0{fCy z(x*VJOD8xQHqQXHFx^s$+7~fF%VDuzdWjFkUw?hGkm5+6y@+QV);@V-w1E58}QH1NvuN ze8zQdUq4Rk^sWT=7hwIbG{S=(KzyMHKb_7U=FEx-A$;uRl0Sb0+D7rwAzBHiy=DAg z^9h?@zVlhPmH~VWS^;5meWMvLFbmFophSm6+_bv=aL+vP72G5k2}7stN#UDaIrwLh zDO+_(?PZe&%|oOx@fe!xg6>ldxWs;BQ;Z&5Bl^v6_0jzg)x!+@VsnkZm+0=f8S}Gn`DS{Cv($GV97xbGagJonH?yz>9Fuw9k|=vN8Rtj>=LcUH$>vH{ z*A(b*5|xG6;d#3D{9*OBC_+JT=T#0|fNe@0m2fTM!5mHHDfu5M>$I&p5=X_vav!pz z=QW}4!-BiI*3dTgwuS!@Zf~7*rGN3UxNVaKh)~D-$Xbq0Nb4GGdO~rtf^vd8{<-w$ ztmsIjd|{7(csA2+)z=}XE-C~4YuaU zQ9F5Yf!6F@Zw^0yTuK^GG#n2~-T(AIduWs8@)Kt{oz1i!YmdH2(xDE^o8s?J#wp-m zFk`^r^u*yu?1S9_iyA%^y4E{Qth_RzYxs1OkupBm!3r)^X9o9t2;F`8;^`-ozxB=C zmbYhk^0D5G2F=?SGd|X=$r}#k9FVfM)>|fBe_*$L<-l;-!8M(15FVBsmv5=Hi?mQ1 zu|8vE8_S&TyP^ElkZV(!Le~kH?(q9_1=s7gfNH3Bj$5+cr6y_FRP&TK<>9wXayyQS zUvJ%m|Lm4G4Sn0G^t41R?+Z_#K00(bdf?YAOKb+%?XV~>GMNS%4V@JrHRy)fG-0+Mu|YV z<67n0)(wB1Um;4f_5l@*7eUBtC+jh@bT2*yVYaI@UFu{cy#Bj8VR(a%)8a)v^weiYQ9h| zEvn|9kxL7z@pCL1+J)78fn0jG+KHbccVLHIp8W*>|L1g_km>*aR_?JSYVaW4shf#r zGyZ;cgaW1IZ2$fC0_@*cM<|SE4j{jQ-G2kwV8Z+blNNa`SiW%4{INGdsGSF7utRMO zP4(>`+AM|Xbo>nv1OGtSzzGsATkNwaV43e2H)@yRdl}x7#|WGMMZ1L%43L`-pOcWP zTQ8pG>u61Ozr6bD+Os?Bj)Lr4C#&|8*Y=o5^q$tAsh0r!Dn5dOR@rtj=q$D|R88X{ z#g>LVAQ~;r2P#S}&{O^3S{4F5`1m-z*xr!eYf?PHkl$x={9QzZM6Xml8Z-OGA-8Eeg53Jj^bkdoZ{I)$5#)1f4guMPIHZ~q5p&Xr2|AcMhlqG1 z{i!iT#1xUGrV|k}q$l+b5m|&hOI0T!e&ilgHtL+ZgoK16U8(m-$ZDkP?l>}1jUb_^ z-D=1(j#|CUJf6x^KhC{L%{g+dGPwGD{rURfYCAOD;8l++oyR+mzgmA4 zR;o3sH7;$0kE+!FUD;B}8XsIOg;n^kUZY0Se^p|Y^I@gz?@GhQU5#k6iOeCRiYR`U z`iKIDM87+giUcBrAnnvxb;Q-s*;J+-^q>;8GnHAPb(JzrHfoppOdYYM!y3(-R3%mX z5<0hkF(>ELdeiS4$v~{j_dGr<0H|M zHYG6#t4X!iL_GA|YsVgQ<<3M)+Y&W$&^4*MH4!7nfLfISeEPL4T6q#RZI|hyx7)I_ zxWwYcwdj%ef|rs*Zb9vJ**jH}Qg3J?W(1U;`brZjqCK@s6R~k(*B+`pTYI5aT>HMZ zzINyPwDkAyPoWFNcd)N!*VqjXq%WNB)T zHez7DrS5-zBkLmT;_Hfi>R9N;b}psZC)G*^IYt<%OTDUtIN2<#mr>C>4Oghy>TDRr zy_B`^=@Cx@l|iwG0emDh@(a2wwO0q3jR;dG=^`kSnz~RInGQdAy2uQYa|3^3s$3VD zpmwJL9+Nzgs?tR!LsXQ8ET=a#6n%hDF$-PRCDR_WcaC_p_+#p68scm{tMLyFAR;@9 z)X-Utc+`3iPZ~qx#*6SE-XN-z+CW1*ExQ`vlO9wWF=((+M9rtZsTZl|Q$jxG zShcC@(!0!e%~fet_V23RRlg%wYgf~&=T?AS!jMZbS4_1v<&#m63_PZcyzq>L@y)p$^eh0o=#&m4TOhtnbl=lAj3y2i(1x6@7 z-KB;EA-~_5#Qg_!6*vS1crTe35)ktDB&>D^=zt&ev5D_rwA8GBD8WAy@KwNolp$X8 z|Czjj+7mcs*8ZL{|3ypv0x8E{xAbpgzbXBf2K*1@OJD$QS&#MkFXdHW4?CC_;P>w< zoz$8E1t>Ltqy002)b$IHYipIH-;GT|C!sFrHZ;TPffcakT3@kVX|vKsX`9AKa{_?Q zzFFT<&wu1R_VM`lN6GJxhtARxXZm$`-cz@L)SxYBz3?n(TVu7~R%%z^B0)Ad zUI2essfX8H?*0)8?`d9$9BOWA;Gwk)D{@O?{k0#L4=GOi_~*#Y=RwiT4VfVazL-ZcCO~c=_nnJmHpyX= z62?s@2bT$V2zf=Kxr<>`IZ?TB-H_%XW}-Q%#QYGdJ~S*!d2=G;CO3Eh^6vS_s`HTz z9_Brj*t#K+|BK9l7a(O!rCgF;^>bwTBVZ2iTXK1F%o$%!m^MA4Xw8{OWR}u8^eTQ( zIeFkjWOedi4Uy(<@Ooi^QzcfoN+{!R&#;^F@KDd+Bb`8JI}R?bJ6K#-i8Ft(r}J<{ zZ1*t6fN}w^)$U^)&SlnfP+;b7k(uWs*PM&gZa&9`@e$*@OLLH8UuOXbdr z!ggeyL#Tu6*@^kMPnvKMW>rPK`TDo$ZkS$@K8@KbFOcrA-qNCM{|DJ#4*N7o78o-3 zosoa~5(mHe?U~vG`M5LP?Ne!e-M{8Xz zGUM^M@OWmjv?Axka5HNECQH#?Rcf2%7}Zk}B;j$*36|CD;_-OT+TG*vRD5F=F8NyW z2CgL%omi(sc%W{S>>t@c75Ze$zmWG(@aF?nBW!2ZlrajGa*%6_b@;E1%hEBml8R>O}BWJGV1cl}!02WRHPsmZ>={eY9M?rdW+$ z7~u_-{CK5WTeVVc5plLtwM@-kJB0TMiC39mDSezae%(dO2pA+>ERSX4Ri$*pOLIE( zX!}L5uN}s7`+pE#K8N`@9KCg_g7?U8G<7pS91Aa|Vh7jq*AnwXxM2AUFZ06!ra2s5 zmAT07J&gy20!dT0l}!>#x3l@@(&Z~xufce!72kLir(F9*Kxbj3ITSjpAB3}>NAVOL zaG%F>ujCm>IqsDhh_XAqIUQNO(N{$_rz?N9PGaUoIO7R>foK#F>6KLT#d!0x0xi==W$Fp) zMv}mLtf~6mT-_eZeGh8}-^C1#V2UCb-IEAGuFWNCe=U@g^DnE^@CZl&eSAo?6XgWq zq?pM|;r#z0MR!38f7qWWEsmKI(T-$;S7(N-&vAU5E-4)H46nPSf-IUiZGClWy=N-C ze9G}3!1MPuKh%fvDcQD^vGD#;D(rTYYDh0jSXEz^uxNJ}S)4NAOEV>+gGwPWPRsT_fzKm~BG_+E;1R@=2=;#`@E9-!7-WZzZoXMy-E(rAWw~!znlD<%Nmig`s(S=xTnrd`x}) z@*z`_Y%+nENG9gIgT|~h4XLPtw-b$oYG5^6E9{k8vED?Lmen-iL0#AI&U1Nkaf%ix zswXd7u$t93;C^8%h1<{qwL)h;xlRH-HYn9PISdz3s3yn!Y!mBy3J;F17!r4F%(gLb zvm)sx_$hrf%2IK9`lk5!xNGT)kY%G}Ka{+Q9exUr2>MX{ONn~(DmALg#o3%2Pv2D7 zw|HbhAyzz!eR>M-rjw)#W(%!Q zqZiu^KCru(2kaZ;v8GJj3Y9Z`sKmc8XG@v7(z_7bQmQTquTGza`U_jusR0_iWxcu{ zP!YjSTQ;JErY)bqVQ7!{KT!`A{=e~w8vbqBpw=1&?<-Z84TaGM=f}jH+tkC`ZdD(v zQ3DX{wpVbgb`E_Tly0&#huuRXT@FEeLvyuQVjMl<^I^SKjFHlec?zg8HN)!9CZh%)o|2$I&$rjnV6q$L&4^(cL7($%Y?OB$#HQ&qZy~k zoB!U1ix+s!A9$cd$Ws&K={{^(YY?`qeJ+f@?qOkRDf#(hgbcxfevFY=Pm&+eIKa@T zC)okk3b5Zg!F)5aGV&c^&q3BxT%L3un4MgBR!TmKh+3g^8<1<}$=OC__b{2`kPHcj z<0KYu-#wQ>1q6A3O?F53vkUhVg^RHlPJAA$o6G9%VO=t^Af9U9@0NDL?V7ZXBJW`e zj@%F%y`NlwTvuU`XH__G)nunR?!8NUgK%$kQi(D@MFY7_4zkjty8v#rfU}Mn@2$s) z2;*g(5LsFt{Pz$F@ELAw#+`^qPvE=_H@4su@$?yg{sgD-7wngRi0|z2AiVHx1chTP zuBKiZMTCeXMKp`MP%n(mggfo*>gMj@3B+5C-3V90%Lh)|j1hzg_hl20h{r+Y*j5um z5M19he+5vU8mDXh1Bb6`&W{UWWxCHybz9X?f&1YDKM&p2^6+lg4H6bWX0VhjJ%y)ocbU3JWgP>N}YFL1U zC8g(&u|^UAPpfee_AeiPw;7~A9-8EUL9kdT^KS(cf;@24U=L-V{FGeB+|qqPy2+e$ z9++J?WpY+tMpkOJRX{opgu5dcuC>hlad}E|hGQn^*KiN4tUHD@?m$hEnw<+y0ORKY zkaWXfGiRMpm#v2DkB4XEBxPr%W~2#h>EXB&rtsv**=m)4ZCft_3cPE#K(K`u#(sp9 z3SvS+Sv*tOMu;-BboC|R#;Bh=Mkx@v8s1eAF4i9ty8hA zQcKA3)vN{`*0Zy;L}>R`Md>G6gJ*T4PEAh!KwOJ)G!@jS{1BGODrK?D%t3X zL@$?bl_p%v{2gwhnGp8viNtz3rbo|Sz55^=ubE8r#PK)TUQ>uk@IWm)h4_IW{tmCr zdyDYHiR1|OA6K#~I?f`g?(DV#A`sWGM+%7k?8fUvD4SSF^cL<0u#y`@xAX;Njf^Iu zq%!90Ws9V~>@sRhFEd{%{k7?axz&xR0Xk3+r)6F$O&QF{i1dnP|H6dgs}|2D?mA8|Z^PSEQj{oyMA-gV%e)(5c4i<|x%;X)Fiy+mc==U$a%n`xRsUIy z#aHVquE7D3;+}ygEY9g5L}LNRo0%R_?gcWK0?=bfgZ_m9+gE|qOWCE``rW4Vg;Sq*;j&zdQ zvp0!;wPn+ZnFJU}Sj{`cc4ETK?G=9(hN58YCiLZB(O7t^bJD+^lWw<9s+6F|%d=1h zdmt)2Vb=5z4`4%P5&sbV`g0C#4k2=nA24vx;Dp2>Ny#axY3Uh|e&4u|2&RT=GP78G zGxgl~Dd8;5$<50jF>=)CF>wE}v4Ze~O1%{Kbo4k-d#G_xt<{1HtFyVVP>n6}$5k=< zb?_47gqFeTCH(O)OX33Bu(-Kg^y7j9v^aQ;Eyn+Knan zV%QKS8O9pD6h*4xsx7K{s&lHHs>`aUsu*>gdWbqzogeZdpV`(_afunDEIp|;4C5W1 z)avoZu;2VZyiFeuH^nl?*`WP||AgTorXI|k@X~7e-B>A;6>gH9ysO_Pe-JBTx+_dw zn5_{eH|CEB=3W>$m^tB^a|{n>JYMcNJS39!{FU@~ zOGl+*h-Bu48T^@ftk)4D3}4E|9U)Y3n0$ojNrb6c7LF{QMRs+9eY*I^!`a(Mh+x++ z{z}dr*dvDtZ}!j=81?iyN(6w3ls+?vn-;1@ zI~T<^#G{>y*2vVb@yOc>W_y*ADa2soy6WbkXbxh>!J!cHD{Bmg!D%zh5lPZ3qe{tx zx$3gU`U2Rk*uo}yiM-jrj}ra+Z=y7-Ip5%L*o~xG>hx?*B}MjJO%*1 z0C)_y{)D7E^>Cj(OHf1EjmL-tQDQjrNNBg=$hF(v$D!SBL+y4Tt2s_M`6fc^R+*Y^ z_|~CC?#@m>PRN6y7G*FJRfmRIqJoCmQ^oXRzdcTb=pLbV8PY_M#wNty? zOVy!WmZ-RAm~d75cDcLCv0a8L?q8VCwadL!sP(QdYxLJHzg&C;)qXbX1R=(??8p;D z4`Ou$-!eby+%f~y63aw(TqX*FOFXegA1Q zxYIug5&ibeqFJ-&a24_r;fl{?%MMC%p!wcabB~aa{dN%;<^li1VXLL*OdGQ+v?27r z(ALnlP(RrlGUVWG1GxuphJ# zexnx2n3bWX(QD?&s+(Y0Np?ND%vdv*zf9mR~`AWO`19Moa-KHLlYGNfZ3WUU{9Y0l`KPJNz{q4lT!|Bmj{eC1MaO( zstX6M(S7^G_7rkL{{{u|qkVYIvG>Q7(637#k!i~YeF0!e!lbrP5L_olOcI2V7U4!` zQfl;oqc16@RpHWG6b=&fV;mh)`wpAFv z-d?%m8%S<3CX(GnJHOp!s)nm)#tEb|vHLqXZ8J_MMa1{D-03V*gzsg^cf>Dh+ukJ! z8FlKXpMQZn&yAmxQtHgvU(azD6{M6r|G(ei{DpBBDJK4CWQYGMP6B7M-&jkEbW3qx zA}lRGCC{Ne>AxX|69q-sd!!M(zLKm8qb~#OYe5lUX@b6Fjw=9ADK$fnR3i-K?FOkF zl9|&pN0%|$YDe@PD5cMZ$iQP;06{q-FC{lI*&3C^dsn1 z%RBYHLq{c9`Ef@b4Z$2tE)3=El@!7jnb|g;K&}nAW_Ai&_#xqvQ|#Skg1|A0z|}4s zP|F_W@H0^~MToEjtKr%;47jvho1p<$Dc}-2aShe9&jjrTu&)J}JrA-ZyU}tg@rDh+ z9<;BcoZ%h>!zKUvr-?I?BczzTbmb~{-av}!Yu9hwy!9_!wi?fpuGH_X9@TvDg1S+%}7vA6hz0w+#{}( zl#)0(yKonNaN)`a%AOlTD5*72rlv^UMSM6B1~!%HFdCx$Ajf_}jdsxAh^%(TM+XuV z7Ash&9VQrZT#vrIEzk@>W0QC%XZw{bf1HQu`sCUSUIAQF1+I33=ln?CC@VDxaRJvE zo$7@WRiCkOu~z$|V+dF5s@+iw)yFHdoh!t2)N5c{*z=cSLxPqv#CJrGK_ zh##prcJ%+Gfilwx!W!2U*AZSPt+gLkMIbOs3bqLaagC*}{y%^sC! zEi!r-2v^fdIAvl!1aY%%HG|Fq&6!`}T4N}1t*}a}8|jbbvZ3`ALDCC(2H)0nLxrbL)6$9Y8G34& zBg~(p;bW=P9{Kv30iZz2b(MjHpw4N=L{_p>nkk086i6E&E{^VMu|- z%)qo;BTQ;x2OK2PvL|1o6l}sED$gq_?Ce$8sn7#{;i)QwIoYjy5LCk#H6uh&Rf$`q z536scD0crKDw^0H%KkfuTFBn)L%6eZ22%=L%6>MO`bJ`uqn!ii%h}8Xs;_RooH5Fc zS64I{udTS`KlN(y)l#DQTBW}D7PC&yZ6>%v4x0&b=OhE?0q7iUCb&W_MVkr271~S? zu3$64CT5qM+f49ZNI;tjHWy#6xXo=QxI&IL6TDu0#drtq37ZLCjzkYQ>?U|4>?XgP zpb;J~z5%kq;U>44;5s=Qok(>dw#(VnL`vn6ID2wI;k#3ramjP$CKt|ro&6?}$^~_p zjmhAqgF`}Pa=3bCOrxC1FlD$k7W;-VkCLLuE7F|tbxKS}$D%+eix<$X#;H^{V!$A{ z)n;5uiKxL47K4lD#+Bgck|8|CU6fJI#83?f+CquQ%p2!U;>@L+=hfLkQ*dw)$Umq~8PKo(jU* zTh7qr5gf`coetdLYQgi{amw_}#3YC4AiW%f3lvmh>&mnEOFY8316){cK3CXPl*qV~ zB8=ZrlyNs4f1oJmaj$bhn#oh9zRBW05f6=rsjfu9G!B1(5|cCDnaLT)B}z;b%|^*J zHz^6JD|P!A!x#+v6@vXELPv#`Mps4OkA4*G5;G`fXw2xCvY2mTzKyAixgC=b)%jB! zH9I;v%KAx<(nP%zH9N{V`v3iLkA4SzGWbLE?C1ry&ywg>w$IJjy^)Te1CiGw2SmN< z!wllyuI#cjqryxM=Yb(9`3MQzZZ* z*BO(Xiyj@2!%nf~yP1(;T0Zef#Z%^heA|tRn{X)q4sLMg&*WMTe?tx{ewNCZ>0vjT zU=fsd8^UvmGwq208OL8a0R*n5+OH~gmGJN?_^%gDw7MNRhgzUL&8mO>NA*4D$@kGGYlo>?TlrrdN9l#*mRb;t}vxS zJK-E*8q9pGGWBa1Xzqp3(aeu3Q)ET+iB_|62Ly_G%Jn2OO^z^hL%ii%ef74xu_EUC zDB2|U*VL-m*B(;A(pnX?KeZ~DjG6q9>Mz39Sr~@>@*$O_V_@wYVxANC=DnTKOjQiC z{8sV5+N0;l}P;=Cf%1 zQelM2PFz}MCDoz_$>Mya;iVBD5Fi*=5XhnsWio;6-H)%Y1djHw!vARc8moDQ} z&9`8c+rL`Fs_I6jB*N4O1*tYZrn<2|M3XM;uaBrm;wu#-3?4v4R9F}y0xk0H%<>4+G(->H6j=Ni>H^OG_Lz!5^qx?1 zIIy0>A!d2tG3Ch)eM0#V2UV>03B`2V7h|Czg5DaZZS~h8tx5SJ)7(?CpFe^5=J~8g zEGg^Jz*wXWP8Lyv)Pe&LEWsU$zSIed0A$mvOpmnwQ=5vLN=g6bOIk=}UwcZ0>Yxm@ z4dLdlsGLVJ4JuPED%Q+~h&XdPr0FvoLSfM`t2PbR4@TT$1%tV#ES<8`$W(nT*vw4l@skHgx_CptAn5eLdXDF_X&|aF~RcLYE_E;b87AtL~f)$Vu4asoZa+Mj~|tfjR<^#RpcjT702m-{&iDp!wkxD1yp5Sc`@p1ZX-ylab~I zBYYy@<56-Ch~-70@i)RKfU1a{U!-^ite#(K$ zY04yJymFy(ddSldmr%D*7vY134(tF*6in1A*i0=UlkHbj>dPAS-*Hn#=VYbdaC5Vc zbK~b&=&MEI9;}%X1?f!lx10T7ffjb4fwW9-@HRWaBG1N*mt~FSKhc@_H)c?nDUul^ zGx>5eBpnE(QkvnNTXQ_tKgZ&P#7;T{t5_` z=PCfGxxz42D2f z%v5(Yv~l7Z%8D=(4r7dV6G;Y_>PCZWbt7U{!XF$sS7HVi5ZSe?Q46yk2veot4hA=P z%-!}F`|%Ybh~{U*+3F{_KN}+zc?bF{V4CG!+vJMD!**J6i=Firk=Xl`tkZat%BCJQqqj%ycK1^c3a2xX9UWH28nI?R=Ppn$oO)e5GHJ-dMl z(G{U7Mo1Gu8aG!`u7J4`NbNLNQl;oHSMq^^dxj}jw4W^y6z%6q;Fh96 zVhK0QgI_g3)uP-3jkEpJ(ZYv-vc?^Sp4$t`8dnttu!+w|Z(PKBxQK$s7b(yJj!ugl zVi{v6L4>6hK0p@HjEB18%#|@(=z(66YQOve6m5P+_GMxHLonOqB3j~}%rIEk9PVEq zIA;w%68${$XU?5CBc2I^lu0vYPM(gYUf$i9MM!h-5X=LdL=Y3hm_l}km_sgyz(RX} z2Bv1wLi+_2Xz9v-In z;(vF-eH!kYqKoga|G0}n*l1GZ!}@rMf}G)&8{E=wtGL5niX!}i5ZDP)(CQ2ft=_=6 zumkc6RTn~7mA5EDe)Hz7TO;O7d}}Hb&j=$HW*ieWg(-ZeXx?0A?$ia#iqVL|sHSk= zxy%wF{msG|Q<2QL6x2lTVAvdAXgA-O=4D3N?INdf-zbtj10%|BSQyj z;mHsywPMgvZUf>n>Ih%DlWJ zI|#^C0M`m(JZguR{_`IJlDN; zl|*tXfwdU|YuW_lmh)U4V7%r4)|1E4-vLa=!#3NgDRVNoJlKb=dx2}NFdnsMBFZXU zjzDfdz|wixMH{)=Ha`)}V6Oa-GmUN{5wYqc7Wa22$7iF&dqT^#6%<}c7Dr2riw&HtrRy-hon8< zv-mW!%{WISHWrb%aWU}y;Q$9)DkAX}EWTXi<9nEpU?1^I7n`i(>=m`cO`<(GM4V;D z6(T}>sP4$oW5-Xxqfcu-6a~5pOK~@Xef|ieewg(^C67X-+{sD8H$6Kq-yw)V)dOsT z5O@Xq6ku|+#uk;m@JF43!gx=x6qtmvQp?E88(}L6Skm+}Pz&Jh@?jML*OhzKGCEr$ z%r;QJ0Irm$g6va(`9bNU6}vXBX8vaQY`y z+%;c_0zCz-n?!-W=iymQFh*1#4N{@t`h7VK_~+46+ zZ}6;^kJH%1J5NLpOU%kk%u9tJS9A_qkn}qt#qPUvQr)#G1z?Fiv-3trqfj#sYl0Gc z%9q&PPf;#(!2UeZH7|dRCM7y4PcQ=N3UGbKbF~%4dC*;oMg!~1ZNgmzSY9XLA~F** zvg{~~Y6h-;Jl8t#Pn?wJ33Y1X$c%I-i0F(Yeg^9nWTLMdcN_UT0T-2*l$fQ-$V$nJ z&dx`L_JDB5JopJ*RB3q_DvGVf??e>-Ki2s({H1Z9$kSK{_2{(7nS`kvR4&HfMPmFW z>vBl^A5<1+<3A!#mzMt?K6?BFW^kUrXyaBk!yWO)ULsc();&L|6}5<7bk(JiS97zo z9c|yy^aGVU!OdOVCXNpk+ND|v_pX%W7IO&s^lWLplL@F5s z)Di`1){2Rf-q5~S)q#%GV1U7Mh^fvtHwEMXm*D`U5&#DiIGk{Haz1F;pCc?0V0FBy zqOhnSoGicn>HsQ<6k*#tOmyM3ZLV|>!B^FnP}X7$CrPE}5C{55VhUIc79_E%i6lLF zKV!o}yc@^XcY-NXv+{eUI?M=(Ng8`gn3@A>3|Cc2GD5&b3%P4`B?GYQv3&lqD_xV2 zA^^s{N)QcTfw7X5V5P2B7|)(0Rs0(Vc3cd=Or2of=yeFjmIcUbU&zGk9Wue&7s;msk-Vp;B{m2v3b;Xm>F`hkzDS zKQ$K8U5VEQ!fBgv8SQFZLE*+SS`14l$MQyJwM-C*$^fJLyOYs*I)wF+*wiqPH(rpp z&Q|5i2ZU>blaJHdPtlQT229G03;nShfEIBNrmlfFnW2dks902bB>hHO)4^Ej1K z*#J{_vQ1x9`yJ%dQ8|FC70B6b*e@qHJ2gXNYjLUJ00a75jj%ftwFt*PLMv^Yx&*Q9#aGt?lHz^EJI}wBUpq4KTqq zVNJGz@PT^hkX4Kt1F**S!Vw-&5kIA&XO=z`*+$J4`i?W}SxNi5%qW~R6$U!f8HKB? z7E$h;9F0rbMShjs?{{XPkT^lm4}IN%T{aIgy^2SFSKrd|j8daXm-EqLb9c8RG8 zK+RhB?FJEsl-bkKa3%t|7=fI<2)**{q&THd26FJ?GgpKT=9<~w8N)BX0>X&=2X_^Af2~uY5^FI z7`6IfI8Amt^vWOQSPmGq7|4}%%7l;OZWr(XwFF>;1ek;7G8}ChVDAB}p8yjy#~IFH znxKgBZ*WfK1T7}CXjyPu&Chh8*xm;tv9Y9Bsmw{#z`JR-3U&G|XcW?gRVJ8Vvr|dA zgBwCQIz8i+urLI3P~d4;zto-@1s;29?eOK&iMa89Am*C|g=qTgx$y!mHU2>p#;dfX z<}$2xsdc+cw>XpY7cSyV`x%(_SaLDtmDWKAsJ-s&#ZVn{Y>Oy8z+lM*SKM}u`k-=j zC?RSoz^uN`4ukp+U2;d${s3@R>#-YI2>1lYKWaJDfTuzYu$y+5k)UvgrC8JopteQu zi7?RNqy!I(T&IIFb<|40b@rX-V2za{SN9SUIxxae#V(vzqRsd@G&KQUXp;#lv70vH zn&-fk(gKX@Otklj5in>#xv;g(lPDjI4&yb9U1`lis>aDkt{V+w8ci~XY<-s!VQRk9 zapUEc3p03_R|@p>9R8?9z_o+N;!ImChL;HVKt_$Xm=NpSsVg1w->$ogMjL+E`=mq} z&W#Klu&YQPti~oVuZR*-EmVkTD{|{AVc}ffhh*w(s^9%eTm)AmY{W3^FA zPU3QM-!2?f3c+AYNbjU}onPoALLtTpH1Oc355 zuKoy?V3tC-!g1;49b>Rq>Dhki<<6>aS$w?co-s|V^se6X-S;)Md-wgY|G>dRhq+J! zc$+)MnQ-sKkM#|wPQ!A`GiF$3c^)>Y`h&a2!o3TBUi|Ctf10?xE}FTebGNyBhs9AI z+^eOwVb)9R6P&Va45Qsy&uqy(h_1EZ+!qYJX4Gc2h}a>+%$-Y@zp1k>Vh?gTb%-*8DyUAyR1|{;A-sxSefcd$Os!bp7rVez}4KL zaVjB|nTfd>nlZKoW~T>HVr+x37S&1)YPJBgRmK#6JrZ7`vcs&_9%SrUaxdAzWvg+e#Fa=+U5|g%X8c6r>OCwoD?4Yn z^#!}JWL8Gto8xrE~zZHb2(T1~gOLz};>elpbJv1-rGkp@>>u zPn`p~rGT@JQtjmUxC_@{a5U);00wO|Y9~<7is2g!f6o6x5ebZ`WdL*OP+QtJKd6P# zwHAX3x!BsD|Ah8O0n0WM9o~qhR#EnM;O$MaS7L`|>LcI^jXsjep@-iUsB!WMEiSbN zV8>7c4{d0IL-#PTgPZ;sa05G$^Mg0)^Btt4)&lG^0cNKLZ%sRNDxh&F1=tOtTOkxH zw4rPpE)5#bNsK|`NMMU8T*;}^VPHkQ2hTz;f`u5PkSUgyY zeiQw}8cG^SxZ7A^*b<6V?!k%Q@QwcBQEco-w4`=|MEa$((FuZAzEUai;cBquHe;~V zRkxU`q`08aXnT*3S_k~#RY;DcedwV?k(u;l8;;sQQJ6^R0XdXjnnS+^jDcc-8a%{N ztF!mJz@SI>aO~}E0$i?uvs<*+kPaQHPXX4kEyl?}haM2>l2V@mEQF^9Js|!BjWIKl z1Y70~I_h)41qfWNttZ|&#IhM+uuQf?CL%KvA-Jl6^||@c9M`R2&;@+#z=5k*nqw~+ zgfjp&t~-r-dv;$SXxOGds{EB2_J&TOV?HzSo9JFo`>Q%_mU82P}^ zNi!xEgu1#ig>N#8tm(5M9WiDm&3h{}zUWN^oA=h6q485^%$drdyFzMeVumKPcEL#L za@?3D!fVo`up>slxc)U`rO$C)8Fi3S3Y}kTUg>W@9Xt66A`CLXpwfW&9l+WJKfVQZ z$OLuR4GF<)UKU7Fy8s5Bfh*GXu#|SA5EI~F$BPa)uMAr;aq>~s0P8SJ1mQf}`yPzi z4KP=Mn!V(`@*PD34@&I;oON*Oh-*)e`VL^x?Y$3h^-64KhsbBXjJ4kRd@cF-F!2s(T+5e((G9r3dp4Ub-B(toh&d z$Btc%u2rNw8@PK_LbtNF8K?TArN?COBYta`^(PpQ`+DrKKPI`a54;fcd^9uagkIEB z_Oyt3Gxh!0v>DxHZx=H!gYnX1r!cnz@@UmaIae27VP_0_ zLasZaSz03*(XepD=}UF}pT|)(543>;?~7!MFRytmZTVlGxxN4LDg1kY;-wQdb5-yA zLEbB2^40aG0T%JXzXvTLf2o_l zRd(Gl;D%1TuzAn|VzXlJsv%vPn?CV9S-&f@?C^vS4RiClu6XjjDfpez#;;cAjEL)Q zzV^nfJ3W3#8`E+FZ>n9nl=@OtJg5BYRcT|hgQM}4B>e7yX67X=9{J|wjD2;tEf$|m z(^rq%s2$$@{=J@x&4)f9_Z-0%hP%s%irG(Ml}h%5xr?^zJQJr){_f-L%Z8-ok9;Rt zz5c(_{&_3*9zC{qj(hLxo36=re04Tq)NOOOT~Tp`HuGwJzvkw3og;p%yP zX<(a+(;*je+RJ5xMLQPg2Io>u4;fjXPg3O0cX~|dvS)p2tw=NGQbPaF`qaL$`lG@J zO)obLI)8S|TJxsfA^mk8yAE%5i@*Ha>V~4vWk>1;-F4X-I70K;<&ynZW+u5kvuMX} zbrCOT-Nn>UOVNaUcg=v<1o2&RNkEUjOR&2H#rcyyK%bnIAnLT|~>URCI%d2+n6}_7i*;W9#QsByWJ_sKqtvHt7fr7ilDhMoLt}#WhB9H9Ri@Dd}B7<5C9K7Fup?>7_U)khinMS}Fkcl8z%?&dZ!&G-Ex z^2;N?&#(MyTEGA^@g;VC;G&~Jp8qt;x-WCbZyFZtEIWO(l0CH~&aPM;lh+Pp1i?Q!+vQw=)Z zdoS-5FL%Cj{)6b!#I*~Kok}A?l^EnbZop#*DbZLr6_%u zh{R0KG#>a}&ogVtA5Si8Eg8&^XKp3#>pJSFuB*%8KH|OQ!_O_NDy^TgsL6eL@jXLC zc)e;?YVB{Vg8$dZ!c@si>fPPJ%a`7;ly(pDqN*=3 z&Ou%zEMGWqU_dZ&`lxr)rUe6oolca0`MzlP4MT3^#XX0^|Ckorb@uToGy8889i+X3 zhad9nzxlzv9^HD~(tkCp5H^qgr=09jbd-`w&-7xp>Gqu;-_JMeP47WP-IGr0*Sfuz z8rSuQ%um-E#>EF^bv?GX`Y$}8RNLF9?w{Y>6S0_(G@U1wTC#2bb^Sz%bH>&M*w%N? zeDlq=hRvdXPSV5&{(B6gNBg`ea1V3-3%_JOcK)rOZvJ~@z)#t;0_d!*JAZmBYkkf8 zTJeT_m9LW_Cw8;$m&srHZ)mtwcWTTg%@)O#0sSI8no|E`$S)(#oJ{V?7)=Ny!`QR&y|NqMD@J>)1|Dq z`}4PkO&@Dk6#c!}(zPim+;`&XLq7lOKUDtljUgVEgrs9+z>`U8cb&W6il9Clm~kyF z?x#(bD<=;HF8KY}+Dl6&|`If0NH1X}-I%@AVx?qthDoL(c5@wD9Vshxc2GvZx2W zCH<#nZ84q67@BKISP=KT&!AotWYo*G(-PSGbDS04$wMbjo@thNOnImJ;HgV9g5xLF zv#Pnyz42M>gt^YXy49!jZ!QQN72Wo3TNV|w2-mTTk9>23IiPo%d?exOpBC-N2ObGC z$B}2LpSoZJu3X2Km?nI)?4fz(nMmT|lcK)ne)kN=-f(kP1}`IKEz~l8$5-^8^vI(1 z$hO?*-qJMjj97H!lkXW-&$s`*Rd;Z6@MkNR1+Pqa$u4tWxM0#+RGssy~VEp diff --git a/BIN/ACOS.S.CORE.txt b/BIN/ACOS.S.CORE.txt index b4b80fa1..d3cc4a69 100644 --- a/BIN/ACOS.S.CORE.txt +++ b/BIN/ACOS.S.CORE.txt @@ -38,28 +38,28 @@ CORE.Init ldy #CCS.MAX bcs .9 >STA.G hVars - + >LDYAI STRVSEG >SYSCALL StrVNew bcs .9 - + sta hStrings - + >LDYAI 256 >SYSCALL GetMem bcs .9 - + >STYA ZPStrBuf txa >STA.G hStrBuf - + >LDYAI FWREF >SYSCALL GetMem >STYA ZPFWRefBufPtr txa >STA.G hFWRefBuf - + lda #0 sta (ZPFWRefBufPtr) @@ -85,7 +85,7 @@ CORE.Quit lda hStrings *-------------------------------------- CORE.Cleanup ldy #hFWRefBuf jsr CORE.Quit.Freemem - + >LDA.G hVars beq .1 @@ -106,15 +106,15 @@ CORE.Quit.RTS rts CORE.Quit.Freemem lda (pData),y beq .8 - + pha lda #0 sta (pData),y pla - + >SYSCALL FreeMem - -.8 rts + +.8 rts *-------------------------------------- CORE.Compile jsr CORE.GetChar bcs CORE.Quit.RTS @@ -156,7 +156,7 @@ CORE.Compile jsr CORE.GetChar jsr CORE.KW.JMP bcs .99 - + bra .8 *-------------------------------------- .3 jsr CORE.CreateOrGetVar @@ -177,7 +177,7 @@ CORE.Compile jsr CORE.GetChar lda ZPTYPE cmp EXP.TYPE bne .91 - + tax beq .4 @@ -196,10 +196,10 @@ CORE.Compile jsr CORE.GetChar .88 ldy pCCS bmi .89 - + jsr KW.ENDIF bcs .99 - + .89 clc jmp CORE.GetNextChar skip char @@ -220,28 +220,28 @@ CORE.FWREF >LDA.G hFWRefBuf .1 lda (ZPInputBufPtr) beq .8 - + sta ZPPtr1+1 - + jsr CORE.GetNextChar sta ZPPtr1 - + jsr CORE.GetNextChar - + jsr CORE.GetLabel bcs .9 - + lda ZPADDR sta (ZPPtr1) ldy #1 lda ZPADDR+1 sta (ZPPtr1),y - + bra .1 - + .8 clc rts - + .9 >PUSHW L.MSG.FWREFERR >PUSHW ZPInputBufPtr >PUSHBI 2 @@ -249,7 +249,7 @@ CORE.FWREF >LDA.G hFWRefBuf sec rts *-------------------------------------- -CORE.Run lda #$0 RTS +CORE.Run lda #$60 RTS sta (ZPCodeBufPtr) jsr CORE.Cleanup @@ -283,14 +283,14 @@ CORE.Run lda #$0 RTS CORE.LookupOPS lda (ZPInputBufPtr) jsr CORE.IsOPSChar bcc .55 - + jsr CORE.IsLetter bcs .99 - + sec - -.55 ror bFlag - + +.55 ror bFlag + >LDYA L.ACOS.OPS >STYA ZPPtr1 @@ -345,8 +345,8 @@ CORE.LookupOPS lda (ZPInputBufPtr) .11 jmp CORE.IsLetter -.19 sec -.99 rts +.19 sec +.99 rts *-------------------------------------- CORE.LookupSkip sec .HS 90 BCC @@ -374,7 +374,7 @@ CORE.Lookup clc .3 plp bcc .8 - + tya Keyword Len jmp CORE.SkipA @@ -407,7 +407,7 @@ CORE.Lookup clc cmp #'$' bne .11 - + clc rts @@ -468,7 +468,7 @@ CORE.AddFWRef lda ZPCodeBufPtr+1 jsr CORE.IsIDValid bcs .8 - + jsr CORE.2FWRefBuf bra .1 @@ -494,9 +494,9 @@ CORE.CreateOrGetVar jsr CORE.NewVarKey bcs .99 - + >STYA ZPSID - + jsr CORE.GetVarType stx ZPTYPE @@ -504,16 +504,16 @@ CORE.CreateOrGetVar lda STRID sta ZPADDR - + lda STRID+1 sta ZPADDR+1 - + inc STRID bne .10 - + inc STRID+1 - bra .10 - + bra .10 + .1 lda ZPDataBufPtr sta ZPADDR @@ -540,14 +540,14 @@ CORE.CreateOrGetVar clc rts - + .90 lda #E.TMISMATCH - sec + sec .99 rts *-------------------------------------- CORE.NewVarKey >LDA.G hVars - + CORE.NewKey >PUSHA >PUSHW ZPInputBufPtr >SYSCALL SListNewKey @@ -557,11 +557,11 @@ CORE.NewKey >PUSHA txa jsr CORE.SkipA - + pla Y,A = KeyID clc - -.9 rts + +.9 rts *-------------------------------------- CORE.GetAddr >PUSHA >PUSHA for SListGetData @@ -588,10 +588,10 @@ CORE.GetAddr >PUSHA *-------------------------------------- CORE.GetVarType jsr CORE.GetChar bcs .9 - + cmp #'$' bne .9 - + inc ZPInputBufPtr bne .1 inc ZPInputBufPtr+1 skip $ @@ -602,7 +602,7 @@ CORE.GetVarType jsr CORE.GetChar .9 ldx #0 Z - rts + rts *-------------------------------------- CORE.ToUpperCase cmp #'a' @@ -618,19 +618,19 @@ CORE.ToUpperCase.RTS rts *-------------------------------------- CORE.IsOPSChar phx - + ldx #ACOS.OPSChars.Cnt-1 .1 cmp ACOS.OPSChars,x beq .8 - + dex bpl .1 - + plx sec rts - + .8 plx clc rts @@ -654,7 +654,7 @@ CORE.IsEndExp cmp #')' CS = true CORE.IsEndInst cmp #':' CS = true beq .8 - + cmp #C.CR beq .8 @@ -702,6 +702,41 @@ CORE.IsDigit10 cmp #'0' .9 sec rts *-------------------------------------- +CORE.CheckOP jsr CORE.GetCharNB + bcs CORE.CheckCP.CSYN + + cmp #'(' + bne CORE.CheckCP.CSYN + + jsr CORE.GetNextCharNB skip ( + clc + rts +*-------------------------------------- +CORE.CheckComma jsr CORE.GetCharNB + bcs CORE.CheckCP.CSYN + + cmp #',' + bne CORE.CheckCP.CSYN + + jsr CORE.GetNextCharNB skip , + clc + rts +*-------------------------------------- +CORE.CheckCP jsr CORE.GetCharNB + bcs CORE.CheckCP.CSYN + + cmp #')' + bne CORE.CheckCP.CSYN + + jsr CORE.GetNextCharNB skip ) + clc + rts + +CORE.CheckCP.CSYN + lda #E.CSYN + sec + rts +*-------------------------------------- CORE.CheckCharNB cmp #C.SPACE beq .9 diff --git a/BIN/ACOS.S.EXP.txt b/BIN/ACOS.S.EXP.txt index 31562dba..cf9fe531 100644 --- a/BIN/ACOS.S.EXP.txt +++ b/BIN/ACOS.S.EXP.txt @@ -15,13 +15,13 @@ EXP.Eval.R lda EXP.AOPS *-------------------------------------- .10 jsr CORE.GetCharNB bcs .39 - + .11 cmp #'(' bne .12 - + jsr CORE.GetNextCharNB skip ( bcs .39 - + jsr EXP.Eval.R bcs .39 @@ -34,13 +34,13 @@ EXP.Eval.R lda EXP.AOPS jsr CORE.GetNextCharNB skip ) bra .41 - + .12 cmp #'"' bne .20 - + jsr EXP.CreateStrConst bcs .37 - + bra .40 *-------------------------------------- .20 jsr CORE.IsLetter @@ -55,8 +55,8 @@ EXP.Eval.R lda EXP.AOPS bcs .21 jsr EXP.FNjmpX - bcs .99 + bcs .99 bra .40 .21 jsr EXP.VARLookup @@ -65,11 +65,11 @@ EXP.Eval.R lda EXP.AOPS .22 ldx EXP.TYPE bne .23 - + jsr CODE.INTGET bra .40 - + .23 jsr CODE.STRGET bra .40 @@ -85,27 +85,27 @@ EXP.Eval.R lda EXP.AOPS .50 jsr CORE.IsEndExp bcs .80 - + jsr CORE.IsKW bcc .80 jsr CORE.LookupOPS bcs .90 - + stx EXP.AOPS lda (pStack) get op context bmi .60 no prev op, go get arg2 - + cmp EXP.AOPS we have arg1 A=op1 arg2 X=op2 bcc .60 - + inc pStack prev op has precedence - + tay ldx ACOS.OPS2FPU,y jsr CODE.FPUCALL go compute (arg1 op1 arg2) - + .60 lda EXP.AOPS we must compute arg2 op2 arg3 before >PUSHA @@ -122,22 +122,22 @@ EXP.Eval.R lda EXP.AOPS bra .80 .90 lda #E.ESYN - + .99 tay Save Err code .98 >PULLA tax bpl .98 - + sec .HS 90 BCC .88 clc pla sta EXP.AOPS - + tya - + rts *-------------------------------------- EXP.FNjmpX jmp (J.ACOS.FN,x) @@ -145,19 +145,19 @@ EXP.FNjmpX jmp (J.ACOS.FN,x) EXP.CreateStrConst lda #'$' sta EXP.TYPE - + ldy #0 - + .1 jsr CORE.GetNextChar bcs .91 - + cmp #'"' beq .2 - + sta (ZPConstBufPtr),y iny bne .1 - + .2 lda #0 sta (ZPConstBufPtr),y @@ -170,14 +170,14 @@ EXP.CreateStrConst adc ZPConstBufPtr sta ZPConstBufPtr bcc .3 - + inc ZPConstBufPtr+1 .3 jsr CORE.GetNextCharNB skip " clc rts - + .91 lda #E.ESYN * sec rts @@ -189,12 +189,12 @@ EXP.Int16 >PUSHW ZPInputBufPtr bcs .9 jsr CODE.PUSHINT16 - + >POP 4 clc - -.9 rts + +.9 rts *-------------------------------------- EXP.VARLookup >LDA.G hVars @@ -217,10 +217,10 @@ EXP.VARLookup >LDA.G hVars bcs .99 jsr CORE.GetVarType - + cpx EXP.TYPE bne .90 - + clc rts @@ -233,7 +233,7 @@ EXP.VARLookup >LDA.G hVars phy pha KeyID - + jsr CORE.GetVarType stx EXP.TYPE @@ -241,16 +241,16 @@ EXP.VARLookup >LDA.G hVars lda STRID sta EXP.ADDR - + lda STRID+1 sta EXP.ADDR+1 - + inc STRID bne .10 - + inc STRID+1 - bra .10 - + bra .10 + .11 lda ZPDataBufPtr sta EXP.ADDR diff --git a/BIN/ACOS.S.FN.txt b/BIN/ACOS.S.FN.txt index 0297a265..dc1011bb 100644 --- a/BIN/ACOS.S.FN.txt +++ b/BIN/ACOS.S.FN.txt @@ -13,17 +13,17 @@ FN.KEY sec rts *-------------------------------------- -FN.LEN jsr CORE.GetCharNB - bcs .90 - - cmp #'(' - bne .90 +FN.LEN jsr CORE.CheckOP + bcs .99 jsr EXP.Eval bcs .99 - + lda EXP.TYPE - beq .91 + beq .90 + + jsr CORE.CheckCP + bcs .99 ldx #0 @@ -37,52 +37,31 @@ FN.LEN jsr CORE.GetCharNB clc rts - -.90 lda #E.CSYN - sec - rts -.91 lda #E.TMISMATCH +.90 lda #E.TMISMATCH sec -.99 rts +.99 rts *-------------------------------------- -FN.LEFTd jsr CORE.GetCharNB - bcs .90 - - cmp #'(' - bne .90 - - jsr CORE.GetNextCharNB skip ( - bcs .90 +FN.LEFTd jsr CORE.CheckOP + bcs .99 jsr EXP.Eval bcs .99 - - lda EXP.TYPE - beq .91 - jsr CORE.GetCharNB - bcs .90 - - cmp #',' - bne .90 - - jsr CORE.GetNextCharNB skip , - bcs .90 + lda EXP.TYPE + beq .90 + + jsr CORE.CheckComma + bcs .99 jsr EXP.Eval bcs .99 - + lda EXP.TYPE - bne .91 - - jsr CORE.GetCharNB - bcs .90 - - cmp #')' bne .90 - jsr CORE.GetNextCharNB skip ) + jsr CORE.CheckCP + bcs .99 ldx #0 @@ -97,14 +76,10 @@ FN.LEFTd jsr CORE.GetCharNB clc rts - -.90 lda #E.CSYN - sec - rts -.91 lda #E.TMISMATCH +.90 lda #E.TMISMATCH sec -.99 rts +.99 rts *-------------------------------------- FN.MIDd FN.PDL @@ -112,10 +87,76 @@ FN.PEEK FN.RANDOM FN.RIGHTd FN.RNDd -FN.STRd + lda #E.CSYN + sec + rts +*-------------------------------------- +FN.STRd jsr CORE.CheckOP + bcs .99 + + jsr EXP.Eval + bcs .99 + + lda EXP.TYPE + bne .90 + + jsr CORE.CheckCP + bcs .99 + + ldx #0 + +.1 lda CCODE.STR,x + jsr CODE.EmitByte + inx + cpx #CCODE.STR.LEN + bne .1 + + lda #'$' + sta EXP.TYPE + + clc + rts + +.90 lda #E.TMISMATCH + sec +.99 rts +*-------------------------------------- FN.TIMEd -FN.VAL + lda #E.CSYN + sec + rts +*-------------------------------------- +FN.VAL jsr CORE.CheckOP + bcs .99 + + jsr EXP.Eval + bcs .99 + + lda EXP.TYPE + beq .90 + + jsr CORE.CheckCP + bcs .99 + + ldx #0 + +.1 lda CCODE.VAL,x + jsr CODE.EmitByte + inx + cpx #CCODE.VAL.LEN + bne .1 + + stz EXP.TYPE + + clc + rts + +.90 lda #E.TMISMATCH + sec +.99 rts +*-------------------------------------- FN.WHENd +*-------------------------------------- FN.WIDTH lda #E.CSYN sec diff --git a/BIN/ACOS.S.txt b/BIN/ACOS.S.txt index 5ff19d93..eac3cbee 100644 --- a/BIN/ACOS.S.txt +++ b/BIN/ACOS.S.txt @@ -472,7 +472,7 @@ CCODE.FPRINTINT.LEN .EQ *-CCODE.FPRINTINT CCODE.LEN >PULLW ZPPtr1 ldy #$FF - + .1 iny lda (ZPPtr1),y bne .1 @@ -488,19 +488,35 @@ CCODE.LEFT >PULLW ZPPtr2 cnt sta ZPPtr1+1 ldy #0 - + .1 lda (ZPPtr1),y beq .8 - + iny cpy ZPPtr2 bne .1 - + lda #0 sta (ZPPtr1),y -.8 +.8 CCODE.LEFT.LEN .EQ *-CCODE.LEFT +*-------------------------------------- +CCODE.STR >PULLYA int + pha + >PUSHW ZPStrBuf + >PUSHW L.MSG.INT16 + pla + >PUSHYA + >PUSHBI 2 + >SYSCALL sprintf + >PUSHW ZPStrBuf +CCODE.STR.LEN .EQ *-CCODE.STR +*-------------------------------------- +CCODE.VAL >PULLYA str + >SYSCALL atoi + >PUSHYA +CCODE.VAL.LEN .EQ *-CCODE.VAL *-------------------------------------- .INB usr/src/bin/acos.s.code .INB usr/src/bin/acos.s.core diff --git a/BIN/NSCUTIL.S.txt b/BIN/NSCUTIL.S.txt index 39bd4c71..67b0ffd2 100644 --- a/BIN/NSCUTIL.S.txt +++ b/BIN/NSCUTIL.S.txt @@ -262,31 +262,32 @@ NSC.Write php .8 plp rts *-------------------------------------- -NSC.Select sta $C300 +NSC.Select +* sta $C300 lda $C00B Workaround for Ultrawarp bug lda $C304 Reset DS1216E comparison register with READ A2=1 - ldx #8 Read 8 bytes... + ldy #8 Read 8 bytes... -.3 lda DS1216E.PATTERN-1,x - phx - ldx #8 ....of 8 bits +.3 lda DS1216E.PATTERN-1,y + phy + + ldy #8 ....of 8 bits -.4 ldy #0 +.4 ldx #0 lsr bcc .5 - iny + inx -.5 pha - lda $C300,y Write Pattern bit in A0, with A2=0 - pla - dex +.5 bit $C300,x Write Pattern bit in A0, with A2=0 + + dey bne .4 - plx - dex + ply + dey bne .3 rts diff --git a/INC/IO.D2.I.txt b/INC/IO.D2.I.txt index b40eb094..6ea387e1 100644 --- a/INC/IO.D2.I.txt +++ b/INC/IO.D2.I.txt @@ -1,10 +1,10 @@ NEW AUTO 3,1 *-------------------------------------- -IO.D2.SeekTimeR .EQ 58 LIBBLKDEV Recalibration -IO.D2.SeekTimeF .EQ 58 LIBBLKDEV Track Formatter -IO.D2.SeekTimeB .EQ 58 LIBBLKDEV Boot Block -IO.D2.SeekTimeP .EQ 58 ProDOS.FX initial +IO.D2.SeekTimeR .EQ 28 LIBBLKDEV Recalibration +IO.D2.SeekTimeF .EQ 28 LIBBLKDEV Track Formatter +IO.D2.SeekTimeB .EQ 28 LIBBLKDEV Boot Block +IO.D2.SeekTimeP .EQ 28 ProDOS.FX initial IO.D2.SeekTimeI .EQ 10 ProDOS.FX increment -> until > 128 *-------------------------------------- IO.D2.Ph0Off .EQ $C080 diff --git a/LIB/LIBBLKDEV.S.BB.txt b/LIB/LIBBLKDEV.S.BB.txt index 45c63812..3e5544ef 100644 --- a/LIB/LIBBLKDEV.S.BB.txt +++ b/LIB/LIBBLKDEV.S.BB.txt @@ -390,17 +390,18 @@ BB.Seek lda BB.HdrTrk get track we're on cmp BB.TargetQTrack bne .3 + lsr CS if X,Y on + lda #0 jsr BB.Wait100usecA lda IO.D2.Ph0Off,y - ldy BB.Slotn0 - lda IO.D2.DrvOn,y + bcc .90 lda IO.D2.Ph0Off,x - lda IO.D2.DrvOn,y +.90 *-------------------------------------- BB.Read ldx BB.Slotn0 diff --git a/LIB/LIBBLKDEV.S.D2.txt b/LIB/LIBBLKDEV.S.D2.txt index b6650a0b..21a2631b 100644 --- a/LIB/LIBBLKDEV.S.D2.txt +++ b/LIB/LIBBLKDEV.S.D2.txt @@ -85,7 +85,6 @@ D2.MoveHead.SEI lda D2.CurrentQTrack jsr D2.SeekPhOnY we are on 0/4 or 2/4 track - lda #1 bra .9 no wait, next operation will be phy/plx/Ph0On,y .1 cmp D2.TargetQTrack we are on 1/4 or 3/4 @@ -129,24 +128,23 @@ D2.MoveHead.SEI lda D2.CurrentQTrack .8 lda #IO.D2.SeekTimeF -.9 jsr D2.Wait100usecA ...wait... + jsr D2.Wait100usecA ...wait... - lda D2.CurrentQTrack +.9 lda D2.CurrentQTrack cmp D2.TargetQTrack bne .3 + lsr CS if X,Y on + jsr D2.Wait25600usec lda IO.D2.Ph0Off,y - ldy D2.Slotn0 - lda IO.D2.DrvOn,y + bcc .90 lda IO.D2.Ph0Off,x - lda IO.D2.DrvOn,y - - rts +.90 rts D2.SeekPhOnY and #6 ora D2.Slotn0 diff --git a/LIB/LIBCIFS.S.IO.txt b/LIB/LIBCIFS.S.IO.txt index 51f9c0f3..65fbb208 100644 --- a/LIB/LIBCIFS.S.IO.txt +++ b/LIB/LIBCIFS.S.IO.txt @@ -530,7 +530,7 @@ CIFS2.FOpen jsr ReadSocket jsr CheckSMBStatus bcs .90 - >DEBUG + >LDYAI S.FD.REG >SYSCALL2 GetMem bcs .91 @@ -569,13 +569,14 @@ CIFS2.FOpen jsr ReadSocket >SYSCALL2 mknod .91 jsr FreeRespData - + stz CIFS.Status bra .90 .9 jsr Exit.NODATA beq .99 .90 >POP 6 + .99 rts *-------------------------------------- CIFS.FClose jsr GetPFD @@ -631,22 +632,82 @@ CIFS2.FClose sta hFILE lda hFILE jsr CIFS.CloseDir - lda hResp - >SYSCALL2 FreeMem - jmp Exit.OK .9 jmp Exit.NODATA .99 rts *-------------------------------------- -CIFS.FRead - clc - >RET 5 +CIFS.FRead ldy #4 hNod + lda (pStack),y + + jsr GetPFD + + jsr GetCtx + + ldx #S.SMB.H.CMD.READ.ANDX + jsr RequestSetupX + + ldx #0 + +.1 lda SMB.ComRead.H,x + sta (ZPReqPtr),y + iny + inx + cpx #SMB.ComRead.H.Len + bne .1 + + jsr ReqSetupPtr2 + + ldy #S.FD.REG.REF + lda (pFD),y + pha + iny + lda (pFD),y + + ldy #S.NETBIOS+S.SMB.H+6 FileID + sta (ZPReqPtr),y + pla + dey + sta (ZPReqPtr),y + + + + jsr SetReqLen + + jsr WriteSocket + bcs .9 + + jmp Sleep + +.9 >RET 5 *-------------------------------------- -CIFS2.FRead +CIFS2.FRead jsr ReadSocket + bcs .9 + + jsr GetRespData + + jsr CheckSMBStatus + bcs .90 + + lda hResp + >SYSCALL2 FreeMem + + ldy #1 + lda (pStack),y + ora (pStack) clc - >RET 5 + beq .90 + + stz CIFS.Status Back to CIFS.FRead for next chunk + + jmp Sleep2 + +.9 jsr Exit.NODATA + beq .99 + +.90 >POP 5 +.99 rts *-------------------------------------- CIFS.FWrite ldy #4 hNod lda (pStack),y @@ -785,6 +846,8 @@ CIFS2.FWrite jsr ReadSocket clc beq .90 + stz CIFS.Status Back to CIFS.FWrite for next chunk + jmp Sleep2 .9 jsr Exit.NODATA @@ -924,7 +987,8 @@ CIFS2.Rename jsr ReadSocket jsr CheckSMBStatus bcs .99 - jmp Exit.OK + jsr Exit.OK + bra .99 .9 jmp Exit.NODATA diff --git a/LIB/LIBCIFS.S.txt b/LIB/LIBCIFS.S.txt index 838baf34..2af60505 100644 --- a/LIB/LIBCIFS.S.txt +++ b/LIB/LIBCIFS.S.txt @@ -1181,12 +1181,12 @@ SMB.ComRead.H .DA #10 WORD COUNT .HS FF000000 NO MORE CMD .BS 2 FID .BS 4 Offset - .BS 2 MaxCountOfBytesToReturn + .HS 0001 MaxCountOfBytesToReturn .HS 0000 MinCountOfBytesToReturn .HS 00000000 Timeout .HS 0000 Remaining - .HS 0000 ByteCount SMB.ComRead.H.Len .EQ *-SMB.ComRead.H +* .BS 2 ByteCount *-------------------------------------- SMB.ComWrite.H .DA #12 WORD COUNT .HS FF000000 NO MORE CMD diff --git a/ProDOS.FX/ProDOS.S.IRQ.txt b/ProDOS.FX/ProDOS.S.IRQ.txt index f3f7f830..7bd51991 100644 --- a/ProDOS.FX/ProDOS.S.IRQ.txt +++ b/ProDOS.FX/ProDOS.S.IRQ.txt @@ -12,8 +12,8 @@ IRQ ldx #$FA save 6 bytes of page 0 inx bne .2 - lda mslot - sta IRQ.DoneCn+2 +* lda mslot +* sta IRQ.DoneCn+2 * ldx #0 @@ -57,11 +57,11 @@ IRQ.Done ldx #$FA inx bne .1 - lda CLRC8ROM +* lda CLRC8ROM -IRQ.DoneCn lda $C100 SELF MODIFIED - lda IRQ.DoneCn+2 - sta mslot +*IRQ.DoneCn lda $C100 SELF MODIFIED +* lda IRQ.DoneCn+2 +* sta mslot rti *-------------------------------------- diff --git a/ProDOS.FX/ProDOS.S.LDR.txt b/ProDOS.FX/ProDOS.S.LDR.txt index a299c60b..407da201 100644 --- a/ProDOS.FX/ProDOS.S.LDR.txt +++ b/ProDOS.FX/ProDOS.S.LDR.txt @@ -354,11 +354,12 @@ LDR.LCBNK1 lda RRAMWRAMBNK1 switch in LC bank 1 DS1216E.DATA1 .EQ idxl DS1216E.DATA2 .EQ A1L *-------------------------------------- -LDR.ClkDevScan lda RDCXROM - - php +LDR.ClkDevScan php sei + lda RDCXROM + php + sta SETCXROM sta $C300 @@ -376,32 +377,34 @@ LDR.ClkDevScan lda RDCXROM dex bne .1 - - sta $C300 +*-------------------------------------- +* sta $C300 lda $C00B Workaround for Ultrawarp bug lda $C304 Reset DS1216E comparison register with READ A2=1 - ldx #8 Read 8 bytes... + ldy #8 Read 8 bytes... -.3 ldy #8 ....of 8 bits +.3 lda DS1216E.SIG-1,x + phy + + ldy #8 ....of 8 bits + +.4 ldx #0 + lsr + bcc .5 - lda DS1216E.SIG-1,x + inx -.4 lsr - bcs .5 +.5 bit $C300,x Write Pattern bit in A0, with A2=0 - bit $C300 - bra .50 - -.5 bit $C301 Write Pattern bit in A0, with A2=0 - -.50 dey + dey bne .4 - dex + ply + dey bne .3 - +*-------------------------------------- ldx #8 .6 ldy #8 @@ -421,7 +424,9 @@ LDR.ClkDevScan lda RDCXROM sta CLRCXROM -.8 ldx #8 +.8 plp + + ldx #8 .9 lda DS1216E.DATA1-1,x cmp DS1216E.DATA2-1,x diff --git a/ProDOS.FX/ProDOS.S.NCLK.txt b/ProDOS.FX/ProDOS.S.NCLK.txt index f95378f4..afbcee46 100644 --- a/ProDOS.FX/ProDOS.S.NCLK.txt +++ b/ProDOS.FX/ProDOS.S.NCLK.txt @@ -7,36 +7,39 @@ NEW *-------------------------------------- DS1216E.DATA .EQ $200 Reverted YY MM DD Day HH mm SS CS *-------------------------------------- -NCLK.START lda RDCXROM - - php +NCLK.START php sei NO IRQ !!! + lda RDCXROM + php + sta SETCXROM - sta $C300 +* sta $C300 lda $C00B Workaround for Ultrawarp bug lda $C304 Reset DS1216E comparison register with READ A2=1 - ldx #8 Read 8 bytes... + ldy #8 Read 8 bytes... -.1 ldy #8 ....of 8 bits - - lda DS1216E.PATTERN-1,x - -.2 lsr - bcs .3 +.1 lda DS1216E.PATTERN-1,y + phy - bit $C300 - bra .30 + ldy #8 ....of 8 bits -.3 bit $C301 Write Pattern bit in A0, with A2=0 +.2 ldx #0 + lsr + bcc .3 -.30 dey + inx + +.3 bit $C300,x Write Pattern bit in A0, with A2=0 + + dey bne .2 - dex + ply + dey bne .1 ldx #8 @@ -102,7 +105,8 @@ NCLK.START lda RDCXROM sta CLRCXROM -.8 rts +.8 plp + rts *-------------------------------------- DS1216E.PATTERN .HS 5CA33AC55CA33AC5 Reverted 7->0 *-------------------------------------- diff --git a/ProDOS.FX/ProDOS.S.XRW.txt b/ProDOS.FX/ProDOS.S.XRW.txt index 2a556665..2365af5c 100644 --- a/ProDOS.FX/ProDOS.S.XRW.txt +++ b/ProDOS.FX/ProDOS.S.XRW.txt @@ -782,10 +782,9 @@ XRW.SeekYA sta XRW.D2Trk-1,x will be current track at the end bit #1 A = Current QT bne .1 - + jsr XRW.SeekPhOnY we are on 0/4 or 2/4 track : PhY on - lda #1 bra .9 no wait, next operation will be phy/plx/Ph0On,y .1 cmp XRW.TargetQTrack we are on 1/4 or 3/4 @@ -829,23 +828,23 @@ XRW.SeekYA sta XRW.D2Trk-1,x will be current track at the end .8 lda XRW.SeekTime -.9 jsr XRW.Wait100usecA ...wait... + jsr XRW.Wait100usecA ...wait... - lda XRW.CurrentQTrack +.9 lda XRW.CurrentQTrack cmp XRW.TargetQTrack bne .3 + lsr CS if X,Y on + jsr XRW.Wait25600usec lda IO.D2.Ph0Off,y - ldy A2L - lda IO.D2.DrvOn,y - + bcc .90 + lda IO.D2.Ph0Off,x - lda IO.D2.DrvOn,y - clc Exit wit CC (recalibrate) +.90 clc Exit wit CC (recalibrate) rts *-------------------------------------- XRW.SeekPhOnY and #6 diff --git a/SYS/KM.NSC.S.txt b/SYS/KM.NSC.S.txt index 77826667..52646ca5 100644 --- a/SYS/KM.NSC.S.txt +++ b/SYS/KM.NSC.S.txt @@ -52,14 +52,15 @@ NSC.Print sty TmpPtr1 DS1216E.DATA1 .EQ $10 DS1216E.DATA2 .EQ $18 *-------------------------------------- -NSC.Detect lda RDCXROM - - php +NSC.Detect php sei + lda RDCXROM + php + sta SETCXROM - sta $C300 +* sta $C300 lda $C00B Workaround for Ultrawarp bug ldx #8 @@ -75,40 +76,40 @@ NSC.Detect lda RDCXROM dex bne .1 - sta $C300 +* sta $C300 lda $C00B Workaround for Ultrawarp bug lda $C304 Reset DS1216E comparison register with READ A2=1 - ldx #8 Read 8 bytes... + ldy #8 Read 8 bytes... -.3 ldy #8 ....of 8 bits - - lda DS1216E.PATTERN-1,x +.3 lda DS1216E.PATTERN-1,x + phy -.4 lsr - bcs .5 + ldy #8 ....of 8 bits + +.4 ldx #0 + lsr + bcc .5 - bit $C300 - bra .50 + inx + +.5 bit $C300,x Write Pattern bit in A0, with A2=0 -.5 bit $C301 Write Pattern bit in A0, with A2=0 - -.50 dey + dey bne .4 - dex + ply + dey bne .3 - +*-------------------------------------- ldx #8 .6 ldy #8 .7 lda $C304 - lsr ror DS1216E.DATA2-1,x - dey bne .7 @@ -120,7 +121,9 @@ NSC.Detect lda RDCXROM sta CLRCXROM -.8 ldx #8 +.8 plp +*-------------------------------------- + ldx #8 .81 lda DS1216E.DATA1-1,x cmp DS1216E.DATA2-1,x @@ -129,7 +132,7 @@ NSC.Detect lda RDCXROM dex bne .81 - sec +* sec from CMP rts .9 clc