From 5847f533663304c082439dc9b66810d88f3c71b3 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Mon, 11 Mar 2019 15:43:33 +0000 Subject: [PATCH] Kernel 0.92+ --- .A2osX Issue List.xlsx | Bin 55033 -> 56785 bytes .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes BIN/LS.S.txt | 37 +++++++++++----- BIN/SH.S.CMD.txt | 92 +++------------------------------------ BIN/SH.S.EXP.txt | 48 ++++++++++---------- BIN/SH.S.IO.txt | 2 +- BIN/SH.S.txt | 13 +++++- README.md | 7 ++- SYS/KERNEL.S.CORE.txt | 8 ++-- SYS/KERNEL.S.TERM.txt | 7 +-- 10 files changed, 84 insertions(+), 130 deletions(-) diff --git a/.A2osX Issue List.xlsx b/.A2osX Issue List.xlsx index 1cfa21042164940869eb7d56f5533aef28f647a0..612f48b21446bba4eb574a91ed5db66dd529b6f9 100644 GIT binary patch delta 52459 zcmaI7WmH^Ev@MFeI|O%khu{!2xVt+9cN%whch@xT3GS}JgG+Gt+sSv{8SnnNWAu;e zUA0y%nQK;c)$XTS$k|RvOeHx;C@e4-FgP$UFfuSIh&_cGASMk2Kz;OUKPy@{#T9B| zeT9>D2%61U;69x6PuRBmCg90Rnq|k^=j0Ufid89fhF&UVlWE=HT9zuH}M_~V(^bfs#ygZWz(o4{lCByINcQ)1}CJHhs6H@#O(qVx}m;YE^TQetM(?8D!h#evrC zaMFmdo@T9bGu#I%RIH8zrnauG;KD#%*CVCHc`Ve6hl|YbefGtgU$@c)`Qm(GyhA&q z^NaVmLL+iCXyNa1zek02bYSAcVQ4?1ge!1dW+xUq0Q#E2Lipv7GmzICM$g}Az>>ka zv7npPSt;%suOW#c05M6^pu`~!T}XF{@4cKjNW&GDcFIe4lBp5@q&z2SjxDAXdAJXO z43afno4r4r7S`Q7Kd@FKAv9t6+TTGd3gj^HS+?=4&DTIJFd?_J#u_GwBQ)0B*t9eB zEJ?{WS$?T#h>j-cNO`3&;UrSTLcIFpT$;$LJZ5Y)n~YxpFkesE{~Y#%Scyq+vDXF; z)(5sq=vnZop_Nm?(UaxC&m{e8j7T1)z_|OqxCLV6^jbxh*tkqE_D#+_`sfR}DP$h? zs-EKQM+>hLxm@Io^!AP5R+Nj#>1iNyzE$O8_mtpHdB|@Cvq$6JK~yzE5Nba@Ai@3@ z#mQ*g(9m`-+VXUb69_EefJvJHQmoL2&>rz`TN!3$^D09VMWw83?$2N*4kj5A!OQP^ zXAH*+oUFW`j-}eZ2p;ZwyLG;tbnZbSwdk-VmE+5Z!0R_x8SkB4`no7$H0h~#%_HF9 zgOApA^{n=Y4A%;TG!U^mF(cq7E}@{N6eY~K$lrmDoh_{1u6N9F0$jIuMm`ltrrB3K zor;cyS~k?jfWg!LMRl$wWNgiRFAVr19X zS7=P1f?T;MLRQnG(t-)|r4EaaeQ&+6s2U}=e|Gh~l3*z-Ec?zP^-YLrl5A|V7TK~iNk_v%PnH z*gCzQP_O2;K&=KVLUo&8(ago=ng>x%Ls~Kr0SBRzf1Fzq4Gc`(C7Fa9AHew+PC2={ zE<4f~Qd>MGACSE-D{wM+glBnRWaA?>&u@q09d~~18@1f^JZIZQ^A1dgxY^;0r}GLg!XG_vwObBn_czPoPu@2j zUDh8u=c_*e?=M?IZ!30ykCo3X=`U$Ao*NrY`42Cxr{m)rJ6=!hTl#jJY4sN4yB06( zTiJkhA^r2smhmTX;QaV=!`ksJv*AJY@;%vaZ(SPGo5waC#^LP2*7W_;ceDomxB$T8<`oC`?BO@SpvjH1S4Ni5SnvK% zfvNORgrMX*_O17;vojvdR|}N$Q=9J94|lhs~*}(=epff zP>THCZ@;r2E+NdWGLty2_Fq2BvDg{L-eTA*PP+^d^7s;~l^ox6&O^d|t5pgPkqfvGeU< z-;a4vnGhn%6o2~RW;(wmn}n&@%qs4|-oY_rn?GW;)`VFQlf#Jvx>MEUo;`Uj6Y&1d z*LC9G@#^q(s_%8UB(F$e>}l4tQIqcZ1WO;u_t5d|MYsHYsn@!ir`90Zn#!VnuBlJe zm8}-|g9~uoTK2xQvgLj1c=n{r0jS1%nA)Nr%6-%wY-|6a9^-T2h`syut=42c6ZGw6 zaO-5~j(JW!IhwPJJ_IR9#434(*QGV1b54K2e^_|YGz5Y~7TuXgq_Ce!Y=_VSE|PD9 zjB@Is1SN%nvTpLT*f|FF7amFJoGW~>9sjo=CBUG)Ey=4TZk6$em4xO53(#pUB9NEJ zG-Tjd8vaC%0*)Yp&m1n&#XUShz;ci(Ya!4%pePRQl9Lt|r8z-2w56TU?ZB*xfnTT7xt?<`OcdXk)) zT2fWk(GJj=2MT3SmE{_zRWZm%n&vDNIRlDBNV2+@VChOxl?qUm7N0Yg>u$!!X<0k@ zWI9ZWA~$N7e|TTAmf9eh1yYKL#)2c*AgRbE@vBV@(>jFslr&MYnqWn^2p2OZKs8p` z$QSdR7^C$p;{o@iCveWbEXNuMRt`zKrH~{*`-5S-4R)~;puYyxd@FdZ5Z~t{#{!^_ zM0M=Q%d=H8EE#eX{X`|4Wr}>|in4Ctq$9UzRY6CWs~l)?P(zmi$Fg3Bitrc6bGBxU2>l6iS!3=+0G>oy`0)$Kxd*F5P2%0#J zVl+xFLp>n~O3D7n6~S46Dkzd(NRN>U35B+ZToo*=k?tAVmycZA&7;%oMGP#Hk8}~H zK(K)zF7B-CS{rn4oqjOg;~Fg1(u*mPPGu>V#V8?nax9gwmWhZW_u#-O=cAC76HDoM zP1aGHN=XT!qk~s10#Hvx%q5{=OFAeY%9(6=Of!>H+(^^nvN*9}bZXZMHB(9q?!+n3 zAyW1-I%RQ8s8k~siC_>Yt7A;5BpIb;yrndzP$obpfvNvGWSt(8O3K(+$Nc))AxceK z#>B~HNWMKubN!7@nH0Xeb)3duN;@%UnMid;Z7-=ACIn?kPPAVC;A}%6ssIA`dE^tznFH_ z!k&Djz&>$ho|ct-CWiK35b+P?b@KQL|9Lt8g|ei87^>>Mx8;up$wC;#1N>r2a(K;Qt+R5-f5nZC>hrATEEv+ z>Bg|!x4rMWkt2%qRPK%ZTsjy<&$1W=A{Yflf!=dqpp-!;EffNr1u=Cn#y}7#r2vsbK~k`NvKKi=5(pfWdjsD z#B@yXlX7wpSke$`rIf~vIMo}IGIO}FxmcAAY(@0&Dt-@of}B$SkajoNsUek8M=4P` zE?g-I03rIuEj}d)3gntH$i^xvU~Nky!ziooN5ZevYNc=wf<{T)#K5-FVfn~X1zD`e zK#DOBg9#PM5ISXAn&yfe+Bc6@X=Djq%dr&RhrihuG@R0PB$$3M0Y84Fduf^4Ud(!nShc*>fMAcePRFFKV2 zMFN?U4Mc?t(F)SYf=uu#Re!?v>dd-ew3_^yr+T;x57xBi^G)3o{Op-Ly zx9EjVIpJl!~|o#04Pg(YO6!d4p=|lG zEP~+(q&FN$8Y%Np5|q^Wi0{;ejG!Vpaf1BI z1RyXgFN-8OBQmBL>L~taa%I^k5VfY1E>nv1?!wTj11P9DStR%6D`mwfZ2o^BKD+;0 zLGC(jLxo2H)U&|wqi)($O{1bco;MJT|8m?CDiPMiTxv*z>p%J?SNj*SQp&$9R;7cn zmL&jU?%Q`j5v{N-KfA8%^AXAz5c@GuNa8Les**@{iD3q|0+fGF#(u_HRC8;;f0g{K zl=hl6h}9@-SU~HLh_76L8h502o8Hu->(L@qJo4wjMxImyFLHyCg|X=uh|m7xQ7~v! z@`42li=bgz^|4G9RB{4kxuBFIo9TZW5_-zWPj1#<+*^|=JAze8{M&RyW&NRPsX&~q z23W_uw)ne5&n1C&V`U|j(sVKz7~}W&f4OjHZaJq*7Go`M{V8?e{D4v!z@t?H%HJL2 z{vj?1`!cL@|7ZhCS|xnU`u^D|_}}tH_Ya6wX#@9r1kxt%3^L@*QW&P?(|&&nJ-y=+ zll(SPXcI!DQnt=VSOIdiG7%6mRuXq@zZunKXyrh5|HorCGIok}jPM^peODfcQovq0 z=ZJ@>0f%=_rl5t?N81jNj$kRqw}QUkez1*Ageg=kFCYww@u|w95GaoarX)eqCO}r$ z@Y%7PBk>{mCy9H=oBa7xIVPH75DW(G32CB@OG{!;5>_U;h{;b}-^oE~ zM>Ypo+yBeEoW{t>D5|hLT{X?xH;y8aPlU6%EFaxN?z=X&98t*UHc=-rAPsw@vg6=b zBj73LF*9A;f#TXaaesG_L4*m=&ZJZ)PoVXekF{DBWzF`l?fH8Fad2+uYPLc!RhrKs zYQQe}NC-Ywxuz6Q(WF8)EEJmo#I&RSB8CtTeNSYpxdWwJodA9B=ih>NULjt?cg9;E zRXz#vEd2Z{`sFm8B061cBfF8rX6yIqbd%+!N`-FzW8r=7MT}B#zSuZ}oA5#pLk_wP zsG}M#e5U^bjM!h`!v4J}XR=kXdRgDr-rsiS{cAoQ^jg2-6E=X`?1z}vt8U}TW5=&- z!(E?`a?6|SWVxG9-UsP#tF^L2k(v+vg|I=7}Y zeK`8=PJWkl=L$mIe|cLPFMrxuz6vMXXmPj-29%QwyuOx${W}qbPn*B#Zi5E{n@uL> zCIQswt~u=TV)&65z4y+%3@j}spKCU*}!>r-!v@dZeyPw zTfB3Z)2l`voM(@DA8?|r{Krr&%kREd@d}Nlr}x)+(t2E!@2od{t=h@pNdUaLw=ImV zSr0pTj8!{cq;I+JA44$bp$?1RsPem6lyA`cd`?$?_YLU)w2Ahp_U!Y7z04bHrn&*1 z=GFr`y|OE`UDy>5wvW%<0RadSYnJL)4esX~>S>Qtujd-vN5bJd#l*g!ZO6v9qS7r? z3FB=K;|DS$kv*Bm9U(H$mZukTnuotuOGMid%S{=1AedIvOED^fXA_;v7Pcop7`#1Ubelb>>dnw z_GPF-<8*K@RgWPIW7O8(0LX2AG=%0hXRz zKsY8a7_i-OgSsp62D|-(D8vD<@`a`w)sv;GCYNg93{uJfSJ|TZSfps4d>Xd@+Da=A zNzO*AC5+0JX-E1F-fs?^7qJQQTrK=!kQ~TYm7-vK$=?qtDX7wq$yEYLvP#_hID=$r zI1|6m3@`j$uD|RtQO+G+G^u_~pPey2Y=887Ntn4nlhvIl)kpes2uU$Oh(8n#;W_vJ z?gjUneJ-PsXPl$1;X!hGuSxJ%Mx~;^N4?6PPIy!G#+BI@MIfKl?Q%~5` z=?cpXuwe*{_(MYHIWJc5BkGX&o3bM6e|F~Pi?$;+Wa2aLE->UR|3OTv9>_dtgu`TK zq6-?BSNg$)*hik}JScllfc(Qlc>vZr1YX88m^7)T9#ywY510f!gPn_AZDlD#@TqVJ zEK3$gzgKSgV|vKoTR-+F{qHl#M*9~a?K%<=289~S!%VkrRm%+EYXri zsfJpxn3nB;~Fi zgW{$KX4$iC>9!JcIuq$Ss8l+|njr-621mxRC00#S16`!i)LXaHth^#<4bH0!HWr)Gj3QM*uM|-ItD-b` zGr}`eZmy|T40+0?iF6l`Plv|vtJ+n`zp8vXN{*Uaazf3NDP*Qo7H*&Z^D=8;RV-*S zsz2zO)W!^G)i0WrrIuCZc*%OIP>E47?NXXGX7x#O(^&1S)TX)Q5tMSWt8r~nIC~q` zWg<0Eb#rpl3*%P60u0WobSX*Gx)D1Idg5~O4|?U)>RXDPWJZ9>Kmt?IO^G1Txm#A% zPgS))gViVsH09ZQM0JFay9L5P6`aU@-)XeE_d|ci7*;8*wi42yu@oAOIth;TpOog+9sb!}R8TfBi}m zUseSam8+HvKn!1_gLCuzQ))(?6g@D(E?@dyg6hC$iIqDd;wI0H0rE7Q-g&gx1LV#z zxyondNV-{KYxk2@JioRhQ?)SKrEJH1+FOaZGIpO5@yc7Pm{=#-Ss{Jaq+$R1#+NP}+VGJ#8LXR{u(bGv)rD5BCS62~J66*6y+?O>TK zs^X6#n}2Dr<7coRCo0RKbEFoBf<BQ0)FHOt)96@$C3hZG)c`pnq$8utN^QUQ z8PWtGO)@(AH*j$pMc6SBIDQRM6U%%KUj!wY$vQzW3e}?1Wi7HB!sG%4o(&XuFUnCh zK@BR6XPS>!Ir-Y>H?uOv9Ie7sF_|VDIc+Uq4JV}{k)|-)1amim>*`R{9ev75)yNNbX zrJ|xFJ`_iTkzo_=im&Am+5GoFRE?*2QS+P1zo!vMn@XSt*p(LrhE+H7U!f~0v&*oC ze~l2v+3EjjmJm;NWNQ8=vp}IiG9JW3&ajza;YzY1@r8A zM@;=}i)xxO#=ZZ!b*}Jo*@c39?6f|jc2b4}dB2$f)Mi-FNQ~a;fo~G9SGiz8| zvWR!YznQcMx(Y#I0F9DJJ8IYbZ(JlJTZDPiCx)7 z1~nki@_9@GmrlhH8Pc#F2Vfj&|1~1k$V&dlOS}Ki%l}tH5F|&VVbcF8Q=on}w;c$m=opOc!SIo)1+TF<`ndn4!vN2x!*5zcD^ z{5F{ae4^7|n;(4)x{0Um{=jK+40cOhJ;g*9ZJteWcm_N0(RP^`+SAU1r zy9nw`nEF%7_~{Ng1U>JAG3#NxrUvDksmi8TJ z@-Bv1(#O}%%$zs7)rQZW%KWPhjsbb6^G-g6hKeNAhpnp3em2xZsL5vhlQhF;?`}0r z>v>@-75VuOomCYc`EiS z`HlaFy3^MR3$?R(Q+!WU*|X`zwP#^?N!V0Xm%_|6Rw%Z))MZht(}9c&=V)FFZHIa^U7KF5 zE!b`%2KkwjyJ64Aenv-u^48jJj|VYvx(xZW_S1N^-QEz4AHk<%a`Fd$q7;ePPq$u! zvDF4j)3X9O<%q)(>0xL~qy+wYWj3x@C{p^OTulVgG$(T?=^GAo_w+`2tRmPTR46#ZYOysC!>|qgT%2rUo z^Oy;7=}NM`VK{JxTCRwqW_M>Tn_@BvV5pgUDZH}fQ*o5YJ1ssIV3|(!=6ra(Yz!-$ z;d8-ikUqdUZH4Po9#ybRI$7B^Yc!f_OLTQ^)+VEI+wH9cx`GWkSog{5La$t6E`|}5&uB?(i2X@8rla2}i18DS%{u{VUzk~`2aw=4w9sk^OJMiGrGzW^ z7rIFOKcfxgo4yhboyjJTKnH7!?4+LU5XER=GEII0P{QS}qJ`CSj@)%?CI_wDiQox5 zGV&qa4h8iaSz6&ZT&8nnQ+B*|2kWx^x6q9QP zAI6Pi)=@g%8Nk7hme45rCN_Cms30!3N{TgVTrh_KLs6CxV161;N3oK}WlE7Lc5TlH zmt7SFu6^RjFj-SjpOKh=VMUMx-M%rep9&2iqk(C%Q`8?BZssttWxzwgkfaTSSxlW> zxm#MDK;WAZQ)DvZ@CC0G%d#eeYpFI8{eD&IR#5zdpCS(O)HnrJHWeHe@>;}}PtgmZ z`dkpj$b%9wh@M3Y4NKcvWW&p7!-?=w+?bdaABGU=1W)XgCm*KzNRXh&d0Rx8?^^+& zf=~yxL#3C%iStpM3|Tf192rujaMAw^fx$R6XV(Zn6%saTED%z1e};c%Fpz7`yUmGs zPH7}C$(R^cp5YQjXYea+HOsng_hE|<4D6Hr0q;(P0 zTD($eXx{f8 zA4g{yTExwRD1dESkGM6buG;C_tc$mMyTwWuy;%SJ$cUxE>nQ(A>3# zKyqByQQoBOeh_zUUY)D`)O<7$B?F70N=rIgq{5qlKn!iJ)8dz~pxDY-*TIfALQ~-l5-I?0D;rfe8My5yr}hJ;?13@YqeUtB zYS|izZFl=tFl-+Uy1{$32jhd4SI_U?$Tiv=>m8eMzNMwdDRADk_vvxe@J>3g)&64 ztby-pm<`kd(q*?f-^=4vhgKCVVFf*L|MY$+Ds5M;$HFEVXJ!5mMl z5DTMUBnzEpj9;<@TGG$>|>gJ4z6nb|Ryvk$W>PlY8+tMSiNYmP!XyaR}y7XwhW0rQ#1xT zK1xU8R9w@2rI`@(U1=XZqPcRClukKwGzWUV}@>UC08*AHDXiKkvwvZg+#FT8Ab1QqMIx|sAl-2n zK`L0<@RvRg{z%0fqot`+Nht8!b)E2ZQwt&jOK|^}DLf^@XqZ_=+;KwLHqJC}Q)4Kd zO_JzYp*&a_m@g$cYz4eH$OtCA9{O^ol{`39T%^O^=8HBKP_k%K6XaKp} zoigCUr)UgBwDABxHVldg=&yEsrl4Mez2T&7`>Z*wli1Ki9>~=UWq47>x|{4(%l|sb zFGCZZ%S5|@tO%0!*38g9UIMI69z53=(fZn3FZh^p>gghwYQS<0 ztgj7hnLm5}AZ{FbWGjD^7bOq}r}f4RgKp13+AS;@@C10DTBcTN8+Vk>M&lyUMiRh4 z%7!Kr71sCQu|6%0ztKleKXH0v2SLIsAweTL0 z_VT3wETgZrNA0pxf#f=TO1QP>3$hN@c`EbWQ4Xc=l!);VT>*)VBkHj-;8QBY$|76F zGihmeZfWja=trA6hFmuVZI?*~4Fw~*2d*~`BFBs~TXqE>2EH%HD)kTHa&Ff>QDo|l zX59dLVYQF-JfS~^)5VZ}FG0^=A?_?DWdM$W@1Z@JqOsM2fEt$D&yZ)1EVuT!*l)3H ze(}AYw|dJ>0q=ua@5LRy-k%oBKl`nT-az9#>_Ym@4|%ovRa?cbz0JQp-oDGwDmK-+ znSy>W_6J}CJ59LpgxNHDOyJAAPzd@0WZriiFTrzK!|3;y-<{ajuh@{Qmr4z=v4EU?9?j780%uw%Qjsa< zRV$dW-%aBgtG9ejpo9>#eyxaTRYbVq{O+38_4~DYeXl&v%&R4nejQlkGa53-fMVcM zX8lVlj1+4Zj%ke7T=uT#mZ{=~S41N4KG$!QN!>pD2$6~{y>aS(yqU(`s5yaY6945M^N|q^1 z>cEQ#DJ1lnkCxG)=s1x?$2LXAH%!X4~UzTqw_S>YZyEvbweV^1T zrnJALFH@3yn!VA;F-2#54!U5C(6!OgeIjDN3~$Y73mtD)SWJ&dZ@HmKot5eLv?lAN zy>Q9p3}WtZvP>6pP6{}kcj%?8s37a^+L)IX1~Qp zw)%}h=nwr9%c`i+d0M?b3FVsZA;H-!^cjbQPebrM9QPIE46*jGhz}gVUf~1cZ`mOH zygNdNAO-`|F8+7W!S?r{qeNfdWtZRi??FfKII5RSt-T5cm4e_VK{EM+#+ift*@j)! zbs2CUf4P}ycxkiR+#B!*MDp{S0kc7!Cv#1|-&SLbUtzxVfIx`^`bX-+;~mWP);fcL&UgX=f%XX%dh zfHnc4A4!17>3GHX@~zt0o5sUVRAE0azMeB-;l!;U*yC@xD=h%ZgM5;U&A5x<@OkLW zPFErYzfA)NmeIM%=KbG}j+UtLisk)lhH(!IvQGY9E`A@ zsmVbII@Tx(X76rDliz*moZqd7wcIZ^QC1;*jXz6x3-)$7?!^2s z>{wb2r1=oK*h0O#I{ra?p=hYke`xs-9{$!^uFBiiu9d!6MPFI&dwwx|0pJ((fATJ3 zNW%rVuB*KNet$T)^#1~>nA=S{T!HH`Ok%;HZ2HU~Hc=z78`g_c}lJbyxxWO{P1kbCp^vYtoX%kQcBn8_62 zfG=LS!!|B!YBPiePu!?uBfoo=p>3?Qb%oBOIFZQBH+Pjd#x7mw4X6~pdE>%O{9!9l zC?DPG8xqET>s#t$z!6p^`4bE>wsp7LV1VKC2p5b{9*f-cITHz)Y(Fj2PxT?C zRtSq)HjwJi`xMGK)PDtr=*%lguA4Yutkmd0mr&`SwhqU6ZD@U7m2~rCz)ezxe04>B zGl}mcbX<1>Z#pa@5NMID3C$!UH+i5M9fr~NTU+KVNU=c|9e86DJ*5D8SFPDELUhl> z7Z<1wlT$1gNs^Op4!`adjKaFiCx#BRl6Y2=S93LjW1i+)O&U1018xL_NE%UOs_xL> z&zR>O48JA*{=gMD=wNflGhOj&Yu|VkK;8*S@&XKNbR&?Tmbye+u#CQJei_p&ETBYve?(zE*dS3_|GQxwmy z)O7(Ec|?tS;hC`sRJJ{`$~q#9JSH9as>{9DOyELlh0MjZSRh@GVm-~!+VY<^8Ppid zXoS${;tmkgaSJO4S`D0{0*@9hq&?ZKG9aBOlR&@HpksB$V{qsK`32dE|?lI$521`9whx_uRCsFG-I zJ6MSD*mgCz-t`XfKG#g;d}6aoP{s0g`&~De6I6^G48yzMqOKN{s!=nboN4=6o^X;J z{|k-+b%qe2H5Ob;Y_2&|w4X6Zqz2I=)dJnZyuSb(r``VfvcD_f(P2;)iJm*)L@ZqE zGNipknu+db;(~UeHF8WMJ*nKuq&@l~Z$*-A@6bDw$TSzkiT)R;f|{Qd%}6?x*3BVm zGi*^qODftm{bS{o6AOYCj-JlGX_@pinfnkX^yAe4C1xDf-!?-h6;KZZY%7XX9t>p- zNWaZgT^KZq+H>u@EgjM`P0C7$#_{)@fN1gN?Ctg(ST+60pAPINHLVFmCAdML8j|Ny z4O229_&jpjZ+Gg)Ng#=WIN61^cVd(w34`1S=cJMDt4=5-cliTdNjRF1ArRSOHgG~C zZn_v?4o`H_#`L+SHzjXPsss`!b!=&a(~(WP7F$}6FD9(PD&q*vIYAww%cMDImj-47 zMQMivwgOMuqQaQWIqERrvWCkNSqI4A~DpT40Powq!%kTuZ1l z6?_pJ@J#2bF`*z&VmnSLU?C!j&)aCc=A;W~n9-ALFi5tM4Im*;wT&?Ti(Q)x7Esb% zH7jMHpPGzgmPM_#8WJ<+v84l;oA$82s!`drjL4Z)x6wc6Lti#7>%omt=XN6zSitWT zQZLWX1cUx*L|GUfJs1a0f2)D^lPOpOD^N&Cvx1(VZJ%@Mr!TiRk(lEqM1Lp*I$*mq znRyH!|gO>8d^i*u-j^i6n3;E z9lhBA1-Z3Ie14-YLuE`hNQ7)SS!(ln*n-~HILc}3G}3j^aIy%;0OJ>bV*FZgjIUt4aZPa zH5$g>IIA}?Xl+=1cXnf&pqFpcksr=0t7$m)*B}DZ3YC}xIO`&)YoI;m z77$jyRWJ4}fPdGSRLNiZNn5R#gw%+z_=Ty=R3lmv4i!bCWkazVlD02OnpcN|iKk3? zR4Tl{D(KmF&O>;36ZlSM!_lnVVD?KR;=|aczu_b#K(Dkil+*@lQITnxm%f`hDPpof zw(DZn$&{KXM%6Y7y1?B4z|0CGRh%Z8w))8%g6t24ab5Lf@{gecax6z!?jQ^?HUtld z84J=qT`AETF_jZ2u1F=-uV95Yb71LW!9{Mp1(X_RjZ>}BC;hd*tT<6Alr}r`YsmY^ zDr|tI8BzB{_Bj`LrI|XxwcO!4MzLG)W918^+P7lndSJ|!8^lFl0Q@viG{G3mL_X)r zDC@yBgRT<n*rbw=X)xIslgz;I z+%pjJ&3uNnUQ0U?aGbGhhNvi#r=d0Ej-(Wl`Z!{oEolsM0Y&wj;H3SWbkz%XDq zPBcXNLwePl^{_G1YJNeS95Sdvr#{*#j9ZY3kpWzTvWghbGz*P%>c_8Y&3%Z_vV1po zHI({e#AK@g`&GtLes}xSTKw5A=9B6fVeWY?>uDyg&2UatE=FZ_+RW4msdSd?W`lPz ziz2TnZjAWFb=t5tsxXwPlL%r(=xTshK8~nx43cK+UolctvU*AlB@&rh^O==4F~`S^ z-m>bAf2wV;YDr;i+v(#(k$|A&)^xOR8McjYHsAwd`vT9QxW=kx?^uf`Bjhbp<#3-b zQ~k*YCLi48SCFUeGVDQqHR@1LS0PsAYb>`>Ba!s~or$U6K!9bz&NgL9K9Iwf!=JCT z2YrUL_DK9+afW8OHG#Ocy8Rj^e*`q##0>}~xdcB3to8dlw%{t#)nRCRWEXVoaY8%uatl(Vqo`wbDmBU&19SK<@)Da_$Ient zU=MXbP<4=7*ZEtOb+&rRfan(-rtDeTKPog8z>L^%i@AKS`;XY@3-_-gj7z*UQl!3Kvt1(aw;A7{i%pu+?SkFx1kgnR(l%^%^sGzqb{JZiRM-Gy>`EA2bEd{v{8N6)8ypH z4#Ag-4O5l+k?m%}&!KwjGe~MoJr`1lXxCP$PE*eLTWoJx! z@EE{ouEvWGNwEKOG?m9(sOU+P^FW|ruQhdqT63Yhr?5B;t zl@X>t^?G34gj+!k{pZJt^Kz+e(s0ulltN!M~deg0f2F<6XjyaRWbv`i$l7!=Ge{f{)blX&`PlKr6)ciXXsXY1X9heE(V#ug2+|4T zoKd0aI5SAx4cxQ4d_HzJ9cSN?^A^j-omtFjA=2LB_a|CLnJsv)8LDNVR&!$X9cYGP zR@uDv3NbX7h696ypU@lThtCi5<6G+E#{o1yf6-%=+H)hV!1GyZY3;O``8u%YIdz;t z;&=#6cAU@0jwf4Dp`|{@ofU8#7SNW>019f!PEfM+MOBXG&j>W&Z`MtSwU>ZH{4t36 z!=1-kjKuscl3UV(l7%vzSsAstz+12#n~`nKB6`YHQ$xK$Y9=Haa}_L~X`*3}@BzZF ze_r!>`tU)yz?;C0pgbS2F6|hgLnZooCFSlWBM)cIBx?XXPiB&U`aGBOvFE6G8v(K7 z$xjyV7@!@;Ewwg>T191u?lOe7%T53)lo=VSho+rt9t z=P#o;7x<89$7cLQirUf4WF+al$nrule@urova}?{?|au9J|8oaNKygyGvlo2$XdaA z(6O0uW-^-9n4YZSHeOT?F~cB<85HYcBxVLYY6R0yOisPxwnEEhG<{e$b%Nr>tLP0Z zqZ?uInnxe&*TKc#yg$QabfFKjY%S+w`WAQ#t`U?F7zrAiXLbzG)Zj|l=22n&f6x=p zC{5sJ-k0n)Ud#@~7lVW#tP;dXOi&`51?2gG2;4D1@w37S+~!af{yI@7C<(B>Z`*8) z6h#8b+v)Sui`^mq7$p2**vGREILNoEX; zN?HbS{NcUtdc)`G!%w_a()yNcaY%11^FN!y%Yy?bVwU*qcgt-lR4i%LfAlnU9?~iOOYXfz5lC4`CK$r5Gjgu8jZ z#HXjExDt^2`GEqTIjH4)yj!`%608xF`MpqjYNSZ{a>>gdQ02ddugBf9dfpIePFH>UV5K(-YNBtWlg9b>n9h?J#M2j1mTVW+~jQlhVVR zjsg;TK%3TbKBlL{hedXb0{25&X7^o(02OkNMRj6Jl2eo_H9yoPT@M6R%#oFvBT&7%;-4Z>%SsiXGq) zk`wXh3_`YoqIiTRMhQ(&vl2}Vh-pf1rh1snTTJ;x zlQX3JU*Wx7qRGKjyj9V5KhTts&r^OQ#@5KVH`wi0Mg`vqufE!-AJG ziVx})mSx0F23AEu3+!anvDrNxe2|z@5Gz%28X}%zf&sD9s};a#>VV?S#DqgYOazj_ z9i#0a1<)ST2Yf2E^1P+qE7wFds5Pw#p>c3~UuW*#dr3 zuP}f{=fkJs%3KDr4-*$r!zf2hnY;+v17d0_gi+M^)_SAHAyhb2crS{UWQMtLpkIXv zOQ5F3zNi=G)x7853VHo6#j{Ue>6$Dkp$Jq2f4y5bqm0v=!5+rpQ{qc{cY@FqMZZnW z6N}qZu*}2~*yzNv@8Sg}=am@p&fgItmDnz?60t32;#gZ?%+hO$wc5@RnUT>IK3hg_ zD$c-SA|c?6SOl61^9#+>#k9P}cZ4Ta!4hzZm=-fJPsz?rAt;GAT;M6*SpLS#cNIN5 ze+T{>DG2_X7@Xn0SEYmVjZxx$NC3Q$<=)#{B~Qu~0vqr@CvN+ml`HI9ZNdMU(}Y#& z$nY~t{7=Mfc)f0*G3UQ;&8FlsGyV>t5=qtf11W`lA1(NArQM7Ga6)i+=gBqX_hHKD z;eQDLHWSBxg+IhjdBV5WOMpWF0fBIse*i}J0Ri)hKzU>BNC2<`l>h*VN(&AHwEsbX zTAFBjXLM>6{bnF|N1a0NW=?No0Tf4OIc1c1m*`Y^wQcNOa%-I40Am}2p#x*|nt6uF zA{f060^B#63}C;3Ee@#(Mv42077g!@4Q2pDLz_{M6_P{?Tn-j3s6YWRe~uK) zt5oEzts@kecEbEd4M_3LfH(qD3LHoWK=iYR+Bf@pQyAV^w_L2W9FJ~(jVeDlnEY;K z?ajo#Rd^$ACou3XC?@dE2pRCsJhncZnMu3ITj1!IX5E+!kDQ=5c=6{n$vZYP=3Pd3 zRrm{Wtyt3}RDJ2$zCsj8n3tZzf7?yN)JqeXpoD}(o(W)#gq-?{e$)qsIHSJi<)`r0 z(LwLD`od@CXowjQdxyn8#cxhpMah>-nLG?uvd&u@&Eob|pAZ8ke1<0iy^=Ca1h1L~ zS<)H}9|52K@J!t^8iMTIAB1`e?hVdOQDhz zVJomkBxGO%BxJPOge}(FLSEY`UM1p70I^r8w3hdFs?sXjY=p^eR0<5)=+};@ujaC7 zAqDkABfx;TuPeN@M{oMJ%i zR4%L>44ewqz$vnZPSI^Pf3vwboIBJaYK)8uU~>mG8nx&y0hc!dh#gFR<%&kl+0hPG zuscQbCIjoAH^v(BV2%xwKy%Y4P-|h71VQmA<68`N1p~~7SJPE=|Cbk#9Bjb5j7}=e zKG_WSCJ80Fqo~F>2sa*M0mNfmRRALvazY7zPO;6x23W{#WKFnPe=e^`lrc+$j{wG; z$_bz7St%LX91N94SA^FjMu8GNkgeyrefu9IFEc|%ZZ64t48`?iPf7r>>zO$#FCWxV z4Qn0w#Og`(PV^osaK|Wv$el4aSe1wyK}t(lG9Y%N!Z%Y=SY`xCh3^HUu*|`tC&<7T zv%p8be5H9mWoVo(e~^j`Z`;&%i`L{@?Ne9?tn1`cMqgCXcd?+e8GSLOv%GU71CXeT z+&l52TOiWc+|CX@`A%WPu<}MoM#-W@7NgkpWV|r1*XI;r} zwl?m!(FhQ76W(ldTgZFhWE>%y$nA_s$>sN)NWo{QX(DA|e<1hBZ-$NgO>+VLo}f47 zHEry5bf-Ylhp~%O4WH$vspeoXjF61T9ftL~bNT-Da5y#l)Bfgq|Lt^aAD>Q#w=?@H z%1`SL*22y8gFRXwpT0f6oZTIte!Bm7ZeF?Nj=!IMe%inMVqaIuht7W8KYcuJ&glh= z4&x5&@W7w`e}PB-X%1YnVgEnZH2oR+cys-_|8n@t{_V@-%lp~W;em49)rBc>-X6c0 z#OMAv9be6V%_iLEgTd=d-PGmxTsQu`q^UpZ2n_ zkPW#6a?2qs8%Q7~m;5mXn3?5pZlca>DKX9lxmml?s(UHZLOzk2W0drwt2Z$FEv zcZRtjEa~{w8x`Nf$&}4Vvgr7aC!B)*rR7}P$P&&d>f z6Q$C>wNXB#@R~@W2Bwscm1=BBXzc8?st{r=`jmTTh<1FKXU5Ja_?h%QiiCtOI(|j} z`Q=+Tf6M3~6QXjDtRXkjf0AT6jZ$eTQ>5R38hkL8<;=2R|D1!HO1MgHn9BgAaS{ z-oVzcK`FkmgKt*4gP>{Y*Pw`!Vl75b86N>a0V$Fs@#K1m=V%I1+((q0aN-@2MFfGjL#N3~9v+n24Pr#m5sY_0-}zj`&Iv$ISPl*a99iMth7qe+_U?&1G14vPu^2$@$8}JwoWrHFqB+mV<|@ zC8Ss4QrVBNMe`v=i9`#WVCkvv*eS-2)qPHgW!GaeL5SC)H358w2~-xshpsgng%~YGIEg|f3Nw} z#0`Z6PcJZK-f)J6aLGL2uwKLI_aMW#-F{5hv5n-CoVs)#A;wJPfSvXu6fa%aF-am5 z`>8mE5JlcYCSCAjX73R74t_w%UUxqs*mC!W6TxFht|p}-K-4!Y?(pRu%&hnwujw3N zSC;AW*vhV5PMp!vTP>{6KEjxye{(d$*n9k`gF%liEt?ml??#YS4zVk+?jk!@)q8>k zv`MP3*-ep0L|7p^#tXKG&+&RI@vKo2Bfd}beek+z^C46@XDWR_r>7?HK7sZR;>Br{ z2Lf~$!M#`O!w6ZmOT7zsyso+Bzl-cK*q2W&8W-4?AcHS01Bu;~d9Q6Ie~4NW6ah0q z&|tXIEr?j|FGN&b)nY5B+}z%@g&UwTvihBvBp+;kw%VTXzti?OcEiVMc-)Guly%8g zXJ1M=+-h+4;r<8PngLoR4>44d-JEsZ)m(Qq{{MYfvu`h7_cHrBj_Y2=haTg)m&s06 zK29%Fv@g)vsMC)0lt-WWf5dx_eCCAGv0PJpB=o6|fL}DT5ezChecXxjBfw<@kE+bU z1Qu?=k(Ti|lb;b8jU%*#qoJy=lq^K1dLg_e6L4arhn>0=p046!HcL-Bt5tQXnO0VT zWHDX|^Km$(k}0~;EKcuo^_uNMpR#TUDA~)9FebA})Ey+6n?YqHe|@G4MstwREBh!c z+71>irGDe89Tq?>_X_;v_TYzl#}5M#0ULG;86fHac98QekFZn&=#&xm9bB5dO5}+K^WMY~;Y{wv+LEj;GRe zyD_3HoxVbbPjiLyTP=Qdu##jeaQ8TxoqH#A#UCLEv9MWHe+HZp0T&0=)S5E_H(_}q zE8=gfFlA}iyo%B>l#V01j8Ill%w(Rzbt=#4=(pSk##^>#FsNaYtPq|oRDm^(YE{#` zYNQcoyDvfHf3PTmRBqC2CI#UA*Y!YK26=*DYw1Jbz6Nx zUM0Zbx&0hffA<0f!Jt-5W>Hg&chj)S+1aRFJ2nga0JV!02_x$_Hfp!*me&a9bTD|O z$`5keQ42%!O<$=kt*^|@TWxmo6q3gPy4)3&Lc0>qb~%EEXsmmZG;P2Zc_y>10Z-nF zlaBwq5_W@rE$o*nt#DWh>OrN{3cK}EHw^35VOXsUe|ohSP*`LXSHtwWegsz-be&z= z>+zk7`*?Fpb7RWlGSe6HWldaP+*fPa#l61x@A%Fee2+l(dETgv+Vys?QEJz_qf#*F zwMy-NqgNU<`pv;;&<|Vf(F@-@)8L?6?+1-xtJJ9W`=y{!QR`^6OUjuqkYZ!E2 zWc>`73?R)TnNh&bn&7Sf0FwbW6o2j}Z4>VY006W?000dD004MwFLQKxY-MvUcx`O# zTy2lqMiBm9ssF)ps;ZI7+2(8x6CetAL5h?{RdbapHC1K6z$$*Bwn-pW|9fZsy2f5J zfNd^P?!#d(_UtpyyzK0H&G6;UwuDcn=M&c%iOP*E3Z^r67sOeO#NQvMoqxV4_<`Xp z49j)Qk+?T~@#XmY?}mP`x6Joz(+mU<;rJtQ9R!D5TX&e5HyD=U3X6bsi5x}Xp zlKhQl8VjG^WLr{KmV1(I5J!w84D2~Zh;4Wux0}w~wKqmUX2c@FK9nU2_I&VW<+z?P zvjFN&QH^;bJ3J|fx5?adeSdcu+<-XAT`tMoETYsUT>?qtp|iE8cHj$hck2YeR@x*) z-@I8sV^0-@Na=fb0Wg02XX^$peuzGv{rv2i{P*)0fBt4J{`&A!@w*StMQJ>g;-1FC zrRy*qc0nDg)!?J!es-qxy9froY&`V82%iiKS`;c|?pm%V1Yiw75r4KghHXYy+#42| zd4yiJG;Ct+qvkGc2n`rtXA__^L=^Q9{h!gRuGUvwT96jCC}Yc8%|_xB{$%*09M`2- z&OD*ymRzA$EmF!F)*$Vtl@Mvn=!qIS^`yw_d-6LM1jK($9>?b-&tun_zbFV5?c z8;bX#Ut(El9@Qa_(tn2W5HfmTdd?J1g!uSzzk&4Sz~DpiO3`&ku3mY@Ug>K18+_Ly z3yNZO&n8V63YXazsam(!4Jn<)*QZQF@~0>nJ+PQL5cC9sd~-(u zD7}8audBC;s)otBK?JF*(hNn_YOt?(l!9U-B3!=FKwJH`uYdQtptP*^Lu)pVfZ_W} z2wI{Vs+5H4iybSBN{H&2>n)&^OzWc_gjCcv9$Mxy03Y(mYE3@_cSAkO4FV{<#=`|M zR<2`Ma402rB%kz7s6nCJ9ErBMAX^*69%Q&U?&zg)AILjGJRuz94FEjBjl3gLvI!j{ z9+5B@;0VIu5`V^2jdp<)eu7LJDsl4iZRaSmX`OAx{9T4dSIEmaz@o zcs4F^ZO^@<1T6R3%k0e++a!dej1{fhIL^2nf$C;%T7TAiT88|w%t{~6^{|G<=i z&Y8R4X1!J?Z_OC3ma%?kM=z;~K z=#;J&24qgxnZOrB;j?FK9?c!hlENvGv|FA-3AXdK>ya-&FztR0;7m`vE}&D?*D{ZQ zmAuR&6n}7)#B>q)Q&5o-8lQn1WoMJH%FfQYhN_t>E_#630QytiOogdi5_)*3@3!{L z^rqn=bw+6|^k1StkLM|L1vn1!$Tyyl0!;41ry^wBh8~s=nFn5v?3@m?RpSw4E`MBqc`yAcdOvqyhJ~T3Aj%CzNFt6y zd2-3S=CPBByd(Tp4E$WS>j2AIfI(ZGQZLFAb)!Znk8;$lvD*gDaH14GiYTPN_yP5? zIm$u8J>=|gK!!)oY4vrsf`>w>pyFf-iaSJtI+VrwHv_O**rC>CJ>_&h%L?m_oIR5LvO6FmZH3%87((7RsH3Yoaq? zQG*nNy&4t1|6}fOn^l5vn0aMkzLl>8r@3-yQqRmB={!8h(4LV+_FI8 z>4C+iw&0w{a{)6%@eo6)g*Q8TyeMETWfTDqW_At0Yt&0&PtZ5W@T5avS*|0Rx*ddZ7}78V1IS*7o%RBnEzG5{wSvd=%aNEJ{}R451|?N|)vx4}8-yZ8Vs`wNzL}=< zXvD#8=C?k)NCuz6)*~bF-+$MWJN@DHwA<;+lYU3NHMNeeO&&U$dOvx1nCfy@zW)z& zqQ8VNfLHV{_@#mH7ZG5)qoNLc3x1Elit0|l=Q0%DsMaTXF=B^F{^!ANjg zp@fuJLh{p}n;8EOlL0mqf0g0BL3LaJ0R7$o02BZK0C;RKb7*05Wn@!ya%pa7b1ryo zY{Z@GavRB&=f8JEze6rtI|GeLf}|+5%yz2-1VIteyubh?wWHM=Qv`}6EZkfLh@xj5 z;n&!R-LHNyKgs_7=VXCk71}c&c4lq0L=>{}a`K%2xn}ZrKYW>tf5YcA%SW^6_gnkB zds`u$4rasA^!EF$cm30y*IOZ9B-3Fso=wy5w;s}b>xVaAfAzaOUj*Kr=HGAKEf({m zdOaW9rIRGzoz2rJ-`vcyNwVN$c3aQqS(*&vT$%MI;A0MZ8 z$>-55D?jfolEpHwJUwreWk%wV1SY_v#2lI!dIZnhi`D{DBp?6ogXugXOJpe0Px z#XS>d_s6qjf0z!p%M^+9zMO@V^z&$thEq6%W(&=KJR7jq{2^bYlghBIlXky6X1mk8 zx;$;atvnC+Ea5g?EVLP|ZuhDi4)+4w6=sZ-Aq817y-mY)WUk#1PG%t;vTECk>1?$J zp*G9>Au{va`mo6^9_A_iEN^ZepEVcRc&BHdZ$=qHf3>*N1Q3`!53PKV@QNn!_$~vt z!yuWmJ^2i_nda-{%1_59g!lr{KbM6fPi15>7@rGn=M^MLLXA5JwHh zX_7I~e^DN$Gp#jcC3#vIw0&b7Hcucz6b#t2%I)=g#B`8kumk%roFSL$H%k}G3<6Cb z_%UCO#k|+c#hMJ9ULql4yPMIpI{bP4yjNzBi<9yblQZv_4H4tp;5Vb&WtN5+%BZ2ANPzS;M~!4`6XP>zR;v$@;99ML>aH> zm2t(h;XI^^feXE!3~0iGy2dM@&ZPU1f3}m+a5#qLZUhqr0j3i6LaRV>+h)->dE7N7 z26osUJq}@FgxqL{)n2=ojc)H2q1_3|aA?pZOmami9rO3U{_kcX?tlO5|1n{+SO~v6n|m`ZS$tS~ssB{;2gu1qy}7;ymLmKA@HcV!j&RM}Uj#e;o*4 zo6pNaCEMjFpCjqT~1ISe>O*xg3;}Ch6J;DHFlQvhlko#B+frJ`1Cv~d$k%( z;iAMD0%dH5Q7Xg8x{Q+#%RE7UVxs8Z@`k*L(k9qsO~%Ed84ANd;ka>f(YgrMYgI{| zp}S2xm^wiKF&No40|n+6Iod3n6{XYZ5OGQ#R&ux(PT2*-t(HFz*i~t`e*m_JyP@@2 z*s@Z50t5qe>#DL4@rsH4Z8}Y}0&!e`?h=gP>hz-~0q0=k>oGjMfy10(cEA1#bqn{{ z_G|98xG7pstjX7%kwd^NNK1s;dHA39(;9pm6C2VYJB!hzx*NUa?QNPb1k4-k?#FM- z0(0)B%z42a7~;lbv$^&4fAdcM3zTH&e3pS`VrO=I&Zb{K7$a+s*trhaJzb2FG0aaR z_QFj|H+ru5$os*2bt7abO8>kw{Ka_A%%$3K`*OSgQ-2$5d(L-@Un-kb)$=_wVFS!| z^6sLu>+9)un(-4u13GkEKWV*}L<|ievB0lWT%_9o#k8tkSDGUqfBaCU5U>|&;_Q3K zkYYbefMoi@bdpF!pN}R4<}zHk%TPswTn`U6J$zAm_$%NXD)8Jaz4+$GR`;@XUVhp{SELtdb}Mm# zrQ8mzuK|W6*&QE)jy!}L9G#F{%WgB`Ib9JvTwJ+r1;jKkT;|v$FyB=6Mr2diM3jMv zWrG_XC2F(zXb^Juw+cNyOmob_;mWZvlUlQky4!U@qtmY9e@67HT%Wh+(#?->z$fEG z8PRl)IAM22b&hj@3K>qBQUcyo_OBt%!I+Bv-l|jiCgMKKwLvzT8;i}8B{rN%-F-4b zsO1tQaweo$a~Sg$1Kn&x0Xwvs;BRF7qnJ7r` zXzyrB%y^Lqe^caK8v;Jsij_fnGv;897P~TF0mWvxCn+)&{*%F^_H~UM4(8FYtr%Yk z2J?Nfd!Z(Me+x+PNB&R zFlbcekBUcNl2D0jG%G%Vw*xJ*+X6ijHbj1~G5#i{fABudfDbwFlQe;|yrH~m+%a?s z9hnidknQ0i1@_GXEulFZyG?@l+=Hs4TTDn?yVyxW>;jjt+p^jAqA1a*hzuoeLBi84x&AuCWE_GM>W(Sy|dL| zI?AAMe_>5k+dhgZ?uE3q!a6eV@cEeL0wQrjKBDIV|2%e-rPs6BqD;wO0pioq7vqY*|MhPbs3nR8(v%;^;6VBD z&ZRUB_71P4!>_;k`l}k&3y4d2O?wFEj6v4nfAjK-QYDAwhbN7G#VJ<9iue5CtP+a4 zOvPQum?A0`hy%1yr}ub}GGWFuln3!4(XLFTHyY$sc{a_eX%PppAcz1oMLQIuHP2g( zt})GdZ{q;pmIruWKMVcFarvC3^6H=Hbc1^KgJce+%VmJAZf{4*f%A@}f({ z$Zh@9>6V%33U-WMkrc|%6nUaGe*=ibV~O6Rgm%H6^oto%63g^+GF~P`5DTNUFidKb z#59C9c7*GenSDUV=BS$Ss!<+|)q+JewT+7?8|7~tk(-TP1!S8_K&)_qskybZvD*r2 zpI%i+!=vy;-6%S>9Zs$YJN%7*E-NF8+8f}#eclT7@b0qTu9yK0S;gkEe?^l{=@I#o zvRXf!=}mE|y$kBk=IjX3jchp}msQ?F4POC%J**cB7CZiYfc#HS0%I5#RFQpzy|?KHciIcrtx!G zH;S6l33=bNZraGUp+ahlY?&iOve(?dVuJ;gt4)^nr?+IFkgU+T2r%aLmG_6F(OjShtJWUs6 zit|R6O6+q`y*&+VRjb#xBvNDz7=rAd0(sUif2Gl5)zrK_l=UCfgo$Ri2L$1y?7j1F zK#RUiAIzltJL%K{ueGQGf-U%9%B>I&ageQBqfT*zx3sn`e|u#1ikaUUkWMh-D?8P8 zt5vU(SCKi#43b-=p!uU|$gYOo=0N#a(dTCBBD_+IgiW-4G1Srdu}sCDh#!O0(oQ%V zV;n#chy*xmH%Fl|xx!I2gtuvkMR7m2!w%W-ZMiwoeX(R_!>FiLSpHOkZAnz~&9I<7 zgBm*BR<9RYf4yd-;~35|<2y-`8139(pgYUjliw|`=&T~KXTa9IwxJKXp1QV=oU(Fo zAp&W=acDpZQeGd7ji=_mqnX(Q?FcAi-+y2$qJy)F`__oYLi0+#;!R&`FXBBHt+2mq z|938l86`frk6yGBm$CnBI3(pIIpa2i;V>PR*N*W{f5!M`^u@%|T;wA&6@5pW-LTVr z8$xfapbSf)rJVha!ao*!7OzN)I+gLC#t)GCgWP?KCpZ^+SU}lW6^hV`PbQ6^=Pecz>|F zxBJ4`e+!I39tKNNF1Y0d5Yy;j_i$H&jENt2d^xvZv&5)q_hii3k}w>xU;G{nuw;P` zP#YtxV=|*Wc@ou9C+e+^v_bwyX(Ga-?Ymw=R483VHcM_O9H59$n34QEU4X7HfE z#dFjnBdpujtgUO1vk%{vnC)Iq|KDF!HVe@M_qPa@%pi5fQ}r3J>Tr;qqA#ft)S zQ|wSi->EAjG!&J`2)^FVX1D|Febmq65&5W##w9pQ?!lQ>k~btkdaFz_wBt42kY*F_ ziHpR~YLO|BY2C#MiyfuWml9(rO+Op+{W ze?D|0(wuoGo6DXXNg5a(rwQ6CA^{2UgjxjF0N7KWBo1UrmskDOU^GLR>}B75HAO$m z0k>ta*Av|*kR zKA=pYAjLpgtB-K8YrStz_GR#H9&jf#3eK{TXEi zZxYY8db6U)h*rBEcq!Vt$-#Ox^Hny;mVm`L8v}V2>c#zQ!lq>?Z7Y))BNkKDTd@<0 z(pif5*$<%xkhI;*x8(t=u`Ukc;T~WeF%y*p35_JcMI9L_Y_D}Qb40aK(6FI>fY7~W zx83P$Fl_?NQHyij*A5mx@2!2G8q!Y=?+zBJ3UKqGyN zxn#09M?;t&f{-rmWjUF-i|>PV^v@Dqd_qGuAf ze5>@Whs0N@mO>7&7A)z#T`Vlh#}9!V;azHO zB0!=E6Imn1SdD|L-cP%Q1LgB)t1sJqlUnw6pr+BhZ1t7?jMYxsWqW&e^*rSP`gGh26=4-R9QGu>~SZ@u7AF^OzZX} zzDYj(#D-8cN-^d(KeYPiKkn}C+N#K|tLRfdxB6#PQaz}A$lg;xM?O16YQtS(HPpkf zw`gx)I#{hWqMe*Q5J7{n2x}mdo@Sl*+Gmytxe^>U>ch#e_CYinaf(`wg(ftUZ*LJ z%b)Jh;tiU{;tf;myXa0wI%t z*c1VOakydfFJ5kXxM@W%Dlz>P%T2@Uy)PUVnB%99!~QF_Lnx0CGTRNu7aeINT-I&h zhG=V|fSpkYA;7B;y!}&!t9e$QpXGOHzG8FQf5ZXcisD;8l%cQO{`fpfsc5W=TV`3k z+~+xF{xq)uK+zdROP^pVP*JTs3}-U5B$%~&Y$jop9h}Hd)rdv^&bSd|8hk2Gp%@El z=q!rKu1la~(nMn|vS!Xu?9KxxDnZ4?Qn{vZi!jv;+i#!nu4ek8LfO^kJra_+$AbOX ze_7lwo*TBCfi9iWu@F=^JE=EMLz4hurT5<5axp~a%d32%bEIytU%jP7SZA4h<*Z4F zWD&C5lsmx$`(!{t`r%7TqM0Tb+I1P>I9?u-_dc*#%&}Mn<>Q=XN)wDyxgm+dMgFjR z{SbH5qA+r&+C86y6{`IHUAy1BI%%P4e=4PnA8?x9o`r7?u~Kcun!Rc@f!PX4%tCLX zneSuWcr>(+ULJgZ3^d-!=+?oRmoID*7Ob?G4$O`Lkj<>V5!-&GjE&pHM2x%dF1Nir zV_P<%W-vvTF5iazJ)(PiyRW`A7Kr0}+10-Jk?8O_`&nhwljG2uQt(lch|Z6ae^eJP z1?%O0U|`5F;LJ<$mQ0cXakA;6uEO$>0Jzh(Rwu_5!dMjD7#hddyaOr*wToVr=5_29 z#n|)mk=`9_Ugk2NWsFj;Hd)UofV!n{gCK zT1E*TrAW)XK6I4l$ZVjgN+Tc~e-a9iV9Jxx*xkZHgK&j`RH4g-m8SzQNOKG#uEo|? z)|W+}RUc@HN72pr7B(PcQ)R;fXIAjJk?6f%6G8Y8Q#YEvhYqgM*l}xZVRmkS%|`tq zjTr>6!DFIycC)b50V`*gkshmFaolfKVFm`Y4*9oTku%NN%o7kJON+WvfA5tLwz|NTv$%&sdhj!Ltv^ES>1GyEk_F(N@e!J&BHUqY^HQ*I7+{L`Rz-$W|4K@ zygyO~B_Kp7WgIy>cm)IH7jNIS%Uud3s&;~5N4aTFRh&q`@q>afm2a&qES4=#b?5~r z+N~$$GyV9FgiW|AW84Ibf7tu?^0uwv*I!xq$@;K(&*~eosCn7p_s#OalLt(GK$Y5m z5cI#{3tMC)pQ)(nZ{^lKC8>(A7r`^!Jyp07!yy~PvQcFcyUDQpVzYNvdbHWAg2oI> zwZEZ<@Mr7vvcGi{=MS_`k~#Z=8SKVKCA4xSEAiaUCCQH^_v!fof4NUyBABm=$V?kM z0!!zt3e;n@QVpAWXB_IwJgehx3;w7x+(~aN9z%cXQ^yR@XgBiBC^A>DeywuY#Pv2q zIjCL@z?2~Sj|gIe4~j_GY~wtwdXf!;BV|8fi^J=tLG`N2-&IebEoI_J1KXCzGf0wB z6=vD1d2uqTrUumBf5KE6TFk`p!GxaWu;b^6Ssce=Xh3@El*Pt?fhAvJVS(a^QRC17 zhK0iTEC0`?OomI8%Bh;;$TcA%(jo|NJoD)ffj*|-2_i6e~aWAOk~$q#QqEz;;`pb zo^pzbIN^i)V&#!9RX&g9;3c=wnlYnN?9GW1JBWIp!5zd;@s*gc)L~N{ zpj~7$0$H$~e|$g~)`JmttjYrgmEJhtSqvXT37R#Py-F6bbuc3Qi1}^@y=xd-+UgjIc)@&U7GnBNlnkG zDMB;(lvHO_<^)kSh~5Al+}EZpfpnpX4OcMA!OkJ8e^lx6S~J?(%c^wD#gEz?{y@-A zW;-frs0CE5ws<_h=yLrWnmWoK8VgI0hu+N{c|LxKK!BN!>q=I{=8|v`-W#gh% z!sIt?$4d4ATzG71ITuUnY{iG}cv}*BONzs^j+->3$H{tkEg@j5M!GPb4Ec;=ibtDN z6yN@9|821-z?k#C zmSZIbhF+)ey4co&F+825jUjE-`O!VJ8p`qW2r0Bw)pH+qqH$y2)SN2ii$w9(8cCi^ zLv_lg5kl=ZFJLLpe;Xy@=#^D>TbJdX;ZdkFf6G8X_+I~-`^bEc8C_o*qVS#lTky)y z&kpx~IciS{ucF{7OugH+#v&qZZCk9k%?>pl?(G)ZvKX#z-DzNrYag`Ra$T#lt{C&@ z%22aX$ES-QPug9ieuSh;z%EV-@QR3omVoWNLH9z9)vSA>RrWtfyV(hQ?}wvmprVDi3yVpMfZu=Zz4+zm-Be;d{grz(n(~8(MW)7sow4pj++^rfw~VuZ zn}Y-ZITqG8`!A{<|NisfTG3ca@k)_gf8pC5tL_gq=Z3of1N&K7%>ncECo2t?U~GAo z=;%&fYhmc!@Nl>d!M|T;@_J9F1IqR5HpTH zuBNObUFW^+(CjvPXOe6RoE71bVFB}PxLIMP3y53~h*l9P6kgkoM1!Tk=j1=Me?{wO zg!W&&Rt=rZC{FAE>wuE9SG3H^qCiSu@#a+76nO$KUh=LYtQNerxttKXHxnJJ!!#6r zfn*CRDaa#(8ZArZ;G}4166bXnmbNL@!cIqOZ#!cAJE@WE_WJv+Q~t*}gFU5M{vV`i zHrs9mlFaQWPh55^)ziUH-EYFOf3<*k%}F)S^#3opW9s=jWrNKxh*F5w(4jg=?gvFD zc6-1bh&WKO9`&5cM6%VhewPwdG#U1!m-1nkgT^4zPr->zt0(_JU z!-S@!fn+vHGKSLC57>Hc=0>w(G*tzcs{C_MlEMYE62Qc0f9mWB)tA?Uf1p0o8~vk` zfU_;r(^QAX9z5&_R#C`I9Tb(#AOCy!_|e#Z_Nk%+oPAyFR>W9|iL&_yc2WSuObKNj zRx><}?psx@+C;2q);`61MJgl4a0=Q<@k`4M$i-pC?_}Xfcc{k2OO)8DsB0qhX)DgS z!9z_c7{bhjs^&@5>UBaJf4bqJMK#8Dxax&V>O!|y<#@Hd-QOPYh4R?3h^7dI^zS%B zSBzAo;EEF-wVg9F^kh^K=j$2!Qw7P-?~A%S>xjOk|Lg>wRWD-&Pn4h&*C;{f@j7_Z zPcNG{D^JC&S*;9rS?u*d%n^s#%W{fJz*$}lRyC}Ra%Bs|V`9%Je=R6~6Hk$sAD^3y z$HSj%r)6<;70~o+k%Z{5b7fn7H0RcG$L&XT?q*Lc3)YgYHg8MyC|()21pyF4&vo;C zjOjWYD!~gz=biE3!t(u&dO`ocbY=9Wj z6P%mbjH)Q+1utS?f44c3#Nh&FSQwghF?g>YYaMA#HFIHPNp`L1h`-HYAW)p3Atd_y zhx-+}_00rYGpHUbr>q!)l@hW+z4AVW&C;R)RwY>JDmnvv8GS1k>!JvE@?doWF&h2) zbwMdMC!WyGRYz@&9QQFqbl$a4n-)b;?XMr!F3xmnX5wO%e~d2YT51hlsee7?1Bmq~ z%K21D)i(5whf0hKR0IPdI5glcIY#gjDU*Tq2!xIZw0U-Rbb`2D@UlTRb;Z+Mm zB0d<)yV^g2GzUj?XO_JJcMT>(8!>`Ad$n3eM#&<=SSyC z>rCUUMMS)BakUfHC=+_%pTCoP8oB789+~p=`!2A z2+~#9vde9JSYbnsO1u!SY`wD+f{N9PU$&wo$NN~yf7pSZgOpU$fBjXPI=Ampd_R9X z`TDEND{i>4PkVf#Tc%_&Z*8~1r+!ex{OIei*0m>ZM+N%x#GPYvCP15oW81cE+qP}n zeq!64*tTs>>}2AJZSL&5`xCZmzw{3{U3GU?_c`a|p_U6wIqOE?U#FvC-$%Kl@p{j)?q#b9<#-|tm$$f8*I7>= zZ<2WhqAmxd^tc$#R>vs3X%8YlsT?=*gw_(I6AHUwq>vPkqj;;#jWQvR;O2sCYS+NrPu`n5Xu!b zWDdL0D%DLYX&@vT4fje4lc|=ayS+|A;TcEX#%XGRf^QdF8Fo@CV>#p&-|O8~0elkF zFNy?TB%JB2B74N*=t9GBxja0^Ghq83zaAdIXg>|i3tCaU{^6~rG@`+BWcaEg9Id=N zDn|~TRHMfC%TN-Ahv9LZUR{;|!}8<=5osuh@|8^h%4Xrp@!4N_ZxSkozsNMA?xvRW z8CmBxFWTmW^oruj*!}*d5(GD~Ed&<2^Uqg#$jkSX#Ze(V1!&0xn|K-?W$5 z`%g-;YpOi{GH7fHzM%KCqN`m*CIkW1qdUE>uV6R(giERbFb+Xf%p$*+fJ%$7>#T+7 zQDgdQ=G2!Q4iCcQwLxeArgF?FPf8{cN+xvV5Jt@LFzw6n4PNOWuvs02m^9PYb=c`L z&@lSY&)RDDo!Dv^f(%V`jSPBr4Kf_Jc^9Nswtsk?^6Gb+yY4Uy7-$oTC z$HQDtb$KSH@08e1YAn3~DI#~z*P#CcI>vZo>zo(vHfy%<9d6LIVyNe` zJ&ZMK)*`)650^`fi~focojiZ)e`4rQ2Hx8nRJ?G?ZHKXVD3m+!vx5})Cof_(0u7dQ zU&P>?)DQ0zG-fLSnZVhZ8<_t{SixS?y3j_upTIa$n6?+-9S5<(6p`^@P0dNPUdS@t zBxQz&YbhA%)b&yH1ig%su958I_%`yj`FO=B{-n2omi(4MDKv!3kmiqA)-`tPVKO{G z@m2%4oQ(Sk4QWCSV7tU=?b1HnrlG?EJ7$}V9cZ;9nU%spXwCF)s&ej_klc~f! z_i-O9rw0HXEM!zR!%yz*ymO!0Hv9+r=rd2>?kfuQ$U)dwR{ds~t_*o$ExM*h!WgP= z%rUXXwktM3k$t4%!-^y6Z2s6s9n+%P62+haZv+{Kw@WgqXT&PgxLoHyqtdpXP*pSM zcBO)6;aqj})qzrwFEIe!(Um^moZ7=JJOtXST)WcNyUZz>ZsDokG`SaxuTAIX&icZ~ zZ_wjr%*VhHuJHD!vedNV9ks;=hVoz)VAOP)$`lU}?eTX;PmZuPk=pyS?_3}7^?NU! za}zNHqJMLHhTOhYxae`O>k9S?V<;LJl3NcLh=vE1wj=5ee##WCrJfsItia#BMO2}f z4(+9#)C5OvMF^j~=xnPs_}I5~;p8h?jJBbv-m0RC_;&&(^GLZ|Y|r~tbY>Evcrvr| z`+EkU_^JBDG?d}NR+d>il9-xldZ&k6j-<5+byKlD;BM@iYh#DMzw4Z@Ot)&b4u|){ z{hMdrkdXj3M$_GuY4W_NTrZ8-!G6REkM;?fNtgWpYo7$Emeg^~th4&v^W(%(vBA^;Aur-*O=u)oY--B7xILZ-_F7 znkX{Y8Po!!OsZrlk9qlQ3$`-^;DeD6Jb!?Q@%JNUGi4|!EeK*mY;EU|_i$&JQffjB ziwK$?RaXq^xqKD4>zB8?SH=UTyki6&-uYMBN12_GhN7|Hd@={OqdkZ|S8vqGYfCdt zREKifi#(0Bq|FnwmrQ99w%%2rE^o-ErWhdyULJ8@i&WU&wVLipdx~Hsj;2o)Iz4J0 zQ9Op{W=34CaFg-#DPI0L;uv(Fi#RjRHsz_EE+xE*At1(|N(LI1Lp^|u2ZZt2$5jl19 zpx`C(Ba#}lZ$K?*GGMCy&z*?F=<{ZIZ-A!vBukhZmxM*7K{$LTo%hzIQ0nRV$v3nl zsJextb0@b(1fACLZDh4})8p}6wUH-LmSHd%&V<%NQX|CYmfF;*P9gs>Z?B)ya~VVK zK3|u6fS#lFA9;YfVF!`AFTXA`UTItGpv@Z;OI@1N1Pw)CNB;}1 z!z||G1{I;55bm)7!Vml7TrCKh?02lnLcEnjcn#sQh*F_T{rvo>6i(F=oct&kRvhg~ zRe23vZ;LB-Tf^?8(Cr$=;8Le>X%QYt?+z;ttFmHtzzm>bZ1HJ#VVM(!1yacOs9lXH zL`OGij0Cu?|79lz)3S-WO^@`%c={lRZZ0>(UqPfYveyR)nVvKVO%Qa0r@ruM?jq&4 zI|{v%$y>#+1>}|46$4(W&W*JjdfsbC?SKb#*&#|1pFvD#|NsIs#j4xC7H4!;YsEnNElm-q{3O(DY zd0yI+3RaV}zo%F$qQ|prc3q%J6>a?Nb*+^s#99qs4;V`h`P6l>X%;DH^KETc(%6|@ zCZAOa=Fd5mb6{kW!@2JMaFY;kqPzpU@Am-EdhRE|xHQ~OWtk5(B*zJiD20#G<7k|c z&Hi;?qu|Qgf9n>9@@psAC+3YPuU)~g$ON|ZQoIc-1FGb z#8La&5|psvrLz0S*h!^knTB@hk`wbiPW6dZX=iA<^DznE^#t^4DTy6Fr`VlqA5SoR zI!#J{#7>vc4i!!CdL+DtSHN5X;nvd5cEFcpt?V)NAoQ0~{GsSHL{7o@SIq-Oub%+m zJyH@_rovNL4o6*cu^!z?g>G_uDRyl6KCT=$H1ZOBXWJZY=-uj64WOU?^2E%(ws?0h z9eG1nF?DU5dRV~bAJJHyb_uL14%iLI3g0QCU-kdZsM+##w#dEV*>zS^acWoNAkq5q z+r+t-b(g6agz-Y@j6zST18A z=-!1C)-1HyPnSCjQPN|jv`iM3s(aIRA~LBO2;X6~0Mi&M6WSuCqv=MyA~CTF7-I54 z5+SmFSFV0suk@wp^IOtbMGT^Y@|vCf=Wn2(?7$8?*t6dEN_$Q|{Sh45 zHMT|}28Frn&Bfxu5b-8gbIu1Gkv;D~H3Fn^oGT0nzxo)jv=UWh^w(m%tk(3{!0CJ2 zaEAgksfNb6lq$Tpl~n-+d_>n#ql7K+C=iWMT-;u8(_4P(y4b=%AzW67z0tq9Z{^Kc(}K;sMYUORV) z9qc@26I&974PIfW9tBq*LMjlLWf(!+zYk7pqTLm*(+;0cz*o}>8WvIo+l{**JdbFK zZ*RfpNXdCL>R~~t+>EGau^Nc0|MFrud)Eb4GT za!IwL`WEW7CY=>5EsL?Y1U=}rP??wpQKb*n+Q3{a#>$j;(E(A%&4gGo5EAXwl_J3G zzBln-61E?W2Ruk-462ZOtA3a5yGSyJoRnI8iRt%4h3x=~UqI+a%t3~t5f&Liyy@ia z)@RgI5H`A;5Wtc3BAe9(vp7UKq{LzxqCPfKc^Lf&Ve*S#pZ5hVvOiS{bPV71d#sS^ z-r_wo2^xbWP@tsc>NmapcLWs_cLX)zWUp&Qtzjd?K?(^r@X%n)+T?md>%(OJEQ^zT zYq(vUJ2QYfsIQ-lHrGG)wB2*BKcF+bxfOQR)DkGtJ9%Tk;>vAR7m+RezbJ&Ll%KJ% zWoxM7EMdS-mmUY-$-eymY*qiPMaJfWoP(%%6yPGXwg!BVQ+rckBGDm+`X=;{RTo}h+NEcv? zWA9oD1u#D$ptzjGtJ+Gs+Ka$Nd>n*YIvnCOPP;`s*fbeq48fy>woNW&9@vtERd>4R z@y-jG0_&?DaiPSVP(ZlM=U;1;FapFG3XV>r^{dz3gAs#~bs1)jk`eIu<=L08*UW8i zDntP{k-FmXu(@ z`pq_@3*j}}ILFt8%rRFpC|Ef?g@YPL97x~k4Fw1{;i`;58z4s^Q4vo`iE@g}SeOAu z5TU@kubT_h_#yVaJ;nBSWXDih#3A3{=(m6`xS);-8{a@T^N!S6ofG8 z30RGIL#qg!b;ce4bLC{uh! zVU6sw@WjZ9M{)*oqMm!F_p0obQIOO)D9Vs1j`U0jN-X(mx>c}A!H}`Tg1dYKUw#Sg z`#$(oe>%8N4Y-r_j|j7EMs(?9I5OlU$Y*>WlOvhWuCRN37eDugs(TYcMb!*}srnB{OF`Pvj#IG1M|=dE^F673N`of<_O!i{|GerDoYH=6Bd$Lqx>+zHNd69mPxS z1g;bbH|XECbXPZ| zDS182~XzRW1I(^6h<3pTZ>4$2NXDo^jEcU6xBG=&2pP|ut(iABcA^ZCn9Ui z<*8EIxYykh4Gb^CvMAVkbhB3;zKgw71w%sre(GeXCRAkamQ8MfAm>7htupka-xKeq zlH(%*RMxrPq4!(oQthJLE`XYC%TxW1IZf6#HGsZ(`?w6+%h&_-tGZ%)^uhSLg^~P( zOA7}KCS2A>BAnZ84VW_PB#tE)i^_duTb#+)TuQR{P{2qz>G>r-v-cIZPQx96nO?*mjvQLr9A5?m5#}SM z>u~>@Dv(T9%`t%C!{Wn1VxW8^bzMKl&j)_u>E_+rZq3i@o45yKDHdc5Y6o?vN}XPP zK)*q4Kc2=;pY>lM{E2!?2d1yLnIJuX68-j@S%Nd2vKomx)?cULod&1{88r6YWItF@ z#21>Fa}-M3-!8@RF*?94!1q;W2N1K6oPEWjMe_lp&yxVTSm&l8d|#*WO%-t|n*S!nNuqQ(dei( z2-r`WF!K4~b@f*-EOtAc7B%yLsn+{LNJ*8$u(Lza*G%^o>ILLkjsP_9&?++yT1N;} zl5Au#X%hf<5>+q3q@U5LlNgH$8rtNqD0Cv8cSUDhSq~%FnFHcTD>T|mT9HfR7wF$h z9$LaU(Vy|=1Jow2w_tSHTPNKWVomf%ji~Ael_6V`+M2u^YCE(STh@%pIgas!B~-Xne=Mwe6q2@`3aL0%dUyib8*Id%bZ40*^Bu;; z*A*Nwg#TiW0r^hrF2iemw%oeanYN;u0r_J1P#QTbbSa!iFR}-$whF6sADv1|1H&~E z<5`5lpL&t$wR@9q4P#o4hyw~OZcV$astN#WZp=d>@x5Sv^}bwQ_D69>(u)rxU`DJZ zHOAG3E~bR4Tb{=+#IO!i9YK~_{ecOYKq*TcMGT);FB(>4J`RKzzD>C5|tR&aDAhtL}2FXBvrDTA% zk`~_?KcrHY`Exvc^qGQIU!@{9PuK1qlBSi7Ka42lx*xs0!6Y2rA0Obb_V5r_Aj-NS z#kB{ljV%zLF+A7)oU9y%PYF_`mKTX4mn6WQT_EQXCNR#9PT8Dt%HnEKC zfIH0*>mg%)mtPE*6WK$&Ea!53+u3){c4z*))Zp8l0Q=y=)-`J7>h7Ig`phfjB{W0P zRPgF+hrxjuPDsct@-O&z-BD4Olqrv}%+1kA-4GQQcb;x?7?GY35JutEo#2@bFKS+c zLVR==JyQ&`I%e3;H!oIu27kc4>6_eVtr3NeBC~9DgqtJ6#zAzh$vMU?J%NM6m1lGu z=wnkyPiwav=lV7+H{5(CojK&_L4G=jt8c&9(A=s4cEA3jZ?Aep&?N&=14^3 zEq_XvNFax+Iu(c-mO%PsMdWEqq(U0F??^WpOqI}s)#HO(wL@(Vj4|Hx3(+!s!4GQr?3jg;3Ev7?i(x zyM`}gVxK_bot`)`H7WHh=0}Y6`$x?Qlhex=Wrokk)X=|YJlT^jB1nX)K+VU`$0HB! z7#Sd1c#9F%OZcYgWLpm_l^O$tZ&lgi6UsAZjHOe!F3nG%1`Mfp}s{RA|QIQ2l2Py$PHSbxxn24>x55o!Lcu#R-V zwLJVF{%GzY=M?m$jcM(BDkDNMQto3?^zvvx>uV+OWdCNmceDq=YRGlg=}>W8KNhk_ zQ28}|)K`RDaI0^*x11UU#K=@-z(qbIat|8=88ZTQzX~X0%Q0qd*_`r-4nUXl$5KNX z$Ds_;zstHvyTXe)Qdj|P2)Gm!>3EeJC+Ayw(s0RQZ?qMD0X1Yf)BDp8P^sAjSGoLM zh8}a1Q{y@rtm=m8kot)R|n(jan?_2?pIhIYT<~wAdfa)sv9iXtg3|{hA1Y z)RA;Ed>-Sq1jx{(B6nch@)pg6qSG05Yqa&b`!oS1~%^cJ=y`yUKZ%uL&sc` zq9#-Dvs`;rb>5{&r>Ns;%B77%n#B{~bC#Zy=2gJS2})9bYrEmpS}{2?c-L#Hh2hA@ zRAs~O807^W&lsS;NBfpO8H@{rsJPS-yPMakqBo9=3!O?VZ7@V|@7l2@tYBO2eY%iy$8hUp3qm%hI5bHeDX9z`qLCPnUGcE4;iP z2}lWzw}d`I(387wTXyZDn>fv8Gpxb2@_T^kaC?CiakqKDNZTg1Ev{1GpuJob%P9FK z{dWxRL=Whb;%5|cKi7?%E!oeiJo=irlLPM3Cf&8DC6*$urnex#2TAq%;JtOg!p6=S zhHoPv87(>iCAE;5*vLQy5o=$HM{BG%f>C;h$mJ{FBSsGMd-7RS&&6<|*VlR* zo65=2(ozPIbV1T`%Q0cM0;veP&P_{;P}1Y%1w)Wdtnd+((m#b4Z9ZTW!3o{ICW#U^ zQLt}s@{z?yC=8WaTIk!U?m!Y*SO)0&hmkj>66-6@>17!ddH1d`g>40XKsi?HDY)Ay zH!0_xAl4q0;^m~mT~>;IAoKH+$ny&9ajW$d0SkWfdWU3WpRMQ{qkxCw}xPd&^ z?1%-VJ>xsHi5C0%Av!>-YH9HYv*jK6D*iP}C9``91PKio(oe1n?j)`4)~Zz~f_OEF zL_B81Z&Gc3oU7_+PSLb1k_ONr{QXPcd3i*XRbx_LIQ@67Y3Kmgq&<^8$=|_D@himT z?Jt@+;R8dj@WT=l;?5OO&Ob*C;wu{Q)HHDvw6Pyk=5gav zMIIQ@mqnH?-wq#y{H0aqJ~ySPA=$yC$Az`xH*&U-hxBwFC7ne+!*ngPCMZVvNbmC9 zR>1i?PdRV!?2$k-qpDy+y9Qv_*)2Z^aWuDaY1iI8iEq5&_^aYU%Kw_Q4F)Kc&T9)M z9!o7?YHVOv1+)xaoW&4okXeU_F5PFg5{`a3Mj6euuBlvZft~WE1T}$OiR?iY3B&>U z#crS&jHnSJCKT6{T%^9UZ@`6E2;6fP0+q6YT;G4uV zqow84LX?%Y`Cuj92-kc2s{uFO#y{!6Cycrj!X5v=AKN&2-fzP^vOmSyazmj}b|YXz4Y)`_;<1?wb<+)H6x zBc3qVV-sK^a7Ph^;QxoXQYGv?J|^sD{QObUhf^+z17|))Dkkr=PVI~+PPQSGvk+B? zAY-|e>ueMLll$29?WPU^HFR77C$B#dkA(+W-M#yd+)xl3#e+dHyz`POvzM!34MEBD zRZYhkG1=g@Auh{7^D*amh5t2>vO3j4-(u6zoHJmsG|(oW98)9l{9X3kt4`dq9X3}~ zE_ZgVSX-NuQWBARtP6COI2j_jvUvBQ0t%K~X({@g%XpqfOf@m_xshV$R z_QhYWMVfP6rDH8h^VU6EC$_S-@o|dMEH#f$rrz(H+L5R=0!*6mA`%6i-PoeJGY24p=r>G4w#eG1-1GFMC!Fn<+5% z8qJ#!*TWuy+kz9~Sri%#l(0)W5iPEqg@phrc5^`Ko!_%X8({i;MytQ>-8|b4kzW=#LVBJNs8?LSdz1sBllX$rxWboZ!|@u4KX~@>P7#oem#qsy7vHf zwc@Ien(z7L8W$6LFuwyI8#I?66d~>lmjN4^dT=O{A&sH#PzZ8kPPc5 zM2^4k=s*fpJSlJiSuUx`Robn<8d`4}#?I^o9apXLXSw1gs64)2l1wOBbFz;^Z*ZEo z@qBH4awc4z4YB5#KsJS;2qj}$J(mDz=IvY>y0FWA1J1EowOlq|AEGb7WGY7S>)=p4 z_4D@T7IkA~x9E^?iX&>YARS1B3mJwp)YPzyrh#p@p~CXUE*&cB1kgD;>Vj!N6so(g zbh>1DclStI8$Z=fEf=1wC3BASgsvOsy&7+GGIjkQ0sJ2dNcqZVt&Q%+u zM8XWd_BTS!S+`JJ3GkE_g{iJ(JyQ6J7aDMsacChlm1T&iY}DZZ;K_E~v1U(cPWrNg zlI}vwE54SUQ;0K_rM9ZORrN%!r43B5cYYUl#XA`~v%v3l+qIvxT9xB9YPrn5A< zC$ey=A~rL`E1o4d`3V`F{~sBSNkm0&Ua$-O&$;|FvG{XSp#9T&m)x%uY!Y{>lM4Er z{07#0Akta78-^>n639FH@E+9oCgKs0)29+pXi*#4v#Bb5$ak8*(2lEAs)hb^dC$_q zDwN~p;#VF&$ER234{}r!{Is+YF^-B6rz^AnR!ngrFxSSnY{nEj0RWhDc(KbtM)AUN z^8Lo{>E8Llzj*fjZe+T$xopyIgWkyA<*mB+A86OOU5>S~?I{s!i$RzQ%-^cd{;sj5 z7dRY$bZiB<`W*$h%MQ+-|Bfv&Rw!*-2YyN{7kXYJ0`{Wn%>HPlL z8b{J?yeA)aFYZ|Wk_AlHyW>b7nORH+N4$}#)>$)DM8nHo+-&H%1;v_ZtI=t1v~)GV zGMaE>d|4DWkG*Qsw;bekNk<#1bkV{_qa@DgVqx(UouiRAmIB+!6)Q%%L-r4Ft|E%P zfLSgmE~khQHvGOx@jNs*bC`{kx^%!dc10$rd!+ z+4OeJ2T!;}p##VmEuLMvbN4Ngb-}kJPB1_yR>V2_JdaF*k&rW^HDZ8Cq5045pHuH7b9DL z$$S0kYMsQU&vznY2k4pE&;E&(pEEC(*-Dmiu@{meQwLPmAvDpD@t_}(Mvw=YaTJUxTJ&5Dtb+Sn@5Yxs1Ei@WFQ{sKhF7>0!wTPW4cMe;wU(e$J*HH+Ye zqYOf!kf@3dFeRV~IZ=|H%JAHEdlEV%cJTPpOj0g=oQ*I;AP1`*d&^O`9%MEa9QYk? zEM4dIk=xDxRlE#YwUeWw+IY*DSFKoe%~`8}Jh9}VLRsv1dyqNywevo(k(03_F%W&n?P76 zU+KPUX*SAjhJ04s8ly$KRE5e|hdihUQvf*h&$z*W7%N+zN{GT#xmWQdz>50}aKD{@ch<2c^HrSQd-`?OB^N2fKQw_GN!hh1g{mP4pu}&KR)q=mW5s5 z@_mIl42hNnWvw~KSON%H0n-;nuT3g;;Hxd3cwsAfW0_;gQc#0eY`P5>s!QsV>B}tE zd)DZ3*C!CQ20f%fKb2zc_|2)gMgW*Pj#kFg0hSGgH8L<%^wS9W%XP7__lb^Mqrbr< z8#eC{(1R(A>ZCO=E`TyMW8)6TA|f4S=MpF$sWXN+lwyWI=z>{bMf2nIaaG1EF$0DX56x56vE%Es0yI@qA3bh zj`@|MDew9YTW;*hn1!uz@glIR0$aNEMo93Jmm{i`Pxe*0oqu{pZ2(hyUlJ zVUd~2RwEgK1AF#lZI)M60;F??3#lVej+H4`OTld_kwOPw?F)uuYXt7e>@Nfd^({%{ z<$0y5hp9otqw|v%scB&kOas96cB1yN$dVi)mes`nPT!SbdBWz3S3YbXm~cACFO1Z7 zaY`5?OS~ydNPR1%rFCF5cN4jSak<_p)4BDTaHWcbm`Coz;STY%<=}}FwFCN`y4zweXudIBC=5BQVE(e@Xrm?XjCNb#T zt80*7-KA)&uKJ50Um5E4;p?A*1-YOgq3YVQilut>@mkR94PkwAa>co=7pNQRLx%T! zOvVU->!iX%E(VTB1QKR*`Cd=8`_;fx*IiL;zN77&zw z8f$_b*%zkER6OeI=HO-zuvouf&bV6D8>%Yii%}p{LIYKc-F$Icjq|4$Qt)O8{rNgL zN(isPJv6tpNtIm=o}jd`PGv?ZrPgBgh8l%nP@CPmbPZ_z8U?(|bAPrEM!OnpeDEl{ z6-&+ds^N4YVu-k0RKF0`)msnpeK^moHG= z%8go^vRMy7&;mr^_8ex1WHRq`Bl$LT<*Lzp3}nRv-Xq6n_8u0Ps;h)SH1DJ z`<#l!1T7OwKRa?kiqc&J+w45E~2Ro<`O7V)xY>7m0Pv9nc0Ol%K*RfA zO?(DV6l4nI1R*K$i5?F@M@_~Rq_0|pi*ebVh|o8-Db7}CvM~;2tcfYc@wOoL&G?7g zv~ga^Ye$GwBNX2MJ+mGNA{#IGhT~P~Z@cAbQ2|UPYo4{6Owjt7p~F(jbl8nRtyC`m z-D-1M96%C*@uI2^!BQg|PZ8q5wDf078f4r3S|*DFr=SEfa6qYZr`v$%T5;)`29iEk zX>S}l8H~Yg%7}>g^l`In7bUf2+Spc!MD2-&hbu`uV%9yy^~kT-jNACZQHY_w@=}bV z8UTWXz%7M(uA^lK*8@132h1ny zu9mVD;khhoxiGq#pV3`W|M*5*{dJ*_|IpP8OVCF&a{km7v?x?%ocJ+Dt4db^ELDSo^NSyRP$Jhu<69AS7W&keD$pk#XOk6!c-hd36F@@$cRl@H2UkxML zo3aMwl>le?v_6E==w$*Ps577c9^nwx8#ye5ugMDZzN7f3xn%Sat{RMz`>m!&C52AbJYPX039)O4{ zdXypP|K1WG^lI%t^jK8gll0N5K`w~}2 z2wcX_%YOTqqh2Wn?o5}&iT!~NXN(;{eIy&|H9#Y>bJl#2`B4c=QnT@TVzGElZm%JD zATv-x*9`KPrI@VTHk!v7Ck1 z-#kW6j^wrwZgm zH`)dJYgAm^v}r__QZ5M%CP=Lhv0(rvv}m_X(XYvqRNNI4!NA^ZxK2TR%zd51aS-5Bs_z`h_Sp!1UqMX=Hc&4x zqa-H$n?@O9oQ6OL;l{g^+`GjIb9vP3J@62wwBJYhxDk!3@EP;4p$d^Duc+xFZQ{Z?0t&lO(p_3xY_qut zn=C~B=qvGfdRAXV_?l6^IO63`%bM%e`?DR4OfoaN{mK%ttCV*hr*-`Yz@rKI(V4U0 zC;8&7)D@^g8_lhVD^bJYIhn#F75~(JR*pJlsE3do{}TbbNXsm!MVhg{=SBoIJ*3pP z4&1%3B31jlJ~RqT7@$SXeUI&>7$9yzt|oi^yzQL4EdqPD;={BJ3o`Ea_`A@QRGk39 z7E+qcV{siP6ue?Ws?K!zC#qtrIfW~vSQke6E6LzDG@XCYuul>Qk~Z*CyedxCMzmAp zi`_${@chAeIO%I4DGV#k+{{ktzt+sw{vB&T_u6+l6S}+0AmFTItQ_-EET(2fDB~7w zVnx=1WE|+4fn7A+msX+;;-8ECfFs$2#%>$9~6Z;r-LVhEAO+VmTsJx z;6sWlMmKFIbSl9F%Zg(jql)VJ;bvIt(cR5c?T{fr0&u6NC^K7B-GGI<=|i#Gzu*5> z%8&_vmgfq5#!4h9$aS;q32{^h)&$u*Mn z8E6p|ty3$oLkx1&3Syd6c&(bLo|C(-FA4RFQP@FlEq?@gJnaaoc<+&|N(ZJa9qiKl zDgr(g7SM|iZz=lvX~Q_VlM-AEn;d5!FG|Ej6`tmCu7Wnl{FyE70UkcmQkfUxuAjj^ z0U`T|Jc#ip>@_O|8i$HR+{aFD^mXLJxC`3~N9%Ebq&lZEp!ZUL-@+ z+wd`9w!26@5ApD06%}RLgFHm+ zf~QNWs_@r50#*g3C2YwjVQNt&%Gvs&sD$%y86Npc>zD4_^HKf^yCzDQ%5a{Z0;sxs zq+E*8Y;L5a3iM@UZ>ot+85os=x|z|>8&t5W2OyW`va0DoJjshRlUs`_#?heUo59li z*jBFunxMUC@5P6*!$bwWlOd`>t~3WYSW4EPpXhS`;EtFiEp}_H%ck5Vm9xQw@z;r_ z!v7}gv7nRP=G6|8I}3y$Su3Jj< zZ%r{77a~>D+Q;N|DDj6ERudtz!sOy_qAxO0g8M+Tw2XmM;(X;a!YY(xzV)D>cY>(z zT>F~BBet1)P1NsjKk!EX*_*k+3dpFZh9?F zbYpN-f(BF_Ief_7vyu7UWgR(6UtedP)a`0BeEesp5E_i7Z znEQzVqYd72UKZEHI9;J^z(sloBV?FHg!kak$C@ibj zeyrWBysW$Upim{R_8|!R>mdRYNTLSQXisQZCa@$_&XghUHtw5$`vz9Qzf5J53&8&{ zEIu&b%Q6XT4|T>RhqdAL8+vQKy!v*s{~_rBgdV~K6G|b53p=iCV(}c}63N!{G|$C& zavIjx)gIQC7KPox)CX_E>(0fbWJJTiM$p_+0yM{HQP%gRN&whxrIxP&a(?{nckovS z+hO=eE^(d(n#KOh)<%0BAy$NIT?K*B9{S7AiLj4&{0I+42Rg~a15Z~2_#a)+eb`ul zbnrty;}SHfa%&uCkUCHXS*bbFU-Ni$E6gDJPcx$Wn$Kp9aV$AwCBjB(;xfMmg3cmD z^;?a^5^|jj%PNHvs^NB0d1k#`R2Hh_$}?q}jn&VL!D1ftFi;@^T<#-P%arG=AqZq$ zuGPXMF2p^VfEa0@V3W(i=vd+83AdvGrty~NL{pJEza}}NQmAqYxo3E!tnF=+PL)~=H>2f$M42T3 zA*Qy5(YVX4rL{M-sf7_$EjB*{3S#uxd!1Pd|v9Q7o=;yo=6}jRu&EHG&xRyBS z>bgQ09}nbUKexi?+q`I%*CV|vwY5wCc@Vq>$^ZE&u5RMK9}PR_AA-G}4;$6y7R_m1 zI-1EHDA9!r(>dA_2k9f#O zf2>DbJ<-%5lZ*w=Orx5m>70ha9jr_>)JLn1`WiQlm!A-Z$HTixtsz4_h7=?nVbl!||nas&RqwESNw0smiG{;!mx z|3_Lvr~6ux=l(mN44QpsiGcxw4qHrc;Wwb~2;tXp+ZJWWMhU%!^$53f)Pcm4^9L(mOXe`A>=Sqe_uE+6*jE9O(?pVP0E&1^`s-Wa!d6 zW+CF|zykwAf8}a4U8y4=);1`uNP6Y&M3gHGoDkM@P+E1lIAR4=dQ*TpH!R|U2RvAE zyZ5*HP&`qpl0iFRxQUzI<70oBc?&3EpW`zessVt&D>B zsGDtrzDvtmXY!I-ZS7rynW;W@!he-@rO|L@Tlgwf#E>GCDOHFPm6&H0vqlJ_4IycX zpbU)&)n-D}OKC)6z8=wyrk{=#D#jRMmX<<^sG@>So+5@w%s~|oo%b$3LcFv8?0e6* z_TB5Av(EXxwaz-bWcEo(ahIUQ4XJ#`%3^WjA08-LY^C%@Dc5=O5V{mCi8#9A!@8(czVL7kS zfU~NaWS>U$RiDt`TGYo6`p3Ci{pLlXY@)KiBV*}pBF@CeA7gbhd(YQp1a{MG|3o!- zF(}P#eX;hjeqMnUr_(?$d57V=^*aw5U%x^53^bKIl8#B?Ot)w~AnB8I(C*qDKIpE% z9CrdDgYSc+2{6-g?srk=H5oV3BP{^l>qv$ztKhiFo$bi9Iua5-_v%SmP|)Nj)K;PD zw$su?oN}Dch)yC-Kr=pp>?tASPrHvM%6yNXlXM*7CN_LyPXE4?7!pE3T~lH`Rn7e&58y3hbAvd;)sdG z{J%-B8B9fXE&gMStD4(`w{7LOu`1K&I|5K)Uv51SBv%NE=z5eQDUz04j59~fgztu8 z;W}rU$>eD`yEJPy!vdDt6Xl2{y}wW%(HHF@J^GhNP`fzXlGmBvfd30Z^>;IuXL~RM zg9Nz7;@Ot-=H~a2b)zdCvGovpg1D0)-`#g~232*bH1&j=Lin^koFE{_e_gSLbt`?R zKz-bxoJ0xKWv(rgj7-stcrH=@G?8m2UFsZ9u6VDJt08P)B*Q&8 zVv@a_ZODlf?a?l3r^|$>z7I@T{@D^NbxPf6el8S7xTHjoKY| z+u|3Zp|~O~(&}g1Pn_Mg7lo-#d%4|OiCvrT^K!_Be^?V}Eia$ku0M}V417J+j7%Ej zFV1!KO~#K0=`m*Z&JsjuyRa)2*u?cPm#6iw5`>#&j65zGct<|qzuFsMe|;l-fjob; zu-4o!%e!c`|Ke#}&5TN;8|@`3YLyTa4IR7Nrf^{*oCV`zdqaMPSd&7z_CiJSO|?IV zgxdPsA=1>yVnue~dL~M%l;~T3K%^Nm0H`^vgvlG(Xm5rD z0K@P&;3@{}HWkYm7Q?{(5K%uvg)j@I@O8Wun2=7Je{~ zDGc_t9_<8l4>}h{bYR?i2d*Jg2gZYkTwP3cp+8lQ8vtfS004a$5c2RBK;02lOc(p( zDVPiZxc%#RHra*;*+ekJFkdTjp8){TZ=&?OfziVXnBz4;4>4o{*gPzYIbIp;5KtHh zZVclv$A#`8z=8^jk76<3>Z`+U0QgVuf!g}OREHJN4qU@G>acUK4&1CaV(70V1^N;D zBKoj3fb>x@Fq)23{<=Ftz8Nm{T}=W|7mwk z&FbpvHFNdqp6P&mu7bo=kcNc90)qjA0|Nsi16%I?h%Bzdq=5iZ)}$a=&_XYvw+w$* zxhSKCOSE*AdX)vffU#t_i8M%#MmPC-n-^dwkbKqslcnpH^xOkDrQ=8A#Lyqc|AEnu zn5$<(xZ=S(ElKh4>^{|vfROYo(795GpvuETj*Ekt9*5I#XdIE67roY!nrCN27)R^7 z9i=Q3M+b__qNRZ8d8~cS9)Q;M3hJlVyjA$lHVpO2q@rWT_ExT(UKQ@ple1n_d2r;6 zW>t91SQ}^0moDZE4P@%0me3;UX!ztl0)GPaVUvvXpZ?*PB4kUfm|W|qFDa_O6ISpR zIdX1DV>nA8vc&mC=y_JUJ>m6aFU`Z@oSkO(X%oKMG zPmm-KKxLw=`~Wjj=%vJ4*y!WJMm(CZw7ZZ*2bqeWzvMbn2&LFzm!u`TS#t$jauWns& zbQP_o`O?3)D8%QkMeER}8Py5kF^h;oariO-E2 z*`rG2Y#2|h(?3S2^6mkxMz-tm9M3^c_<@SitKWf9q@|nS{|z4y?Yk)mhXez&{AXX( ze-8GrVRE;3vNp1}w`TOPwW(BFvs>dr^VK)_6r#E*mzbYyMr(5b6|pzdMpLg{1O@n~ zT0{{_sz}ngDco(jf7OiLJrm*39iSeHn##`L7J1oy?*>J~(oycI24A(sAZZnSH(uZn z1~&CFj7=oO%7JLC&R^(Wg&1AAA0KN&u~Dz=e;;AMR9J=Elw2(|Stl(e_ql5VX6TT)u>Rzc$9{z4CrB#L^`X}&f9+R`$I7yX^ zKBPK^{*f_zL#Y%&dI%qNRjL<0CA!tq9?FW+AVLhOi)1S0C-_c9D_O4&$w^AR~ zR;japGtVs3+emZBbpzU&{_wV|#80Xt$T{xs^%#AGn$SNgx8af2dZI&xw5rsE;Wi0p z-Y7U>WtliC^YnR-2@0zPl9bHL1;vF5rC5tCaPrZSo@4p5&}4mAY9Z8!&v=YYWaFIl z5GV==XR&}2oZ$5V5mTtX!RvcDwBbqx6&2AL?i#G4tL>#4tLmYje~GZ{eDb#lslQ_3 z93lFIq!yBCj#DYN&4iN+S1f4R>{~sLC|&hfmhW2~F5fWSLMoGH-CO0!-_+xM1PM!) zZ#4H_#%e$M5J2XA?bi#Drb6=28_fNJ$+-`H1F-^3qnLL1_ZmM|ONoVF`gu5FOgm<$ zcc1eXbNgE1}ZkVu)-_Kum2E|l&xTlyC7giK6^`Z+$!zVb-Fj6#^oH}hmj z%3pjTpXqmA;-*1rr;oq!o5t}dzwUFmJo=K{gy&)zwuR&sULv{98n>rT=3sk&5Y<40 z^DzOaw?&DrL!HZPEXWW$!Y^nwc7Y~U=A6dUgp@jGL6~3NEY&(@Dzw@5;8<>QZ9;Tck+n zU+eM0u;CXAG-azKN34(p?rRg z8!zMY>Hp|n>U1WbuljP#_a^-$%$XLCK* zrmcdR(S|QWx`QUV#5c~}XbB(xC@oue`1+&$ps0v2nHA{v4N|D8EyTUXNXNhN6)^36RV;}x%`{f!6&*O%qVKi=5&;>oxZh6q*sSNrBANvj^&9h zOO))qL19dZ-5h8%m;aD5yR61Vx*F*U2m(ys)0srl6?1nvAi=3*mxgfpH&Gs$o!W2Qp14Jel4aO4zOxI;rp zJf(i4>%&S?E?L0_7dUF|wr`qn)Vlc8$bDxx#7U=wJ)V0NMPw)|TTGUhZ~S{$`~1N+ zTtN)W)!*aJ?M0T)+{gY`BZSF1)73UYFtFrbNp?i|0Ly<_tWbB$evd2BPhk5atQYms zDLs~Ir9S7>gr&~-609R?Xlk9r!Tw_Kn1d(6Ireqr%;@uxqKq!#zV55kd7s^Ef|S^` za@~_^9cB0Dz~kSNsG5$wwT~+&KDy1AAGjT9uLA=g2YdHNJ%IKn8>wz*PBRngTFJop zh1VVMdSQDPmIZvaJZ_FMfqZvd-&_FJ38dhSez6MH*P zoqQPraimOJdT|}+K^;fW$Mr;Ur{jOmKTPK>T6rF396fy2w=UJfUYVvl(v%DKTfJ9* zPQ3T{uJ&}-HUE9(Kl#}*GOe!%vF6EAocAq~^(&CuH_8tb3jvpV5 z>brWL{MbI}CqL=!hKb{}yf(K;QSHM<=6DO9>)ZaezDA7?9Xxa|U_JJvWg0nouKRAR z!5*om2)IcPH7=-nnJ%ExRcM>yRPQaMy${?U88!DXP}5Pe z@os6J$s~?jGH{yf7FTj`ar|3PH{<8Wo`c87z29r&YZSY>b1Pm}fPw7Wz|Pf*)!UE` zZ?pRW+sbguW6ArHZoxrwI^J{3r!&C0|H16;ys|0xWZ&J?M2J@mpmvO$r?f+4$HiNor$po?lsC7`Uw1SnI-vIvn0iQC|Ce9Y|8N z_tX1+d2YcW-uZsv2N*9Lid$3I4BuTYR*tV6?S71}9bd`B@CIum!w{78y#dt7QSNgq zt#rcJ!y+!F%yFA#%srA~EZ37vJT>fr7h1PjHSD@M@=?eB{OMCXREM0j8Bc_z6UL{l z&zaTiJ;$q77+Zqk5dq5^{?C(LrJH@ur4tP&t*DTWx0I(=7kzL@AtWV=#>h2wkmi0B z=a$~LA0AI`hU-z5`{%CKIe@F9=|yo}iTmOox!i}xTzk~TUX|DKO1)X5XtMcV+662k zYrE5GPhKV?=`-(UFn^Y^+I@iMg-xp8>xt2I@oHvD(iv30)2CWk=OU6do4n2xYOA(p z63iTyDNc_@Lu2PrxaWqNa}WJq9_J9U{HE5K8yPlc(Ql95h~@LuDFI{gfV6<0HClYO zHJKE{dshjrE+!&B2)yCEO>G5g11?I;LriOqB$g|Ff%3E|vD_-Y?v&MN$=dd0QW*C9 zq9EkKcpQIeByF;ux}heRD+Mci>_!|d zt_0mk!1SaEVjveuq~%&@0r z7tAg-3R?eu!*z~yu*j(}!Dq6A;zC#YS%{*>EQs=25N4;2ZLIH!wY(g-AV-MiacT&W znH`qzDv3L4L8yJ!oO(;j{ebt62zy-c;_dykLMi`tfAG^w+5kGla79$J?gwFpTCF`v z6Kp$`7-CKYpm%bP@~0F+1(SIe2n&2e(3;06jADw@C8f6!%vkUFK{=0ulZ$;$@Kg)I z!^e7&Jf3MA-0lr|rdLXa0-E{9xBzZYWy_8ghlON^$Wo+GH=&Ayqja~_9ag-4-JfV( z1|BI@M5LM~I+Z{^dx^2{ru7h8sp*;sd1W~BhK%|7KqS6`WGSIaVavtlL;+gf?*4$V zr9zo)KJ;JGsxm8x2b&wHcgZ+J<-R33B#P5ZYr<+e{}^8ecvr}%nfMOQFZR$AZ1fnc z=OsE|O$`1awDyx)I)Ov7hJ;o3h5D)<&&*ha7}+fH7$@TB?ahsCScSbu>uQwq0pv}@ zzrqVVfk`c*NT)aQv`fAePAGmzq<_SasSTjUld7!k{c!2QJTg&@!F4j&vNVwj$lsQRx8#E? z(PE@!SQJh(7Lij!tc79|lGqlS-emSEkh>ZE zN-w1FkLw|ti+G`Il6TDQWDB$81#Mio+gHeuEW~xpc4#VSZ6gGjv`#aIKAD2%pKy9oR35bF z`GBe%I7&GP>W_7Y}e+t9^ zb~oMGCzP@vC|O()UY$A}nw(*dc}WvUooOXOt4@wzZgI@hO&!3niwA$hei`&)PjuTQZtTr0gt&5Cj=C+c#t&!PkpEjS*u2|27Z7I`_Ryo>1L|(#}@GO!;u5)JR7} z9bTm*9l?0v_*$kfT#)@HAyPpR0(b3+@Py>vO=G*1)TCTa$Zpp#-%i1CCA0=%1b`~H z&!r|I`lS3a3s2xhc9w({?4OuT>hHL8b@9c`LQhY$gz% zAAb9hYh*Q3RUY{)6`IkJkWt5RP%ena3hhd?f@${#)uL#%J0jGVDh1ES(7^~)wi7OJ zJW1tCxeE51!f2kG-KVZ%ET^%5&qtO%Xf-c_5(%;ehre%76=+Nhw_5YYlmLO0R6Dm7wH%J_vrh@2$21o0fCzblq)$Lu`(+R0*YgJ9a zK?{g~oC}J|AehT5UFg@DDnvTjP+hYT3iB{@DA)&#QY&46Y6N~fdszaDE%b2! zvZO41%bi{S0+8Hfm5{=qdq%98flKO@Zmn)Ibf{%$GE^SYCcg07_7%!{P%R~|Y!G39 zy@|@atkfr{hzl;A8iVe_6bS@Ff>q0d+_sV%(msi8Oy4cl%QMW53P8f^ND7j3h>Mue zii0G~5nH$w`-_E~+m+*?E9tGQ&d~~})fk4|uZ}iMfQB2+KVYE|ue=!X{$e2n13^hx0nYH^BEQC|EDffqVltH>);n8A>Kn zQW#%qfE2tbR%yE$uv!;cA#f3k)az6!UNa-8f@xe-d6ul9(@;EY03nVurL+RC5n&M; zbHtB6Sy<6p6@qPy+f5hDu61CV-w3PnD;47#aAvJUp;$#O01s_1sZ}t@5i~3IaGqtO z$b;zmDj9A2Z@#Mw%3T0R+8<>h42qgOW#Gm`2b`&61gCA*;z|5<$cV1}JblamR-R$i z6*R(@AA%}FW1m(wdl`DE8m7!(g9_t6`GpC!b26?=q_{AZbNP3W%+OLVLVI&kH6(!s zqq4i+rCodwm1Yt_glQw#h!Qu*R@7rrDM2~j_%CSQHXm>5N;N-ZidpLJoCcH(<^N(( zS4*dAs1#HLC4>MI1Lsq0UW3bxtZDNq%Fnf!TB*gQDnnoOhFsXIiW4n0p;;-3%%w{7 z0ZMT$SC001Np0#tY;bU6z`C9g=mWOHR1vvYavE|Im=4l=BI@>NWerWyQTUgzc2i^j2Xlc=o$`cRm(SE7Cz)t@L&aa9L9w=JPg7I z)ZsT{$(sKq;V_(C(V@>magiVG7Sp!*$$U1nlE z!GDm#i!iXT{+RI9Xz_OBbF%n zZ*^DeeF;bhYtRK%Dq3En2`{-d^L$Nyr>MGon~=K@Cfp^MF14{pyb9wdlC!ICKc;rX z#E`n#Il^3pG(S@roZkTi5V^FwQwB}WPF+=2)!9WQ9KGo&qD_<(6Q()3nk5F4Ci&#k zvS+0&rJ0JOHo;t2)cl1W=2tBi z=3E9K*Dtw%utSASC;>pS?xoO{g(Ok=4K_azi+Bl3{SI>4PtEoz(7An56^AW3KN+nv}2ZLVM z7k8B%NT8zr*%|FrBf^@bn~Mne)tEN{PIG{8F9Csw*_K3&j}z(kK~NXetkw}H%98v(!OnlP?~T{6LT zhjS&>M=!6wH?2W4vf+(|j(}KyyFpqaHlaEd_vu)$mqTQBlhgEsaUuf~k;Oq` z@!5xl;+_GZ8m5HrwHUyFNgaE>%t+0i_A1kM&*%&4h}_Sb4$~c4sKrL4k`0E+tB7ck zF-Nc_VZ-ExUNI*s9GWDGiO8m+KlU5GDi&2(n@_$^#Id{=I>=zN3OPGW12>my=Fx&N zA@iFUb$Ir9G`7BvXx>V zc_lb33o)xwE=uk0XLlT?9G|7A5zYYayzT?&)mnjWt{B>4f9R8UQ-H5H$`n+wm(ebM zx7DCav&o{zazeXyLa2ZG?P6aGwx)qXkIsmfl+s;<5byQ-wf zD`Dt@C=JL$89Z#|czi;VQMG8&AIT0tgLcRT==`MpoDqvTKFTp0Lr=7oJ{T!GiJ3@# zzt9T42C~XT%^md8N51`I#L8B3<(C1-6N5N4kn``RSt4=v&DbPWoCjWcg^f zG@tIdj^|VYk9dWD+5658PrgdBe63w0yo&xlBr_zHo`kJ}2U+uta&Dw%mGPe_x&LP4 zN;&-ligxOs#r!mu`;@xIfE`>d*AZr{R~7eGvp1qBeI|{6mc=st)gE4@5P?9Am-R0% zM`I_JS7QIvF6pv>>!_lVw0G}=kM)q!Tyd>zU);q|Ej7UO4P<7( zZiaJ3dL3^reN!Jk7`Pw(c43?7Y!}hyfE$}*u9?hrQ8z+I*bLfKrJYCEoAa}K%exCS&hF(0f z@ST?SRcIj~ik}PyvF_cF0Xg^54PZ6a7d>?;6eCa*y=E(;dooGM>y2{0eUTG#i$$jE zoP5Dab@^u%fb+X;?QFDMcG;x_Vl*%4or1P*`x`Q=GzJNkFy5d8t}i(hj?rnKDJnk` z%ob74xUkY6-?!*^Mr=>_XfO;?4BfBj{@Q4#l}K%_X`c2$3q=2B`V8E6F|x=5Are`5 z=g#%&UG=(j1E$Y>=3ZRH4%Dc0*H{NLbXIG&2cz);L`y{k4Py)hQ1yQ4wVB|smUVU# zgqlZGc&S5(H3C_>UA-4l=Wimoy!flpJx^#tc*QtJGlnur+Gw%-EP`BuZvFb*TY)hj z?{R0P3QHR`wZ9PppD4iUhjG|FSgeNlu#o|ugqxNvLo9rl5yuGctyq}2h#%IN)d@89 zsWv+V@WV&l1iMju0BwU+TH=di!U&QGRh@nbKapbebkRag=yw@b+BmAoVa)_VIAv^x ze3&YB=KS#GaC5W?z5I*DYd7)N5z?dxKUd5#%W!hz*VXOG=?z%z=s7xZ!;fFf6L;ntx8KvmD2S+FOR3gwlfD41>#JX{)`( zg%ZRNEDfS$dnh)kI?dCO;~}?w-7*Xa-U3>zl}%HP(y-7CY#}#!%-m|%Y8HW*mEy6z z39Ivr3bUBd&K~p$j%*a#FZZweFe!afpKEU}d-lnHYfNHJ=iL<$TI$X;bK7kJm3?ru z14=4COShGBbY~2|JWq#Q5FGsmn3T_VL8D#fQ#W?ha~S6 zp^d27`1|F4re|wlw75P7`>-qkVfeVWX)S|EuRZ})f-$=fRl+ ztG@Qa9xAw()TfSou*c_Zt^R|dp(wBl>yd#xYE`e2-B0K$_Fm#vE=4|*Il z)_DB|*Sg8Vp9G7zRkaw05vepbrBKpe+6^2lx2rW-{m!cOSpz=xTNRDKI89hqkro=c zT=BU@IiGrr6LfA|&}L4@u>FJT*j?`B>4pdL(!ci&l*M&L+xMuxY1xWg6)sz1j2LfQvz73vdfrSajvwuSU_p3DK5=?jJ%s&;tljdXraO~IgZzVu zB8uV~E1Og;L4krZkT(h3>7bt;c^X}RPl88ixo&hLVWG!C%lPt^DBag#8@fie&}UA! zO-nmS_u9Q{i*8q9dC9&jxjL1htkd}IiC(jnCmd(T44WYN6C~BQrV_eN<8@q?Vw4n# z;aDlIAP{XMlcu7z*CdoCtYv&QY^R>BkMOZ#Q$9B?5RY|FyBY~Js8YyCIieBd^x31N z8~zF}3X5=sIj4hk?FtKWNHg^Wmv)>EI9bQ01pCHq^0rv6@gq&RTtt_3D}DN3eZ-pq z2Q=gxsiXq>(ke&n3AcHs-~s0&x#{Ao03mf2JsN6*seoTI(t+btLVoef)>?x;XSP+k zyt53A7v-U;YwZxIZ-yusoL*+s4w&$meR!@2dR!`sL-T&h$eJXhlN4$!Fw8&iP~SV< zHw(6?{Ibl0Xvdm;0sDn+j&_D5fH5q>l-Tu7NDL=wfZIa=-i{+XA9lS`W01b!{Sm=d zfJ=vhv)8IDZr=R`p@kFQyxD6SZCFk0ULzvI%eaE_i;*YrW$DnY37_ZQbfe$)37T`w z*p+SlYT{3X#Yn3-6V{`JoM4uBkQiSrgz3e3pRb1i^Nq#~zs(_4l1EYAu$N&_B}W+y z{m;osy>8#tbGiT7;P-nyaM8HTmFw6Wa(wjQd+~H@a>P1$y*?TL*zvlXW_f>1dhYta zIt-9j=IrO9l^RyUG|hzj7*?V`G316>a`?116jpLRZr%b;PTc;~?%PgMC7uSFBWfLh z1m2M2-Zn^DDTmH`GK(j3yX84A^;<~YflU&D3;j*TpKfuX2r*llC%)@IV`u5}o{LA* zXJcC~YS`TI)db+XYD?<&<24O_hXfTC(q8#C%yP@>!`1!e#>?Jo12#k%#B|o{xRMkDAxE?pB8$z)c|S;;%jv zBjfRv{(3-9TP{7%!`iEK&DDF6&B@K$&eICtP1Yyue`gvH7_Bd>r;)(Gki`C{1jzbd z2{2p7)_JcP{bMuZ12%^LZcP({OexWt*BOI~>YF8XQsKGzPnleHnv8PFEot88OK3qf zrfEj8pL`J}dnAl*xKTqvRHGfF-6Rh|(+9_$6?zw8yJp{sl9|>{93OA4{hp=;7B9^P z`ks|KzvH}~6m^pN(N7PMl6<_R0Ub;W$8*nZASOtvodX{MpKhJlmVz12R~?ebTUl3- z{(R4O)e;d$Mc^lY?9_;|=J(7flvhPo67vF)I&b_%3_E#W6BT*+4%-a%wIz{fr?Qaj zF<(c;m)u3#Vk}cbc0mX=?+O`~H+qGTq$9vMQ03H8X%7*?> z;WA41&v_u?MW16M-EDTxu!T?c@5!-K0cD(3PTBMAjE{;<4y6^q#A3wClalN11=dx% zZ%Y?3|68h)`B~gB1G9L;wcU4vZxi*CvsvJBzU2?;p1X0rKwz#w7^-_5FMI!Ld0&}N zJ83s=OBRSQmgGVP?A=-&@Z*N5o*Hzx+A8OH>t?t+f=_?}K7Sc2 z<;zsW?xCvQOCr8W9&@Vc=8pTeEugc1onQJ}kCR!V%#QnE+&I%iuPQE=cJ(M;(-iTp zFCR2=Q|7UupStj|)iE-D`|jZO4STOGo384t=UvVBxo+Lpr~7LYpi%)}u{L7w>A6|X zrEaxBS$5&5eV*cR&&_pq7p&^2<-@+EZ#AE~Ds}GRraz;4Ge~@uFy8oo`7YGe258O)Yg`(JH;9*V0iHHr?{_`Nn;V0^dJ^ z92Gmv!zCkgI8@}(%B!jmLs#{ceR@Qg#?rAOc}6JJgeMOs?eE6J+^=$xAXFY~TdiSl zhJC-%WQFa9Ov+r+{f(oBiv@BVc42APa8M|SR&+4DQ~YZQ;7vA%S6@y>J_rZSS7jYv zzxexiUWF{OBBNXU{5_jeweyEECd7M*k;pyqKsKK=I3ymnLzeunh=#@%chPD3Cu9GMswn@@+o^GNU&18dDq{1ES{4P8o8>QaI(p`mwv8Kk z_N1Y-NdvWTU%Y;cW)SbJAaX<(7`OdzgJQG-?y$Z#1D0=TDN7f0<{rx!_|@(rXs2CD z`Q6n6u!1)Nn8veKFI;ti|P6VTA}`>)~g^boM!#U^;2Vj!t>j z)kKVdmH=956v!lyIdkN%fnT#n#^z|%w9(Nf(Uk)MY{rP1q0RZ9m8?f!QnmK^?VWbj*6tfiH3Z8EA0}c&_7LS^fW@kD;;>r07 z#s_idY{lL{Pm1_S{fK*^KtIXky;T^YobUQQND~bn2o;=?d~#=&*h*^YN)b8f0RwOzBSn`M=&-%}^QX@NP=18Z{<%6d)2sr^YZ$c<%EH zvr8!hG&Vi3u2-}@OD)24QAtvn`oo!kbJv-5ObC45IN_-a7Yl6*jR*LGx@+HjXN2jTTEAK|o@tbTV0?n^NpVtu_?NFD zAt8ep`E%tAcCD<|5G0!(F%s*YO%9oNrP*3Yt%&a3ASv>dJ;l)n0u=>r%S@HQ*1+cU z_zY~N?bg~U6}cpmD9>56Y5F45C~#P^YeU7veX?p{y1L?{Myn_qIfVMkn5EL{GAOa* zWq13kj!f?$$1yXwnsPVeu|oxbHc^zGaO%KpH`LR#8JKOTa!n~C*)Q=$}8DX)nE zO+4>+qF50n0}DvoAmKmIEi#1|ak0Ns*HZY?VGI%v8PaO=VE6BM>iSaJ#4jWvl%vOg z4hci6XjeDnu7d&_w*F7NYZ;eRAvtL-O>LAPX`5E6cmEX)_LXa?8@EhuSB?6|S6 z(FcBcqlpRUW5x=b2Y+??3OYWhti-qL1(mx(4omeh>OSe}7`WYP5}G`zhucOk^e`Pb zY!c`)@70BM30qQNSWi|E-7w^?>PJ=fwu%FXP-p>)dSQ`4JDqqMJp)PTt~M~`I}_5Sgk@}{&)~i@)b1<5 zU+6iLh|P)?h-6V%*e)Sb#~N65bm2sWKC>%3ka0h753y23NhRbvmqK~&js4Q%PEFgr z6{TNDMq0?G2WIE`XspIc7b$?TSfcL@jjX+tn5@cQzYeixE6xzrx#UpMaz`&ZI^?1M zx(Q7S9B6dZT?h%V95;-D9}s3&?Ko)+X+t>g72FYQP5X@>pB_}m4w=|j6=dO?&V0W_ z0;4&M)6O=u5rR&vEjxBg1Y-}X28F}FE@OqlxWU{R0Y+u2CJ1&gTxi5uT8ZReyYU_S{-Z%Go z3SU(U0)(|OKqHlvg>u?>T5}Y>n*C@if!S{VOBlL5Jui z^u6~#HM)(Wybv@>*cQGjCFxp;n9qA?aC}_3w96Y)vR%RO$`E35jyg* ze$08aKM72kouZ4rp-}p0MTXH(!i^oC%5YYt-B!pf83}7sagX%{jMC!b>>IN*Q&7Ub z4@6hLVxazEoa5j32bbKj6tA>yeR6;HNtnIYN!&vwf}8H#2aW#Fs5EXZ)dm1Jn$2X! zi$o$$13|Vad?6t+S~Yf^j#pGZ-PD8h@9%y7lo!g%DDxb5JF7OX*e{f$_t2By;R);s zDL2{l>c1ok9w9KAYTLo#>Q~tORn~|~uC7obPcRVjcujaEP;+fA`+rCQ8R zrG-x5BMeFqGNn;cy_M22Yi|RJ+Yp5PvCz2CaA2Kq<`dQKohM7JTeK%t^Qf9mH~IZ}6~zkPaLqJ75?!m5~#9hrlR8*z%Ur_0vA8&5Fh*U~)yb=ODkyx< zgq}j~1+7sD-f_PNKL6)9H~dW$+J^-^7}#-=EfFb@t!-^zAcgVXJ^KMW_!u!cw;bu* z+R>`ZQsXm{%8rE5TY&@qVeT>tfuV39tJt6F+gxQPtJeQI0d;9(eWR;kh)O zQ@i&(_2QIXqMa7=EuCW0e$@Y5_m$KsIls9j6IlG~bo%jC`>~}%;Pd#Qz^=Qg#&m^y zduJW6+gPgZu)&FH_F$0r^j6j%`}VfqW+&$fyT!p2^)Q?K3@+fp!}xZ13A9zNCM6gVw&gOSc>A{uqL>TWzHLH9$`H zuZm55n)AlKejM|~rCvJj)FaHt%N3J?;U7Cdlt)!ex#iy39r7ox@6_SdQq(;;6O8=f zQ`Nf1&M(?6dX@ln^^cmOeX$^>&lAv-nECa#Omf9ar)pT$>xk0FGlaVQTgzL0uwHM? z{Pf4)%?%Q|bVC-p658CBi>{X6ivb<29YZiL|B`-VK}}9|_gjGhpJ&6^2jqK^;i6f{R;cbDSh66wLlJ%5ZQA&6ouWAE<)3s4e^4?G^1V0OPYIcHhW+--N#6@TmeUr-tzkKFHR^OZ!bsyxwFN(g)i}XI{l$EtF0Xo= z%LilN-qV1Q)3BS)o77VSXt~vkFbhBuwYb#7y5og1$a_}t62dQ%ahp!}sUnLqvY)HY z9Rf20kY$BZ~Qy=`=d3DqDCbB~5`+4|Bw@znk=y%x1+qj=Z6H?^rHxI@W;V4+np~ zJj+#S#@6L6|B++8AZpBI8UI(3Kt`LLO^=@2q_rX5J8$sM-h*vi9*Z;eJ102j+3EK` z8{fb$L(-}`0$Z2->jXpxD@kDDOKV1NXKKIWr{)++B9Cv@nskY+i#1^TKeSYzb%90w zRuJ(xLjExDC=92uT2>U{xyc76kzEjTvM5`hLypm74ZMaI;EdvA;-JWoALooUej<%e z)fR@D3N%|lV)<}dS<;(a+6fJdltHvRuWKvmaYGJr37Rd7aoMUnq3HT;2m zJQ|jY&z#4X3s*~(3kP3!Q05H|)AQ$ZKv$}xKCIH<81M=a&EIT~Q}+8M0m~;F(4T^` zDjQfmAMq&0lcLSNY=f(X)e=}IAxtEB$&w{ zmZqIXDXFdWt5OY)1bsI{>cNRq4^a%h%p{WWZ&Gq~WpP&cu}or@NlX$YRB9vxvdf?j zaS=rYmZsAYEdwFL&XI1G)SvY(23<|6--^TD@_^Ky#?R=`GZyC%lkmzBB~8)Ow>|v# zW$Br}uIut1k!hlutkCsz9MX&5%P0zrenj*=@L5!^B1zt8CI(xKJ=9I@l|fBXmg%gh z%$iGL{JN(c2(RygN}!bN`u5+TQYoL66GfN8Fz!4PU*XlS6G3R-pz8_XrCWTITYj;( z0rpDpnWyl_|3U4ZF^w>*BoZDFimHkvyv>!X{a(NVi?nx-2{ns7lEEp>s^gJ%ZZ!Kt zEXKf8bg3*t9cXkif-3~o82Xerw^UlblF=`z1Rjn}#&hOU*@sgf$VsdfW3Z{)wUNzb zW}SVoVo#T^gM4pgeWTK(>4=kF#Hj&Of#b+KgJMAr3V03ja0_2K2rpQP37M_0@@m}m zY|QcUl1&Rx*jDhF$64^|bwAvR5KP!HQWan@g){3*}S0r4K)MN@D z&mFk@F+O|=%})ZCra_l|4&^6L%xkmC(jJPi`o<9eE-iyD8xqM{0yP;kIDY^{BrsEQ z8b~t!I?l!mp1XwjD{f@RYuv6ort-al*Pp5_fVPcaRVQKwRya*4il4>j{1BvEm(ClVvdK(cwD}KER z#>|V!tBN+I8RL&out@#bRRMW%w%;sYLe}N|jpF$4l)hB7nMrC}oG6;CzjKVG(*CEL zD19~>LwjOsI(H~5-w?@0K$(LYq#>;~SH8`#lvd!OIBQ+n-4Tk+Py_JK3ZGX^G&8Fw zkKP&e%Y%^`2q6{>sr4E|MwSwVZnguh$wPEF(g@^K&`TOfQgIr|u@+sSNLH~L^U)T3 zI6+1fI78%GaYM_{qM&loMv|!OsN8Td&+tWKmY)t{AT{BCO?7s$8l3_E(8i{O4IYp) zS|HQ9wlrfL`!8BR+>nPfquDjguX_!o&2Y{Cfdz3I{nr;av>lT^MED<-2gUzi2y$Jw zVP|JC$L}Du-M?h*lU<50TD1Nh1~yF!q)I8*C7#8fa7SU-*55^#3m$>?lsOsu80~PK^Ir zqKZHqk52vnk=5ay#svSrSPlPgIB2k6@M>Ty^y82+19MyElY^W7@$oal*i-&LVtC+x z39rQekEJuA_Mbri69so?0@-T)=^`jqu#p47w9c@QJ&NkTx7R`uO&b&83Et@py*PWk z)0Q;bwQqHF(jNr$vXj6Ci})GXYT)Q)-5~z&t^2+ z|7=F*SljPP;Dq+nG=73#cVR}`!YP*g2tdQ&Y*h1pm%&WT3y6n)DW3UwtYRhGzU2?S zi8gy-y?@X?*b7ru9T9uovlqac;aY>Ae~Nl8>tKJ)=%s!o zv$FX1dN>An|L(1Ox$egg^~_f}EU?~mG3?Adm}NWOp`SeZgwycbs!fj{u$!VDA9{AP z@Jh+KmD}OLO^Qd#u@clsCl|E5oYcB zZ2RD#`dM)OFb(_Yybo9aJ{E%?VL`x$`sdxX;o)??Yf&NO!IsL7vaKDvy+8aB*lqp# zdNZ=&@^IJbNb2(lIL9-R`fbQ8VLq-n4e(eOcaQvbx;Wd2YZ{uMg2(+FdNTjGjjM8< z|1P~2!{v0dy1(YtpwoGee*n4p@$9qAxi|SVH?nZ^W0K{-sK<}^bsb$-Tyh0WXIgLF z*^0Bc331_3-mwFCzJBZI_=tF&ZtK!+2M^ZX| zv0^>@)D1-sOAIFIXNw~#45#CT{ifK!`gbg`Ly}(>s9tiw0YfAbeNa5hM*gUSD=GPy zf(hPRG?EC%RPZ{~|-$BpL1p`J+lR%<~t2XTbybB6WO_MxO1wU?_HK2U77*=iNt@pZK zqgGuqH2jy9j;Os3avbK8M{B)=#4FA zD(;X@EEE-ZBC_&m3Nr2x@fwFbsqJ15c%ma!m>)$sSFTu>PLY~QcREo{GmX5GD(u0C zR}hRq?T>HO;P-g+xbp?nF=(p8OJ8-ik7OxBiBtzZ;A#|;U>;iaZ?Mz~y1yz>S#muK z%`!{;`wiqR_)63*ub6>qp4$nAauYcziD1=^}zn&1$@t8K=T(Z~H)VhT(t;E#9{X^S2-3@sVtHD8GSYm6CV3|d^c zRWOATIXcZDK+jaNKxw9qka%${aoJAEx1r~J&D5sDxWMrg%5QJZrkVE;2E`qCsAWqX zq*l<^XhKzCp-`h+b)}%$G8gTT?YW-(*v)*VDm*+~Z$I>rPh*>{*HAAf`qwXj7uyC* zwp2f>01GFWGu46#nc8#C3@?_=+Dn8g#~0+K;sJUQ6VO7c2oJ2>kNgrTbz?qA{0(Cu zx?5R zMCgZl2dFYuHBBxJ(;b79Dr3javtXcCk17R})FbB`x1@4!a42+>?W61X zq{QwOdbQzALJb^t9idAKxlk-}*lwLbexJ~Tfc45?SP9>w$_VPzdd1J<0;*Ihq}kkE z;k`$^tHsg?8In-!6sX3LmB4YA3n-B*sg}dYxfo>$<_nwx=p+faygIrMO9^VwN2FVO zNybwN7fvuHg>`?Kro!=Ufq11uAXN_sR%n>~A-iNGV2+BuA$ZdMb0iYQ|HsxpMhEgd zf1q%zjh&5+jcspi8ynlk1RLAhaAPMM+qP}n#?ANlf6nvf-WPLb&Y4r)HPuz0>glek zj)TGD^z;W;1%sZKHl`bKD~6A@bb)m<1tVaa>VK~wi@!8UiGE1B znTTPZL^R2eEb&|9Z+m~5ZLnSP1X#}`2gQo~S=vNrdI&_$$Alx}02+VrNn~Jou+Ud9 zz7QSpMu~GsdK>0Hy9)v*CAN7@#gC!nk7$^%Ko5@gbT683Z@DkCL3i=+ z-mMHbdL=gaM91T5(sMUde<_C>IflJZlCakx^ihEhMCJ_eiy?uZrA^GkbtJADl0j|x zkP46COJwzj^$J(vWvU|WZ$pvst(n2#WnS^DS95W?5sonjY6ubqNDG=K@)nRK%y?fK z8g~SPSIm653K6r&2^~4M#TC#MzvImFcb>d?R{>t}NBmMHFP#o~J;s`x6d&XWy%8AqV;qAUDcl~IC}{vkD2Xv( zk?IxWdpo`4beVOhQU&k#PSr)Za+yt)Ao6hLFLWo(0TY{&zJc+eCM}NV4d8?O-;Kub zw+eKTlCS?aSqKiqiF*gAOT`S&r5Jc)tr;5}8= z&QktqEk`PuDqqRENxZd6h@m@p&;+>+Ny((VcIoQqydzi@6E`}gKe&CQy2Q1Xm5Wz1 zU5vfx$+Q&kE;DE8yi&q$ zy$Z027t19cpk?RT|`6bE49UOAFK=Ji2Pc?N&F_*Im4{&V+CyH|3YeE}&%n#dx%IO}7O0QHXcc!#4h)v?JVu#?2LdiJH#+ zP2f$cir^MDS(gw^5V%UL@|5%z5kt`b4M+0e{KXMEB3}FdI^QL?&ryfPv#2L6*7MzC z)x2UfZNI;SOpVTI7c5Wus7IW%W&CYg|2H%%)Po&+ z%Yase>&d=&$HNy?P2j0?{)2{j*@Lu?O?gl518HY#of8XoxzvKoFIV)4{F$AL8&Hmf|hGCSlPzE7T9gUrluR$HiJes{W64aeX-P z`V|XL4IbG7u$}+u_d9`Xb{jzCl#^27_vPhLRCxNWR5<^WZ<6W%K&@UG&xaZ>$+Bqi zc$I6;wD%0NwQ^qrvX2AWK!j#_FCNPvP|r0XLN8oaK^3D)vF6Jvp;YKziyb8R8qPLx z`F7lg`JudMpJ{ZpDoVekLqpWOdR#!G3X6eQjym!?@JqQpfUjLCRn<1JAn-3|q5%2@ z;&Is1O$H_5dS8%OTIxF6yJA5SlH%hecmSeWW4BM6Sreis8vR(DJ|O*y_qTCq=-VJ)TQiVz|G(Oy*anC0tv>wG=a zp6V%{`mHBES-(T!hVM`0QYzVOGGN6?#p*3Dkr;_$0&DyHjTU&5Ud{G(M6nr$8C(K? z7PZbzCY0n_rNQin365*(GUfE&q=+1p=poI<9K^#9+(^azQJ_?TO&b5C7w}8L%ZHhm z^b8?M3h*jvliy~F6Gn)n+Eg#CAudKeN}uZ~G~QV$h)Oy(1=9!BTe>x`U;xHFN6}~-@PR_@@zj%wu?S^n zO3E@CBpmx%kr&MTAbwy{qXWrFttq)R9stqtK_pVsCL1q6b4Ny)Cf|lPgVT> zABCjh4UEkBNxBAM8n{Xi?!WqmWg6aohJNSA)yXx-@f_uKPhaQT^Jq%v=Qa0z_0AdwLx<1J&2a+OrqBJM zd<_1|Djt676>(V2RpqwPB}IgxKjP~(s6b{Pqi|omAj*+z@x|y6n4UJ#NsmkQdCw}I z#sFj+Z>QCA3*mJ$S#(;JSPkTwQKT!j8~0XeIxu>5WuGOpo^ab7)*3byXLUg@RSxoa z$daSJqqH1VZE}DRjv7|T**&A&StAmOU)CxTy1&TBydzT`c6WhX6&N~8F5+lFu0&ra z@+)+-$Aswj%FXzOO;vBP)jXfKF{$AfBaFVGCHn)T=JbkZN?f8$Z1^1!#(T_;K({yr zP{}|h>KRUb`(i}%*Cmj4P;wyn}AA7vCl{F=7Nw01%)=YZ-6 zlNH{ErTXzO79Q@~lBAVZY-Q)j0c@{EfZs`D%8+IBuz6aY#2^X(Osf3H(1hy!9|KU6 z_)@C{YR43qA1062i8j?{b`AmVm{egXoB7Y*Mc1WtIrsmlcxFZ;%5JfN?Gx@r%u+8x z9x=wZc1oT`!DyPLcw%07>)QYDwfi?{pufR)-T{H*ZHYtl(D*QptY{U$YZwdz2f$;k z2ZUmL=!j;PX<1(+W-Mi}BY~rfskNge>_zoYhSgHOxs4FGfjU7!#%N!^CUR#`nH-Ax z|AT!bq_y%i&syuUk1uyx@KANqn}7OzEj69hP;Y1a}->2@6`1SpwTVy57= zL`>GZ{)EfitGKgJ`tu{C6Xdlc%;R5 zxlu89>U*T(rkMgowLFV$C}WDN=z^`Nk0^|;XZBlfIZb2QSz}t^Bqdi%3~<%{tZiDJ@#I&{ftIw8IcE9hU(L9VEGJP&C{{m7D4YB#D3quAcx<2R zEnymINLj6((T=ct7u}(nNr5?StUTo=R~*SK+b1`}WE`y85Ya?#odmfND5khnuf#q& zeL5fsfpr=$&*q1^A2PqzZo1$P!VCvwXPzN<=5A7p;B{=pEIC5|ZRJY)kJA24{Bp8o zyqP$5ho~%1GB<@nv*%_gyRsNJ%q9w zk-1u$0^4^vI>$;Y`esDbc@L?!?Kz(a@NP!B>@j9p%{IB-@PGdlSL;}4Vc_NG*q>}% z#5nn`D=7trGRL%B1OeJpsm!OBk+rsYQ)oATUQc^~vqkpz`itFty73^ChzJ?|F|7LSmz@rsWp9T|6r#-6dc~0(O&eFsAk%t2Du{Nu zzf)%m+(CL3+Ls8P>($eEyjSg{2#725whE^laP^Lj+Ax;nCcb|)i=mUGc#0cw-*euH zei#|-Q~MZqfIM2ZHvC_B0szg@_V%BTRTKdMLHNIFb5714)+SE>t%{%N*u>kE{?qJeqNrkonD_Tevu^HyzniOkNt2k zES;aXy^-*GRl4Gy!a|`wc2rjCrL@G84@FBENc)?FgP9$)Kv3MiEZyMal)^@if-m38 zN2?IF4c?uDzXDk|vL0t5mSSC-YT}e0(IQ;c8~M#vMjBz08bndI4r~f&Z6UG8kV|4^ z=>z&=PZnN`{pkvCV3XRFNB!ASM{6AkZ#QBz`&Y`By6y6e)+wZ} z1%7_QRM}T-yN)%q@6lx54{Y^oGZyQ=ygJHE7^4$n0dZwf`8eS#>$yJoDtMCWV0XX6 zp7y4@w404M;K*0?Jy1fOyd=XrJvi`3_%y>!953blr3HL7pQpR`tAhku6va6houiVe|h!wS&YAVjsIH^`iMnHUgwP7QBCp6BAbi2Ssw1oD zubsbx+!*j|Ock>&A0*IYM7)zr+L#X)rBq{*W&r2kZx0+pRaSI^2!{;TZI(RIf{jGs-xO2#Jl2n*cxV&iRd@sh)(oS!3Ox6sH9%so> znjC?c5&Y%zZw3iS6IQ6Qg#oEpynK{>*P3+!PRb!kmP%=^6@JrR&k56`v*@%l`)S>_ z*gdoe)|Eb%uxjr=rXkn$S+)!H=Y2<19FvS(w0FeHVPtLwJ%TNXPx!mqDcD~uR%w?7er+pE znyP^G!XXWxDcuPksJd%I*wyOI&BilVHRcn%jHN=5%;w z@zH{=Mx=w!5;L&^raTBa_mLJKg2RF|0M!gMNr*xPS%?EWT6QdL2tFM_2F}9(ND#F^ zWnGpHW$|^LFTZD7Hi~=^2}Idwd{*bPIT=ZTXk}bxooT9@9O(<%saFM zhBpuZ94g`11|%fs(p^o^WQc<~%1=(O5aoNZ6irL2@5~CREeRJm+sMR)d2(hZfCX1- zsE3gUoeDOUl2nRV;ArXjrXWzh1`9V(7c#-v2K%r`>~|N7wpmj~oe%y8+oK#8OB7`H z_38T7f}1m$B*azu$TY^%L|bi^wT)Y=KPpJPgr0@}toBfa7i>}$Ij`(^f_z+$jZwrX zbX@fTrv@$j`>cP2(+0wz+`x?-aP=s$hjLP@0b93AnQp)o(ZacT0GC$b(1`G-P9aYk zrP=upy?l15f}Fjz0A6nZi}T!-$0g=EHe}6?xP>0d(P?&GQ%DURp9DT=peN49UTTMX z6nvX;c0{LsURYNTjd{ziJ<0w$_8a`2bNHB+bX@!%=Z2Kzgw0i?35FsFb;%Tzob0L>9g_6=ineVgAJD&4nILjIOgnWgl z)}jZ{J~df+u@Fpbx^j|oE<{6?``hcFE-3`=L1TBsF^~CH^`Yrjih_w>RWdDc$;5j} z4h5UlFL14L1P2!Pe#s@}q4iOyO^>QxM=lVWP2gaJU+WHLJ8#Re#T2hArG3j2&nrVrNt;Ziey zF4Shb-;<7XS0lgK;U61(;G#4pkFc(^_WB$-Cil4hX63@p$wc9_O?gX2oivijGJ9T2x`%H5|h5xtqPSzy8U%b_~u*#|~| zG*I3GV=MP!{mR z?h?*ED-pz=xN?#v#cv5?YC$?sO`D{8c7Jrg?~=LEvGMt4gXQ{0xFa0TAqYrQyP3h|M+A zXU??k$+t=^nOjyI^hXPB+?Z0Y)>gaHU1QE=`*&;pwC#?n%?0)~Z|1jKUYjLxyA7aY z`RPiT>FsLvOr(tJpoC}A)wgtl|4n5-)t8jsJg)Ac>Ho(D8CIy zM&=Rf?KV}5t#d3psR!@*66@#oiE{A{T4zm@nje0bm$PAB zBL|hK@nG&t)hM4?2o2*W2$&4YKd7Xkjtu}S7qK_* zY_ki_ckZ*I3kcMJUQ@hJs?%M~h@xM~(SKLjW3*Gs9<5!zV;xdf#7rMvpv2gxOql9K znl^e(yFj-h&g?UDt`*tFM$c$!wNtN&yr_sKEJ@EgbboV9 z;yhh`yV$6@H`=Zq*Y)D`uy1HaT*oOSa~SLeBhAQv*W|tu$q~I5w}=Ofa(a7Ynfa~4 zDXC|U3M;fFmsq>Wo}xgnBMaJJLTy&$9Yetv3}X%TZ(2OKGY(U8hS7ZK4oKlH$Gscs zpyeiNM5tUFdnLVJUF+AiF=GGiN_aOuip{K8|V8 z)hxO}(tC|ZW&5!7wbSaf=RQzzNy6Jug=0Gq#i%k}MEh%qcyj~{j-J!4XBH8Hehk;$ zV;2frWY~o2f)n<2SgaMJn1v-01WaW!smk8#o$@yj!bw<&0U-e7f@?R1fHLk@{9mGl zKh8(w2*_Cd)VtddEEhqxtT%aW`_^D<*$3{4V8SEkSv&D7=?Jtde&6kb-3;ulwi`)SYF#N?gzC!aBUj^V5qH#*k+Q-p;E&%TO9#H$PrF>zD61my#Y8 zGODEvxeLacG`4H7)HTQQ5oS%JCZlGN_^+SaK>Oiis62ppx;M~&b46FGSMBQdA0DrB zRZ;3?8Q~qHhD@?AR~E%qvu{={*WDHCJ2Q6{OwX(OpOnsgNdu2IRi|0YjY3Rm>Hal? z-rd~7MvfhIW#$$2rm6brcA5&a7_Qy|qa_SE4A8y5xbvp2`!w05#cY`gH@j#J<<4|? zm>SwMB@zG^Pi&HX0goJ;ZqxRR7(+o;vGaiKb+TT>fgRQATF#Fxzp`+AGhpF*@rT`+ z$DBPFwUi8zLF7Jmfpes@q<}jI1L~4IFDo$M8;vPti8e__eekE-K!O@?K4voAOin#2 zYL42vu{>}LmYoQ1AO}6baA=>9&XhY7zB)6$$PpmCXhACnYtSxm-``R%xPZ6xs@_f4 zFVU9j6%Qf`stk+C%rpqPn2}6}jxxeM;`c|xL((T$#k|LZcs7N=(IYi1GS;r6PDRVL+}^aQ+eEtF5$&`pbg*EOXf&uS(3$*DVE;h30_G_i<-+ z9s!tTS1-i>4IbTOOq+3{)oF{>nP#VH%vFcej}z<&r|og8@*=O%jOhGm%q}B_?0dXx zFT};iVgJ{@<6l|&*KA4VvxV=;W}99$TI*0drBlaNsIk4r?cZizfB3n#Jbig!HNJkP zi|d(3OJ?xrx5nU^?x3>4ajT0^e{?e5s|*00cqX$q^18%RS1UU2^Y#Q@=)4(@{1pXJ z5$-b$H?)%BcQg;GHX*RHY?~<@%<>I~X4qUNO5N-I7=ur69AA{xe>0pqFM%nwmn)gl z-*6g(HRO+}CucW`ODYk-W{rhU#b;o(2Y2?eeU6+(K7l8~_6z=jw*QKOnI3tfl^5{m z;FSGGeTI;!;PF{A+tk{s9RWt?-PCx|`<{f<`S*`*eim+-`s>_T7RPE?HoL)(nSZRE zyRQBcX@nZOO4w_|m`@Te=o9NCQx3xj@9eT!<3HF5gmr>#g!<>Un`E{V1fRA%gz06y zi75Wyz%cpPkCQfPvEx7J8eT8-e9{A3n1~}?!t`w7$2N`20iHz|rwD}VX?%9F78zJ4 zAb=$5vFPs2lH3(fJD0dU2pV&$fduTGEM6ybVj#=@Zu?DMq%4(>{o>2BJq=0*h0~M$ zic8Eeaz;9_P>(@RKq_y0U?BZwY&gCXn^;@iE=Tka#1(8sq1vNCf&60?CV0SS4?@b# z0FDg@jZEW-2M<+@SGrgkTSpq4-n(CepCwYrAM@RoS5N~!f-Gg1(g`M8K7`uoa!G7L z9W3Oz240({?$J#F^(p3BAN+%~u`|eUL*L50;B9=L(ITPMm=?O$Kv2jBrBdqKPePah zMbaX~?*lg|KOt6NQ7WfHe}Mr!h$|$W-&RPw0~isLx2jm+7A+9(yFq;aP{h*m#457& zFfF0#NXSh^c7zDQX*808(N)GpjZx-CKvZzI1%4Z5I3t0r{`MQ))gOhOXw5@c0lL4; zYsFezsw*T>VKMA9l;hgHZ>BJE&8Y?lol|=OuB#94^t($e zD@2yiGNY2*S)ASP4MwXHrxLmD`Gpk_mlD(4WkH8J_CL~&Tm4Djh}PK>9$}UVqiF?` z8T5i%SFQ^GArw2XJ2`jO2sLF^3wZB=K5Obw$=lUhKe%}gQ})`$hj+f;Z<4A;PzDu- z)wRVo_RhyNPFh%OU2Y`;&Q~}6qgWX33{y>hi%r3?e^3JV)KAL?x=%s|??GCY0_?i5Y=e!{)kgX6w^pus$gLejKAXdErLFR7opCWpiSsxyV(hc^K)r;;U z0*cYOtx#z}3h7f~jVt_`uQ``$*~QDDixHyLF*V$>jEu>8W=pv?`@vt!7wwQHn$xAY> zKSpT$Cjc*2g}?=)>=xmEf&>f<;@5`O?2!-6@ObU0ohL);h0zdk;ek^+zxgn%z zzfnI%&@8hFnmcOJc@6(=qnY%k(h+o%l!=!@Uc&`!GITa?yQ7!=(IqyT-RE@@Pqn~; zq&=OZV@4<7+)^g&4C)N!W*NK_1E?5$(~_`^UYqD zx4w}+Yb7EDu}B1va9}dbmgLwoUy?#N^n;P)hM5y?x<=Z zEf%Ooql1HUvceH9{uRW(54nz&^X-__CGgd8*A{ip5oWlV!M-mZ_tC_S8I)J`E<|Kj z^@&9boReE6X?ms$49Z?Miyv<$4BT_Iz3t>Tm8|(UupCNDGjD`%8svy4RTklmN<@hl za}4rf=x&n@Iz{TMBqXh9&Ey%atL>YuRjEKU;dWP@90|+xal-_IyxO1^16$@~pW_yS z8&CG#G4ZU-eEc0PNJEKE=CpokUSey67yoebY_h*} znr{Ic2#U%&5FVR#G*fP@$GP*Jz&aC$q_PwVCvE@zl{s#dAl@JMvnj_ zSF77>k^G2Ft5hq~PR4)foEM6F;!lu`f9q0rkf8V)ulU&A*6$FfQ4*3e1dtI)qjBt} zrM=U|KBy*!lx<(ZwD6~ClS`LdRZuNZx=3FKo#QLZB7RgH^d=qIySW<=_ihrTVXz$Mq)JyrMh@otsdRxhW-4$1}O$oBq^33|&_8Pg~R($@}Z_GH1Gk9eWb2 z(32Iw+cUvRoJQ&3v-l@2nuT49Zu1yAj>-v-9=%R#z!7dGHrYX%(;o1SsCzf<{ex~h zEI+p7dFdpl@{gm#{KMqQDR&LHp8R{}4D04J0oxG!o(p2X5y#Yl?>pO|66#H*KCMgx6&+m>TgP59!JuY;Tn{WCJ~!4=W9DQj(R)kKXrqwx$ArS~bm|*TAx=00Uj*8OD=Wr!+QWvGr(tFeWe)h-R-QcI+Z`LX8Lm zB5Ls(jOI{pFDlTnSz$WLi#v`lL0u7shRs-(}!N zgv5Q7cN+wYM^}}d+YMzYarpf~ity~1b6bn>UxySaVbECstEvbOy9T|;-NA)0F%21g~-dCUmbOd8BdML+NIww`uKfaO?-@; z9<0||VBott!1&hDdN)X)dBuD|^^e^5-2($iJ}<%*Eddcm{xh+k24zEr@83t8t^AvG zfOskYpSY)-qZ`UA2>vUjt?n+q`F8r@A|pvnNg;oSB-CjhsxNs>{N$k`le`wQgf~Li zaQue0Q1$!Al3?CT>?6)b^o$6|jd!Kj@oN;PLVc4NU{bPpxZKrz4X5-~lY8yd3#(n< zRT#u%cTg!i&}Kk4qW*kTll83HWB9JfjK{F>eHl2@B?-G!hnZ%3L->V5en@e{7zC!Q zUhX^FXV#V-BG5O_g}FjsL=(6Q$LVxY5*~TJ_3ZbR`!7U=uJ~`)^6<8%Ai_dAD%257 z15;B8$hgy3T%jdujzDmCoVV@tuCbw?NNq-S;_w~ZtFra*jI1DqZ0Y3a=w=T|8CA@l z_cD9s;gf}~nqspJ;QF!p>CW-0C^yo&u8YkD$oVk*@ZIi4U>dv<DbqygaQ;+$uLi3E1gn1xVhDzQj(D=JclGlht=4R)4=TtZt~@c!=fbg^jB}RE`4z zRonEQPN!zFUJk-6vLv-{2DfS%*<^HoKw+Dc4J=4_dw#7v0r+oD%8<&>3?L9#KJ?4z8dqori7mM z_>%AF`v+4t7angG7F3^*y-#{88wUV^k>)R3+|(tuEDCb^Jd@rT7`q(cu-BsMStipc zNXUEukB$WKlH)n`nIg%zs}1>tU0c(AD*(2}Y=CD<3ONJR79ZhtO0s(p78VIM`JAhZ zC~XWkfx=hgicE0O(^v{R#z;vxWav^Un0=!_=?cj184(QswNk4g?#j>9(he-SYpclw zYtB8bY^)v;NpGXxP=Nkse`^iNB1iM|C_4#2u|p>+`b!OR^$PQ1`GM+GfiYX5x7`+E z{WEfugm|@rP#kX+YU_%PQ$^s#I?fNr*yr!RG>@Nn?9kIh3WrDj!+JPIvD6slaoF&w zq$q#AU!*A_!uRC2woq7}l97RQ%IGD+@m$gpFnWJtlU|Z@T^8$O0fog;C&<-bp+s0U zi<*=^&Qfk3|D? z2m5||hUpT9ai6?JHMctW`R?6mR|g!m(D%3VF(-m&7Y02nSiOAbXY@b>JS&7VJ{SS( zRGwO3UK=9lrgn(mWtB+R<0A3a>nZZpy9>$)8k zW-5ssVe<+uEp$Dv5BKR)tjlOJ=oFrhEVcm}x**IIz_?wZ|Ev1%y>uOrYTCD5 zkh;qYfJ+1^jiDQ3m;hHlZUs6Jl^MU6B_`8WRqFji-~g0F;fQqL^9!JBXU?bsx9dVc zcD^$GrH>vF1BOD_G|t-dQPN93ui6KDtgA79dM&yVJ(9T{GuIXx9L><1b-_{>!_-JD z=5K(>By~TQfo|+eJbn`R_-niObabZbGkDXl(?e&n_mlD!4FdB6VOAhSk?-fP&(?l? zge7xS!gOzOlYz>@RVuQgLvT256rRs1=#Vo=n@VKq4lsDax>EXD||?Bwe#WJnxpgZiDER zik0*9z6rM&c>%_68?bW=EaI$HD7+U?dT1Cn~2i6-npZe-rXKng`n zt;ZOkeyz20Z!&uhVEPDqxvKFutx%@@4$xP zPR@V|DdCV0QAucpzZm?b6)(gM`|cmsix@=W|05=HU_#6wIdx0y){(-qWhxQefMDP;qdDZ ze(tv&V*+hymQOToWGO(r+QTl$j=3p?S+kQ)1?8B7y#9xw9P9veqxliuuwF@>mxeys z+JSl@=$!V51k(~oD;9`f_#HurAdjoDDRP`2-U8d!_?q7g2}nem#&Zx6f{#}h?esG^ z!rN^l9x11CiecKFjCTy+p{5x4_3G6w#pqC8VQ28;#Dy>^UAv~yx>Jv|LBX;bcnzn& z1svs|r;+bhH!T;dA4gglfqDUx=GxF5-ZeS=-!7>;w>Q)h&p(iv!Up6O`p_`IiCLeZ z`+%OY*)5j%bdv8nF_NVqUZA|U@Eiu5AcxmW=_p(DXsKK?>Ik$&Mkd>Uc>st*(#5hC$LxvlN>Z!IW4GhL+5&0Xj+M^GFtnB4B$EwPG`clEx%J|s8xh?!7K z!8;&svPa|lr3k$I8-+o=bFutIJoUlMUou9GHMzWd_~D5v1FW*A78U=}N@S|oc>Z(e z;f^TQe7_O-M`}K`R1n!f2JuwAFV1j(Eqy2`>dnL>M{^NU+-Z{i47DO^Uq*MN_i~GE)jf?cIc8 z+U)}hKi4)^63fw;F1$53aH)Od&_3-`=OySt{j=>KIWyOsl1H&4{Ro2f6B5EBW@W3;AMIpm3;e>t zxcdtlV!`|Vb;!eGE&>}a^z42nnH3v?w&*N;3wk2;fBb7Z#5m{)lzMQ?tU(A2e;i+> z1{;UhOq|K&s~UVekuOcY771+QU$utSEIrgSijZ8-6+g{c4ocAs2e=*m^* zf3%*bKHe`v9ismsI(Tzfm`UWth4L7wg{i!0^$DF*+V)nEk3*Y{1c|i0T~lR}f);#= z6TsmA)h6D=(^;pKeWxf8SpL@HQT@7VXa(ku(eFc4xN&)8AV*U9*94_m7Us13LasV_ z+f`LJn&ZId9$Gyk*9v|U_&MR7fpg+0Il+(L*m6Y>ymY)~U^Xb^L07=8`7sIJV#b>q z;u7B0(IN3R++;B+pDoR;pR3#R!0%Phn@s-i9fpqoMx&fe@dDZB|v^6BAte;_~KnJ>UqS+!82b=MPS@IYoGb5Gg%a`Acbbb z)jwiVALlfoa%v}&bF0jK$7|R2=3MIe-KmdxV;M6a0M^Daa-yC$c||oR8_3GyH?d-` z(bI0WD=Km7A%|D<3Dn~;OSi1F(=8q?conTJ6LF$#=Nf@-YtL%t)AM#nDO zto8@dHa`n9#ZvAE928GaFGw5?FBM}(=Nrf4eng*uSE#jLSd~qyBeQV4WyrF(eyC?z zo0-ic(ZtnUE8(yf+nS%X(fj^uxHpoVp(myW(W!g)CqQlhSINVRw}R-X6PYI(GljR) zHQ@hL69|p;wZQc5N()h}Qs&3Lw4DpfK9Jmc)a=xkuT73$Roi;|%IDq9+dw%DK?Na& z48{I5&02lMCmbYh@~RR|kV&)U%@-!{3+v4n-`%YRxl;DE2#&WVWg9XkE$8oCBHPHf z(R}k{DL`n0mIJfLBz!S}VK@OAE7eaE#TJu=kJagv_$#yF8;M(g=@99iPe}-hcTuet zX1Kp!Vg1iMG4VaTzae0vGFs{k@V}fSQDdcdEV{jo9d5t=Zo8xWp2O*MOzCQV3J&W! z&VsuW>Jb;k@Q%e zt4tY1SUEG#w%A*~QBlXjtZ2lLR}`G1JURk27U5$3fGYWachtHx{%PSl){s&6 zewNMgKrFgBd3PKQU$Sz+!vx6!`hizkViH#yxHj2x(*YD*<1EQaHZFy`PT?djav?rz z?+pAbYZg)vY>w*jA5CIciXg{3jNt1}TR{j4G&S;?%S}o_L^YlxqrscQ9fYH$7l2=8 zuS$UI=grS=yp4~H-klxzo!$<<{)ikeR#?DxE%wZ9xM?L1%5857LvjV>!~@jb;(ASE zZW)IvQoS94UCi8`qo7{>QaJVzc5?iiuAC8DEFg%WIF2|6k{?)SZ%`05v9NrPInepb zp?vk{SSUmA(2dA(;TBU^%_qz=Er9>c9HN}$mIREK`PVlFeOlqVRYkC@YY_5=xFAN) z#F-|?r##ZSy)3oi3(=d%x?8oX`6kYY?+^=gL*x(Hdm=px#Oq^QFs6`z=K$!m5J>EB zXlsw#YZF#ZxUc3C<+oc+Ez~r56bcw#A+V)6s0I8q6eA{kw_6HhiR&ME9KfAkMU}yk z3z^IkfCp~99)Xs)Q^9{`p&3qBc2}7!H5i3vjH5ZEY%Ia`tki+6xtaTb z%aGJ-Jf>fbDRq8Eswknrap~FHeV&{DZRpdEo!&D>!0n|G>>O1_bPf6_At`WXlwB zs<}Rx$0oKfYUX|jlku!6f-td;{63Ky{PT(JXQ^cQ%DERIeOoQ%Q!(XI*etOE=l}*| zX%`}bEt|Ng(mFg9JUmXrhm)TYhQFAg;$MjxC=2kn-1V2`j^MB423nCYe8I3Rsd7xb zf_no8iibZkhgld1+sH3i?uEd$fz?8U!5nxOIS1}-b27ScRZ^y1Bq;Ew zbLrOHru#o38Xi53MCg0Y7%o13pVw~<)1f@MdGb_1X@r`0TNqF$Qtd#~!Xcv8-aQhB ze+H#ewb`hWS_)aG+WB4{zHx0pe-Grek#b=;iEVnDq~Y>r%5sio-9fDbvp}So@5^Xr zb@8q^?+Ek8QU)+!7_h5IR&++psQdMpsdFv^G!2a5B=aa+-st=?q3C?;Bhu^GKz#Lf8H99d^-Cy5aTD;zRe=OGXOQN>W}PW$a9_2O zDJg-gLqVGc^{8!;p|8WHmXS^xkrE_9G#*s=I%Em{x*6H1b0qr<$P@=xd#dKMAQJY-GfxM{N`0vI*)*ohvz&ZV#4vZV!oIDWVN2=V|iEL_1iCp z;SA-6DI>rX(rIzwYQ7iNei0qCXAX8LL~SziPf+{5v}MKH^re!$#rJBvTttzIc&OVy z2O?ceY5|r$I%~vBj~m4F?S*mHKTfJZ+q|Y#>RrIH>z6P5r{P{Q-=PSKxZAp}q4xd5 zJjldM&cRf+-tjBx`L-R6wDm#r*Ik%272+SKS@D4ahxSoKsd7IrCvVYvQ(hLZDwX>_|44B3~jgD%*@Qp%*+hunVZ<1oqN5At$I*NN-3m_ zQkE)}%FiW3o$|qEKUd3>8MbhSSJ`UzDpHoDmbprOV%txD9rpR(#U`6C{o2TL38u-C zV(bGkgG_GAHwFW?|IRmkz_j@XudN>R6oVmWXr{zhzvt(_s2(7D8<)Jjvo!A@Q(-HC zg3q2ly#euKJ|C+K@tBn8Z3D3=VtxGvD~VdiO%Blq-yeK13WtC*1x9;wL7R>m3xu*4 z+_tWtaj7dN_bY8bumf?ZB$3WQj7WQSr)I{CFJ<%a3B`g~sS_OfrD?M6`c4QL$-Y(4e*KI(O(B~mzvbz@B**01^2^BksaEA|&^EE|E zLTZ z!M{4(1A-&cMRZsk0Q+RkPCR~>rsPcQBZ7@@2t(`yVKXqfGcDr;b5Nl_teSQ@bNExx z0e<>t1jjFEWqPP?64CL)tGG3p1W+fvoZJ?bKVyK!UHA8U&wt|)_KY|-u<-XOgX&{p zEm8GnTe1c&Du-WM%C~tJK5f6p5gGED)Xt}DK+tW-5+k?J?Be+_`km6w>;DFYZn^=M z*1E0*-v)qmXe-0Wt#5=tSupiBr|iy826A(H(o1ALTC!QjE9hYTdp6m?0wtgX*$|tJ znV0Ha7+FW-EWs{$DPu!9)yG3_um^u58>^n88=()=RXmyne5(>u%pYN#K$_X1c-{#1 zJ$TaQ;XRs%5JIEgF|dz7sbs*#T(99%3c0-9_xz`h&Pi^H8FYo2wG`8xZ%)f9hk8yy=flM~T*Fr3G$M2v->A z1a2T5U)!k#jeYYeziHdwoa%0dW9>0yN5%^m78!KJK3v*{jjenue%0K|TMgmAZM>}8 zT_i&y@Nv8^k=zC=V_xdj!He8n0p$TE3YC+ClI^Oc{+e#C<50&`DRN*8XHXi*vB`WJqMJ{Wwm zaBl?Za>?e5!V!@lqaa07p?A%#xgVNb&b5ME(EE?h#CSN zW*tv0laP@~d!tZ4Q<>URT))CVmx(sUusB}CGrvadAGgYvTbUN@tOqFZ zf)Z(HKsHqLFIN+U@Rsds%4j@d&BE!O2RW-0@utMU;>U}PHz?^!hnlyB)v@4FeU_kl z)zY{Jqm8_-i{jCiiSEyL@;VQCvzBgR6I3)6G21#ncT$F-sqTkdN+0Z_Nn-&Ougux3 zDxs<@aU=MC{KLpc@G;wyu<^y20g2@55YTe;o=--5dSgTZn?l_zKb**)5>U~kI#M&6 zUrhO}wY%=G-SfyKTHA4lSDmTHxpncG={2Z~utqSp(^9qs%FWcJ#c#vz zUM9V2Yqz7$YD*)?e9ls87xjJr2Y*D14S9YTn|q{WXs&>RIp88FkCq3J)b1pT=`L)< zwE}(H0l#q_TQsPT67k?kT#t^OUyG9?YfR zlNw#32l?Q@G%fp-{l>@jqWP>@FSmSV@_;DUI24Rygja@a-)p@b5k?0@@l>WHMEn(p zUP^^B^n!)Wk4O~4V{d)cbd|0*_R$8{ppEUj&;-DtS=JC8NUwhx;!AK zjB=^;JuY}ed>65*BC-s4yldan*AwUOqp}7QL+o9JL?)C~p*sl5C1J7ReE9S9$tDmW4Kqg)*L-$egkX*( zIj>1p!30_!$} zuD7uT=ce-$^)?Mqu>6y@vCM=KGqqAEaFwo*_r$OAUU$WG5*i8zw@j0PYd+S{XXhjPq zYJ#Wefm)N3)c*1cwb(^}u4Tuu6Q;1VWPW99!^)D2*#)&b4r}WK7QV5 z5_=!h+$zXBfw)uv*gr)a#r$C&OAGN8y0;gmYis}I1~Bu!_{cR0J-qN`1&V0ywMgvx zzsS*f#SU#XjslP(&E5Xm1NIV#Aol$ zhl~i1wgdnS!&^idif0*F4SF}@kcGa|+!;vfJJ8p{cjlp|jP@f-J`e=6t8<$%ytXiK0w2r#Z2MMa-0(X7lAW3V(<__? zA$B6q9W0vDvb*nv^diKkDX&_JQpA`Zpl{80HFtI}GUl5G7pwsBwXDjYH4$#jj&p@s zrKd55K%F1nbW+oMy5>fwM}50v3)rRokO>DyenqCCn#!Sjg!KqxKt{5LTg;_ey$L2y zT%21?x|okze8Y>C&Exykm$6F~Q6m9kh^r$fiQWUd!QVA%FMxI`|qEK)eBs z#Jbem;k@)hu_mV-EZ>ZG}W9rG0v+%%{9otH5BHL-u)W_ zBGoo1-2mRUs$P(?TU~)jDm|~OE0S?>qKl3tohQuP^EE1mvLe)>uj=yf)yO5@F%aWI zr+O}==zAvq{GNp)2!U133uW)aEu)CxfVd!IP*}BSm}L&6C~4XKpH6DyM8p`f#LT~O z-mx7i6mm0GVF$y&&p4D+FwKEs5lH|&Ap{Lkj2a9PROd(h9~cy;&`uV2^Y6F}y>UFXr~AS1H>fh^zkRgfhpd8ZX`ma-d3-DO0Kf zEDNF!7Me;z-8R~&)OO)jMUS?JP*hq8!d3Jy4=Z<{5_2M_{QhQ1^V#p;Ib`k0A`Sk1 znJXp37u2At>E)D+lbMDAbM{;BW9$+SYwRK5-G8yG2oH5KJl(vH`kdF#F!?gLVhd#= zK&PGx|2~?{?5=fD^B_L;h|``6cvUqGgr(dF^E3CQzr2a&9@aiL0Qw_#3lhm#yr{ef z5R_7ehbSajdT|f69ZqkKc)q}bbdP8IwSuUQ(W(#+9q&D*SWqvUBr{;y0ys5G>3Z|CI>{^+o$fTVrAUHXxJbPAOF#F_wht zSH_&%kH>r!|L3X|`0Kzu5bEFgkEp*Or1TJKxl&UiGvjy2+V@}AuK)OKOf(+7WIl*^ zqs&5ZA*!Qoh{+njm(k&Olmt&X7Ji8c|N4U}2}bja>;ahju>7`p=EYe23z%l>Lbts1 zP{3~b=>98?Y&(>H&g1Gq(#SAULGCkJB@(fwz- zINH!cFkjN!ipt~C#BqyF?YA~_CSP7SEBFWR`L5e)z+S}~3A<5OwXLYI$V0iSQ!e#mV8R6ad&!oDa>ThR1ZeQa!+}aFn9s*nDMzTP_}11x&2gEGBZqdseU`&Y0|mn}>M-9_ZqU zMUhs-Kq7525z^9+gxy3a+`%T9X=uPkS{h^BJvb2OXod zW}XJ3%~z$l>~yR42OH#;fl2B=T2PtQawm4QD#6G41kk8j@ONfV-39t-$$TcXmy!9= zDbDhuS3iZ=xRky9{l9Ym!XBO*0tI^DQNg~6$RU%OsPrWC(iM5#-cdn}0C8s#ls!|4 zg=*ZkndNjCYeY61Xs?MN$q3`Pjk=23>7c>3Q}z@w8^r2kDDz_UH|7c=!^rblu@c0( z=#f?koVpOt5;%1ModV&*J!CA{v$$RhY#j0fDR#v^lkVnAazgc=#TS2!u`7d&bE=yX zfU1UMZ=pzf7$}prHLCt?e|r)MUYID>)u{y|dA4LFiK&w#SWJ#h=~B`1Wz`%`FD6^# z5c6p|>BP^R9=S2%`!*? z#0s7%c{l&eP9?e=A0?BRdq^N_?phFP0e0~(Q!l)~-mMf7W1n9|Qw7H^LxD*d6hjvN zi>{P!uu$S^b8qdWA9cMqi72>R$^bbXy$bZwqic_qJ)$jeZT=O{y;Ctj7;FzW?-Im? zT1isFYVmXXF92d2-AbE*t1qLVfqq2BHl`N`^A%15=R3e4nVYl|SzLWPid1UP8uME_QIgp*?+S!8t5jfcFebul>dXco2zQK>fx_^#4lQ$M`lG@&N>K^qSbim-1Ufqw5 zV{K=qaTRrQ<}XpMoEBOf=ZlNs%{pDQ@tEcZrHQJ80;#tc(iaJkkX^ci3KZDh75}q@ z_3}xrre%Y)>QW7T!s~oaYF8bRK&}QdhHhSnIv5n~>RZUEPk=73FyupnpY^7`;9+%x zGd9+2iV980*o6Oar{L>=BoOpPmR{mSKK%^oa9HOAJ*sKU@eAcAoha&`PuF>)XPXup zcpl0f<7y@BH9qZ}yBN3)#^J&Mg8gBG62gSq##7#!0T6RGuaKW+izc z`b`fOCKe6O2owGc=W~K8oU`O9wp^^StRs5NtG&#E>#xV7Tej7vUSQg_ue4EZ^hSq~ zHz&_GGj#>JlYbKz6DdZBDRb-%Pp7lz)zrd(xz~YtE86Exdss|qH2U0oGz_0ukCSAzQ1Jf7Aqc#e3q(k4* zT!*(snCAJH3?7fw!!>t?~=C@})R>c(D;TvT~6qWI1N zxB`7sgVPMc;^0?EOyID=f{_%c^o3NbWn z`WVLLk!*qCjK`CdYa+8{r1n?y3tb*(gqWO18b#kw$9-JO$NK4Ij;S*gYs^7vyS>Qbh>*B%Z z_^!n>?;tnntlT~k9Jr*DPGP*PCf|A2UH#k_kI|J!P$*E)i!Q7t%Z&s|6cUl1OS~z9 zma6EYOCpv4^RF-ffqv6L5w5mBJU=J#Ft3CLfI_S>`ivML$J`uV#G$5?$6>1*zGbW} z!a0M6YE!W1SeU)^iJvA{98fu;EobFY5C{gl@gP4s@lKcr#{j?w|^W7cyxV6Psh$wGE=6+>5gt3XJ06 znKe016EjkDI{HRx`%tILWLlJv!AxFX5M16s1y&BCCa0e0B&;6%kG^5R>1x(;HHBr0 z1F~66sC)e6Dz{`Y0w#F?^*De^ihQli$KELNqv%GWTct4rP(#nt}{VyWyIa-qrH$P^MOKRXry)9jyKh zO&|Z#+o%i)Khq>^l}jPDeP_oP#`*!lr%_t`?fl+IHSfb>QQuKOqLL@!-9|Jbrx*X} zH5HJO!ZojpGYz8wQ<2vOlZf>8av1MlRjTcry-%&GXUX0}?Kb-|uJiM+y&n0W#F=LV zN9*gyvL-J0Ez#P)y*?`xIL8`OKE0dZ?YA#dU_yG_Ss4m*EJFYCXW6>^Oby)FJ;HwZ z=eSSR=Xe}acv7F{9SOn9cqgd}cT1ouDzOMe^s6yBp3*6es{r%4BW8gkj}JuDnxVa@ z$xpAApBapRvnKkA!_4f4-go9<{YTx{2=%qW)^i~LCRju{R*hh1p?er|cxNE-4NiB8 zbSDXPOnZVPkbm}EicgGbKedlCFZ8){m!Z&FBDvUN3lZPqv!X2t^Nx_QmX#Q&Yt9Fz zRn+WvBA-D2z@|PQ=_v^9wA<+O5p>zN>^75ZOb=P-iY2#Y6hys77>_OiPyE1b+X{00 zlLPlo)ed_hGi(Kc)g1}!2!Mr7WIg2eE0(yaCg~u|DSVS1ArWl9X}G4$8Zh?<MTR9CQkWvDLxBob^jBhf0q@Q+<>)(SO5o& zE%ZIBowxjDcMBWYm+496;h;^o6ghHs5K{A_1RhC4RtP7Td}bYQ`;`RL{2c`D z4Jz$^|F}Fz?2Ohr!soj0j@1yEO29ekXq! zk(M%st41E1Lb_g!Kb;4Gkel0QrmbsLYb{%2(Ho{gHkP4l7WvLg3|0nMkVx|#YKzBc zFrymg1)@HM#WCHAT4DT;gUnJMVL2FTTu*Tk{5wNJK>aUI6O-Vi`@o^+h@hvW`_gd3U>gFV?T|5oL49tV zC{h9g7*<@B&e-i1Hyezq)Mr2Cq5WgwF5lDjBb#u+#<&6~f(ND!eVqV(#zC(%^EjfD zfd~#EOuL?30i%tWLkS7J+y=Ax&iuEE^2C`xlnJgCozInp)`L$#l`i8kSs3%upRu*! ztjxk+y3a@)dJlAW?75A-{qkd((+iz!)rI-vo3AbW%o zaiTG5#;oU&X<$hTy-6*4Bnl_<073)CF4~g*5cO4jX$<_P#JQod5PKJ_{O0kPoUb<_ zApziMnhcq&jW{utA5FK!ZXA;VhE{N@k2}dO5UM>?^XCtss34DnIK*P`3<(E$2G|f^ zQ*>QItLytK26Zi+@U_WWb5;52;^sL~vLO;Ycmv=_76+Z`373@(X7Xs$9fT|ks0fy9 zx!!NJO(=#2R&KSgFb*_|9@yNOjJirx)CwbHzcXwSj3jw-v44zSq@8qdkr)gR_<|wr z5q_H=r4$Fk5Q=1CRzW&>`t!B%5d{ul|4cFDNrMrTED>1K9_RMmAJydNys&(-^Y~FHNSpYW9+CEvgHc z4b(a0);r@f+<9C(`r~+sE$W(Y45qqZpI%lv1_25rp(5H?mmd=v!bH-`mYZy)_W&Ht zx2GSq4zQbT+TEBI85=cP^Z3gqJ1*=X^kF?L?o*KG5QI1h0?XE*EBTZs7LNAk#ek7@ z3FdzXyrUt(44cGkq_EMWRRZN=q7qA=yBKNGz9e=BVONaFRsn}|fR&V1z*xS*Al|Zb znmkZcWywCfTGU1w8no##^Pt?GYYC@Os5->3Vaw1uDB~lV@i9ZN;YL^U-jkz+u4F`5 zfU&7A3UBhIH3J>+<5jbBz@gfl^g^nn0^L4fBm~LZ$&CqRPxDtDCAs-ylB4K|1#@elp#N%;mx5mR%`}|)s}7r{21^V`?Hd3htAXS)m~VPK0JTh{gWVlMTjw8 z$BR-1wL0`_xq_!xm=-^U|73!r-F944)s(klrQbl;?+UBtdg-G$jxT~R=CyfF^DE=l4E+SLfxW8L|DOuuf%bW7~9#m}{K^!nF zngg+Q!kOh>a7ye)^YHr^RlD@%#mVaQ1^9X>V};Ix+#Kk(PEfjJVY&J2%H~!}FOIdu zoK1uc*DrPdCDdS4Z(>v<6dw%#%)DOb;mfN(*iez6p$B(-+h0>H1(>gl2-|ylubFd| z=cE8Ao3@SItMOLY4lk1%_ozfnfn4CZYmp1(8O0xj=fVp__}*%=aPAX94|oYYg0lLN zcG)J<9d*jO?l0(Pt)mh#O}5GKC9H4ft@!pi%_IS3@xg1Lf6z?g@yT*9$LFM%th*n5 z4@k{~8ZEr+g2X?2Avo%pZFLz8l-f?0ckxxi-`5om!n@L&3iFE*I}#6VV97uZ1{SoB z8+i#*S1x>X4T(?`cx>JKkVKK9qUs3-rz$vW8agJ+-=c~dPuLnw%QpPw&R%mu$f!?@ z2JT8X3~E1%G!=y~B^NT=DajCSI7?g&M1K&)(GH_&Pg^pZ0Jzasf4pOoMEM@XkZGgu z4qdLh5pz&#Ys|Bf2gn7++@k{NZsMKs5fLNBeBH0hk2f|qx}JCHKjf$5yi{BfvATR* z5W8AG*3^!u#Du_i4Bwc;r5XkL`+s&(GqnR999C!e+k9%ATyHl#R+1b+M*k%IGJb1s z4}Y0CcPVoVu+n*-?&LJ2gDb`191rlk@yNT1tT}0|x_eZj8>n8#;0y%Dl%DBnj3Sx^5~4p2CxQ{FE2?ip>o)9iWh(cBsNAxSZPf1XcBdI8ck=)6R8m zMrIxR8t?PCY12rt#t2(gMi_0txA`bhqSuuU{JC`$zRg8JV$6SyXm9uoa;dDsf_>(D zF{f-OybBQ3xkYL&SIPn+08U6@TJH2xDNE-qf}N~uJ!|DuVdwQn3{Z0Y`QG;=*=B`Ee*85;YIyvSh#Y3phh%lP0~+>`5IM*$omxlzewZ-! zylCrOe6#txIQGCk=X=q}Qn5Hvk#z*W!*&?tnVzz>L{GZyb}Yl8SW^3ta+FT#^yT=* z9Te(ZK!-V!>s)<9*PJzK3dDzSKSCj;^H{!q{2!m|6B502Ecjf$!HrLj1UT;m;>1mk zBO;v39+G0A0yXESk|tiBpE+M}9q_p^pbX$dp?$gs)XzYFNhavKZgxSRlWbgL4>!wA z{}FxEd~A5G&NZE|APtQ!B0HD7^-_YHRr^&X*5Ey@Z%5hQjHd$$z$Ho{%5p)ZoU;9V zYRFkYkNy}>MS8H|0b?*Zdt5 z7wX8l!Wsx|KZ}N1yBA5X^>Os)g8YGjDa+Emr=vDfg1ULp8eR_$lHxY-QX#VM7C|0JfuC#hAi7vd&)sstUJk zMWx0M6kIFF$g})T#EK&pF{GnY zLQ%N0E}KJM8vA*s>rFp^v~U;=;TU|8yAAg_y#_R;^BU(k_z8_C2$?a_v<1~-`Ud=P z=24yez%mM-faq&)#dS@gn&Lj2d4J|0td=(EQ?CtrJUrrIG)KqBy>r0gN!nRXO^Z5T zd}1^94@Sd)xcdE(x5KJNQ$&~+Z#+zE-v{$YS?F#5UetPNK6m)%R{B-TYljVD8L%jiK96}l~5xaCH zW|nU3>u#FaJq5ttMv9ZIEokU$+&B{|uK)B}tYf>(M(hl_EB#xW?Jo&v#Df8cjvK&v z4l^Cqf1X)8xp*`!9@e3*p7B2lFxQ`{BC2i?vsg4gk9{1rFXTcG%pM?9IsvBF&Ca_8 ziMTLt)RlMMA}|Ga2lgw>s7!vRUeFB39F5`$q~_->j|1#M5(u6C(0=^=xv6DJQADe= zyA{BCf&n+VU!KS`_z0rSklzPOg8SkOs-Z{@2xN}KlxYxdHe}c1DK820aF?2S5z`23 zt$kLo%PcEWs3o}gin=Mt1Dm;a@G3Z=C9LT4=lW2L7TZ>} z{<)NdyU%GRI}?}=w;{E{Gz(62^tgj9*)#3bG@}y)xK%1(v%PQ6?D5_Te5FAI@WLD# ztbw9j6G~fA#z9G+o(D;DGJm9{YAmM47I!Cl8SvphfKIpvesl54PD@c6*?Nx?z)tJM<{v?3EsNQNcg}(EDpLV{E+HDr_ehpW8>u z0?JW7a})czPJN&uHc7bh35d%o|Kh+vjhD1U${Yu6zzgT@X$|N#1OMR-f%+8ZNC2(Juk0!-1$)N(!V!072&G9!XOBksghuq|AS3CfspPQE;;E=6 zyICz?6bF{Ox&jw&Wsm;c9{g@!wak&fQlgh96|=`A6WyqON$isN)rd`|V7^ZJ!-54O zQ-$Jz=o;R!802>!i{;THhKO6w6tPoL|G_U;@vNK7 z2vwA&^>C*0S)V=ww6jp#K)Yk08ei!%mPFt0xEr+R1x9;Vw9c+P=8By7>n`{9x%kk( zdCUxhf)-q3dk+;<7{ZiQ0MqTBfpU;%6lK8@SXir5%l*$|(a8`8VompLp~sR>DR`6* z8xl$~=PxCStR>K7JXNe3By@Mf%u(KKvY^>R+D3(`p1aub(a`PJfZi3$OAs^Pqxa-l z20;TC6KqyK9i_X13R*$|{rVj@2qpx(U0$;la!igkv)y!(WG!yQBF~$XF?;u6em`Om zEVX@Y$*hq~KSo*-e6COH@|O^Qx1-P}#%FrWzZht2>pNgKm*CFi?owlsll7}o*fuK` zxeFJu3}N+UNkMW;ik@5$D1utIFs4vOvOA6~!kx^t$059dvT|~rp?OG6GClHM#F0X&xVL&>v3H>4h90-shsKwYPW zZeEpCcZ|xpF!gz`H1tO}ZN(gk#C)(#bOVhQl<9#CUYmsL$wBMh4GkO+L^PyDUy-_TMdKoy zAUL3+Iz|@x>`vOq(o>qAq?cd2-wkGsWsD7%3VE29_(!3^tux_f>b81**QvrU*`>%B#{ zrl;f!o)*xm8atxxOQlBp+g}gPKCoFxfC)H+Jox(?gyIkmRR>#q`K$pG0gWjNYkq@E znuwWNFZ%&O4nlX0kV(1STpiU0&4)x;#2W1IQqxPvhgKZm9uaVHt9N|IRx~U+)zcPH zOxjh@6}r*cbW3&t(I3u5a^#K$iG!MFF%>igsyx07Q}Kq6hgH9C4Z|fZuBv3b%K#Ln zx+#J(tgZf+7po9Xi%8=H6=qv2kqk<}n_nnnPgnV;v7dXkX?jI!^x+R$9{i;@qgil+ ziU7z&Wd>S~;lXlNdreTi3A2MJ-_MvFzpLg@PR=~r%ZQRkIJ6M8{&^5_DJkLi=F>_9 z>xB!jemF0I3W6$xC2@Sodt@lOPBUOeR9-oa<1;=(d<_l-lPQYEvv8;Fi}TyNw~gH! zV7-mTw$#F@jE>jaUV^kBNoT&mQZ&-C%gb>a@)_ve;vR1)T zk%Wh^Kx?LoOOH6GCo1<*IRoaZ+epXiamwd#4rM!0p6U73kF3e)=*@)>0{5c4E(iN@ z`s72;Y@yL#uy*(zkeVd= z)mY|()4zTY7bjyDVM+`sDy+A*2QK4=(uiHzr^tyP&lMaoVhZUH zbmNe>p2VpL>~f%W*N*MP>Ggw(a_f8V`o)h_*dy+64e+uf=RI3IcJKhB95)ruXa6`v zK{1sCVr2$mQ7h*wAR6WQU4UWtihBL?gg2EufGiI#b$%z4?Y9#2Uc(7-Hap%PHejD0 zkL$L9?2fv~+rc(8;Gzr4ZV>Q3->B%-*3G~qKs(tI;Qhp+nm=8-zP^YUu6t0pVYayo zLMTQ{>v)}0$Z(FwoS+AeJRV=}ywzF;!7HJdsUz$kY$L$!ykO_XYQXL5WmH!zd(7=Jl^6zs*&z13?Si!=qEC*@ACFLQkarr5A zCv?`dP%wU5spED2OIc~mZ!dZv<#ZuK$?+R20{I}P~7tvb)2>i zts|fwt*Hr54=xJaLU-%g{@Gnu@!kx16d;jlkC~0X43izy0kP#RnXg8CBBMs*@C*F6 zW5P;Az|(Pi36L-*<5o=Sh*(d}1|h1am*3Fn?yCJ`UIy+cUYySV%Fb(zr>%S(=V|L= zFjHLFr-q;}5RvC#Ot4!5M&kAG^zXrqi?tyJngrPsbk?GP)p1L&%Rr~>s(xZ|D%n3m z%Z=L?50K0H>O1UJpIynQNxb?~yY`6ip$9~MZ;s=@upO)&%mAt9d+ zJVXA%3v!a(V5pMFPHvyCm+w8&VW$CP?r>r$EV?QIf7naK3OpfX=*sqGpT2ozCOtPp zNH#_9dPP|i>eAsI?UQ9sX(DGyj=cUZq*5w5Nl8?6Ex>7WDf53uvTP-IO*eHFlA{Dj zqo~>aT-_hy1zm+K;VHj=RY^yvWA25n`Y#1O;v*HRjM6Hf2M;bgLB-73@UwtMqyGNE z5{F5PmOa#b?08mXP2ZH7(Kym0-I}0)&L>Tor0bx_kXDjB0WU-=4n6Ug+(_lPMCAqD z$Ocok7#YaEKskl2#;mzir`lbTaUrd;9Tkeb5}xZiS|{Z!U6yA0E6%u_T+iIoCW1D> za#A#QE}gRSOeeUw)7C~arJo21Z;oxuSzg-={4%T7qf&~i^H%m=CN?~3vESxCMYe`! zPqs>OvNkFLF@t4NdBg<6h|=`dDS>~2t_2zAl?~{4$x{1aNfv~TetzjQ=eTT<|A)~S z@B9e)L>6=!Aq}kr`s71H*3TU4{uM+hrECRz62G)I zq<&)46_&yahfNEjk&lgR*J8 z`vwS+C%#o0oA>!wXg717!JN8$M6IQ$D}B z^P}?ctVHlF&<5m-YQ1o;k09zXWUZ~+#}#P*7IMST?Ee6^{rG{_%g4{ZzSB*3=#{np z32}KB=+zA71_*s2v5%F9Y&zo_3iU$1L~yhBe>>ks+}1Td+eh^KJ`ynit@nZuw(00< z(*Y^etB^ok6AN0g=J57j`&IWL= zdBU(_WNh=Lh4|sVpcfCOdsp+^Hl^mykZ$Hr? z3ADTy7I16H}J$eOpL6KqbvQ(+mB^=rZ%;KFFZq50+?FZRrj=7Z$Trw z`*TC>wa-s<_8DD&cQL}(-6c$2P!^M^o_(#o`8aP7Y<8D}5waE_?F6fY*8Z?{_xi%k z{HSyh0wcI+{d2KHKcjrlML(*EUGL{l)EX}UD~BfxTi`8F3+{-WHsqTC1P%1M^A3!|C-%z2X z1Lv#I&@n-iHEA@^z|jYfyz|aMMI_<#CdTGWL#7Y)n-g|-v8XnkA%Z8ClcNfQs1s29ocU|CLO~<9D<#!^$2Xp%LjN}t{Ga}^nkq9k&uAFn#3!c4uq^oC zXBtK?VSf#gU^lHl$qyy=Y8Vkbt2!>ZN$F6`qJ-dnZ8J0094egV`aH%FoLoPvbBoN6 zuFn2B#BSRuM=ZB<@!OpR)%zaXRv-B)*)K55VIh!s z#K?9!V@Fv>3lb&dgyW~CG9KLg}Bu{lnewqN7O1q<5uzpSDxW#{V`; zN8@?f%Rtiw(Iv8Dj-`uk!`mR`XJM@vG8jU-b}hV? zL&>2|y3B3N*O>vn)hP%3zop0jQX}yHmLC60jUxYl=<(aqCHl>SgZ%zBatuKB|LmYD ze6e$a$Ud&5;OxZB0Rg+<4NeCkBs;a!uO-pAzi;AqJ&t$*OrMzmv@3YT}9ds|c8QlFLL z!JLhON*D_s*5wK(A8GfVm2-HjB{k6u84b90`O1X^2@eL-Zi&-~z>9_%;n@3C@{4Kh zd^2N*w1eXFAsom`yV#BJs?6(&8Uu|q;~IUjVCNk2d}DipW>V91c$0B9_e!GY4g+l&FY79+-6To23U5+w-}%$L$+lh#^>U zYR)aLE9hLWN3i`?!6{Nda&wFifjQ@jv`KMf3Eb$~TD|KFQ(ixcl6QT+CdXObyy^!i2xKDQ2bcmWz~Nf^6GUO(B*4ff>%=?nOe5fuo#*fRhI3IOE80szSWFFVA@&c?>X*4c^W zKM`KPCv4Z4;X9F*d7!z^Q-)u}&Q@rctsx>1M37d%s96c%W{AisoPL~dNG!;6*Qfr# znU(<;!KB$GyNNGQS+CcOCwM0QM{{DHrUWX!ES=#Z1*ErwQcDUNmK#XsPz28MfqT}u ze^ny?wqj;M24~JMl8a(AqYr~*M-n;f-j=uNI7AWaGVSr$Ry79{{IMO9|31%YG~A(Zd4RHY2hj%zs#q#NJXbMIB|BBhzU;v>>CB<^fVo0io5P8o(q#dY#97dtgQTKID zjUh#dkCmobQ3&vbLO)Y*ex5& zkAzB!VpGwC9+|c&Yey1SOw&~Qtjyq_DPMaZUb7l$dbQWD^!S(imvYW=y}L~R*-Id7 zOcD_Y62DIg%sZhqM<*-pcq>VgPQ7#^eHP#4MWK%++SA|cOL(REUB884ENorU7+V^l ztCwSUIb5_bw1}h(kKq#{I`sNlZv8&Z>(UuZd4;Sjw$VC?v{+ICSE$ftfyh$TE;n|( zXYLS9KZHy=<>)ldp&t!0DAe}8k$W* zI74js`vN{N@4bVtj@H|c7$J2bbvN6Ojt0BO{#&K%{;u0^u-E^+(q8|6EBCfb_nF@k zNEMhaR6C;khCtPZuj2#O_tWu}$j*fVW1os~Z3Y4WWY^_PlY#(sWcrw~gRdaIL`Hlv zONh8dmi$L;otf|O?nVbdPuSG%&T65433J+p@bWv z`|U=@S+a>u{q^7y@^_Ji`ON`aatw_*F*+6*U^R*dCNpQwEFXZtE2S~|fNqmLhi)|1 zq{xQn5qi7Z%EAG3`n3F^&emy!*DZyww}Xq1HqNpRD#C|6gG2M|H-A-f3J5UzlLvV~ zPl?i_rwdim2t;%q9oCR>o9~?ad6~xWEQP-gMF+L#+ZFq0fz@|J_3U!%B^cn(l1d+X8+=yOd;@0G*@UT~@;PkL}}4 z2lCyoS)afHg|x`BYvReC( z88XxxQPd`0iw-r}FfG}}vA&6a(MBC*u8oogYip#`G9#n%=O`s?uvr(j9uz6T( za*{coIRc|PVucDzL&$TwB@XcKs77y|LFN8(WvDk6A(&}}&nPQ-}A!rWIz$_%3S zb32bKe8oa)<=rIiF5^RC9gSfH^_yq)c5+r^#7U$6)5wg{k@RG)rB!q&2j1DJJ2=G_ z>M6)LbA7Y}Ov9E(^D}4fwKQ;ws+;;cWnsQ+ z?gS#)#b_9gq$-Z9;2q|GoKm}jDm$!~(yUmc6y@6sk>jractF0u0E#jopbXz2Do6nI z_ZAYsa_476Ng~OTAlCi&y6pF01NXiB-(@S&eTfiXwCA=k?Arli@qN%Y;_AN@aHz!B zB@%q=Hw{_b?+$PX4glc(x7`3h3v6QT5wd99tJa)-4SJF z%oE8En+#IA{s>S|QwKf%(VG|u7kV9^JnOcS47hdy#;hhQqz!^lP^ON!;L;XVm?8E$#9%qM-=)&~SX;qoUt z0+z+xdSG&F&HMjV{WlVvA<=loJo`{Z_mC43lZ zO7ailn0l$M|s|6_>fB&!phQ8=d`zQ!1cKdHUg*N;*e#us?6yvf2!g(SveABse z_5~l@7#2P}+#_0)}bMcf-P zB64ozp{OSk51i|hx_sOjkq27(ryX$Yadi3|xhb|^!U)?JtoPe>J*Rk@By&=(wg4+$EeOfTO&ISN1o9OjYszCg>vU_qdI>tJlu5-TxxZ65s%mrZ(raM z_CHgP3Bf{J#1Nl5WBYv|=g1Z@GpRWCltcMqy~pRx_`dHwq_eEm!aK9eLt2ON7p1do zlf>4wh*3f@+tDJ<-PtPI9q9-AzWHEL#*XyiLH*Lp8IH{{JJP1(U!O5C!(wo3X0i8( z_XyR!Pv0X>6L$Vh3>0?tDB?Lo&!gg5G0YlZ*mhl0W1Fiu&smZ`r_$*zD#>T&$HgDT zd}q%daq6O=0u#9@evE}^#x|q3R5o-Q^0s{ZXpCcbtfO;|gY4ZCPk)!IU-JFnQEv-G?JO4j(Y~#bB-%axZ{n53n1;4N1Zd z_F%XnwB+-Y{)GMeeEWVXOR~T=<)4-uk!@-;_$E=A`HnsZzS!R;TgxurWj(2W+d*3gAz~n z1$oAGpF9xM7wA|Kw`WYNzauDaPim{=aK`V6bKIP?*{Z5q;$sl(*pa-)*Ksr%`R-N+ zU)!Ec78GfinaM}X|AHZ!fFbhbBZwsu6tA)=zG%eSBMq76l?j`(7GTi$Fzt^tB;;=l zOTEX>Z)vha*`4C3BbejeC%OK1?H!-$5jqZc5)bJ<=@F+Fc8%U_*gFNoxxe<*F;m|+ zDy5dmqN5CTf%kVDM&7ahM*EJ#b=Rz*( z!DbZ{dhYK&+<6kJtA+W3+KL=PO5u)^_PVLMN7_F}Mt`EiFU2t`g+2bE=x7nR zYLF=*)4l-J;!^s|4&i|@Wl|ptMM_l*X1eeA?2tPPPM<|uzkVWPuoA^MVB2g^{09sfcL_uL8pb+ zvlsG`h>pX%>Lw|*9f#MWIdDk5{C9`N31h&(j&`~atJ9B*s=xZ#>xz{H!*X2i@~ZmA2@^B3I9Oz?;n|%I zgD9Nti8q`Rb|&KW^`2zB_M{rV@fEjC?(I)Eyfnh{XcU>2JX&?W(7jYk?@L!1){PZ! zAJ0lR8>Wg~<5|aM!;d_@uEX#Yp1s*y3=V-8EV#>X8J@lO-DMC&@tJYG58Q27EwJ6p zU=m7M4>RnIdn3!ypV~kFq0>9gbT)IXReJV6@$t!zq2GOn`hIjwV}HHJ&@R3_fkkeE z0h!8jw;4v+f1j|Yz;j3UVJw{N)=USI6I;{qV04?IvgLsPo{0~Awd3ox{q5gz?#Vm8 z=Ik2`Qc$~o!TX|PVV}5<^o<#ljFPd>E zD)cM#F2oxUg z=`oxO-nkz`=hJhL=N>Zn36`Gs3~vNuPwD%@P$X2dh*O45a&>HNYfK^ES$D0&)>B(J z*HO%#J7vfaihI93Ww01_o;FNi<8~Mh^~4*;2|bC%no(Kt_?g{Ua}3eNV7l_KATl4Pv@dm=se;a$FkjdgBl3Cilra%2^ABrX2(C0 z;;q+!%sihsvlz6#mPiYb9$m%4KbA&CEY#%G^zU?+mX;Bz8s*kS?D+AZu;3b98x3Vm zymi}R=IE2c#IS4CCPw+!qCOvptTtk*(}vS}oj#l@yG^RF86>Qpd7iS$mZf@yjP_;A z+p24;*xG%=9C3Us(%W+uE^ln!XLJ(t^{QT|tAa?4T$&~}^@tSK(yU4A%pf5vG3@TV z9L$r2WmPMhYDmB;vaM!$V_QPv;>9Fn^@Vzq*Ic5fe*tg#2I9=%3LL^qzVQ;oH<8S3 zB=}~cI)c+`n)8=6H)1?m^bTbasTJ%(+Xe)yEvTz+u4yFpTUgLBA8U~5R==cES&VMYPCDnA&QhXBVX=7e#Tbrx>htd7FRV_*OKTh?6*&(D4)Af9`RQe zeN+k;?q;Pw4@(Z-s&(5ro=6O(wtLvJqmtFWO`imF%h02misq_jJ{Q9O#_l^R+5PX; zD@`Eb+u1(kwA}AiNft3bpi7!bBoA0dK1iJ7nd(ZbOWs*lUQ|-)%*&rm{5ywK`};t( zs2#*P;o=$vMKJUJI|r-S6ynTO>DU#=q`=9$cu_TGW(Emwp7N4plF%M)X5>~i z)s$5=*E*dftao6tl+>)&Cyewo*X%RkhjD>HI&bMci?YCfqAYW3eId+O0*vT$nyoPJ zMRwL!)o?zqtu*;x-jz0}#o&Dd^H1w*_`liB$0fVZ3t%1dBD?Rn6fOLRrGF~f{Y)=$ zYqf?%ysV}p!(ZWQff=lDR#fIxI&g$&-Z)(Pa_OrOSwaKT5e) zGGLOwzl26YejwY*26o$q6})F>wOuOwCs@jit(O?ZpTUTaEk-ee$iH~m>Z4dx&W^8v z_4fY_ZL6CFY~}AgFd1x-N($3SeLmxY^@1 z{Efo4>IS}r!{|sL;|*ny03VG}LQ0!|3NZ~6V1d_=FcT9RhJ_}We37n4Wj|g4#v(nP zn9PFeok;#@ASQrK{alJ}3DnES6H}00Y(a5kuz<~Xs5OHU@^UKkD@bstpl%e!%NJ$1 zDk{rKq%3Gk%IDLdxHNAq?^zqli4+E2R;ylq{sOV_+!jr@SRr;K)xCZ|x9SQBN4D2P zYG|xm*1VVmMhe_sXbLA%6q=Bu1sGq=4h}4w7+uqY9!4xWt1lb}YK6rKEf)<235bWj zReuU|)SQ4S+ylZ|OGFi0lGb_Mww2VZa@Q@ZA?9SRM(!;TDTQ-R_4a1yk{qtp9l9hf zSd$|L>V}UL*s{+gTgxc$@Ea{?YmxXl(P3>VjuBM9Gb~NeCD81nx@QEX^A2O8=vzU? zMg1R(mef^Aq;Y5=bi691x_G^-XaYzYTJ&X(inV?z*$NjT_ky+Nw`gGM)o4>sUOX`M224W0wYmzqhdQE`9sN=o9$ts$&DUW_ z(qP6`6Vno58#iZgm*c*YVr-AD|_R#WR1G}l45U#*x`%` zXC(Wz_vkzZ`|E0~fi8$?n`TT*f77O$?OyM6J8!|u_kn?Sn&u`zJxvF1*9~`Z&K#$! z)J?+gXRT-~?*ZX9V)ke~gx?wR;DwVUyc1Iar;hWjIu4?yziU#&Kg2#hBgG*|{3jSD z?exl|+kYpHGJy~4UBa45BVmfb((m&L6}njW%~EL5F0EJcBNx`pB&J8T5i;%8d;XZd z&>r{F=9g6Fmvg_7Sodr-m}EJmTkFi=;o0^CyFSPq7Uln>E(Hug;8TMVWf1E>SkSlF zk9$;s#`WrrJ*_ns@(gb*uM~c1N#%O=1pll~@lTB+@;QOcluhB|_Ci%7Vv+;OTRraq{&sgao8)SqwZ&$qQ_z&paW<(MJ~iDT19z`OcP zOB{>+`#nt|@X5^Y>nmmgiTr@?B0Rz|C_`R>yRu~@wvZ2b!-u(tRz(6nLY-xcz&Ndd z1bjTSl_Nu2`9yC88`jamR*rFvUfc?{H3YI?DL>^tMDv=ewZ!xpYBfRk<`e1*b-9TB zbB&W5hgGj;p(tWHshNd{FVK0|#0$!~Z8)XNfMeX3`dEIYjb-fD?78ox7~!)>Cf+ZgR6<<2c*$p<&|am6{A*@ptG1)II(GdC6RvQefo*hV86!n z_!;T2UwC@(YzGH4pVM&4)f za=s&){Y1=CbR?6Q&1gcOmHr?J4-nayAK(ZEYV9G|$JYnnmH27F6ohO{)&R>8F{NNs zG>7Q2j)R|mp{z0pLc>HJRU6Rh$Sh*AiCWasE~?IDe7Lv`G0ohzSrzQ1A0&HLgqH6Y zDPqy*m*f#sl$H|{Ee?hb*JpDVq%B_mZV*ov#IUflQml{WTC()BQe3?DK1y>@@$fq9 zrdW;RH#l?Vw#6rF-Zg2 zI5BK;=2S5Ek5XhpJh3IKC9ZOJfmY$F#;caa6H|)TR%$9+^&{N%;p~AQrKpw>BAisU z2f0Nh@x(H6Ae~cDjP$61bZ$-s(xV5`vvbOkb`GR-DrX=)W*}WwGzaOlfpkGB=bt{1 zhR+pG#OMq*`6rxa#Z~;$xhodmp*0%%gor_}S_Jo|M$eL;6c^_5 z03OdlpQXAH@EN_cNJ3IYNEo})&>1pXCa5JBO zIch461a=Ih5CIC@;!UM-%DV$p%v>yJsN9d2Z8EEeS~~)4zEO z)4VwQ=pNLTT6ib2H2YXSCa|L1HD->pcx(o~Q$_uqd3O0nIv&ypZ97EFVOMQc zV-xI8MO#W`vt{=gx zwyqx?T-RB<*;SADXYPE||JiGKyq|BMs!rCXrKhzate)PM%^w+SNfbhS+|A{>K_mxZ z(4vx@@+(!7E*(Rd%6^<&RK~yRSU%Nakod@xk2H(>J~-T9J4smET&rxfe}Q0Whua!9 z9nrmk17uF+ayN&XIbqI1picL0`WiY&zKPW<4#`mIifmw`8#U^jON^&N>^cic{#Cu z$*S(RhV>@Z!wZyn0Ip%@vH+jn4b1_~!q(294RmF|&Xs{TOBK1!qKd6&17id;i#;3Y zO!b%4)rJpg<^b(A13P}8_L@+X;~JGvkxvqW*ow1(@xB&G-PqHDndfZan2->iENxT* z|DQyMvg2n1qtazP9|wV=TqkU4VNN+Qhk2<F=)FI6Npe(R@9EjWzBdVxy+6Gj z9JZ;YsK7DLk(29kI49$g=eT-OzN@g*0bj$xm!TuwQ95H%zPlp-qWl@9lM0Fg2I^-| zxn%te2k0(QKZElvD4G!vP*7U#C~y>&I2O1o9HU*1O81BbT4RX?llZl-|3Tu)sdRWL z^5+y)I(mHDp(7Kgt6!LXefg9 z+rW>q4Qtr-ox!0aiTMTeMt9&}1#VxBr3O{)bxo@?k?>`D|GK@?xiJR(Y5Aq}P0fEn6tZ$@!xhUL7vXSeM4)s? z4nMj|MjZ8gjQYC3*B{W-;B$)!`6gc@Up++fL&DE&#Pmi0fSCM^{QOT$0mdn6c}O6y z&jX&`rb2>1f;i`p91CxJD2*J|>oWI3(F1h`yXNuTlvja5aff9DGBkXrVHv z$W5eN5GA=-*EBiNnWyVItKC&Gs%`O#`uaE`<%7Ufz}s9yVy0=6K{W?E3uRNfZl-Qo zR-6 zb5%Zxrg>?#EkflZ*BU5il6_vUw-Ne4!$Tp># ztg{=`=6EO70=`KEU+-O{IPqcQAij;NX4;6{bTM^k^ZC?RbKxslL%D2kSp~7=)fZO@ zSYsT#tz`L&x4sslH{GDk4*AAQRJ>_O#gLoNtH>=$i`MVdRwLiR*suyYzPL?i(@>D7 zcJ3B$Ep1mMrdzf4ENjmv3~fK3koW60c2lKn7uKl*U1Pmnn;_bzms8;?&(AL*f$Q}d zl8$*#OdGTz3fag9vJqFbyj!SglRg{o)PxS(tO~7eyOnj#^)=4Y5)#qD=Fi69Z{aP< z&bz=GA7=-)XN|7B5;w*E3S9@>t#v`Y1s1kdoiIKO1>yH-g5$yp41n46H$G!m6YIT3 zCfsV5i|*5f+^$*d1jNtt)N4tm`?a|(KcI2O?`E8pRSWBD5Z4UxXw+i{sO3%;JWCD@ z`8%JE5Ahmm2sU*q6*-|#Z=*SHjdi?xq+Tp@>9-$X^rTTsmqj}cz!b63bw!n<7P&>(fZipg8Y)#i^)n)WwlM@DgiuYJ(rLF>~R;A6A)$Iq{|JBYjnUtSKq^2wOT= zPMZ6PQ42lceBt!&i>^XqKYFSB*(CPZCGs(SOWp2D+!A#h*NZd8wzIerIW(3;eR_!+ z3*kRw6+1p-G4teD;RMT`Cp(1C+5CBOWc*2Q1!DeU@b%Qd>zFUuee+}|99}$9P3oSM z_O)^6RkEnku(I*8%tEh`t+l7M&N|K*UCoX4YBcE%H6k@WEi)}A&6SpyK0ZB{#C*=r=lg*cG>iLiYme-39abZ2h$^Y|5FhzS%P60wXM}vL zW>glJX&Gxt;1M2K!#9pi&U}35_@p<-8k#QjJS`84aPzy5qtmezf)nwPMZGzY)?Ujm zc*Z1apIS-_Gz03aEy&}PZM7x%iXWLGsvhVJCr&5DHA}JU-r8I6mb_b_@5nvxgqe~r zJ7jx@Otlg6g5y7qVF|GbhbDe8@!N?(nLkhT$&@o4nX@zJWiH5Elv$fOGIL61MP_$m zO!Ci3FC^ZW$#Q=U8#moH-ZuX6vDxFV9>040%VXQdwT+9(@*i#;?iik^!Z|!+_=K#< zS?^CcIpNHNd1N|>s9>sTGrCcuF1B`CSA6Da__!h!>UH#CHf!TI@}t^ zd~57Sdq!AIiTrx}FI!8kxL>x${@-4q%zCw7axAV@@?&U1dPe({QEhR+zt{qeBIx_39txEC)l2U^7DPTFAqm3;OdbrxU2IoHTis&FdMlCM0i#%4zT}$| zVy5Y7gE7-7-k9losQABPrns!h?`L9r!^sMFx;f*?6~xRhX!6Fev+)a>vACeAHIbe+ zq>0JiCU8~re>Q;&nAA-0zN~lVJ=VvEN$M@q9*;G5WJ|y;2n+Ld?3^4= z0&dk4lcylD)?1Q|M7y5IMoA#m6Zm-vQnz_iCHY9L^QPRDNZme=;$`c-scF3I4x}zu z1M1UIXx@ON7Am)H^akMd5OZ!3Hq5|HAW**%K-DDhPBqor_iad8a&Ot0kUgpY|KFZ1 z0egIR_S-B$YG@Vi13zelKVoE6Bdnp*xk~{TLXE;8ase-baG!xkO=S2C{OVZc@>wuU zjV|ngM1uc7%-!6t#&rjLAAdsMT%I)cre}+P6?%=L9X~4PehWK2(Q5CFFxqF}2b0q; z@q@|U8-46+1>@*(ces0vCi~OI+~DsG13#%$Z@8I$&?7Ks4I?n{Rn<#Cjn6*Z2M_&m zAaCp)wPi(R`NaAYbBK01ChF&bqVziu#%rsXmD^TXIwQa27JT_;GeP6`UybvKUkxlS z)SlYHLlNfR^&k%R`ah7i{&@+piTNC;_)N_rW&+*u11u^Ce2>RQq&jWd^+{qfVB5wo zJIqEr7veJ1IATH=f=3!chMh+mh>@_HyqkOxdr&cq>m3_te@#ejP(KBt%iN*&<)H!8 z_B*dODqGD=knOlu%ZD&Pb8Jk3+VDt-QIHVJfJ^C>E?5)Agt&w%C67gLDJKvMLJ&NL zp?{mlom9@&sx|IwQ=gPX+Aome*4j#Z*DcB6H@(8SXNq$k0ufx|n8=H0`4ErMMoy06 z=P~_9J&UXBmt(S-qPd@mLv0Lev)Dshd}ECx?%}6eKZky>Bc?cQM~#j*4on>WTU=a) z8I(OZflE+Lo0ilyv|%<7TjG$6fvG`E$@<8oTp%eir9u)PxY>^3Mi$p6g|f|IcC$Fb z$oft8Fe{=MYG`z{@it;|YTdPuVUHueS8qzw)L={w)orxHU(9DZ!+p>(T;hZgO8sZlNn#6G+f7wc6o}^dL zA^}sh&L!~Q6ZvNA8Z{w|!EdcgQ^7nOQH)H+zIzs5h;oN8o3+f#&NaYJ%0mS+Vi>Ba z2FC*L7)=`(qe5+r0*ll!x}p%HehZ17uBM6#HmTtr{zbQYgS&24o}ZTPuMP21(fT#RDwN{q#wQtgQ1{n&+{S`Ek+Ud|6P`VFfg-;7Cc z1(pZjfn82j8e*ys-r&xaPvHAw1%>?$`3hYEcW;-HZr{daW54~Xcvk6g4b(@gUo>$jY-BQ)KsI7kaa$GBe3AQXd-%9v4s6D~HaJ@F4BAU30 z&hK`8J+CSuNJ7F^@E)sEC*N68sttx|rPi|VDwPMAt=6;=wZ`aTjej zyOH*2_f5#*Ga?heBOBGmS2~Ya#qMtwnKlGt5D!_%)0p;+Z2iMEUwZ^S>`|uV$ z)-iZDNum(g?>62aHL|u&Uoi-IkdXD~^#hzucW9m9(GK}P)gMVB@ZoLp0dO~a_=Lf!Kb?d>sCg4%*oMrV zAmbwe$^H(qsE61c;r7V+J9y`+d3MlFFW0OT>}Wjlp_izU4?i{XpFKw%4i`o}g)$?6s7%l{2)9^K^H|}ojZCDqy zS^ZYI^`IQo8x&#x?v57qYET-nW{c`IVwZZYRy|r}q><3ewOrh*QEz)7D>z5guWah4 zD@*SA7j42^;=;k7_IM9zdE!FtE|*>_C|_L2f2A{8zmF4A!0-8}KVj1Em4pD+zfhvhqNuo+JRZ^&uN|oVM89|kiR2fB;(Nu9#Weio)sFF^V462N!$~dZwr^*DX zOr%OCRkEmZ8C51xWinN!P$ioxms4dbRdT43OBEMY@~Dzel>(|vqe>xFil{Q3Dl@3! zrb;nYN~lswl`^W#q)IteDyULPm047oO_eLCGKVTxQe`ex=27J;s$5N#Yp61xDhsGm zMU{nAsiw*zs?<n(4Rc@ln%~WZjN-I^`sB#NcZl%gvsOO>ao@(fj;rOH34@*Gw6QssH7{EI64sPb>Byg-!~sq!DHyhN3ksqzX{ z_EY5mRSr_+RjM4K%4<}4oholorH?9aQsphGyiJvNsPZmV-lNLR zsq!6FzNg9$R5?qPAF1*aReq+*FI4$2Req()Z&dl6Dt}PrPpX_-uLzqI0T2NLU<4$< z2N(vJ0AIilFa!QT01yZS0Tv(_2mwL?83+TcfDNz%;Xnis2}A+WKnxHIIDj}H9!LNZ zfg~UqNC8rT;lK!BBrpmX4LE@@KpKz^WB_A^zwKmjlfCA(!Y4HN?w!j~31|jZ04sr2z-nL( z@E70);6~sk;AWr&Xa(AUTYy`EwLm*Sf!ly}!0o_#;0|B|uo2h<+zD(3I)E*}UBF*~ zyMe6$1MUH~0e=JT1?~g31NQ?D03P5$pcD8z@DQ*A*am+z)Qf(z$?Ih-~ezC zcojGVyav1uyaDt9Zvt-tZv*cD?*i`u?*ktI9|DJgkARPXBfuxXQQ#PG9QYLY3^)ON z4x9wO08Rm40$%}N1E+yAz&F6RKtJ#u@ICMYa2EIx_zCzK_yzbc@GI~e@H_Aa@Tc&Y Ia!#22e^Hq)$ delta 20141 zcmaKT2|!fU_y2uw=HY`GV1PFp>#*ZCf-7h)EQ6pUAmDB$!Wa|+0h;!;U=prot}R}z ztdXzM#k8bF+AQ0(tZ4n3WofBdnbG3X>hIk5-VC7q|K*4G?so3E=bm%#x#!(!{_9F` zGhp9Yt@frQtLdq8+CWabC~2ti-oJ$uIqTkme(~DMr1a3Iiu}?B+-uPL^M;8}Z4Ru7 zzSk2dJauL8ce}OolQN{I&V5=MXrRR?HYqGD9({vsjLcI-r~cv;(nV*sYYfx;P(z|V zn3bc-BxA@^e}DJHO)c2 zcbJ_O$)1hM<3r_fr4y}B7~=VmjJ%m2$Sv$za5Mj~HgvNE!G8raoKB=gh(pNG6;#JB z)s7o)wi!+PZj`(6v&}Yp1olVE{shCHC(%HzNAQR&?a%(`I??U}G16UJcNlrOk)IG$ zWv(@cT0$+JWzhdCM7NYrhocaT{P|Lo+4bPj`yGmNtz?=+tkYZ&~xU;gUz& zDXuTI9D=_yEVgMcwFw92TJFz!+i=--<%(_gOAFbk5jwiA#j?KDvUbY)RhHccR$Jg9 zw{*)b@3cT=sI^d|(Qq1$qq!tU=aaEI}k=86T0bv%|9Plx6*C z)?VK;;IO7Us?9P4c;Y+t>%RqZ`t@hY@@0JN484^l0<9aaT(K-Xx>B;HSoH_k|4+iR z+4`RKlJ%Bw+dI)f$R-mqdMracn&&=^*_^PZ`?81YjJqenvhc9B+s@u$8X|4cDGT46aA;jwc=OqgD<17;+o2A$ z*_J+Dmc9I^OTV1|P19sq*n!d)!k}&TXq7@ONG@)-ZDaVEgzj@^5}VJiyeIsD@PQF? zBHoF7GU4!*ZlS}^nHF)lsb}(G+df;H-|>|(JpxRyW#QU@-78-XUmx{QR993;L{!Ab z;fWC*F(l5WISZN-cet=S*yG$Me_-n3!fmC|9phL6x|9>e zw$0@H?R-+m_ffk>ALhC>&XZAxd3bzI67S&anz*RssG6u8fF~5Vv~){{;K6kVwxI*B z#4u5x&~;yEp4`SAe+py|Lv7=aKf|WQaJf0H?e8IN|1?LmX^uau7HW^bs1{0Xe-3H; zEALpx6`)e7q62yO&2g569`4X{H-nlGsfiEu+Yr;^2Ye1`;?onIF=uV`+qE9Q58}Fi z@u0S%W`hT@;p}c6z#g=%XoHsA)x<|~PSW1Q&*jqEn|Vvj+ui4nRt(>jHZZtHcyqXI zWAv`nDezynHet9yW7|k7kcl!f;P!~t}D;n+Py zy*qnaj=jJ!yVRbW?{wJHi2oD(h5h^ZNfp5nBDy_pm;tdxxHKuft5DK(YLcHkb=ck$ zVp|lyFL39nU8ik9N&B?U74a~{F`dU!<93~X^NV}F*mdgupEV|n%Q-#XwlmISY}0n0 zVfky`?!IU{8&7VtXp*>2vcsYo7W_l<=40KL+OBwXXAd3tA(?z-(L{^MHdcLa5)rH# zdv9u-Rdd~_jLu`>n~#BMMu1`+YxeU%Mo(}@U|XCG9q0&<9}9t>hdYn8oq{YzY3r5` zc~cW;|LsYe{`8E52145zD1&O~v*(k0;tw74@4lGFBP6WV!()!uy|AjQLNKGudjsPl}6Kf2OjD}xn2Xuu;wGm;l4ljMhzUrYWonG6co#10QiJ|2|ZGXV6y<8u1K7p3HmW*E|uohNpk zv@K8A^x8tt*v@0!L10Or%44xDC%1=dlKdV`+&9%Tk`#^C#N@{(?2GlJcb)-*8`*j0 zaBz2!ts!>bux7n2Fm_)`vtYBv?TfX|Ox$FWr$}IP7XpIV=Wpvuf_HnuQ$#*mN* z&Gf|d&SM7sRZ}|}rq)lM`Txi?#*+skH0iqO@tZOh!UVG^JszQnCsm1>px|g==9s}e zC9h-XCe49Rk{YRz{6bSa0h^Bz&mv7l(Du#8Kzt{9>MgsDRb5XOoZ>B-0MBMJv|KZl z#6@W=+{0vZxh84CIH)+b1Eg!qPlVMgH_x*j=9JA_B}^sAAm8>`Qr@mJma0jur*?f? zg<4NTMo)t6>m-{tnLP0sZ)^H25ylCb0%TeiLbKnKx^*_l1x@abOkXIAwdEAF)F$sb zWx3^8>#0M#PQ!zdlG{Lw9$g;OwMw~f+xeunP~c%-IBUix$WoO}*8-Q?WLE3Y=3|zH zEnl~O!-~co7JE`W58JYn*qpW*SUXD|D*+weT{V_gZa%ganzM$Mg{sE3oM=61TbxAR zuh0w~2UUAgZGT_GgUXQv6dAd(U`0Av=b3!cWdk5TALB<4fkF#()Ok!+CdRA!8epk% z(uIDR&f>D_`q5+3;UCG3(LBE;M;zR4)9_tfoaPG0z1N<=-jmq-y8S7d^ZtC|_^zHb z%^QOZ=}~BM(ooqEvsEU=hIG9#U$bTeA2XU1ZqiKRCypkYH)$@g^qS3@r{UQ(;U0~R zV+9NE)r^H_*F*PeIG!&Y)%D2zn&ljEZ_zx=ohCM-Il#{wOTHqSXzn=qhiF>)`C~}y zR#-SbC407NhFIhXhRe@sWS=h4l_c7DI> zhnAk!pKU!UJ+@sx%PUk5`@8ept{>ahfxK=S*qjelr^6g{ypq0{N{c-mi*gz`t=GvU( zz*)_3&e_#-R%6g`zqFszjP5!Tr-hz&2Ors=pmhz&NI*+r^1@kg@GA3YN6tyWf1mXr`g9xl?l(pLp}b8mOKl8iye`2^Ou*9}2`OxIaa zmEBv7I4Y9{1RW>bcFh~OSi8Sia4rTP-)tg9&kASY_%P7eHTF57mrIr zEV#HdX-wDl=Y_@y5|S!hBtn(&`~IWCNsdn(L;m?pNZ`^*{4pVpoc&u!B?n*7`}q~m zC_tu(#C=RKHcdj?CX~!6$iLBWH;7V56wnWttzOr*33n<^^FI$cBHG5rGm3dkZ=p*s~&-qBhOw!n%c4i=^E6Q z!%^r`&;e&orhT$|QBm1a^6kL^<4n?R$UdA2#+%*XLehWa(T-gSR6}k@vNJW=)##{c ztOv>7;T;$fnxH~hvyW04%DOkJ{ZL6y+L&7SkXKW#pE)I~u)h+uH z#Dq!p#R1l!)led?foj!d$b1*+{z5XPt?i@74UP3n>*|sIZs=5=PSBKf&_=f&2Aj4; zZnlBgx&`AbVI$;An;?Jq7UuqyG}ccmYb>usQJYnc)0v=`u)HDehMTMEm=uM3pjG(2 zOgm)VF0q%CW|rEE>^a5xGaV@TKE|#@7Jns#hTN};augD_Kr1sLcbxPyaa&mx<2>s& z-@N6v;gfdssnX`F61fxEM-$oK?MsyTyhy*Rw~6^t^2pbI#)bM0mRAmn zIUI#N#VlsV}3_B^9nx2FApyz?R^et?G zicdx23(8`kdl7Oowy^a;JfPT>^b+bXP{&LZ^0H4Ym7!S=0!ww(?*27F^ujC9SM;lF z;IOtS^G{wsDHyod$Q@q`mH_c}wpy(~;cv)k-ufm9fqd>QwfK-)d{|D0Ti)qM%BM=< zM_9Qv_+7a=E^!u?qVV?+*{BySnePJ+rfy)lXhuoiELfz$lw1X6OI#@E12s1*-<^)k zAIigmRm^FhRx;V1{|0_vzpCIUKjA_4QR#1ruQYM($Q#fHJGAm((^i!UO3~L5EE9(o&Id8fk&QGvw!Q{G_sP zy_=LBHmS2vi|s04l;1(`()X|cq;BypXtGx8eo!fmM5Z5AY4(@#c{LYgJRC_skq=G@ zVO$T{@wpV%^ow`g8A$k*jqf*AvcT2_NC#YG>uyyIYyp>43HORm-is~+1-6gEUi3R7 z@Q1v1u@?G2VJLNMO9iw4OYLYl3jA9k6rb!))*#aPq=Ft+rx#c1dY5iqMhp zGeYy85b`#>FTolw&rk?0*PE3G*Ux_EG9m z;NY2VOJWLC}$`;z$?_J(!04tJsHfamsAS>S?rlIaihd zxhrWH32_TyA*nvjg)|@-Ih<@fD@6E>fcJ=zWZzjK+;0@Tn@5xGvw~^y7{<|ZcRYA_ z>5y&B;J_JBJwhgxlE$(|S%t@{jAbHm94D`a;&to^KHPZdl4&>W%oBh{$i%DlY7%jN zCxj2kgu=)yj>PCit0-hME^;`s<10Zj=^VY}a~1OD$x2y-F3(pMI7KeQr7P-O$UIf4 zu-N2OI%NE^lHo;6oAuMwW^*!;Pyn5o3e}8$mLFWC=7MO`MkC8~NXlB#D_ePeEhc5( z3t=Nmee*s;nRjWXZ{A_y&dDrwl%T*_s#FseFGhoA6Z*X{aKRj4K{uCUYg1LtawN{< zwy_1kIA75Tww4zZvi3ukqtNTQtK3=r0#(RtExZ9p1upE<$Ivn|><3|pa}n#K9QsI8 z`lwL*aQ#~!i?8Y9MsFW!D87n3`hyV1-=xTxPrjL){6R<>yF{U+SR+#oB-Vg2R+l4F zEw`<#qTIWI+NYJ~Pjeu#j@#B)2E)9CWS$cwOTAht73mv#=O?_8-0`DeF-c3A^c`#? zV6E-2G$GS6^2CpV(eG9mTjFxE|D2G(ukdnp8~NoNaJBMUu5MSkx}!f=*$P)pDp$=4 zSMFY}?(D{iag>|$&R7{Ve!^`pf>oESJj4H<6fonxckVi^Fr*n`=K7Jq*v8TN5(B)C0AEd zd)nPdPt;!0Mj+!>!qp833({}%=A|Oz19D!3_@FXU>7lEMq?5EDoz*0Hyam0EglWeX zjVMW>Z3?~KbDjCWeFZBmm=W_nE0@La$ihgy=Na? zry@i+?4>%gv4i5;`@W zf)fEpGw~IWa6>&3Usa#4sn6GyXW$#$wp!4g_=FLuDDX{H4--aYBHdexKw#b19a0Tw zG>SOPOo5%ndwIyob(S^_hV9{P*6};cdnrSKN1#nj1rScnMS<`3?d83`y}YmXG6Lzk zdwcnSN%Y!Y29H3(htP@cBeqjFt|)6k;>Xacp}Gj^K9OH=b~dtns!(#m0n95|5EK&s zr>qO%M`7@A>bRhl}c z$8uyi1!?G`bWV|@1lIiE)1XRl^aNF!R+xi?Gi(^&vO2ImgGQW%wDCI<@~a^6-zyz9 z2|qw)@HtiqCLNjqhr*AL7jT}bjy$hFDf2A!Kz88IN}oz}=NI4nh5V}IXJ%(RN*FW3 zZ%|vh;GN1|^Aj&BnkHO=3M0hJ%3KP+LrVOE6@fqSCsRUTIvqUPUZwjRs#yQw$dA7Y zX8wvYfQ2IBW$g>(;K`18EbJukJPcjKE6(jm6rxoMd$|*!LYN=V0y;j44bDKK$jeT! z=+DbOSzG|w({72LRf0sFk`tik!G0YDIYn{ljO^Lj$>>KTwCGNPP z6^qKN8yf23P`>#DPyI%(9(`Hp8hzQ%v|qkW(pB{M03j4U zgU&oSVE3x7!8zh59Naw_+@32!(0z&sVf0q)6tUAEI|JICx^-RLQQZ};>&j<3?oAgH zkzsHD(F8xvFLEreYE&6F?Bf@eI7;o=>bMM@N_w`M4tWX&=E%&km#ESSeugipcDd^0 z_HfePPd@PIjZIyAY1I-}*3!jtwGzARfrUNCXFKhc*-ps6TNUo}EDK`O-u_--X{W13 zO^3b6(jd#SiWO=T<4b&YVX?!WU(=Yqq(ZG{ep$(^WFhdZr2&gqEA-8;klG!3)8JQ? z;!;;bqe{m7nvzvm=c+G*n4Q|d^g7wM0|xLWpO;@^uLMrj*$R70&XLtkB@uQ=&dRTW z6Ong3Z}a(u_R4%O{~_B6Ors=Pvu$Qh3MdNm{TT{)wus?O!ri}(2altOz!ZG}%x z!&`?z%x73pevxDO^7#-Jdtb4U*zWc=z1ReH0;^PF8k`e?HZiMVsnpao6tvlwf_Q=X z9v;4Y*b9s7(=to**jnR`8ytu9_d+H1J`~>XQ{M;0p`iQK_ll}zklLcAmef|nAsvBK zUWq#uc-b1OFk{^YdHDrd?inS;!%B*?ht0N68!;Tdm_$B6^pEKy{2x^6nI9slkLgX^ zb~5=feKdR9UJa`%8eG?cR(n2z>6 z`sWiD7uCC#q0loBE%HV@GD~Znuu*$sL~M^fu{coj`yK*4<{!xPVv{NK9F(#M1%w_T z{DjQs5sA$*Nz+4rx`t3sFCmCK!I8r0bTXF!1d>?{0X7zZGC%-|#d}$1_L4dzLKKSm za@I@ah8ZS{4Z=dHuO|>+l6PPDl+pt6 zb{7(_Kv;_Ts}O3EKfG|vAK<~MN>#(Lt&7X58%V0fVvY;-V~1jyfWz*PzuQ2ViTTq0 zqTIZN)U64=sl75#E66d+{ndega_u#Nej)^jT~MU*uE_2j=}a) zwpZAlj)GRZW4e3L(2^`WSfQMYh6M;pvLJI-$y(wL)*45#*CFw8Hqz+?S@Yq`kWR0> z4hKIXP1L&Oca?-Gx*G+4Wn{up2_uYN-1Nh)X!{1uD|AGH#XXQJcIfdj)J~&2;BCcr34ScYbLu3UXJb~lLvwUzg$CLl@ z!P%UKL}-G;IV~Bj37!`z2swqb3gKHqHXLIVfhid%&5!KW1gEA905)KIO`gs8PDUZ3 z%Ar>niT;XA+qJ-M(=H*)PegSCPf3~t_j8vG~Mb!2yNI@@NBtk&)c?$Yit ztle#RCEoi1b7Il_q%;G0cxK21!-%Qq?nE2=;QDULyrePyUFT+o_}|W1yDokdV%$J< z;TC<@H;Y1}xGg(1M;`6IBap|7L-#KZd++G{kX@Q=XK_iPbAx&uGPP?I7Z-$_4MHxR z17b!IkFs3(<0a(6#sPtRL`_BIDCCy>#&zxmzUc zhpJ*1w5whLXE{g&Jf{JNoCR@(=OG#Rg2H-yoI4K1zDR^glFf90HF`;DB)+VX!$VOA z$+$^UxA&Y2heHSYW}#nLCBFd9Ovi~QQ!++>sZq{}*)u>TitSm>{DP_Wk|GCqN8w*-$VN%Bm`-T6 zxoYeC^A&NDa9L8g?i-C7s*O2KlCq@egfmJ%S2JWUE`<-N-$KvfXBD#CcT9}Z*zchM zES3M>;0I`6K6lOV>}hu){pnZi!42a%a+VDepUy?K3)g@qHr%OL)5R( z8JxGT=?q*kIF>F%NSiW+ORUo6Yb!Adk-sa`UE*rYh7Zn}joC}<>2fKh?4SC96<$Soo}SFls77C!Ij1g&a-@WBG=xGIn^0P;mq%ghuB{*VX=(1Inw z(H;(UT6VHWqFyNu4P?#Bdg-FjAgBQ`NncMWG+4W>iNy_ivn0b+&43*#}ZJax$``w%`!0UC(|Nk*=e5Hbj|nMK0afx(b%9YShyCCikdtQ)&l{$k!o9w=~F zAJ)ZGWzr+kv`o`_*~GqZQuGMYohwCgqsaMODLi*HFmu&%j)XC+-*heWZ*W7|GMBro zym4t+wL1?^9!Sj4!YWyggt1U7b{xojNgXMhE*Z_>*3L${>w0HpJk*GqK;}=EBCMg4 zmWAb3-_R)rse+3UT&xcBMnxJzC1mQVm=s{~fB@c2%CMtv){=uJDZ&_9t@T}H$}h|= zbs|fRmTX87O-;2v?&SjZ0gnJ9kVJBTkLhb;P9EBgN&|%$b00rHps#_Wu6cX=N zra5#S(}sH3wY?62xL(!s4az8u8+%7tTGd$XvKJPh@J(9s65H}O{|f^V_mFX=Qh3rg6=UuF@UF98C`$r*dp`>PpWVUvwB8GipH)M;CF^gS+%zMbgGbGc5z0AZ`An|c! z=58t6W#9@gpIGvc$swaUzPpn#{e5Mh^TJT22mc}AtNU!kGz0y(g64eXHhtV(YL zGCfC9XG%uf^U7SBUc6=w!3zpMpiE-KOaCJ9h?jv_T{W3hDj7o$0(bgXlv?nm02yDE zCo(boHP`{c6ZM$^c!iGC?4mhHd|lBV(;He6GC>Msw{`ThB+JmZ)V@@+5DzKyC>>U2 zCTm8n9fF|_C(M@gHkm$43cLOtCdwnKwY=NEz4TuHc6rdw^uE`EV69=-ot$Z4L_#-^ zF?;}{>f`N3eMnxJB}MWdYsn;-z+mYUg*?~{W21ex#5XCteCnlHQeLmnMAHBIRd&dI zAHAj?^lka9SN`Dt%_y=v(`MV#k$6nCwa*o4C4HeScCcvQ%uMGDN68u%HzF-_r7*al zyl<`~Y4ecfOZH~wz9NU_O3~a2^6gwHCgr47i7}*#KipVnFlOB38n)F(oYe5y=EvB|vbQcktQhBD2y1a`_* zl@NCv;_fQ>P}22xz z(qByfCH+$RU+E}AoAFlqXX!tscP2z9T}pg4!ID9;{xFW5vUk+pQBREcc+{_>f=0hN z!adSF>iG=)K+{0mzyulgfx`!Wm~k{?$(WU6R*iXa%;7O-GlIrOjU6*~7W_YFtbfL+ z@&6tB*4TmLyAJ+gEE~$}gYM<@$F~eL#S+~_%UAmcnZyLhxxA&&B$Ciq^yh(nT^Cnm zx;|h^;9F|sE3I`r3OcM_B^%xvJ03;84MiXj78-?%9Hn;ndXiZ@$6hdF8VZze^}#19 zc4UiqmtFZHhEkKs?>&gfS>7j}xhAujUEu3?$r|qN!IeEZ3YhSb+Ao}M;hG)_{X~xM zDYyMV+z^!gREY~VNpMro7hr@Nu;A9B5V)WRyCvNAbyqER1G9l}Sx-4AuruW6NcA`% zVTGG|6C7|>hARXtJnoHaBN6WH$qpx6)04w`Uok%9J9>S?cbRZmPq}yfwNg*~M!CFa zK85~GG?vrQpL&%_3A#U82l1T!3rx%OKI;h8=8~} zVBZ>$8TRN2g~jXxZ=a7A$O!wje3z2VR7vB_MN1nhkpTNQ689)ORKQ-<7iRmn9Oj97 zLd$;J<}55MS|?xp^|gg-lRDVZ)r)T8jh%|~68I!+R`Q{8L@o+>3h2V#348slJa$W1 z_q4iwgJ!}mk3`twS=>hlTfBTb4XznHtKE(a&v~~%`EoE4VUuTJ-pCh7h|v-e_oA`` zsb7ncKkV+HZQ#Mfj?UCA{1sAQv{*;J3Vj$~V}nw)uAoq11omzvZv2Ma09wAO3^?*F z@*wok1benRwCK0tm91t8BU4-I%IaM;jqa)jH;Z1w$|k|itwgus#`Rfs;19J!N8ZhT%m60Z<;Pnt!gY|nylG3iN!w-7 zTBgF!4X0f7b3?~$N47n!Yq=j>9n!KB?S9enthQ;;FPeV8NQBECQ0Be*xN44jJX~47 znH^CC2m--1Q#Bq29>fI}(z$q5H|+Z{Tq)H-yhjy^?s9Jv2=pKWM09+ej3^t3#6LB% zfOUVta}iwD8i_;*@UY-Y=#@To<)2Vkkd{314153+ITq!BDty2Bhl7qu<8?Z`pIBt} zwM>ZwMSEE!M_y|NKxU}OoPHlmj3a+GD=Ux~piI3^2T#V?Xk^ec;_z|6__~dx4ltZjA!x%YSi-JieO0A$Z4v@EzLj=y zd37z^lNOE4(}h#Isc%`m%eBO{M2YD5TeO3=vV}Cj>NCx7MN?xUWYZkn=Xa-YHtS_O zB$6>yj@i!-+_{Bt`8kTzgjh@oF^U{QA+*z{KFC;%WkXqkY;jr=+bx8VuSAQkDZcmg z0GAWkMR6v17T}R%&Pm$U@bz3Bd&*TL)v=2M6&V=@0WJC3Ib41oH5!Et_NF09CV!zt z;t)k7VRls{sq8mKi*Iy+RqKYSMhUA#D$Ge(+BMD1!@(EV!AGYNYID{aLXeJ{Wk@$t zZJvPwM=OlVTde;WRmNhvsz4b)+&WfEPUtM=CJ6TU=50oem)3PYS^^9B=q9KHQ<48f z@03CCN4>h6sZ5!ar5)sk$X@x)VCnE3nF%s1+m|=u?n?Ht6`68;S;JTBE8(IBUdra zVYbJDd;JW1F7z!z6i9X==Bx7paUc}B;2OieK{afM0I^L%SEjNChP_B39_!sHgmOl= zLSe?=B|pKI7b~{xxKYtlu~OCNs;jtNrA&}?Qy-o1+Vahc;u@=oA;cm%mw2_H#-|0f zs^QkjhAZ2xvVixu+gn)YeeJehvD-#f%uBCg3W>`Y+hDi1_O;vPj7Q~Xczx}51!EH; z-2Y*AyHXt|#DDrN1?o5<3M8*>h9;oZ*KQ&3qojN7)~yUbY?UgSzINN9su$R8E66_# zVm((iH?LOhc8%J+uif6|)6T%V6-E`iUFWsi^{Res0OHn-irvoJ)Q3{9-EQ{L67GT8 zx_ecEV7K>qXY798jBQb7EQrW6Cc6%IqNuH8QkW%@dw^UYW{J8PqBODtFam`@ScW-C zUe6VNUghh}>S0k1@LjL=%0hPd`~uZSYJ*11H2`V-muc%x#R`V*(vs=_n8V4HFiS`T zL}%2kSdPw^sTQswD&)yvlV#wXj}*=K?fP&O0Ac~3uzIpleX0r#PWUMFC>t_U0r)*+ zK_NIO;%AC6U449y_=4;;S;Cu+_xArK5D5ILud8#yHzeljoK(jHKHt}DJm08ReM)8E zG-Ke*H4J>KGH_O@CVr>PMf~?{E&}ZN4JEf$!8M9C+=uBT6?%<-J{(xIv!IC zrdCYDF^#}964NM5qcM%a)P`v+rg50YW14_zBBn{0CS#g{=|D^eVLBMoA(#%u)Q;&e zOj9vU!*n>NBQPC_=_pJ`V>$-YbWAfa9gFEWOs~UqJf;&corviqOfxaf!ZaJx984XU z=3+V-(>zS`F`a_xR7{s)0vpg!gMyKb12ge0V0s&-|G{)6rnh5y2c}J!He>3>^iE7yVcLReE2bFJ)tIiq z^e#--VtO~G>o8r9=>|+UV!8>_&6wVU>AjfVhw1&8Zo!mbx)sxHm_C5%gP1;q>2^#X z#`FEoC_f$2U>J28C{)2A?f z8q;Sm-H&M(rq5#f9H!4>`U0jeVtN46moR-9(}S44g6XT6zJ}@Rn7)DOo0z_Z=^;!H zWBN9x?_hca(|0j_57YNC?Z)&2Oh3f*BTPTW^b<@!#q@ue9>w%COpjsuIi_D=dK}X) zG5reD6PTXF^lMDN!SocSr!hT)>9?4k#q>K&zsK|kOwVEZBc|su{Rz_^On=7o7fgS} z^fyc|V0sbLOPF59^mk1E!1PZ{|HAZdO#i|3%38{8pd5e)XaHJ(0Pq700Ehs8Kmb4o z&;tSiL4aU@0T2QR1%v@4fDvEB^fDFJ`z&OBlfboC{fQf)ffJ{IZ zARCYaZ~$@vlL2{ve83dIRDcsO4Nw3m1QY?L1BwA9fKtE=z)Zj_z-+)Az+AvQzHxO@>H!UaM!-_QGQh2X z<$x7{+W`LotOVQ+xC77xXa=|ecLG)cS^%v83|I|V1Go#Y7H~IU9bi3R17IUy6JRso z9>BeT`vCU?wg3oVD_|So0l+*+5wLOD4+wd8}Jxl z4`46galjLReSl8DlYplHPXnF-><4rKo&`JycpmTq;6=azz)OIa0S5uE0A2;W26!Ft z2H;J=TYy7=!+^H|?*NVf-UYk|cpuOW_yF)B;3L4tfKLFQ0{#a$3iu3g4DdPN3&3%} zmw>MTCjch_Ujx1YoC2H%oB@0bI1Bg=@IBxMz&XH=fb)Q#06l=80lxr#1^foM0JsRa a1h@?N9qPrzS*zXAVnkJBsM%>M)Rr4f_> diff --git a/BIN/LS.S.txt b/BIN/LS.S.txt index ba79bbf4..1936d026 100644 --- a/BIN/LS.S.txt +++ b/BIN/LS.S.txt @@ -52,6 +52,7 @@ L.MSG.ENTER .DA MSG.ENTER L.MSG.DIREXT .DA MSG.DIREXT L.MSG.FILE .DA MSG.FILE L.MSG.FILEEXT .DA MSG.FILEEXT +L.MSG.CRLF .DA MSG.CRLF L.PRODOS.FT.TXT .DA PRODOS.FT.TXT L.FMT.DateTime .DA FMT.DateTime .DA 0 @@ -229,12 +230,20 @@ CS.RUN.PRINT.DIR lda (ZPFileName) cmp #'.' - beq .5 + bne .10 + jmp .5 .10 >LDA.G bLong bmi .4 - >PUSHW ZPFileName + >LDA.G bColumn + bpl .22 + + >LDYA ZPFileName + >SYSCALL puts + rts + +.22 >PUSHW ZPFileName >PUSHBI 2 >LDYA L.MSG.DIR >SYSCALL printf @@ -297,7 +306,14 @@ CS.RUN.PRINT.FILE lda (pData),y bmi .1 - >PUSHW ZPFileName + >LDA.G bColumn + bpl .22 + + >LDYA ZPFileName + >SYSCALL puts + rts + +.22 >PUSHW ZPFileName >PUSHBI 2 >LDYA L.MSG.FILE >SYSCALL printf @@ -404,10 +420,9 @@ CS.RUN.UpdateColCnt CS.RUN.NewLine >LDA.G ColCount beq CS.RUN.NewLine.8 CS.RUN.NewLine.1 - lda #13 - >SYSCALL PutChar - lda #10 - >SYSCALL PutChar + >PUSHBI 0 + >LDYA L.MSG.CRLF + >SYSCALL printf rts CS.RUN.NewLine.8 clc @@ -523,15 +538,16 @@ Mod2CSTR ldy #S.STAT.MODE+1 *-------------------------------------- CS.END *-------------------------------------- -OptionList >PSTR "ALRalr" -OptionVars .DA #bAllmostAll,#bLong,#bRecurse,#bAllmostAll,#bLong,#bRecurse +OptionList >PSTR "ACLRalr" +OptionVars .DA #bAllmostAll,#bColumn,#bLong,#bRecurse,#bAllmostAll,#bColumn,#bLong,#bRecurse *-------------------------------------- MSG.DEVEXT .AZ "/%15s S%dD%d Blocks Used:%5D Total:%5D\r\n" MSG.DIR .AZ "\e[32m%s/\e[0m" MSG.ENTER .AZ "Directory:%s\r\n" MSG.DIREXT .AZ "\e[32m%16s\e[0m %s %s %s\r\n" MSG.FILE .AZ "%19s" -MSG.FILEEXT .AZ "%15s %S $%H %s %10u %s %s\r\n" +MSG.FILEEXT .AS "%15s %S $%H %s %10u %s %s" +MSG.CRLF .AZ "\r\n" *-------------------------------------- PRODOS.FT.HEX .HS 0406FAFCFDE2CBCCFF PRODOS.FT.TXT >PSTR "TXT" @@ -561,6 +577,7 @@ TIME.Mod .BS 20 hFilter .BS 1 bPause .BS 1 bAllmostAll .BS 1 +bColumn .BS 1 bLong .BS 1 bRecurse .BS 1 diff --git a/BIN/SH.S.CMD.txt b/BIN/SH.S.CMD.txt index 17c686cd..e0447da6 100644 --- a/BIN/SH.S.CMD.txt +++ b/BIN/SH.S.CMD.txt @@ -778,7 +778,7 @@ FOR.FILE sec jsr CORE.StkPush bcs .99 - jsr CMD.NEXT.FILE.1 + jsr CMD.NEXT.LINE bcs .99 >ORA.G CORE.Test @@ -817,9 +817,9 @@ FOR.EXEC sec >PUSHBI 0 type >PUSHBI O.RDONLY+O.TEXT >LEA.G IO.NodBuf - >SYSCALL fopen .9 bcs .99 + jsr CORE.StkPush push hPIPE bcs .99 @@ -839,7 +839,7 @@ FOR.EXEC sec jsr CORE.StkPush bcs .99 - jsr CMD.NEXT.EXEC.1 + jsr CMD.NEXT.LINE bcs .99 >ORA.G CORE.Test @@ -1007,85 +1007,7 @@ CMD.NEXT.LIST.1 lda (pData) * stack-1 FOR SUB TOKEN * stack FOR TOKEN *-------------------------------------- -CMD.NEXT.FILE lda (pData),y Y = StkPtr - - bpl .1 - - jsr CMD.NEXT.FILE.1 - bcs .9 - bpl .1 - - lda (pData) - sec - sbc #4 - tay - - lda (pData),y - sta ZPInputBufPtr+1 - dey - lda (pData),y - sta ZPInputBufPtr - - clc - rts - -.1 lda (pData) - tay - sec - sbc #6 - sta (pData) - - dey - dey - lda (pData),y hVARNAME - pha - dey - lda (pData),y hFILE - >SYSCALL fclose - pla - >SYSCALL freemem - -* clc -.9 rts -*-------------------------------------- -CMD.NEXT.FILE.1 lda (pData) - dec skip FOR - dec skip SUB TOKEN - - tay - lda (pData),y - phy - >SYSCALL GetMemPtr hVARNAME - >STYA ZPVarNamePtr - ply - - - dey - lda (pData),y hFILE - pha - >PUSHWI 256 - >PUSHW ZPCLBuf - pla - - >SYSCALL fgets - bcs .8 - - >PUSHW ZPCLBuf - >LDYA ZPVarNamePtr - >SYSCALL SetEnv - bcs .9 - - lda #$80 -* clc - rts - -.8 >LDYA ZPVarNamePtr - >SYSCALL UnSetEnv - bcs .9 - - lda #0 -* clc -.9 rts +CMD.NEXT.FILE *-------------------------------------- * stack-4 CmdPtr * stack-3 hPIPE @@ -1097,7 +1019,7 @@ CMD.NEXT.EXEC lda (pData),y Y = StkPtr bpl .1 - jsr CMD.NEXT.FILE.1 + jsr CMD.NEXT.LINE bcs .9 bpl .1 @@ -1126,14 +1048,14 @@ CMD.NEXT.EXEC lda (pData),y Y = StkPtr lda (pData),y hVARNAME pha dey - lda (pData),y hPIPE + lda (pData),y hFILE/hPIPE >SYSCALL fclose pla >SYSCALL freemem * clc .9 rts *-------------------------------------- -CMD.NEXT.EXEC.1 lda (pData) +CMD.NEXT.LINE lda (pData) dec skip FOR dec skip SUB TOKEN diff --git a/BIN/SH.S.EXP.txt b/BIN/SH.S.EXP.txt index d743cd5e..cb7e0c02 100644 --- a/BIN/SH.S.EXP.txt +++ b/BIN/SH.S.EXP.txt @@ -196,52 +196,56 @@ EXP.OP.UNARY.Z lda (ZPArgVBufPtr) [ -Z ] ? bra EXP.OP.UNARY.DEF.9 FALSE *-------------------------------------- EXP.TEST.BINARY >LDA.G CORE.Operator - beq .1 = - - cmp #2 != - bne EXP.TEST.BINARY.NUM - lda #$80 - -.1 >STA.G CORE.Operator + cmp #12 =, !=, <= <, >=, > + bcs EXP.TEST.BINARY.NUM >LDYA ZPArgVBufPrev >STYA ZPPtr1 jsr CORE.ArgV.Next - beq .9 + beq EXP.TEST.BINARY.ESYN >PUSHW ZPPtr1 >LDYA ZPArgVBufPtr >SYSCALL strcmp - - ror CS if != - and #$80 - eor #$80 - >EOR.G CORE.Operator - clc - rts + bcs .1 CS if != -.9 lda #E.ESYN + lda #%010 010 str1 = str2 + bra EXP.TEST.BINARY.END + +.1 asl CS if < + bcs .2 + + lda #%100 010 str1 < str2 + bra EXP.TEST.BINARY.END + +.2 lda #%001 010 str1 > str2 + bra EXP.TEST.BINARY.END + +EXP.TEST.BINARY.ESYN + lda #E.ESYN sec rts *-------------------------------------- EXP.TEST.BINARY.NUM >LDYA ZPArgVBufPrev >SYSCALL AToL - bcs .9 + bcs EXP.TEST.BINARY.ESYN >PULLL.G M32.ACC jsr CORE.ArgV.Next - beq .9 + beq EXP.TEST.BINARY.ESYN >LDYA ZPArgVBufPtr >SYSCALL AToL - bcs .9 + bcs EXP.TEST.BINARY.ESYN >PULLL.G M32.ARG jsr M32.Cmp + +EXP.TEST.BINARY.END pha >LDA.G CORE.Operator @@ -257,11 +261,7 @@ EXP.TEST.BINARY.NUM .80 lda #0 false clc - rts - -.9 lda #E.ESYN - sec - rts + rts *-------------------------------------- MAN SAVE USR/SRC/BIN/SH.S.EXP diff --git a/BIN/SH.S.IO.txt b/BIN/SH.S.IO.txt index 6b0d8469..3faed7fd 100644 --- a/BIN/SH.S.IO.txt +++ b/BIN/SH.S.IO.txt @@ -73,7 +73,7 @@ IO.Pipe.Out >SYSCALL pipe >SYSCALL fopen bcc IO.Set.Out - + .99 pha >LDA.G IO.hPipe >SYSCALL close diff --git a/BIN/SH.S.txt b/BIN/SH.S.txt index a94134da..a54d6d94 100644 --- a/BIN/SH.S.txt +++ b/BIN/SH.S.txt @@ -639,6 +639,10 @@ EXP.OP.UNARY .AZ "-D" .HS 00 EXP.OP.BINARY .AZ "=" .AZ "!=" + .AZ ".<" + .AZ "<=" + .AZ ".>" + .AZ ">=" .AZ "-EQ" .AZ "-NE" .AZ "-LT" @@ -647,8 +651,13 @@ EXP.OP.BINARY .AZ "=" .AZ "-GE" .HS 00 EXP.OP.BINARY.BITS - .DA #%000 - .DA #%000 + .DA #%010 + .DA #%101 + .DA #%100 + .DA #%110 + .DA #%001 + .DA #%011 + .DA #%010 .DA #%101 .DA #%100 diff --git a/README.md b/README.md index 91611901..3c473515 100644 --- a/README.md +++ b/README.md @@ -274,6 +274,10 @@ OApple+1,OApple+2,OApple+3 to switch between screens : Kernel Log, text, DHGR. | | | [ -z $VAR variable is empty ] | | | | [ string1 = string2 ] | | | | [ string1 != string2 ] | +| | | [ string1 .< string2 ] | +| | | [ string1 <= string2 ] | +| | | [ string1 .> string2 ] | +| | | [ string1 >= string2 ] | | | | [ int32 -eq int32 ] | | | | [ int32 -ne int32 ] | | | | [ int32 -lt int32 ] | @@ -330,7 +334,7 @@ note : '$VAR' does NOT expand Variable | ---- | ------ | ------- | | . | Working | use same env | | & | Working | start proc | -| \| | | pipe | +| \| | Working | pipe | | < | Working | StdIn redirection | | > | Working | StdOut redirection | | >> | Working | Append StdOut | @@ -380,6 +384,7 @@ note : '$VAR' does NOT expand Variable | | | -0 : No Signal | | | | | -1 : SIGQUIT | | | LS | Working | -A : Print . & .. | 0.92 | +| | | -C : Single column listing | | | | | -L : long listing with size/date... | | | | | -R : Recurse subdirectories | | | LSDEV | Working | Dump device Drivers | 0.92 | diff --git a/SYS/KERNEL.S.CORE.txt b/SYS/KERNEL.S.CORE.txt index d5a204cf..199d923c 100644 --- a/SYS/KERNEL.S.CORE.txt +++ b/SYS/KERNEL.S.CORE.txt @@ -544,11 +544,11 @@ CORE.PSFree sta .10+1 Save PS ID ldy #S.PS.hStdOut lda (ZPPtr1),y tax - lda OF.Table.hFD-1,x - bit #1 hDev ? - bne .10 + lda OF.Table.hPath-1,x + beq .10 hDEV - jsr K.GetMemPtr hNod, get FD + lda OF.Table.hFD-1,x hNod + jsr K.GetMemPtr get FD >STYA pFD lda (pFD) cmp #S.FD.T.PIPE diff --git a/SYS/KERNEL.S.TERM.txt b/SYS/KERNEL.S.TERM.txt index 49db53c2..b01d1f84 100644 --- a/SYS/KERNEL.S.TERM.txt +++ b/SYS/KERNEL.S.TERM.txt @@ -930,10 +930,11 @@ CLRLINE ldy #0 Start ldx #80 End bra CLR -CLRSOL ldy #0 - ldy #S.DCB.TTY.CH - lda (ZPDCBPtr),y Start +CLRSOL ldy #S.DCB.TTY.CH + lda (ZPDCBPtr),y tax End + ldy #0 Start + bra CLR CLREOL ldy #S.DCB.TTY.CH