From 97ace2a662394c99278b8fd491095ff857476117 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Sun, 23 Aug 2020 21:46:37 +0200 Subject: [PATCH] Kernel 0.94 --- .Floppies/A2OSX.BOOT.po | Bin 143360 -> 143360 bytes .Floppies/A2OSX.BOOT.woz | Bin 467456 -> 467456 bytes .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes .Floppies/A2OSX.TEST.po | Bin 819200 -> 819200 bytes BIN/ASM.S.EXP.txt | 1 - BIN/ASM.S.SRC.txt | 226 ++++++++++++++++++++++--------------- BIN/ASM.S.txt | 3 + BIN/ATBROWSE.S.txt | 94 ++++++++++----- BIN/ATLOGON.S.txt | 4 +- BIN/CMP.S.txt | 2 +- BIN/GTEST.S.txt | 14 +-- BIN/UNPAK.S.txt | 38 +++---- INC/A2osX.I.txt | 2 +- INC/APPLETALK.I.txt | 4 + INC/GFX.I.txt | 3 +- INC/IO.D2.I.txt | 3 +- INC/KERNEL.I.txt | 5 +- INC/LIBBLKDEV.I.txt | 1 - INC/MACROS.I.txt | 1 - LIB/LIBBLKDEV.S.BB.txt | 1 - LIB/LIBBLKDEV.S.D2.txt | 5 +- LIB/LIBBLKDEV.S.txt | 2 +- ProDOS.FX/ProDOS.S.XRW.txt | 10 +- SBIN/CIFSD.S.txt | 10 +- SYS/KERNEL.S.CORE.txt | 39 +++++++ SYS/KERNEL.S.CTRL.txt | 6 +- SYS/KERNEL.S.FS.txt | 8 +- SYS/KERNEL.S.GP.txt | 40 +------ 28 files changed, 304 insertions(+), 218 deletions(-) diff --git a/.Floppies/A2OSX.BOOT.po b/.Floppies/A2OSX.BOOT.po index 634d6db3c1e3bb041f5938ef2a9454f9d30a2427..1adc2f4f43c9342f3a908816e72c411af0c1dfc2 100644 GIT binary patch literal 143360 zcmeI%c|4Ts-v{t(7R<;p_DPmIj3rspMwBf~lCiXKj%t)jolZ$k(Pqd<%fx9p(V?ah z8KIHWY1IrOR7|DPP8cd>NGLMTt$yeCdj5Kz*X#N3@%!F0#x>V{-*aErd%o99pAeiy zqyVQgsor%Y$^n}ge|)1#xC{7-6sC1;DN{N}q#-2n5JfgOZvj*IGn38r;4=BEOPN{6 zsI`*!(gA_;4P$D#1vRUWT5e0tNmQ+;eH2o;3xuhBRQE;Xz-6YeQ~Xl`QUX)_YE!&w zQ+$PL+(_<1Oq(i-mPO(>-#p(s<&2(Uq^3?#lm03sONn{@^k2=@3NqAX3-cEGid*BZd+-w?u0P)-WnoHW zZOWptD;bPk>1?1ZaO{xM0Ir{wzqB&|VFB(`%b*a}d{!v-&z8sL&d-bF`fOw(iiw50 zkKeZbC-?te_*YTBEu9^3gDO1D6e^fPf&c|1^kZQoe50#D)T|PvG}>UmB5Gk_A^%ZB zMdjUUep4g=ZeztQzVt!kt;UCZd{17e4QYy!ZDBb_BAhDFs+WFyOOOuc+p_a~ZB**W zHYAFw^%U;hJU{D&MwzzXZEMm08K=%I1nlc=JY@gq`Q1$_>S* zx;QVC8|2&(EY}s0WuY0wnowu#S884m9otNmw(%2FLMYbGH6ii_Iwej1x%`lXHjH2c zfqw+PdOEQ}!|c6Sti}IyegCazGeZNRDl2sYA|X0K|Hnf4_aO>0B8)xxewe5YVPg&A zzjs69|APQY@xN~WAEp6g{67i=L|_5oSin;3U*CehVVI-6%U??ZLze^vu|gL6%*U9X zA@i4chEzK^bT~M)Em*Mb(~?cUY}&m2qxZ)54k4cRd_qF7*fo(+>o={#yge6t2C!~_ zlrivoo;U9fqj_qwgr_FqAqkc;ia88pM@O;!qxkox5EEGCAaXtJtAL788P1sLs7Oi3 zF|v^i3A5k^Cto@n{m^HGw_H4Bu%WX_1rh1N6UNh4!(7A($pC@GDD4xoSL3 z3EtwVN(7qa3`UNeR8Jce5{#lH0>no`3P!LL5b=f>Z(uVS0y5r~j&-6_sQDCn+9e$n zDC2#v#`>hEEJy35Uj-EYpF#!RQJf?x8da1i6!@9=bPg*Nhej3nBz`o6Vjfv69N{U6 z^VE2m;^Gd5+?J;p#k;?a;@zX8LhllHt0E68OkyU`$8^n#WellGdO2#v+!!2+Ko{@A zY|JndbL@@T)JO@9G8N%p@c8WU1Lfr6WC^?Y4uj_?#*nzWo*|l4oJ6$IltSNM^KqyaM-l=xyrBa?@! z1S)(=F}ccr8!b&bSWoN2+X9vHGR8B!;E{g@p_X|SRYliJ`@YuGy8eBz+mC~z9Z5Vz zqqRWg677+jR0&^Y993apiK|tSn-ym|euXHSu!5__S1zSJz0Q!*)6!_4g^Nq(S{2P3 zTL5`lyhTrVK~LB+tvs!|TImyZs&0xNHfSMm!$&Uk;_3^Za1Ag`OJM*{Su7-|Ws2Fw z)5LY^qM@;6tBTKQY(?^vBmy?maDkhHY7v*WpchS3TsPg___FWP}fq~vMYsHhg;rz&aV3|+W_ zs`UmMd8~1Gnpm~Chml1SXOOZmaj~3{r8U-^EM|{!{CfaR7WlaSd3AimrgP@-`WSeG ze89jSj9rnYge6&sC?6j%8u}R0_iTasjmM0uG;v+FROPCcxbBr4uZnJGHvWEP`WF^I zVB}Pf7C*GeX&NnVvdC#0#Xrz~yi1wi$B7S3ID(G~f6x-s*<+W%7v_Bi8?Pn4()TKI zmMY<8)K6!Ry&*r|2zF6B!zQtgEh5^uXCd;(cE(swIl`F#t+%T-n$}%=2;Ud}HC*vi zK)3?GBMs^XHw1t=YMR zy(+oHy_D$aXrTf*{}Pcqr+Vy%f?1<5Qj8msOrc>O93nf3NZ=4jsFQ*8l}$8^)C^U1 z$=XCZ<$wI7O3~*Ul-pTxb<6FoxO$k5RiV@vYq!j&^HG^4K$4K`9M0vXZ(uv-2n69SaoBYxKF= zc}8+&N+JH-dVyk%0aqvA7;hUI8W!?VsrK0MO7+rvbarjMRGvp?Of;0H(%JZ!7AUy% zAHOEDg@}n?CwfY~bdXKIsLL>v6Pzi&h8aq04KY0{YxYH5nXVKcHd{vj4nR4gFVn|6 z4A?$a6q^ZCG^RNR^MhxE%v^kF@ysRZOMVZll@Kth6!B?w9AUAXh!tkH_7lijyo{`Z zj_y8^vRVK)tzqE+CNs)jV!molu=27qLski#?t=2(MD|>6L~vIhiqdX1U_2a&_g)g^ z<}oosdN8z-kSR(D&%`n(-fqi%m3rR@$&@fk<`8IhK6v#{3eGUn5OQUS;?U z?JSVJ$+1^hKd{@ghBs}!s^`eIdj4VmPuABS&#*6Hs~#9GKfb8b(yJ!>?|S`?`4w3k zb9tTh2a?$jblRS@_+;dy_>I!k^^DABSFk7pE&1Hg_e6*Lsj6XHzB#o$3HjTc!kt&@ zI#1-f=JYIkmVK0cY0AgAp4rpS>gS;nT5jTw(NmNT7NK1*9${@7FRrrkQwwrs|8 zyIHw{#2Hl^o(@LZ0XWTb`#VEU$gYj4pO=O1n?vWNeI~ec3->5Ntc6+NIj6-+Jg| z7T-2T7TlPeLp`Y)X!P^m-nZQ^^p0)W@&0~=|Fw8Z{i->x{%j?s34fhiz7i|>lDu=b z8g;dg<8b=57tyv`&e+wYUN)T5aBivjCW&ugIt#T-E(@J;Z@Pzv?M?f`GnU*C5p*Jb zTPl3ft~2lX#=q?*D(R^VGp#E=bb3tcm(vPWZvFX`Uv|Z-ju>qo)xck=Nzi!nx+H1Y zG`$z4xmQ=S)X{3KIFja^565QJ22QPAI<+>qRbuQKIq95@#EY?h>cZujH2da!i&G`? z_b#RWS$>4Uimxx)^xTR8gyRk$uk z$)`;02mJEe$`)jP@LKNgJ93Zxl$-hN!+>NrS#`2s zc+9!r0gYG91mlPoBQJ)oj z!;@vp(0hu0q8q7Qa_r}LiK!gLCRC!H*b_(*OSR=}f77yybbFa)Qu1Bmya+?B_TC_6 zOi^7m{P}?)X@|<57HU5>C9>xTIZ|WO_E2H$t$h2OgsJ57P1C-%n9{xIvd%tC^9g!x zJ;BL(*0JS9h2q6kt#2$FIvBQA+m+@lpLRa)^F?c&UH7uDo=Mocs84pG7Yuac3b8kGGu(ApTMO^mmYfWF#mbeyu*nMREvfT5PoGiB`3GqQzKFjG_ z){w2=Ms&;N8qUE^f4nn0e09plsssELIY%8o^k$fKTi;yxn*sZA`}wlWkddl`)yb@dH#k*(w|o1ets_OokDK+fObZg4MnCMgepvOl=ADNU%w>ww_K-ib=Fv2c zZy!pUr!5!FxD?TO=uD1HYl~OW*BcgUKR=p($0X%h=!Hu)1qJE7o>L6_QBe9L$2ccp z|6OCXle-V1)thq8P`oRUn~`Rf*RH5#wYNtOCH{Eu^T^;}6E&p#RmQg_coFW#$H&i4 zPGU>eQ{vJoiU`HnV^A%#qw#5sXD(72F_A{#*WsU}PX%4h{+#>t z)2)71S6IHhG{ch~e+0&yoQ0j-9MwaO4i?0iR^6#B#kS+yhAo(?_sDx~Qrv@Y`!DCM z@@T9;>S^;fpg6OYW$J&WY1#D~uDnqbzSlD%a*FbGuA6qu?CVt)4H^>(S9Vs;tvR(Q zEo8~%>ymG;4k2dk>p({Q63(c;iW!?0Z)G{OGR!J|YlTbIpX(hNw%)Y zaf+jhr)@^J&TOKY^Q*2iOgC*unem!i>q)W6Hv&-6wOw??roI{K+2^y8f8Mgi#`IIa z()dKMCt}`;s=pEL>A1*2LJ>sM=~Ya+k-U4x>366oD@Hlsj*gh!Ks~$p^Yb7CEN*Slato!&#xjjO+An-`;fR2 z&Dm)-@Wk-Q@Rlbd9JB3TkVSu+vK|(mM4f3G7u)x`327uGQ5`<9wCCqIUS|0`d#fFj zzlFGXrNQN><=TxF_Bf9K9qHKwY_`S{(#-SG(Rhzid+e%pm-!_%Z^~QGO^35O7oVI;i z@M_-KYKB}wF}Nk6MVaobrk$2yI{KTYlI6+=+(tZv7U3ab7tii_vH0x9rn9@+AI06w zxV8NOo#*%4mCL>{o9+FU8|~h8FvMxwaQ~J~$IYFtyxN_1wnzW%^UFKU27Bd7``_}3 zSAsRWk&k1^u=WP>qvMVX{#v4T>f@?KCOg;F>x9V?UVi<(G0$$o0)?m3tKQ z**E`@n#)LwM?j}?h?&EB_1C8yJ(}-z?IjSa)0I)ErL`lvzjN7<>MLw-E>9%cKVj0D zVXq0vs{=)YzA=GGvj;GA#8ITPBWI)T>k9|ZbbSjEGh5eM`)%Dv6VuoOZ=~_#K`Y z(>Q>4Fl3R9czeZR)^Dvcsqrd3X#oPWgU%A>snW(F=5<|oa0y@MVkT^`N-B}Xm9 zZRlGq;pg~FRAQD8O+Mez9+8e^Y4;39DU&k8dyS{mjinsivE09xrZDPF=eF0qGr1G@@CW4Gtx#KR>juWP`yQmtHKr zG6g9zILuVE!ePywoZ(hBZJxtVxBHXToG&ZOH}@s0=pB>}J03Wz7MjM~cFwica?**Z zF&-7qU#lfeYg@|~H?z|i*~z2H#5|K18lEQCHy7#zb_za={UERU-p)}JG z4FAW8R;fNcX7j4qB4iOCPNkX}J!}!u!b4_va8#DfxSM@>AY|_#hcXbGlGugJYjbCS25%)+{PJv$74*_a>?l zEEg>8*gkpktNFTnU%r&fwMmuA%011;NP!JU9wM!J-23@yU!E)ON<=S9YO6bMx8G{N z-B}~6>A2Og2*2Tf!0!x@u{s0W@m4#loz=;bjrFnczJSg}?SUNuodILL?PEv(b7PIQ z@cjYp0Ue8EfgSjnop=jBiq%nw;06L900JNY0w4eaAOHd&00JQJpCC~1AD;gp|Nkdc zIm{6RKmY_l00ck)1V8`;KmY_l0P;Wl{U25V2!H?xfB*=900@8p2!H?xfWY_{fcy{n zfBaWD%n$@X00ck)1V8`;KmY_l00ck)@;~H%SOp*e0w4eaAOHd&00JNY0w4ea<6i*s zKji=MU*#}E5C8!X009sH0T2KI5C8!X00GGVkpE#7fB*=900@8p2!H?xfB*=900@kK z0m%Q5|Hpro!wf+H1V8`;KmY_l00ck)1V8`;Apb-DhgARqAOHd&00JNY0w4eaAOHd& zF#ZJ~|3m&C|5Xk%1OX5L0T2KI5C8!X009sH0T6)v5BVQf0SJHq2!H?xfB*=900@8p z2!O!&7l8Z^`G5RZIm{3QKmY_l00ck)1V8`;KmY_l0P;WNe^><|00JNY0w4eaAOHd& z00JNY0^?r*@;~JN@n7XILl6J~5C8!X009sH0T2KI5C8$l|B(M-6@UN;fB*=900@8p z2!H?xfB*=Le*wth|B(O3f0e@wK>!3m00ck)1V8`;KmY_l00bcaL;i3_$<{KmY_l00ck)1V8`; nKmY_F|3m(VRR97Y00JNY0w4eaAOHd&00JN|{ssQi^Z$PVOUZD9 literal 143360 zcmeIu0Sy2E0K%a6Pi+o2h(KY$fB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM z7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b* z1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd z0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwA zz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEj zFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r z3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@ z0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VK zfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5 zV8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM z7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b* z1`HT5V8DO@0|pEjFkrxd0RsjM7%*VKfB^#r3>YwAz<>b*1`HT5V8DO@0|pEjFkrxd Y0RsjM7%*VKfB^#r3>YwAz`)DE05Bc^0RR91 diff --git a/.Floppies/A2OSX.BOOT.woz b/.Floppies/A2OSX.BOOT.woz index 37661cb2be068e22e38bdf2d1313ecd6521d0de8..afe878e24494187dc9a085696e1ecfdb277a73ee 100644 GIT binary patch delta 45256 zcmaI84R9i9b|82)Gv%>o{1apMc|3ETA78}wME|H{PmfAbt)@6fIO1YPqhhZ$5`hq4 zJ6hsY2+|e;0uZFv5stf!i@3dQb%`iZg7B`ZxGD-*3jqfx$(^{pxaguG&!Qj$Qc2!! zED$J__E!hjKeYYP{vW^goBMxc`!2kq#RVK%aVTL$jd2s@Zgn-j8L_q41qGQ$-+IU6 z%x(zoE!O&W)EaXZY!Hv$c+ztX&E59X&X#n;byrmRN1JIW@mSz`t+ZeH0WyoK<`+1+ zASb=ixID6=%saV>m8;GSVs#;wr%!s>X&>6X;5qA9vUKPhqwLdY9C>^(v{--G+lbpX zkVpiag5frj_Kl?DB@&NB)1FQ|>GX6)smPO#HSbexyX_mGKe-W)gQ@XCVb~e^*@u$E z=b|({jOAh9O-o)SZbe2;_q40^=+VQcm#w^alMyDJ3);IUCo`vgCht?bqt^LJqA<$e z%sdv~Y0JW`T+|VLQ;|1?*ZFKp81AdT^E>;0_>J%H|6To01OLyzz5dJa%F0Sri>%-> zJegj8DY~-O9wUi^r=e6P)gSi`A~jJCBk4wvh0o*=jc$hayjk2g2m&>4@X{V}_Ht1A;kxdK}HQFS>z?))9eB#$)<|ZazH^H<p0rTF8f*Fi0%7sCw3$tz*PM-50$$KfsCork3yoU(NL<(=C?W)sf z4Z(ZoC$eKONX^anc2hrkUY%zP1}JdP`oZ(mdl|KnJ*6nb`d%jB!cd!>uC;ubLT6*6 z3{w!4NW}3aRT*CKr4?7(C4}$@TVk)O9=K?KQ|OpYKUHpG=D(4c?UXG8nG>M-$rL|f z?map>ilC^!gtOSa&5qfaZa)_`A_{mL5{eOEFQf0}vTOYb2m2O7o)0pzvo-+v9<1bp=c4+>8&c$k46fZ@FsKwrE5-CtT+!Fe3oKl+V7 z`ARCbu(AKQzoG13`^NWR!wUK0Rs`QT*hTe8Y`I*kVH(});&O3OyQp2R6c-n@cgp2T zQLA0TF^vXaUR*2{!LhVdES8o^#U(J~>X_oCt1d9Gcr^l$Vi8O)fjNFh2Y)&9(`x^p zf8+YPMpp&Xos$|MRP1!BoyFy)rR8<7;_86q((RlX86EnP^(yR3I-A>n1(G&^o%u&DvTUkn{pZ8LjcS}zceflkG zPN4JN@`5B6u zX_aR5+2RHmROc~$f{ZMrlB$`YT{Sy9KRajf&Zt!LGfCYXLCp~{u4NGv5!-@dEht5> z#V|A9r7HoZbi{;BeN}&XD00QpZ_1}b7 zs^BhVKPQ*OVyTQP^LmbPu{psxrsvXI-4@ax0U2O6fhG&%A$==193|wq;I~Tjt>jP= z=!MhlaGW)xtTQtdF0(+gfN!uJ$n4K1EVJxJAr8;SoTr^ft&7XJwa|W;i82E|2f=U0 zvl%O`%13*)&I$WO$!;Z3Z?tD+p~sN{`>>mkHxbvHv@c=LTCB)BU}NH2F{v+Pw#IR5 z$9Id*^e%__j3XKw&@nmlR!k5PNn+oDhC`7t#}Yq?6;8$59_L0YG5bdB_6xinPrM#a zZbm#EcsqJ4l6Xr%Z!-YP+mT2H{XzciDVl#Lb2=Hvfn<_-Bhuo0lSgC0CrYWu3gd}K z6lcbKEZvCYRX4l~$|z$;QQu8bFy}o&Vn*4K-np9WU~lIl%w`Yz0R#OY<9d?&k1uY^ zPrrlF{=fgruftlv5?4Miog4%%OC4@_lAV+~J#116ga^_@Xr5Bda}n*dpQd`D+C**~ zKTTeQFI%}d+etQC>B{*bNyJ03WauE(uN4DNk65Xk2-f4Jd^dt{ST=Mz;DZCYFcjIt z&c$&oNKpp|a4>Y)Y~;$F#zo`=3yA=UUp6jUC+uat6C+5vd2~AX6df|B8S1cvHcqA5 zMKeDM^w~x`B97~6Mr4Ub^x&d0Xtcp-U?PFOL?}wN&goH78Yc(Rah>UO(Mhx2Dd#eS zOHhTtcM0>#Ab%1%y5xjFMWXY^Spd^dv)F{LJj%vSV#CH!vk@f@4uYXZrxD5(>YXdl z!bEVM$iV?8rTW=;v2{VQNb}Kn&^RDRA~xM=9>`$*^HM*}1alW{u6xjYLjO-!Jnu6Q z__OAhz6P%t0KYbVo+l=J*xXiZpO;sb)_V#pP!x8LiC2cvT#&1 zR5F=)omMjgYv^n&g>k+a{Q{mvNqaG&*XrgpMJWQXL&)0e8HaZPVlt4oD4-gR#!8x8E}cChlBV!X5`fthX|isJi4HDhQ$9YT zs&~T~GqNxxV0CRkNFH^$7B;#LFmOaDSN&mpfmVYd3KjFM%A9}{ueyEtt|naCn$F(- z;`EGbp)0BW0TtJlw^FI2*^L(`OoAP@^0Cj(i1v=c+lxTC;UC13=!plz~ZpRhS{(-`O)bBhjz)>^XHeBm#Lmj2}5(4 zdFtM<=lF=Xru+|5jP-KpIQj^&svPez+tqdQ=so7W%ap^hTiu;po}^T!R$(ICxI~}h z*2KMxjona546dCIFTo#7yUE)!EE!^oHFh8?vu_WC*`Ert(!)sgIb%p03~5QWvPhs~ z+Rm6SGwdfo*mk*^>|UlescyWNK^`eTsws1w%M4R1gi_FSN>7$PsJ@pul|B(Z$oxq7 zAZ3myI8tp_!y0sGy{uJD^MyS=tkD!olQd``Cus^g$^cOky&Els zPJ8XN#~gKUrz7mK;M#oD&W`xU4^R1+z)M4J>@(9gVIvodT9X?SnMuO_iF|q)arslJ z79!5R9tT`F@y39ii6jAU7MTt-)A7IH#1|aH<;rK8d2~8%G^P+@3MXr?7K3P7A zb|{u1&ttL90o7bBr0Xol%96;B>Z z8Lu__E|+tSbM*-|=ee5ffzX31L{Mjr3)JoLVY7MM2C!f3-0t;;KtX@!ntne7e;4p+ zk_U?6FDmJ#NDL87H>p)9vffO^{r{PQT-p^MR(fP)aA)c^s+weaGO02-9;QRSnDqn1 zd}mlLW$^j8&+#8sn^t2%W;^K35>pV2CyD@lAk11%l^I7EZ{2es?Q*MkbkDa-X2P=_ zxZ?_AZ6~V05mdp4J+yPx;V>{(l}crJP*plDp66Kt~OK;hY+)f|Ye+*WS*5Zf@LU7_NF3rZZJ=Am*s-C|-)V9zU=7&(503oxvI3uf0Fs;dZ3=`rOWNhjR`1=Zd=)e*f&O?)ARU zdHQFK#!lmGEdFqC24;ADS@@?|ps#dx7A=K>&z%k3gB$ zpm|jTMgr>S{-1ySKYax-hJO+GljfJc1;jgGkotmn2O$eccebb#W=R!J;1R@dWZqct zqQq#lh39pIpyR?oR&ZCn-ce-+gJ$ODX6EK+XSN7p3o;=sKBhC6fI(nGzciOmnbm58 zZeC}JENSY2V2S4Pa~O@Va~R>!i!h}r7U!^p2FQT*BQGk6Eu=`Wq)Af`MsS)z^;;=O zGoz`~UA$<>qN$~BFuSE4Wzhv9m^ERotvq)WamVtkJ!2}RrVZW3{LK8E#i53vnfY0Z zSGA;_U2<4}mMpkjb1zK|pH^18Yfhz_;FGvkP;O9jN81g?Y%Ko`InG z1=WlNjLpo<9etj77@Y2E{wunrnOF?y;#c&6vaZp5icRZ%*!|t>4u`>^zcOS@mEOS5 zf9tyZ<&%?ifVy`5J3#FOrl`+LC=VaDa}O2fVV-$C7ULhaMJwZNw;nOWhcW&k&x&K5Q}8jn-#JyWM*D3kR?oi}}s`MqXrHqe1)8!_MaE!-uh!0?&x5$HF}H zRVB@$zDx|*UcUQZt5D^0s~<*ZS+=wB_)+3v^c{=xDF4p_zfXPT&L6}WsRKJVnIHJv zHU^!Ubd_7Q^;Yzq9(uRqmiinMaZlKHn8;n`_<~8tBm|hezWp0fx3w^`rh&1(m3fl; zzxV(4FTV|IfpzVLZ1BoTquUzasVuD-w?$ujSgq-}MUSx7tud_E*(S@E^<|&vlZ*|M z>=`JwUFDK0@jym&U`@T&QYl)O_OPv*ogDWC*Ai`7TgDuo4kN*fmgUOOT=Lm=38G~8 zHfyW>?udgMKCWS8IkUW6W!9REn>Ckf?eRKp5v` z4rhl541?wTvA4X6t&1|Pd=c6oJ` z@wu$St#byi)vSBNOCGZ?^;SeTWHq~-dJlGo20P>o-sLK{Ef_hD9T^bqXa!Lx^LdfeV=U1k7l0isMiAak2KH&q zNM;UPwV0Jkr3?!|%`<0`>g*h~;U+j(s?5!6vq-+Go!%4RCYhPuCbLY0o8Cq645@|GpBX| zBBa?D%*V`I5%AuyOy34bH$$m78r|~gf;>fOw(@+SP>1qRr7r{`zRn72J=c2>l zB`=klOT=aqF;&$nxd=41U=4C}QbiL^2HBQlUeo|XF_0u2q~nDoG4+6{8>y8z3nj|3 zh$@Nu45s;5d^an_e0!I)+GvxDmPK1Ldx1zJ=3}eHLyqeWn+UBFKembn`fOAP`;9S~`UygkvM*ZniEox=MO{L~ z?A8`EQ+)GdIf~uMv)1VO)Iuj+8Rl4zr%?jtJW_r5U%Wi_Z+-dyO$zV+@wLF0e);;h z;41J4YQe7)cmzLv#4js(?eQ=vqc`*FDy5q!inE$^4$eeW{q95@p&wSX!tr@Ew5B}Z z8x!K);jXkhIqxgC^0oW*y$UJ>)i`B%P#Ab>G zP(Bp=GE9UH{W1|cAPGq-WPQe-Udv|cbd$?B_=5P7*=jSzJuEKwGE*C&`;9WVI zyy}5pc7;_aO6ZM-OjW^yNh6;Q9rAr#l=jFWs=s+J>mpRb%}_xxg#8A2sFm*pss#gI zFdhz(+i=L0_xtupN;#DU{%9G=`wSJ2^OWRp*T{P=4hD)Vcr^0BQ?cZWO4{%L%JuJ? zV`kQ*-osPSiBwZeGQ7mz3(P)3(~#j2x>>DW2`T@}hhO?CVA;T*@ddvHB%agDZPth1 z0gehMy{0ugCyiCX*4R_F+TD7wvt6$U2Dl{;y}Vql3JPRuU6%S4e#ps}B*j8I&C8~) zFE(^P*nSl!_IAD8)saZE+Ev0$UF>K!KpeS7oPPT$~oWeR0vw zf%+H9?x46hEe^-x=L-+|UKXcwaq$<6ODHb7-HV@Qnd zHz_WDT7166#aBftF8Y3<`2D~wGc9uQa|MRMr+iudAde{I9+RJ60Tq74MaL@+nhESW4cRy#B{N;Bf2`K@57c+x*x{qS5gv`c^p6gJ@Ok zkSXL!r|gpv<)byqg?l_^wh3Ca9u=i0#WyU$*{DLl6;_c!rCgYZ%HFx?ODLl)=v5hK zVft~y19uz#E>)mU&yo8LSW~ztN{<`x1FC2!99re@$B(zREWt57mLDsnUhG-3ysms4 z+g8fG3#`D7CtWlyAxJp9^i1|)BlpNETY`;eeqy0SME#|ULSB{6c_lwhKA=lpZ$pj+ zjrkux`IwZCZjQJ3;ckFNFb`q5EoSRU`ElGz-?=Zm&; z%4kerCjC+{SH%2HS!aR$2`sMMirRA6$EStEXP4r1g%VWOd)>!d5d71E_eM9tkVkU4 zd0yzn z=5wx+J~CW*Ksb)PB78_55G1#}>?h7^dW2GEQ8h)W(%OY(G&5X21rLW6@_kuRHBsNK zB>s`y{7||5T=XIn@_~Ze*GYF8K02%TMen;cQ?(XaUWQ2+7Y`bqx}*@H=7;i;FrPYU z-k%J|K9bYwkEnMYh2znwu#iMlx{Of?8;|@c8P)2Em8Kztdu#cLe8}PJ&D9Wc@IV?q zK!B|wUqB9WQl>I?41*|w2Qz#o*j#oUApWomHfjSp`2j(!oQ-OTKctf;=REKAYQy%^ zsv~nB37QHV8A=*Yldj6(2tJScIUSW7K9B@M5U9j^4GCR# z1y&rHeLsJ2Up~m-4tHHbSG)^TA1(aq3z%a26!f*P0|sTlU%38~5C7gHH>#JabyIs zcQfI-6-fmc6iLm@LwcG3t~Vj#Y9T3a0Gbzpi;iNv^^`^rF;LQxNrBaMS|{Rw#FDRs zq8fU^6=XXq$2^jcu5jE2XsN zBp$DB5Hs^^CRt1=EHyVTRdHI$S|hPKPS4Wa*;%t@*6tM+fROW@DMKPx!1Lx8?In*w zn2`~B8CY0FXC6)z0iS(4-D(|3r30pf3NC~k`en2RE9vJ_U=EYwJ}A*nJE4Q4ql0ST zXVt)*pD`S4pQh&K@AaAwn&r}a6e-L;Jg_oAezLNuTG?prA%;TJ0H>jvkAml*CH8#y z3B&kqJB5eq(xB#hjyM=N4o!!>6GqMFm=I(7Efw@NoUty@I|E3^pOB=hq z>4xuNjl???ri~1HmznYO2y`(Q{tlzn^EPpXdf>AZE8+T1QUF!shvXe~GrriW?a z;hgH8sR_dcYZEpqnvTm~0G1s1sC9(n_mFUgv8JkV1rP*ZJ-XVGsf-y*yUY(0DIung z+(sqC+`S`14>hN1unnx&+@v5=>88#49-B0~JG7Q)#Y0IOjm=`w!)@1`gk|L4l&cKOYYfcV<#0T-3`WW>MVjhMV`u~wH%5NP z7$%+8JFR+W8yHNCuYcS2UiQOj2R%y1jIw(3lwPmABPdWl;12Hv{nQVAU8%m(OCK;6;_bKxp?)vL0Gvyw!_^w@%B1}r`zsc=^NvT(CU6)FsO&>*-! zT_SG|7_8xW&Qw2gC9}G)y_@hRh$Bsq$+%qEr1q#n1ns(TAitodxAHVxUAn4+x>PK< zU~zyASsWbr>|WOvAeV`ushOY!r{}1STBq^`7rdY~16&Q?yo#cF1@^JO`uf)#AfBXp zB_Aa#OCMajh6aA`*RPb1s_^oO{b@L2CjSQgSdiXgJn8sFuN{G2N75VOOp1$b ze3x^|eoNLiVYul0aH}9iG9E-yA!!l41q~7RDSI8cvwrCX$cP zKSUct4-@GN_9lAwMTw_{H@Hp3`>q6yJ!1);_xPVi-}UWqzF}{R zv-svSK8t$Z<+EFErjj<_9CPdR(W#W5JQOF%ytC&^7wi*c+M5QJoXkSrvXGa(aQ>Yb znCi}VV()A!wD+C(XnfV~J%p3CEp9J*G3@omBhcp(_xM)QZxj+H21IXG>SIR!*qhVcqLnUsY}yUYZOw z(gi~DA%1j;UDo;XP$t<5b(uayE)~$3qZ%A_BGU*hgI4~$S84%wS2B;&gH~zK!hj*C z5E>Hukz?Q?p31g!T=}G&?+cywm>zM*KvC=!(MKKmq}+enPsTEGo4Sm}BGP#R z(2OG!l$>tuvT-z~!S06qQ681sXy&|Ej!!O%JVTCi;^lGed;sVj*Di!OIAtI#p8P`> z(My%W0sF4xqy4+@AAI;Ow*PP7Z~X?iX~^n}XZTd9__u%XO?@KE&4OFV z!4m1@_~$?&CB64Ps%C1>DXAf->(qM#E}d-__`KmBAMgf4#E%G*Be0xI@$P!YU3-5t zkyAmDSeQQ|Q*P21+%K$WGj(C)_s-Xy_AEf`cJgN7P$DS(*zJbFwNw%6Q-nlKRdN}x zA-7%2IDXUZg0J*qk<$84(^}3e;x2xq$W3ZYx)tM2WgwW=&xw)17ht!*O)ASidj?+f z)U+IIw97$ddD&a=Yh9y?b{-WFEqOaoO;+qOy7%cC*{2=v)-7Hgs;vl0GDq=8l1#ww z;Wd)-8x#{by?iLC_K~bK@2%`AA-gdz%D(>CYdjoLhM~dT)Ors@AX5YBcGxTg4g7P? zP}o&;{3++0Ag0jj(47>(rU5e5)c-8-umAbAZ^6-MG;xst-mOr#!s3J@ z_PEP_jo6q_J#EoqHW^2BM;UYs27xBl6z@49^u{Kb8QUjKT5OY}J>KJ~Ybx?+TQ<5a zTdj7h)Le6V^kQecRp!TfkHfsCsge^gjT~TOgWy=!E;@0~vZ1C0Gx@RC=j`?gon7M^ zD2A;e+;GBOmbH!XN}C_AjD4KnSl<kXvua&c_+^ScXZ4aE zsxd~l)?Qy-hMJAtj=@h^h_%&jP1+G@qey!l?M}~au_?5xH@2^X574SP?yy$K0ayGqn>3lf=#5vOf~K+CiDsfF!tK7CQBykwQJwp|GU#~Cs+RDTVIA> zeG>+}lnaVR_YOUR`P6%5twk8CJp1Yn5nL8#vICiGVuN4IzAR8yE0lNwG!xF8!t zHUks(J$gzWw;&-g_VFaD zgzE%{Pz+P6F^7Z87YG=hM2CDNnxXmJW#hOv5`v--j76i7NF-CrPlCm6yZtoY%}8Zg4FZbO)v$#aB_f;O6hJ2JIn|9 z^vN)Ia$X=UY-NcmZE@mi+({5 zn_P6J4~FUQ8_r$GW9cG}a<3xREqd=A+2qn(+`TC76b~|Ryg!zWwzZ26cnT}?$sCao zhUF$+ta-$`)xE>8)gT?)JQ* z=a_v&T@Y}f39(HG&RK5biG~+VCL0h2Ig<;=Y~moPZa`-_e_o7a*mQ=?6zOO3vkZ2~ z3W;PxOf+y;bY{5D?8<9o|jZ2 z(VQ@)(>{Kd#soF(&xD*ceKeC^(^F|no;IPjP!M?0Zd_+tOh6e>Pa0PN# z`R>*3rwaFc_i^7lBPl;LxK$?)pnOV@sW#f*}1-G|rUsn=$j%3(3m`26h#Yd7V@kWFt11vNJdXL;dbSd4ls zdXw<%?y)VN#!(AO1)gxTdNZ1iiSwk-o~ZQbXZ;HoI9=x0)~_Uq_O$IyHb4dkeY;nQ-lU^p_6{4g z*fDTpTwVLGT+q4bmE0L+NvrJ7Az3T71)`+mB&PAnBveq;v&MuG7?QLYf-k_|K+7j)R{gnDC!>ax3B059KwBa^I|5SX#@>3DK6m&7Tg(^Me4je1z zNZW)~fgsEA#|o^z?IA4<@?9A2>FB!`{!c`8Ok9i;>!R%$n5S6xF08g5Oka)=n~{Rv z?l#%FOs&iM=YRTDnKtQAGaLih@?GqOvZ(DSn}JK|jq#WDgf z+39PuUTVAH9SnepLE?AXl2+omUCLY=(5pZapc{hI<^;Z$Azsn#G-;=U-IDdbnpq}@ z@__A6M!Un>80OZ&!@p@BRw|5ZGyR7)mc_+A z;1yb21O?={OluhUbbxPX+E|2(FsO^*Rhn+#1-b|WXA@LhTwI|S7p>s)m==vika@G{ z0tND|83x0(wVnRRGX{^d)e6TiRv?S5R|iVV$gQn;#)=r-h2fcvFbWYCbB2qc z*)X4+3%J8Vbs>ox!d5!WK&g5clYH*EU>7rFk}Y(B0r5HpK3GvL%*-wwse`7uxmlIN z?LJb?gwf&5}NBCq{E4MX#xcvsfdS6=rjh{O3zmz{duqi?-+r+Z-u8hf^AxTx{| zlq!vx+#ZcZ?|vMolqf{1-){OIN4?Mus#hLux#71ge!?%_smDdbR`zk!XE8uUgI7QH zC;X;2#-)qB{5dUkx3;!y)Zxcl*)6ww>v7CRG`gd#)eYKRP_`at^QuwZ4_sp2Tfi;0 z_4p~#?OJa=zMyGF%==@P-7fuR5s{weEQ<>ZfG>>q3P({7Se!`c-*D&dHk*aJo@S`@ zIKL={!v68cK95+;>mSn+7JajvSBtkl-v5JN|7{Dz0;|pzBq8X!Y%3j&CS%1EMdV@_ zxv;*gn1bO@*aY8*d!tr=FWiHDl508Ku~{k zf)Y33K2h;2AfV-ap4dMn?5hR*KH+!ghl-(|_rv?U_(7i_)T*(#j4bpkr%glcC`r7d z_Xlp*L`qkEF5N$`=ZJeg;94o{O84Q@?D%EN_JcGzS4BUilL{GL&>5bhreH%D zhIXoCzv;J+l3Le0M)E^Kzq}{*$)gWRbpmfimKfwCqx&(kOJ&y>)S z)Zd4k--AK?)E8{~s{_hwUtVuGM#?6w>69R+)6Ngidklrc5ZvrJtRA=D3*lO*LTinK zvN&KyLkzmp84WsP+`QQ70v@lSHZg&<>WqG?k8-aMmz`VfrbIRLzDvQ#4jB7Lw%SJm z30FIpf~id!CC;wzj;6fy7Y|tBc+cfkEEVA5%R|>#@>qv%3Gzz)S{a8}o*MPoB};G8 zG;B8Ay}G?;7+#V!vwq0-hZ|!XU!!{yvOIJTB;0A$4@)B_*BT0)C4M;axQQXe=t~Xz zwy;-nD8kxemtVq$ZU?NZ2zvt-*bY`4KYtlQ=>P#!FULEe0S}z z-Mbqq-#rd_jOlbSX)MGKGgx0J&`&x|AVSgpwNOY;ZHC}AeR8N5cA9@Vxr{$zB#m*Oc2!R1P*|L zMrGJS0^=fZJQ#I(5(%f?>}qpCy`|W^d#3kJ>0X`8Q-A^Cir<;Ls;>5gXP^Wc4e5g> zuA%3IXa1zxlEd$=g~CboP9wc=lpJJh%&pU)eY+eDy_hItY5FgJ2GRa|&k@i5b=3Fb*|pEl`>H8!6PPc42b?wOYMGZnE=%NJOoU zWL!=@?N??Cwa!6@GR*st0C;d);^_{^YZowfPJzOYYE3JffcV}Ch$}@<8>6&8>7`xj z?W*~6wC_l}ykAkT!Zu31%HoOXU<#aG?J6s3b0lYGr5BDG*A^}%Hm&4 znO;sFpPfDDclZ+k&nm~26Cl&4&MIkpin9-Qx#~%!x?5R!&T;+c-21$J_&)dkS^o^g zO7B$c%R80j_qpZw&!$HO^nf7{``{bqe|Xii3}#J{PY?L4BJ6Tbd|vxQZaCzAR&lNF zA3s0i-mg4A>(>rePX^WfpVc$f{`2Sfk3d%+Y>lg>?eBBRTKa5IshkPgpH-acq@5Gy zh6AvTvmrNJt{v=G($6{j4yRofs$8m)e4krA;ZL}golnpFqTWyZw&hh?__u)wO@2Xw zwpz=@JH=&uY1QsDHpB)`Lr$a1-`p-T*2V^=-DW|4OM8r!ykqTH<2JAstJtmROy|uG zwYXSvJGNP`5B%te6?tuJc5Qb=+}>@rKIN!OY`MhjP1Cd&w?p(mdxwn`OxtMEHp#SVtayZuW`nG(mdn%>VjJRg()J>M)rmEger2_%*8$CG`Vyvt z(_^d4DKtgb39)2Zb%{0CmJw2Rgep_xaI+Wx&Wm6jrwk7 zm0!jwcelY%RNXD{`ksjmuwMaz(hiW7_yuvR66#Io#=EH*pYoJ(+Q&Xg-&NHgKn`v`qb$ahc5{m*nF7Uh{V)$ zK9K%wam=a~W@l#YQ|S~O`@j54e*l*ZONmknO_WLraGd|w-l|*TIF3?lG9}f#&QZrUD)}pbqzqMc44nfJ zuD(jFI*miuCo8cfuNTEkd60blUAPJ|4L>gdKRNIAJjlXRBqYLUbB_wfpl688zIk34 zp+~SSM!cnz)C*hi8*Z4U)juudB~>&0mRBv)ri&69ebb^(1oI8pCO;h|)ZQOM*kO^z z2oi&>q2Q$8u5Y6w+uGf_)I0vG-;_%KiW*bOA+EjJNOz^Y!) z;-+CGs0l$P?`)|FqNwJ`uerkVGLsNdwA~43ij=crdxROZ}9520*<& zrRBS5T-+rK&!`_;LL^a#6lMK_*k1euE!z-A{mknt-jCh&6U|tNeZZO?Gte!X)@bhc ztZ;aSiO%{Z=4qiBrwp+u<`2cKO!SEthMy{jK>r`JM<7qIa6#UEhK`$2`EC}mS$!kK zdSM0cyPhkJ---m}uL7F zAT3-CCy#+-t?X0bg;cJ350)FlK*e+35IN8D7pp_7K-!i;ycxMy-IMGD>F@>5NRD@X zI1t}I7pIg|D`3jDlFaLqRQQ;`cSr{1G!i_Mjp)1~I9x|QYE034d$Qf%oW5N_=^#WN z$ljXl#VPX0;R`4T2nISGOvdno$qQKBo8nV=KsoL!rB_!=K$wgbig}f$!xvDa~2s}EEb((vl$xE{&RB8)pY4S=853(aKJ>{v)AOF zg{CEIP1`KN6tTu`(0h&L;U?D{bfw-7+o|=u_Dac9)D|7k2>94G>w}ucsr9*F85(J8 z-I9B|iQBDS(oF0Eo?96xlb)pYR$2IxaWtLX3J$2%MYb{449A^5>C|>ulI5IQ3S=!f zciEwi_WP@h6V|OT#YK(BZR@jM3&T0BAj#Fz@Aof<)_$M9a?nh3ir!dpfWOgrArQZc zZ<(D1;c;Jh&4M(OKm0GX^MEx?lK_@p)}Xxs{`TV%{O!m0?t&z{7lPfVQLHf3;Rzr=`d?ENIrSvR`g{6gyZHc1Z@Y3|Vm|Fj_nhK8aJV+sj_Qx|am` z#>6sz?`5Ap_#Vj8lNLm7B><}qYb&C(us`7Vd&okqna?Bl@+(a@s>|Fv!{^S^d7ha4#P z@*fV-iZ`SqKdk6~M4S=x4*y3Y0;i=lkQi(@c)%fnUzhh?&ESV4*%$&>{m=Hl@%?YZ ziD-h3e%{@@$Z@+eY-~D#W@V!`1ye`y6^kG_$J-eQO@(lo#xia*!<0quc3UQ*uI{w? zB(1jQH2NHd#&DwO&Dx#L0OUmIozhmv;BmIk8&1zg#XR!Lj>V0#qFbuC6>U*tfY&+$ zS{V8bErr8Y#s;l*7b{_2SwB*6gGm^=Nwc4J>Uup*3H*&z9V{b8676@H%2-LEBuA&V zhb`KMI-%rbN6Vz%%U*JJ8LKUOy&id)G*#*qQt#)tr=8WFd(EKtSNXaLhG7{Dz#zet z^b4#1Uwhvk-Nt#{c|d^hBh5o~>@;a*NzF-o9EYY~5?7{4#@%jHN1sWVP^+XH=#s{4i1|m9kYZ|7 zs+(j(vaUQf)uPEy6(g885c9VNasNVjH(*qSJYD&?XGA$LzJVZvD@U7Y1(K~$M|^`s=pYTGHS-NEk*)qYa8Nvm7!=V>1XmQ1CcnXmUhvcA2F{P$D1L= z3x;GP-awm%h6$rdjWHNCq8wOZk)oHLZ;HpvNEu9>Nb^RZE1GSszIu;)I*#`{o7SYQ z-lh(7j4F=DTDpRGY@s;b7FDI1gR+(0!Q#U4Rz-g-zEIqNl(8eV&EMyRuKDx7aYrfP zOH>1)*xikd0|In$Pw?40ZOMcuS-V;{3MqTmW#8V6RF-Y}$O@HQrz~4GgO@d~l#LnAyp`gJ02>Z4J7uo2SVkO0 zX3Jfs3uSI4#`yDBoa|oKzc34lTHKZNZWu+AD3wp;6Yyr7&EomAC9KywBjE_n#tk`> zA(sNgf_1L0>$g~k+h#HB<$XqqHQ83_K(WN`y4bimzO`t`VCy-IG#N5^hNc#s(0-_b zaSM5bC*Gcq+V>m_yW1<}>|(h>I#;uqaK)ag__IV}g;>RN^r~ytvl>Wjt$GuFZgV+H z?#^zQa@jJ$_^G|z)&|6Ol2`J+J-md%Pjs5G0L5Bm-OsKjqQ+!$BOQPS37{Z;a8sFK z6A-Dwv)E%F1L@HH&u1ZlDVg*n-j_DE81BDV_I@)m(Or;5dWsR;&_|c)$Ab}2cC4a9 z?algh?sCg)x!j(|2$ep2K65p!>t>K_)ICEx)v_}MQm2kg&Ug^H@<(Ag(p{LuaMLs+ zGvYe0?;_Ket-cnuGUUuABWI$Q_*YN6A)NIoidsXvBA;W~UV?oE*#IRy=^{KA%FbZl zb~mQgYE|E03QO?4Zz~%qZqkxMXnTfN<5vrWp+E0^JQRIAw5?#b`=^5*UP-g0R*{P^ zrtRf&iT-9*wtZ1;%!ViXJr^&MNQX1BRgM5OzUooB)Qs^**UHM3t6T;Cu!|Z`7Bgha zM$$vN!Yo9rBRXcn>2#4>c!yKHNY3CZ$`Tvwp6F*e7l0ZYn7XCATgi}EPtP}^&WR1C z5`iQ$XK2P5ZEag5z`c7OsUATGFS?^gKL!VsgL=PG?^Q}FYnz>;k?GTgiEZR`WtUph zp56(hWK+TJ&e8SqWI(7vyO91W2uQaHE1>({qA_bDI6|~nX~sGgdHBn?SZN|dMA<0@F7>c9ghsTSG6O#-x6|>Bk^Fg#YZ)Y@@eZGc5ukE7L3c*7+14tO=1 z*3OPv?K}{uTc9;wM@vhm@ssLSsK?hdwZfm**>*e#-oY8&;m_ZDN6G55)>JZah(BIl z{wiqXM8aLu$hyydLM9wJ_0(TK{0V_zRQHLahwpUQY{{Bp#^RrDgqnyY#b-YTlX$E( zMS+dq!(To2`Om@JthIJ@6+Y8=r{Kqpebw}j&pvB|9EA6sUy@6t;*9qd%oJv)H9yWp z9>vpja#YLS<@!d&No(k9+gsQYJF^vmlSC)5{x%#P;7ak?rw67D zexIhr(N;cbEyhdp_R%lXt^o@-F*=a)q^8{iKDJU}hMX(e1rPKXc#L9>`#cZM*^>|4 z=h9qZR+g-(`e1%ThL2*ORw-uM&f#J>8Jfy`MLPuT(Yd4ptzyfoJWnQeIrYwL$R`N#O1kfiC-#5O_d*EPTEH&)CKxWT=U!x zKETDX@}t>Z`thatL^kgRvuMb@=UK?_0zg|*(k-R2!O_71Fm1Hu2UJQRaACT@z&*z@ z3ePJAb&)f+w)Eod7vZ)b3We@&D0=5z8+E2Id!Q%aRWU86i4)_FbM(TMYfz+brq@IBq*Lizr8+wXR#WpW&?6Mx zW9A1QqnWw2wY9fgYpM8msDYSrA(U5!=$QG(^oejlhEpBzcBW7P`@5%t(_tjWb>V|_ z_*$CoXj9F20U^Y)CagF5{h(vB9(a zPfC@dYnX<5*R>N+&EXW%#F^(5JCi=AQPnX%rhE0wVI*lyxNY>WWWRs2v>EYX zo->6)v9OUn<1XlOQCzvW9nD|bR!v-pdRaC@OIg;vZP*M-J*8k7<5oHOY&N&aU;`~Vu)lL!MnF2eN1;$y~*?w zEIr{gYcECA?b-?TNwr!{vltO3B}J|$6PC+O*NR-P7VtoY7Qg^049CAgx3nq^eGI&J z{3u$RW5z>j&8=S26OOw2FBUlxS9Ev?wph48_CO7`CaQrTbP!CnTqJ_Hx!Uh0%N)|y z!$oDXUJW~uqc3^C5tT_a`0;`XZ$Ua%SMo~=r6 z_D0FP4<(}AK{zs7G@5pwcR4F(FJxf#w%&=UEhlT{!HC*%b701xuRblfQ};RR$B=__ zXu--R*xjY!M684~pTjQu)UB+`uJKh?QjbtyN>h(;)?G(S#WO=UwPn&ftE<=v`~fdB z7RxTQ=IQ3*IF2`uH*rgGrtSVDH#&`}lA3wSm$GZ7v|NT6-m7G%eW?d+1Dxi;UC#rP zHqWCzXd!ORUn!rkIkTw;nK`=1HJ_ZzBps?2)tql>dN}Wz!;0!Q=oB}E&3SecmATd3 z^p0(E&Y>=;pdaA8ZDC?w<+3d-#TVJJ8OzSRdqwr7;%GBAH9xmYpH$7~E%VMa%zPjA zKx?xv7UvJ2UF`B@?Cku&{NTXl|Kf~+Yc@ZZXC55DwS#l%v4MGyx-@bbQ$JcRVnsSW zm#cVJ3$_?-!(vVvZ+ozILe4u^#vJqfSvl{}+Gi|9H(hSMK)Y75UhPDh{|$VG71zRq zr$zS@#o?vDw%w5GI6RzfvG#W??rd**HvQ{;`23j9Zca{g*wk)yK(DZ8J?FIs`N)__ zVf7f!D%9#ek}a&4M%*I-;>4R{iAltj!Tf4+X~$klzu6a9$|_p3=QoMg&82ubc^;#) zW=}9EPbiuTBa_2qV0b(23!XsKXrFd*noh*u9A84Z9(1Q!_fEkb>4@NZABAh()SKnj ziQoz1ag}3MZSY4-m`dwV&F(lJhcA3=(Z_X}T%~YO)qIwCe7c;5c?_27bO=|TRTa`p z;Xbm3Ff<4Jgkf_mK&ee{DkkN0*5olo+SSh*hKa}B)vwxM+bq}7Z1S-YA`Asci>@@; z%$cU`k#N65Kdor>)3jOdO9#WywJgq$orFIFJ{*}LgTv;&zVa}YJ?~CL_#k{VLzBdsSoyHV z(-t*)NN$XJD)K32iHfD z@s-gh*r7zk5s^_VyHSMgk3gvyHPT5MaL%_-OuV_tDJ~ChzR4-P)-YR!(Yx~M>f4QsEKibq#iM~t&mc6=rxHy8q` zHyHa=8b1{S zGLN4awhO`eRhIGlja(p-BNlh_mQ2n>$5st)&X@7&!D1uu*}c5eZY-B9;XOOL=47%? zB37hUImV3AYkOg)Xuo2%J5b~PH>UVTF~?tEOIyf7uCU;wEXgb8@N6Q!lT9V#OP*zK z+2YDuB8h+@i9>(9n9Y{Zdz?%W=73R~^Lr-L2wyP4LM~clp;Iqzcl#oNQYPpun$Y!3 zF1O);UWRBcU7?Mc!j?G&&Q7ZASfo?i6`IH;fT}{TB+eF1%Zphm5KrtZdMMKFp#qha zi~$l?*NxRLjETn_o-*_Tbo==A@ZB6i?iGvk?)CM2an)B|$r!ovLO4%lXBW#5G~&lE ztP>foZ1P?y7Z~0HtrZz0pvYk1SBne+<0a2oBwSuXmbx}oWOlos<4)MGW~a4qytXHo zO1_eMkr)Yc>t%KXY3{J#NRZ_aPVOen{`DlP7(>kGCuwj{&GtY`THQ|i$4cvFi>KdG z6JR&dnpq;&N5TdK8JCZvHXCMp+?0GgLAxUBrl7%w%s0DSxD|dTui7b`uyDkKhF}Li z`(`=_rvkYNhfk#4ooycD$|EP}Oi3}Kj%;oR;rQ~Ch7+agOYJB-XEP;R@NxvtUQM(0UyfiI70k4VBqk#tICbje2E!QT2O@6X z!Y*Y?OY31d<@4wj3V!5Lw%{1nDpZOpLEvoduyY_f{Mp00U%Ias7)aZL-H~OQV9DqS zoQ0w*?3*N_n{rRjY_Dd$MvZTp%Olepq)(==1fvG|=1jR;WzhCT&9kHn`4P$ZWEr-v zfRWN*nVEo^B<#dCNjss;u2<7R_UjnDZHfqmSAr|m*R4p;N)SAmZ?tz4xD@=E9~Xg= z?9gsspmEZxQlrRhRCR-?+aLDk$f7dZO_I`@YZG~t0oR6jY%>zZz^~!WU>AHNtvXJ} z@xWWD!q_C<)K0w>#k4N({CJ!iRCF2_XlyJ##}EY0^{upp+z>|cN79|U~&`NNGe4b+8WKmEAqj|Gt5kcR?gaJryh!oCJr7&#s3G~ci8Kb_uy zOwyGVNF5y-8cysEZAHGeJB+*;_}bcc_j9K=qSPBJTYF!E4!ZmQQsb{|?SId|CyTh* zq(ICjSR681A+_|uuct@aMlajGl(MhA$zG;9zE-r|zYUFAwCii?`+bJ(ZFAGOVVrDM z*nB3WyfnHtIG)S-FJzeMT9YDMm+9`R-tLf?@jm8K!rmcg^4BKTG-*UGQXyQ(gX3@UM@5h5z}CqtW!KQ{B*# zX#aw8?X15O+7`jjqp3ANv{lMxs|oV80m^)K0@CceHp7zaNS7qCy#qO!eLbOdGF6iI z#^r-_dSW2Gz8*Lo=vw3ZJHf*&Iy+CV`vbvK{w}Zv@m+>42FmoR4R@ht zU(gY7?|8lFI*RIzdeFssqY*V2OtT0&YcLz3ee1ku-tU7Efh|1_w`je!C%jqos2ep|^cIs|Z!()L zv*;{LkFFY)X3hFp)?l8sTV~hIvy0~p7CS%Az>l?{`gKyDf^MN^xMt9=qk7a7SupG+ z^qImk{24oiXuw@@W5%qPNtOd1?}Fd5wdXZ0FQ7QVE-lXnSFX5n8I!?<@xOFfTn3Yg z|86hg&TxAEaW{uS>Y&d~UFx7?F0_E@|J5|jKsrCphH{vBd6iKr@$mtqg=^mP8uc!M zWv;C5ZHEYzE52P&xvu3x$7KTpPvjtlMfsTXT)yoLGvgdM6TPSzJ;%tDPi$58LT#5I zC1q`)<1ON>NfS-A=e-@$(RWi(R?BF<5>PtLazz(?c2uFDw(-K`WXe%lt}rd31*g-P zCKqDwrby)l%*$(nsH4eoI(;TRiFJ837L6Q2oV<3qZO&~?T5U<}p`!vgRqR%WBWcHe zRu<0)(7%ld{~U2!tv0u#8g;7Ll*fl{gLbTGAWFUOJlFPDA!m@~$&?_tK_X-D^zW2>CH`M#kY?{N$3-K{WFwq|8f_s=g$ z8Zedaz5jk#l(1TTOAqV6D-b8G)&zute!2tau*hz;*_OWY%J&39*g{P38Nv4jjX=sK zu%Epu0DWn-COz20NBM7o)Uk9-S0#00M-Kxj%$o3FPmYM-*TA0ycIb1!pGM%1ExGjM zE8pd3bz>cO)@DtxR(@7Qz_auY44!cylc_Y5HSHh_DV5l(?}@%AxQ&ny5~XAudp-wR zLnM_+L)h~ZLiprLnMNw>snLr<%De_Uv?cy-b%0bUtq*9B%CP7Ex9Iy-a+Rz;eIt-F zO6;f8VsQgPK#N~ht>H)&w%b{doXdw}SGQX7-Mn_swsr@b0QY9Z$hg%%2`HBJ6Z!K;zuU(OS%FMAixcx4*JWSlUv z0dt7^y7xQei3;|0?-SHKf*|Jf`WF5cehGUE^580LC0xP39?mUe2(e0-ArBuYLys-H za?7s343(~6XO^A(z|nbgD%G~dbzuRQ4|&YzGZu^mQw5J!uugKIf??deF#waZipQKC z0T&d;VFQ<_*zpe4_Nr^u1+!wvLIt~Y4>^OGseyM>PY{-6lJItz9mfaKxb6@kX*iMA z335jS66}ZR+7`;Bn);4cIrL((#-WfD61Vp`BvC}M*Hq#cs*Kg26aS;`Y4S1jq0bAC zHcB+`j3(@pw}F-yT+%&B9zh>gH4Yi`% zZEhZsMw>%fsiWEN7~$WVrOnc)Vnw>rHZsy&b||Ff5lypFIifLU6&h(9TyXf?luuQfa7HSizaOe0M})dtd(;&$T` zBus#=HFafESU=vhG1yw{?25u;SR73-YZIA7n&K^YlTGpR##C$9@!f@%Jk=FXuJx)N zIzhNc;6Y!WX}DJ$l1QMukl)}J!Dy98CD_Yp*m{B5g-~Go5HHU9?}*B}xBu)&W6AA?!{fDwz?^P`XU2i}KYRsbw9#R+!}^_^-hW%_8P9PXi@>#JLzY0f8Vv1BxW&iA1>Ey5lR^)o?+fpH6!8@~~ZQ_c=Th z$#rfpkl-xx#c_kS-y%1uf;b*P=)p0?j$*xkM_w?qh;~G)u#8Oi_2bFykpQh72g>2u zzJ9ne=u^?!etTaZKhPBn6bhy^G9QUY6dkh)#p&|ta+g+-X8SGFBH%(?a6OI(Xry_z z&m518VcP!1bya58?4jiS@_v}L9}lzr>*II(`UCW0X3{hg>>E#Rn*+q`BCXK<{0BV( z6)N~W;k_c*dFp@-}4WrNUWbzsl^KCg9scDu*zL4j+3 z#B=;dt16!1;zXjvx)-o_p5R6NCJeDzt)3cU{}Dsp&yYi6;k`o8tJupZzwd6h-3IFP z&Z;OR+`dv>2?NVK*gu~EId8OsZcSHJs~D&~K1WR|L=b*uvDaq#S!^~dFtw`Qf!q#S z`I#|(DDc;2ugwnRB_HhRB8SzMt}&y*XU7DG8+kje1j7C5 zL%=yv(w4Ac4}B55bKn8oOf?<|0Z&Aae!h|VtB{~EAIe8T;rtfxR!k659zD_Ue!8HD z9!m<22*#`a`0K}nxASG}WUUCNS{63zlq?bb`o;XrViszNHuKsaG2Nl_nSxVul~Ej@ z&Q#n59Nscev7`x=FRFE6*COZ6=MfhM#X&vT20X7XG_je_PgkG{dTX)ZJf8L7GB8${$eR=XNP-4)PEl7@%} zedc~4Jb6H(*tc5_Kj)&JQsnVSVd>RY6rv& zeKI2Y^wPJY&%tMd4@Y1>dyE%b4WMOp-=D{G@-pl>Ausj-|I{3Qu-JiKhQ078Z}bEF zBda^2BA|{gJ;Q(|0qiGV!+zBKJ(d2$h6m7B?-EPU7w#6`33!2otRXxf-BLHbrFi_M zj#%eWBLyAA*!Uwo;=<5xK#o$CLB;lHw+$fbOWh!1wK^SEcI6wDA4m?>9`4{hQ z03iuM$#UWPfT)TW(&_*rPhKAYb&c4ozg-;w^>)`+$jj*c6`-W)h81LB?AKR-8j$)5 zA~5fx=rOAC#YO6zK=;d?Ki9nzKhh{wDw%_I35iN}u&zob-CsAVk!lXsh2!^NT|%l- z+%N$AzS_D`jY@Oymw~5E^PaX=fIjnAjYrUz?-CCoAz28v2(t2OT?@@0`P?`9-0vk0 zivW_4Vu$uXF$*P88TRCC100~hE=~QuYJmIbX(N9TC>8a4sv#;vzx8Uvb5)Bxd|v-l z!;!`)NVQ^Ls?jHN4K=Z@Jvjj6n)vrC*Y{|Ct-=Pmz89oaAq!(KVj!79xMi^){uYR$ zI0`}yvhuPUbhij(n!p~;@T>xv#=iY3P>56#Wfc3$FZi%W6biLLPO8L0z{jzl{}Io( z5JZ~lGm9j7n4)%GL_wObPb~vGpgJ{>BOp}O4+7*-P|3e&%(!+N2L-xMh4189jkSEN z;SGWATmS1mm#*Q60Q-Zy;HP)$zWwJ%1o4BA$;B5MK8@T;o9reg8FDLavYT+Y;kr%6 zvlzRhp}}t@e?`5C6q({eQTxim?$8+Lm~wPPi(a6#LiBBSXw+0Bqs6h6p<#Ytq^l#csa|2t zVjgwFNdHOl&0#I&of@6u*N39? z;`qsXe8q9-81csDl%sP9cT6K4@?n2et9w}|X&8;7KfebY3v0>h0O;nQK*Syw}8wUWX1}xbUkkpRC0{2yIo=w3YEWK_z zDrmrM*sY{^Bk2`GGYs>rz0OX$Qla~@~^5D}$ zZAJali>){$`GW&ojZLgx6n{*2io9Du?q`eMjm8|GG#8`sCjV z?iE3PIqW%)AObG{*r@XYwifcpaqQs-_|q1W#}60{N#nKyUO=9B8U^;h%Vp zdJ-jjO$31$1iUtPBM{rEx_kpQ?mL*gluq*Ejk?Oh$9MzRh5b;`KzW&gGU@x3$ z_+o?Q4uL>_Uho|HORnK)qZ=ZuzJK);yAAr&Lco>!(2lYHzXPu5u{!|Qg@7ye_QPTx zXM=!idLNN>+W>z*)XwWn*hG&Td+lF%yceRfpirtoSD;UV0;(doV!PYspnTZNUjrhL zCz};}eYZxRfK&3HALe5#fWEq~&kv@|!TfFy2wHvq1RRp}`E5xzg~I$<%MXC*zYwa? zx9<`hX|%($kn8J;>>iNOPql+!HoDVpQ1kU=-H_V2zibjT?Ec5}*zKS}YacW1#_P+9 zl5QXow8CF2PTG@6unKn1L*%A@{0<>@kJXxXe`ICd+{!xipFtg_tzZQ0@4g$1pgPkN z39wk}H;Kn;hepr!-M8WgH*?mX`M!?#27&!_-+5JV}V<}`Iq-y#6rckVt z{>ve86moeK2OmNutzkCc+iIf9I>>15DnAoS`ZLMR3cUG4egHMol5|(!rk_m`A$F5I6_xdo zgEIsv35*b-WF{a}ori(`5TqcEX{I0R_>y?U5(5x}Wbz8pbG{Abl8iRZ_#*s!Rxl4v;z6Sg92FUAxz!k^`ho z1Vb49UK_0?UTg{)vB}Rtd`1ibYDdz|t8a|}Eqq<{XNbE5`kMPlU*9xAs;aLcmx(tm z`K+f#@LxR#3fKn^Ai`}()U>+8DyP4N1(hh2KA4`@*Y%?wlJocVJ5Yd614q5QD%pS6@G0!=-vmBm4Udao|2X9B@UF}ddg>3w zcOkbDqqqq~f!s=r;wBJ<@CJ;6*Pht5r^H{rP4~`A{d%GBcTvrM5cgE~@P`eT(XV__ zcno{tF5wHjIr2IEj~m4Mam_o>AKWcGgxu0l<_BRjIeO1iR}q!qi=; z{$&pBV)-6KIeS_NnjjDIP#h z@;Q5asEAH45O>4W5XFC0Rij4olf0NdEvTXd z+YMiU)A9(mb58t+pAlP8^G|YCY6eyfru6R`7D2rS|OdxhCROriK>9SP}kGW z&{tm)9uwLCT&X6j@nh_+muf@sglB(9!cog?YfL9>R_3^nyS_D9lguc7*9DOIauuH3jX830IFK)(g@@> zuAbWp)ON0x+X}=u>!_c;Z|Pnu9~{2l!o+tX4r_|9iT;qzUU&lAhkEvAfAe2Gd!O7A z9u@MTwkqf-ay#JgeR_;P91}ih{qv53{}y7rRIMTiwm)HGYunEg+tG*rNL1v-`R^LO zhCS2=I*(5y=Bzh_-9HC;#$w)?MF4)bmTOI;J_^5oApHK*A3$cQ8?tw+`in2g0!0NH ziDv+h8`Sc$VGsG3c{Rs6?Y80&i~|M9Q&U##&<{X=LDg0glxa018xVYuC&5cFiO>yE zx%XZcf);z{r5^N`KNcQ^6k-s=+7dP>+&oyqZs!h`aO1Fcb%}-&g+ejGZ}ZMJ_T(o4 z@)r_}1pC>i;2sgmB=vKlF$(FV41bJ#U(f(nX!Q^g1Ed(@r2Diou6p8#ffQl(j}SZX zfd>*KTIa#Xp|F&!6_x^~TLo2azm3qnZa#v&{TY#2ARHP^=#IT|kM4!5UFa{L6p7L3 zXGOn>+{&`dn^a{Yx3VnrCRLfj>t&g!U_f*j`_6BRF5Lww3SUB>ds=h^ed-?3%hjOV z4;u*dTZ^J2pj`>PJbwCJlL+Qc+XaAjHbNLRqV;7n2eK+{3!Q26|b(rh!E; z0KtCpabBZBDI}@Ho)>~fy$$HWL#)@~0}OUV5Ix8oLo`x}gsGKof8?g$JP8;w?G-h{ zhUWu#)dzg28aXw)3HDLO)D!4o08%%cfDuYEj{+n960-Wb1yz1GhNJ`tUcKI;itYaM z#@0n~*1_luhR8^spYoNNYA6Q&MqH0A;203}f^oghP!5O+{I0Xr!Jq2fy? z8es^+)PNIy1gMo-U=2nP+(G380@w*%ubg0D-sts3@(`rkUql*WZde4K;eD`(5{Vux zk|(R>8HWUX3gRz>1H9-T#pch7@Q?2Y#!>2m=+nq8%s0FVmj4QJ3-b+cg5~=guIC%R z*e$vj`>&Itm&Dl9qT7xNu%iQ_1_2+{*5?HGR~6FitA1QJmdA~7kIO5ilBQ7b@#>N9J=;;79W0$JFfStri_L2C?8Mc!9!F z3BLgY;&rPCyg^^h))m1h2M-)U*t!J8hhP|2M>Up8+(GT($ISFYr zc99sdB_Ip&qw2$(l^5%N{uhT~W1*TAFfh1%NoSDlDz1u4$gA;Pc0IfPtJ-t*uG zIe3^2U_77Q!`k?=({EQT%GU)3-K%Ry#H`zg1H4xUOl!FXHR$a1Ug2#>DPeWg9+&>1 zcWho?kR*Uz6b&eX$T3sXo l*}NJ4_DI?Q9H_y#KyF7t8s7wP;7{HX{mEO6mbb(`{}+%3c1QpK delta 37711 zcmZs@4R9jql^__^KengaGq%_E{CGUJCobCKb~UB(bW21Pg&4=(&5c`@v~F4A1VY$5 zmI#T0+z|yVLXfkwcM*Gcv9UW%m)H^wjQ6_4NeFUQ2=X8WITNuL7hM#}86n7p6y(f> z5sOlg9wEqpBs1?rRs9>2x{`k(!muYX=Wt@#7#rC)vN z*Z$k?{(t`b)r+3*fANRUQIzNBU;L%#pMUX79^!Yt1q&Ujpo8mDy(hxKre?SBsHry~^lL>r-WeBNrwM}o z1^0-nZ`a)}J6(jVR+^Tf!nXa#aorNsG`o)ys5iX?jo~ggzC!s1#szdh7CUO% zmL71le7V?BEpO1(x_s6gU(!oYs=uhBV;Qm7Q7?FY_j6yl@s{U%zxqw@k6yU(Rqx+^ z{>HTD_rLr(c-A`0&RV6Q6dXQ>_-c58R>v<3o?@b#(d&*+`ZS#964Z>_?MhG?_+bL0 z{G-f0n2jbNaMOdBbNfZ`Lzk5h&XY_6iU#m)E!4t+jcg9^`IEw{7 z)>~r8HtaLRj@{ja23^sjB&aUi0Isn;&QBfXX&PTL zK2jAbx)bcGbC==?<|tsaNsCeo9V^<(L5mYJ8Yn(h4%j9Aw)Whv9bYV`XoBf`pqKx< z&$_JIp*r&XZ(F*zeGSiFZGG*A$s>LLcRm9imsQPr?tb~Fp1=C?FFil`@|Qh-`IT=z zKdUczW;4s4AN;oHX^wvru<7hNx97Dl{><}NU;GE4<-X=d9wL{QfF0D2X}_a$&G#YjsDAac z3!VLw_FENv)U$Sc+3R&2$0zxjACrIZjER9Izx}tK@BI2V|Cvke`qXdV^RHj}rRP7qwEUuWJskZ#&)i40zS_72PLaNoq41-C~-lQ~&9nuiCEH;#|7rW3j`1FOiF2J*Ly#?DK(0AmY1f zU&R}tS~I+Pl*RA_$L>XZX||p|h%{@N$N{^>vk|n#md+%)?ayZeL^gPo+uYvT+RkOR z3IBEgE_1+XK!|G}$H8W|2lOIuBnssy8C?L1K3 zW&Zte%DBL7l2;*qQ4%BOS}UWm>Jyu2|Je785rr zOY5OfB=1PxAR@Tk5U*en9Td_*oum%JD=f=8d@zdA#>o|j%Gjxw+WFYXh}|(_e}?x6 zN00E0DoDlBd`{`mr+b_cV3fb9Tu%3trE9$8PuJ@OE5$dHld2IPTa%L*OINUI$yl(e z_&BEYHmu50!&0K99Of7J2GIRVicC8o-rEQcnW?m+9#cJUN9!6gtG%_jZ^yYi8E6?BW48kwF@Cn*&KIG4l&9E?F}V9U z0l{vK#_WtaR~bdn2=4~+<4#r)T*YF>@Ma#583HI|N7ilNul5vmQi)62Z5)$U+nhGM z&R*)XxN$4UGRqWr^w4Tl8=lS^eXH%RzRfLDiOf0zdo9Qr!JQNNZq|SXx23kz7rb*$ z=VRcqj&fO2I&K}W+hfGPsOqP=XzOtuz%rQR+Q`(_S>hO_R_)GJ#5}xHV{mo-oHqo9 zAm~-K+TF!~MoVS=eZSLx}`ZX`TM1$V;zIFHvkKO*qxkI6xo$yuQob&x~_wGY%wVakUq$y0d!`@&E~JMUM=J0*-pqyB$n6&K zLjhAZC?LUU#BREp6&_1cBNIEIp+)zG^bIjd&+|83EkUZ#w;m$t*8DYllYVnUG){bs z8~%V@hb8Ih2K#9#T5O^k2;_vU?0P`g*7`autC&9=$ywo7T`NZaZMs@+^rGyWct$h) zZmt2p1z&$Jmng1QVAr7-Toiy}!LO%_4}tfa-?{OU_w&auybKQww(E%`RFAWRI_}2$ zPMgyPk6k>fZ)48wrg=MLFf1V!`+#>ul9>U5TJ}O=Q~0We7$HM!@8S`&!tB(I%e!Y6 zjrvM`DI5-OheP$HM?2?|jH@T@OX4n#KJN-~7K5>~3jbPE~V>${{Fw4B)OrQ3B-=|Z(3-hA{&34WuA z**o!`y_0~J9${wuB5IU4c=#EFza75SYMU-|FDE_(-Y>jz<7MyH z3m;eKMlHcf+-9KP7b(i1&`2X=tinfz>gL5%z}5|hTdge3AR-T~*@#;$Gz4#B%y|D; zqZI=!Nnz9XV`Jhm;xjHk#+l9IGX$)i9y53JdI*AiW+QwJ%$XE!R6QmzR2xI!^K2fb zB}R&Q_4=|q;B2?smJx1zzuS5|REhRG$4C&yQTti~4QOGb(`h5H#9|89h9FBg1g#mT zh1k~{d$%nEn)9gjL3VpjMun32yokwj`#q6oX{FpQBZ?Jn+T}EQP`dOlcOwm zu3@qLERi1xr`mEL8DYB+Y#@%!T-ZLWM+xHiNTc00!XbAG@aG@9eR2;pNIMIC-wQx4rKKA`_es;pMWA; zJyJ^%KUi;^_g7bqx67rK@T=6T<@0_jmE1|D96P(`kIz$% z)cY&p+vktpU+J$nR@O_-aVK^&U8z*C)kYt?gU`3JlM2NT;p#)t6bSd5Ou1x~9np)R z`f!N8Pu&FV)9_7&56gc11B%ID>Sl8B&k(bu0=helJ_cfNtzD7 zx|$|546NEcUvb`c?hY~LwD0tbkFU|JMqtNH;iR$8d>>XJegRi6&ZORb9CIK#B*teb7-3!Fx~QZE;a6UF=Ny$i}^ zSrStADdLix7u@q|ZS`Jju~AZ!la%99blV*R(Ev1H zA9hLtY-XNOW~;?~K3^#1^rBm@*DvyXwc77<_DZ*#&vy+HX=c`&R(q>+$r5IDyEk3P z=1!Yrx2T@)&2$Y$%{obuNBPT^daVfRi)=qD=DW*{PCvg`EIaf0i_43awcU4Ib|wwl z;zbKuzN}M4)@Zfr`mEj7?@?VCYBOfHcy-mOSjx6+gJAi*G|Nmf_HL_E&8>AHYH*cZ zuaV{TK?UOK>;=J0-Xo3}yOwd}E4le8#v~fpsn#601D$!j2bNO*?@(jE1T;3D!G{{# z^ZQ@?%4?KN2F{NT>SMJPS>(DlqzzBt3Hr`wa#e%^jFX0@ zOvcDZCP(zLrIFBxd?Zf*U>K3Z)4FNSztRDtc+vQX9FfT)Az5Sui2-K7fse{Z&`-{Xq!PX0S|8aopC>I4c->47Q0`K;{HE4?Sduw zTf}#Uwig6+7Ei-h zgTvTD|7s9_M|enL5}nbWrZYm}N_d!ZxieHJ;hVdEQS}AS$JTGMkfwjTOXL85uDeM?QAQl0MO2HV?zFLN+<-lFj?{!f5GP zEAVE2|BHY0JqThId`!NgV6t{o52{c12<=L4K4|6D3WD_pO$ws!08nl6s!egI*#y15 z_62R$#AQwKhD(xxU+MEtS5(!109x#NK|J(^ZwB9MzEOB|Mxa&5q+1HUfg;wZ-(2NT zv+1;zdmyJ#y3l+i)sg9LeOk;7=mk=$F9@}`Eqym}LO$vG4N4jrvEQ%8JCE*i>ZqG0 zuM%-3@DY>6`egc$l&70Z(5+xl8KRYsTG#$=c(D*^k%8_;(+oYz*>D?aVn@ImCAa1L z-DX7>MACJ+l^t2A)?9rX zB1g6(iOAH(j!vbKsZP#5X4eVP`t-=0 zNUAq6KuE(rx)HAGbD}ZJ+{|9kpt{q9s^ME`*4QwY5>X zH+0%~e&sE~^WMMvtuLI18SG-{@Fx#7LMbK^JR@GgS1qQEI6sjl_G&`TGRY{*j6 zAh=C7IGl;~B(WJ@Yc?KnZnw3^wc%H`5q2pVz8jZrGx1)+deP`NddZq-u}5Ct?}cD? z3`R28?N}RX-Ft+F6XB6~yoWHi88~quFsm1PnSG8`$c53C0A7FP8^B?&lwq=_lGy+a zGV=w}PuHbnkF(od_O_s~rF-$DormE=%$h*XcxWUBSTgqzPGNY;W)ou~cNX~v`+ zWoii5?_o`M!gOGfo?Vd42t7D157J}5xlfA+(r*R?a}dj0iMYIir2ikwIB zcI?+xOE-XJ@-<+Yq&{VsERtJYtu66(|MawwN9BR)Y(D)qg~94hdrqy=pVCFAc9e2i zeGYoIo#Bf?>7?E1luIbtr4(L%cJnQAOs|%TYOpYnOMX|66MM-nua|%)|HIN*lgOEa zP98oG^1zMiNIG9X5QDCQm!CP1ZsVJn>kUd!dEPocCgmNnBg{y?iuOVJ-K6Bvlq7$p z5OmR%YbAqqnvN`SdxxPSRx50Pf;(~T8ZS%}} zFyW31!yIw(fel7yPb7TwM8a$jI$$RRROB`~ct2mx&-!Oh3#mZ|_SiQo$;@F|AIKzp za;rBWqzC&&QP2l$>MD(PF=6~@3xX6O1u-+l^K8taBO)!^(o zo!P$8DE6~w?bFuLRcE>46kY4qKG_Ew#wNAH=G3tow%s=pI*UWI-ql#R@>;hnm0SI; zhUo&=8d)}r+@hH-Rw2h^RnzIa%oWF?&d|4j{u6aTa2U9@g)B6ii>{;gY_YoBHMx1h zww_zR%vH_HXVm>_8SWlc3;q5?DVwj`)`^9xz~>BZrflIVHq&}uXdAo2WK{=xPx3{L zp|7EJg}R|D%wDjbzxdz3{xVQVSoC^%A;qHq{m*V(n@2EsL&Q{b_=ZRy7)d8-ll!C_ zKRFR>0WM>!o>t8!gFGl!4asWwO${&D5ZWU43Nr9`)= zPl(-n(%lgSFDntw;^I+^{S1+C^sews=a0v z!r$$jRJ(=v35jfWPZGsqJ*qRMK|n&aOQP_YP&?sN8=P3wZxb#22u2NiWC(tMw7^$1 zp;Kn}6LMPq2B&fvx(Ps-?9>IAZlzlwP=SQauvxlWsS)zM&a$~?T0Cerq2?&PNlNdK z9I2o6-aDW(RpJ&Y;TtD3r`984EfGKs`aK+Z?=bDZo6fPPEnp;l`fd12=W{Q?=jXdj z>SOcx&=nn?R0h%%TQN(mh+8|+li29uya{M--Y27caEoIj zyLUm$6bf1R)=v1=s!+yWD>+tIp_`TT?X-W(fnzQG#A{TU*1t>9#t4WGsH|hILm0b9 z@9`ONwd$jj&4*OEztLphO!AFAerKI21N+DKS_8{g*f+~hT1PwBV|vQ?-K_JLadLxq zHAVObu2!l4xN)9Y;3py&Lf`>px>+ef{t{pvcYgQ%CO!cs((uFG^W=~(NoSsvHke>_ z;VFc{Wf7k+v2U(Azl$G=(gt-Xrp4eSK{4!8U$oW%~DfU19@y~96H943pQsHM#)DNQy_+Bj%WsW+vTDVeWBGL0|vBB&I zBcwOjjYt*bkYxsGA{y|g&dwVBWGuXoTu?qz?BMe(8sI!}$&Ynb4xkMw4(P5I_i!?Sb zI(yq`f$S0NS*6m+^$F~tvz0xJr4M6=bYp;b@)u=Ps54bG7HRX5SXYQ7s^nQc8#@Yi zt9wV0!(_Fby^IkTv5XJRU6iY5IO;p><%nwJ&7TH zf!o=-s#H7iGJCK`Rl#it3?OwQ-C&AlB%+bN+^=1c-5hg3UX)XKce_MmU9w7cTbXG3 zpw1?`?ag#b%3|G%P6|vIJ{rsh|HmgMal-q>S8lunbkupKe?5zTv@_N+`)K3pz69S& z6d5#&t~t3jX*)i~w1!MRL}lg7Hp_3dDb0RMV^ociSXfGx2?V>d9@VN;_~nt$kk4w^-DNa|Xj>-j}8GkS6bYj6>QHGpxd4dW=P31SzAJ0cW}X>>6+rs87|> z#!#p|y$bdBdEoz)RAWZfx@5Q4wPuuHoyM;5C~lSKT9Ed6`~h!QR=e6g3W=;jufcVJ$WeByf84 zPEbynTdZXnQH0R3vzThcW`m1)dr{im8eYk&n&_@@Mdw)YO{_Rg?Q!(V1E^XF0KJ?bBDC zPG2-%3G;LJ`?WK^d73{f_91h>=r*_dXGN27`Lu5=TO5-P-rk$wdInqbls9eEd+S50 zDLXM?w%fq+cF>h<1`S|s+X>BbPAUQqUHj^~UV$f;f!Ln9&;;+am^|my_uR z!{f*bQVGd>2CHCC`>erHK~Pq5G;FAk=#^GT=Tk08Y9=Ieq%o^R=nN_(MD}`qHk5PK zOsrB(SQ&d~g_QZ!*}T8z81868^@4uIu5Ku42aM-?wGO5a_G*nRfQ*m^yCrHzF5bvm zxRQ@WEgfQoa(jFz0W?qBiSYb=rLknQ>sSnXB3@FXFu z_-peugBB8UcuA|B=979LQ|Ii#*znd$aYcs&ch_VTc38;4P_p9J^$K2w!a7#2v=m7; zfV`zhfz^Q_M^xO((AoJOVn1Gb<_G;+tg?Ld z+>O5mbIEVVnk#>C<3mqWF-=Czt~k)PR_^X;f>e$LOs+?Ew$Sv>T@@2J`%d9!6`cuj zgBYxl*|Wt20h@Fj6apS2!&2}Oy;Q0&gp}$5CZ2wyk)gm-@dLL)gDFT#b4l$MypkHM zAx%lD?KGl)q;Ivjy{1yM0Rg(Dkp5AELo4tDrD)$y1oSm_P8ZU@N22H>RYbL&GY!qb z5Qn74f$+5V41T9lL-e5v`Ji^fg5jW_Rcavvnj6+RLmXmNMOP&>XCl=qU@(pq>w%9v zQRnBtssbCLAKT#H5n&k8-{mVRv4uJM=j-$c@J2merI=MnY&wG%Y+&6%EwK9aO7w9* zo6ZrYEfbQ;?v%>?!wc$=d38gCH94W__Z_v$hpkNRefrh{b-@pCrSAf}eF~7<$(Bz) z>4uwZM7RsA88OfdRu@||a^Biun8lz1K;K=kcX~^5-W1p{zNo#~OA8FvA4n1e3Fboy zxxLbNB2lwx!1rm@A>R{Mb}~r{k0^~ddpL4inv`~S(zNO(HfV%>G+zz3f)nSZMpDve zKIj8W<6+^>z4RU(Ztzm60`-qX$%F@tjF|;PBcQ;O?!fyXNY4@4+Zw$6OQDgYE5VL$1$8i4j`^|_#Woj<(pVtk{ZQFLjsATZuqeR$cL1WPb zkC|*4&cL|E?KJK(WSoygM>FH-I*g`$5#nyv;xPo-=(MH2B^u>B%?O-snRw1M0(XVm zB4icX=0RpXt-Kd%NE-=w!CsU9ksx*LH>Kt1PIx>P{%+GK?QkY2 z8U{bDu!&u58U^?LTB8Nswym^G@VA?7-rO@SHy_oS79L86q8yWc)WmMCh|zv;DQXm) zVp1ABYK28Wj<{YGqs@_5THB(dFJK`dY(VY^h*OCR1`7u@$C)MqD=$C*;8H7mfrpik znlV>HjGon(KI&rncItyJo#ogD5N+f$43G^vMn+zVE=#uPMPw;zPJ)g6P>8wpC~9;$ zmZA_ktlf!-iH+@OYdP9q51E#uZOjc&q>YVcc%z$#R~{vWD0m2tA!Ox^2H12S23>sJ z^P28+ABD^5n-~fv{^aF z%C&0s^z=03v-jlQd@eVy%iBTd14n5&s@9>vG%@#yGFXPD3$M1DUST zRIr|o562L)&&B|s2=8JeujZVb{E$TwsaL^EvqBYXwK9V{| zM8qpDHVOQ!`h*Lae^EH)x}7C@5=@KI$RSN1!u0*33(+5Nu)%OZ2dd3w`x32}EyD-& zqx*uLn}%o;L_=Qkf$#tdj?%2&5a;yqC>uX%v8VwWQP&HsnGGD>uabJN$y>K?vc$o` zL0m=Vf+qGz&w0n2cL&c1=}=A&<>>LIvOb(xkw6uu1NUe;R1e;QVNpkKa6!K{?GFJM z-|U1Yg&YkgbHA$oZc}*Y>Y0>jwUr&p$t^uF1zT`YfbKT;P&IV#0LAZ-_9E`CD93dE zBi*|kIXw*r{04i)kc}KAUdYSV3MA(UuY}y#eAz-$s1z`mli|@$5}(`u1ss(rq#PO&luoKCL?lVqM;+GK(y{+s7Z{ zMiARUgNqm}XP!`57U1T4bUBS>pYT<;d!gyvsp49_`3dub{-K!Lp)=VaP{8w4Qt+i2 zD2fH6sb=&sJq>gN{urLGibk=*Gti_{CsN3ib_kVxPRzhJ7c0F60u!wOGO^gw^p0Df7vxwh)!Db#aKP=O4W}YyahXWuSVsrSL z_vo!`y0L?d0LI`r$VOqXH*sp@R#@Ky64$fXVo;^~AT%TP*FXL98^8O@1lU_Xq%Y1c z6YaCmR_iLv6HTz@=dZ|gvE?T|+Kli#`IEJwjr#Uy{s+(8XTAZe)ug@f$q;T7QSOD- znbA07-xKiF%U1th7?zUJ!{!_8PK<*$9~i5g7J@OL}$6a<1a*;IJFhFU|Y zGJMl1G=%g`rNE?r&wj_ojBdx;jz>`hx1zoNdAEitw_8XGvn!P_$6GV}V31x zJRJ!Gvpjl-v10AL4kp6P?+JrJkFg@g`wb~+h=h0e*Dpl0c?&aHz`O$kJ|W)TiDO9# ziJf(lPS;^9_4124y`G-+y!UJW z;<;us_BX$Fx-X-tsv*&X>|AXG6iI_7`OIC{K#nz_6esu6{Wn{ARELjoKu2{Foy8@Ecmoz ztlU}Um-BQeGy+evVXyogXA$2vKaPepiA=yUw1ig3z+6b37|&*N?@RJd`-T^a0rRhB!)E z&$wg7fJVcu)4>pwK=Iwy>KQmMA%&gp2XC2;Augx^!9DA(E^w6qQAdj!?V9FT3()!| zAS{9cqJW22Sepf5V?&n;h>_EZLmK#)!3{h`HCjp3Xx*TO01jh9qv>n9nk|5*KyjWQ zv!VUtyw{rrSu~0}iC}Pd(dX3KZAS!7WFKc@B+0iWaeZsm$S@p8koe})$olJm$|3Ol z{r6sm3$w0S5P|`c?yeOh(11}>pSUYLjF`3vA#xNM&*0O$V$ZaZPQr)+q2Ax9DD>Hu zUiGHL8h65OoizO>adDca-z1{!tBC6TK?%C3_$ExIsMtd&q$lxJ>7cAf zuezU{vwPKtjnc~cy~d#2+u3n2;p~$}<6e(1zmF|2uY!=UY@=}ss*V+8C)p~Q!P>%P zT3KBMJMKo>wE}R5V|5)yqU%Ns(z~_99TsfFuNdcB0ZNMWR@YZg`2hVsLiEe-Cqj18 z^f;4?9G1c(*nU>{P`1dEPYy0t2P7Ohq$jp-S3gXxq?TBOLKI}J%7m0 z%yp|E0nLATd@0Tqg@n-c$8_CP-ZC@SFQ(|tR2Qh5#X)AK<2&iulO#WktO1EUZ|6ZK z4+urlRM2=H0T^u|dit@O>IDR#y3s@uxV)2?dA2EjdC7S-aXB?6TyYb+&nj+Gq`#-<+feYc6?*NKg*ALg1G(cRl9itc%xsW=79 z(go?V-pvQ*N5q%_SvV2S=Xv%n53i4yPCO4 z%L-W+d=XV}G+inYBxS)+SSO?r%OVDmoosa`fVyt7a)pmO?%!2SQl5SjCCw<3zm&3QXJ8!B_?MS)E)i2Zd^%iq(=y z5>a4cl{n?h=K1DyiDo(tv{dh;SuU$p=y05b>sWde!P*Y6NnXd6h@_p0+2{Qbg=b6N z21aT@n_W?==Q&*|4pH(NMR+ONFDI#5qYgt<97J;G!1OjsN|lw7P(iMu8X*1)P$6i@ zYHBM=afF7m5s1p=;=0mwrJYkfyZ_1~s> zY4>*}^Z>_Qf~#;D|&}r&X`$O_kMgdcUn-sTUfe#l{T5Y%W0Qx6bjZ@ z7dcA|om<60TzMDGOKpbMHgs-VyqJ#4rDAz{Tq$8{G?6S+4M zru)s1C?+!E098*VGSX|fS{>|?uZgCMVkT3i31UPB7BVbvL|4l>{B>^s7;o3utx;vmw^ zT?^?)TX5PNoR%%bM@+5t)()!E@{XmneC6>)_F zdyp^QukdKHqRR{thZRmt!75mxvJ{TVvJ^jV&ptS)#0u86b_{j;$u_?LV#XFk7iV?w zN=gv*Y8>7mbxQcKo!+ERh1;%_P^r+C^w#j=05zA(`ED6Nw_Z2@v^s1A)L|pwSttW| zn#mjh4 zGs8wOJQ!9n5P|{Jg(+~I)(xZ#5Bl;NeoVLP$xr2uP91^$kC{TYn4g#-C#JGf`RvpY zKuSksjwt1cx%~8Oeo{R{5ZRgBM1d$xJ;b}~1aoty;d#PuBSJ$>OIeY{#W0Gt!nG1%x0t6rm<-PsnwWof4EPHXr23QtlM7J8_oG! z>zDzaKBDDCw~;=^kDZTMrxv28wjPUT+<0HJXv~HUPKw<7yu2-HKAaal6ro8sU~k>tizEegVLh3ctlr?niyV< z;Uwbq2E$R!KC1Nu{^d8n63Fnx6loU4EWz&5YZ5SyRm1FIxKMBvo?62n#FG@P@17pU z>$BTIJvw_(U`;K_)O?Q(m_kzt)PK=bviO-;A*QMo)iek@C7|HDEMCiP(*d*agbn() zt3n7rJcutrLZO=z?3otq+6){F3fw!WGIDZwb#Zu*esZl^4h}q@`^@jd=%{rJA1eae zXeyo?M+xbT&SqLg91%9VYrM$P%-Y>zIrw4@? zpMIkdgNHEVr~BR0gq=Jn*bk)p+=(=5PrQAtQ`)F{QFK;Li|wK$jRo&kK~#|M@VGcs zI@-4N;IevI!DhQ0eE0O229koH_y!Udbn0*SP70Za*gF8k_J{h32SGap%=IAiHmStW zeOeNe1vue-2)|o#mf?50y!Um@iGZfHO8RycpD9-@WHAtY{j@BNYpJ zssn;@fuhtu?uyd9Gzu~UI<$h*`Do3m3XuTI|9~}73rNcxpQE6da9}Dv8(tNTR z6i%D*OjSS&p?m z87eXe8uNqcL^_K5kuZAY4P6jYa8ME=#WQknSZufX_I5uQ#;EN++c+II3;s<22Z2Ga z5-IM5r7n4p@d0kyR}4MveHjDSPHfO(&cbyjP-Vgw)lD`SKJHw>+yxtp>+q8 z*c(r;2d4+|cfdd82>qvT-q?KS`9Jpl?evXrzPOu-B2o0lgXjPJ#)~PC8q|vYwEk~y zdklomiegSxQGa%LVBiZLI^ae4M5e5l?&twm|qv#ipU-(~vXpm-wpnv%D z=QnQr?q7fAJAeE*`uv~$PhV`zoc!CLtnL2cd;j|L|LyPp<5&OaJ7-^lzVhb3|0ul) z_?LI1yVraEgX=9dur3Y+yeZ@=lM+(3)=?b9$7IN>T0E1Ad*g@~w2?ismuaPHrvaoE zKFS~8H?||s z-++e!jlq?jX4%O|5ZE4<9QcTK7sB5^tSHB!MMw20Lo4Avp1#M@x3cb)Rt_PHp^ej1lh>@A{{tn&Y6YA={0Pb!V8TH zDI0v1p~GbhuR`9ene==U{l}s}W|g4-HbS9hMDeqpRzg z9n(YcaT76anM{m!i^uwakpf8ge27f!Fj_xt+%hj_SuFVK^+=gimTpA1;E9ZOLg?c+ zC(OK)$9j$OPeCV>AZlCGQ^`i~?+u!WcK6<4sj<#5KzL75z&~*M2kZ~lneaKo`0t*( zf=J*9N;)5waAnE)uoBenu9}bu9M-<-*6{@2qL}z-TJkQ?CPKsyc|G&NOk87(w7v|(wYpq+=+PPh|u<7QVZLvzrZIRM? zw|O1Xw00r4q{*==<(3rEmWEtNlS7?)=X>9Gvto&sLfUPGH7Pj?g(C?`>gKN62vO4H z2qB5?3VF#Xi2_M33CX$7IZ3FSe)ebg&me^4oag&|PtNywp6~NJ4zFrFdd#6oEi5El z@`j+KQN1U8Fp!XI8l~k@Po?lsa&NMsMl>#zlgfy+0xBVL_HbOZWdwMY#NP}U}7)!^GlLy9K4)|BsD6C6)K$%~N5;{KUxk zh$AvTk&0D@DFCQz9clYk!EE0gjoCex$hZogDNnN}7D}kwYoT~JoQD6@QYlCu@mr|E zn`49qH)130Vcc0lm)RV}ie7TVzh$Oo{74SO=6Y4Vvt|!W97@hQuc+JQHKssZ&-_zs zWl5J&izX@TDBT_COH$B7L*Hp}O-Y#NpjrqCj8IIaicjI4c0KcKi@Df?@hQE$6OQZU zdhq#8IrvFZuxOXZo$WzF-Kk1aM+X8br#v{SFqp6^`6$^~D;aUsT6*OwMU}G; zUPQ*xF3ZurKIWa-0^telbVy>0&q^9TvtPaFY>+ zqZice9p+&`0L@X}ug~DHNh5Y)hd<(@>b>i)EYgtxuJ=*&@#I#8@c~+G3)>>TnQ3bM zl|}y+;EHsQ)`$ax~H7#<<6bp?D;VSi{ zZ@8(czD_GvCwz`zm5K_ZvjI#i^GoO&XQFuznI4bUM?6VkK;zw)m`%}>3HR8-R8%uA zt#qUlQdeW;jJUDpxI>AFsJ*c|u{<~q)q9b-w5*|gl9Yw(BjuU{^KPoHTrnF{`-Ts> z6y++Hd!d{u&90m&m&ND9RY9RpTov3IsS`_ToYjjU+=hk9qhZnPI9fj^EO&*EMub#_ zQ0_~XhlTZ(ji|=qWQ31Pv1d>HFnCZ#9DAOYJ6!d{!g4CH&!Js(mQPGLgpKiea!-8> zYCRNl5obB%;xx^O9TBm@A&+RAvjS2mKk7q;jHndKQXsPCl#y8Dbfm5!*t{5I&%iY_ z)Kvu=TW2co=_3uVTu&;z>Yh^k3~DKct^KNg-I;!Dr%xj-^Gsot@ndoQI=eL3_*rW6 zkjM9kU8LIlfh`v6w?iu1Jb8@No9bTmUA;!vO)A>r_L^bPJYVhC*G$<|QWT1J$<2&X}R;5Ja@bGQ(?-^Zindp;n1Z*4dc8x)7bie1kFl&|-gF zsf$6&Gk@QrR|bhH+fMn@O7_t(;gzKuypN>y+uEa1%xVd2YjwA3?RzGY+wAD;NlR-? z8;e=Br`naiC;b@N)Zihu4A8nKy-$uUG-4foPt00oi8dS$=u6LdrBEc-WDlS=b2O$Y z@-GZni;MN%*5tl9pH`WP`|#y5oCoWXV^n$5`>wSBLj5yPjqhq)|(0zqDK7 ziYpH4tZ{E#(f~Es-NL3+Ql~4S^~5w@?V>6sr%NM_reYYzGaQAbq}ZhbL{l@8k-;KW(4k|*Z?2aM_$j+GXczylCSrXdL-Duwnj zN)4fpPIk-ZN((zCr>FbSLYQOPw-70roEd~Th)(Z~qty|wbs>UJy8&t;(%?QYS9-8I z7|=W2!_}&QU8)M`7aAsu$LyMp6851;IvuDguG9d2_JUp0xP5GHPN?kYpyrx1@rKC~ zIxgv<0>Mqy@tMMIX1t^WpQIuCVsCsVDTYAFx7akR)-!KiFQF$p1JOo^Gsh3m2lSUB z_TUgfr|YEWr{+^2U^Qe-R5Ks1HjzDaM|{zfmO+jw-JOCgSgX=rrt2U#*e2+0pUs5H zHeZ>vQ!x?K*xP*3jJ0G}!IOnA-s}tL0EiY=q-uiEnvRRsfJU{>W@ARy1ZQMdAw|v30(1^%Q*d05Fhe=HpKI8k)-RHRy|D?X62 z#%s2Xcw#ZaJ^(CegOav%G&K@O<6Tat&%LR1@sh7p^K1%_Z_?WFYqk#p>+3!40Wwf# zUmr2~=s@9ywCwo&)y1Jy(affzp=M;hN7E_QUDLG0B{IE!`@5tmnN<)PV^Ve55f4Wq^2`Xqx&Fl)?Ixntc}fdl%eY; zCWh$9luQ-y`6e#e=xS+cN1G=oEG7tNN_p(sOh?D2V*RU&siOWm%!$QGh2l_bf|+8b zC=j_e1V7g5Q`)+T2_hJeUz?as}u9?SBEARJ;&|)VC>K(a`7rbUc1Ds$)A;qEz&xsVvir9LZPg! ziy3i)iTaDe7!?&+oSRNWG51(X>meJI)g$SnV}9sr8>GAv2zXSEH!V~RAM{R)ja5+T zRHa{j(7)%H>)W6ppDS!f@${bDR=lQ#)gHC3aGXi z7VoL35e{Q}D_mk`K!pRw0Yr_$(dZa<2p#2)3P%l`aMpQ-W6JU-brpP!26cVVr$Z4%d z)~KkZEZ&BQy2J}vDW^@ny`9bV=H%AXRRhhPCatZi*HnMSHZY(ynLF#XjkTR4wawZo zkA6ztC)^f}>6`s}Opz zUZP<%O(i9&E91S5@tC4PE_cnMH4+tyg&B0s9#ROE23H)LsVRL`-?+M@P2Z5#Dw1vb zZME`9Z7t@F+nd1-!!R6cOVp-mFRBWT+U0m}ybEvW){;|NSqL(#Wbjv2RV!~e+FG#U zZnirt+t`N>&Xt_Q?q(xyGDNZ^lM-8|WRhny=bUkv84}@5p_xBh3VD@lz*Tca=5axz zFjg(Df!^F zK}(_fp;QT-rfpb+E=)9;7ZOdh=D>_;5dMckw0WSpUfXO8PxTRr=4x}3)~XEGDKTql zqUQWUa)TyOb0Ic2*kJ5wFo4<$|GE`@*1{P4AH>!1=(Voy-k`;@Wx#me2#=n5s%Jhp z7(3L}jmIu74u$&{!~LP`R>W{@Y7QbPm|}* zcfsF4{J!%SFnFImV4mu$?xL%i_XDx1NwfL46l`ProX& zcs2uW(t$_(^)4}jv~?l>p?f)j+#?`GVp2&G`&aRvLj+P0ijq>|qzRT6nQZ;y-L<;6 zjQm=GlIfo_iMON?b02qkNH4ERqq(JqWno-6FS!*zpXgL>I@!i+%jILYW^4VR# z{_mfB>p{+^%UAr<{cBs_KD@s*|GwqAU;c=HJ62j+s6?kV%B0fa>yV>jp9Y_H$TdoN zp&TuQbNC!Sr|~HrgQciL+@V2H4T|GpG49ql(89t&pHDo84>|@1QE?$&Sm>4)YQ%%` zQhOl;eeCO9n}or5zk3=Uj&21_4;LzQGyTEoF=~2vy}eH8jAE{0d=vb3+i5sRY*H7N zKGxCZH zm(}-FkcBjwGdTI6G~sN7;4wBr(0cCO)x^sSKy}MV$e@ghBgEy$xN4-}e}4Mb^ZB>E zaAnso_J98J>g~%nar0i|Z2ocn=KM#WyX)Rn+!tDpY&eLaVv#72No--0iB9*6`dp)N zQCdf$#6Ihor$4G8$J1vZXSuRB);~Kp8n2?a(#1Y7rYd{mZgpFqcQ*C#KJTpW;h&{3wN%zFm7Os+LTqMnG`67i z%CsGwwlkyN*wsZ^`f&4H)m&8qIv94^+8e~ZbA6CCs-B%NYspqygPLr$wl!Eh4Fd}p zCYw)V`^Ht5QV*v#wfkT3rq7ICjcYoJeB0ckURf{9$G&)Ff|ST|XWJ%5>1`evM#e3( zccdP!nv*h5;(mf0a}#8IOyh6;^qoqMORd{Iirg*G0MBYzwuaal^fP1y2CS{y?bhw^ z@>UZqFCs?}o>(Le5J%pIwdBJHXmrX-4W2TpR1$BnLc{GQ|fJS(zSSn(~08oZh ziAuBBxk_-gWMqaLZmTFGGxz{3C6`buF=Qbob+qTmhlme%BHu;0c9Ss^ zrUQ=1XUSN%s~lOuGnhgt;-fp4oj?SX(O^g#iGm`C6Y@V>58LrZDSUQbC=@niu=4@jn8+c=O_UChKQz$F{U)OO@E}saGuv}a z!4JVEZYDn32$Ktp6qNwZ%v5 zURox9Kd{A0z3+Ey@ljj6$L)5!87ni@m5gg&PoEx+JYGldJXou?jhwF6*G|{rdtpBI z#pCtz9z1u}QGXEA%L~h>q;EmH`t_bBQyQL(<6i1o$V(-!*&?mT$CaFeR^1m0WG&Z# zXQdU;0GQ1QqQK4Ufe>K>9gCH1d=m(wFz8sY?qEdZBq6MhIh@F>4#YL7WJbOLw8;=+ z;+hPJfu@_4ybSt;$-IOfZtN0zlPLt~MXZ!-Tf=)%cXpp3p9hFS8Ojsb%r?6rOX&sg z*B|_=zlx=R^iBMb!IW5H1PT~|$}$2Dj1bN;f(;k}ZUVyyd~*UAfjImU!ypu8FeZs3 zL(C@ucvCoYHGF`#n~B}eAixs|U<6|K&jB3tOyGm-_;I)k8AgMvXYN7{KLp=T&H_mT ze&7Th;P-d^irue@vilVT>zD3YA`!~*0O-BIIqWXNhg1pnrop_BzQwRiCR+ddCsx06 zXa|Qo@}nQ+{px9i^T_tQ?%MGmYxwzZu6lOGOJ_O4%*{Fc|M)8~xHqifkx{&EaIBu5 z!v3bQ`svfR|CLz%lgK?h*xqv-fJ3Z4oTX_Sq-iX%Oajt0ay&qVfLd~^1JshSN&x&i z%fMn@X8|Cu91Fl|W_aeo3=cGN?$;e|>;9vV4 z)NOnQS)=>p6HdAA!5A-}wLyWcQJX1GEOqJsM{OolCPNJ#Spq-mQESmI zdAnB9RjYSKgB7ffY`r`p&+BDr+{?qQ+D3mUSBb6TN$)6ctyZ!tjI zn=G+}K_X@s6E=Fw(&~gjf6c9q0T1PfC2X=>Cl<3gZOLj6u$<6zElVsGlQC@3eNlv5 zWKv?%{-auLS|m=qJM|0cbjA3-mGs z(%8soC7^JGU^UsaDHsa9^vnbxhBL6t789MNTNuJziksoDFhs8K>m%@xEi-~|f`GY= zIDD3&XA5*%N-oU-x8wR8klb{A4roDheU1>2BRj{MFgUJpuy!+ra88ECK$l|O*Z;=< z0nrjeKD;^q+*wY+*_{d(mK1s8tt{r&g1AKSj_@+vuU=ZdGQ^70B8eO@=l;jG4P zpaTDO`a5<575J~y-;pH+{#*)KOFYBlbS!`6y(5g5AfGrnkGzL;>3B%nI&Lo*h|61d zy|b1t(x9Ne@9t*|^C*~;9wPr{Fa>obf8^i8ZS&h1Q(O1RS^iDnGk|sh#5ooJ1o#h7 zktj-Bevi?JHDVD-ygdNKz!OEKsP5#)h=O?VHslV358tom6BGO2Vl)F(B#sj2exDfu zYCSt8FDeDC{>?u!N8N5Xy5movPIJYgcn&!#3M?VlpoG)GsLyV<54;c=v|@2w2WSml zKZY*1JA!xdji85Rsh%Ig!S|>;7vrG7IQ%T*faBK?wq-Ywv>7+&2-64}S(Y&QIQY~e zS>lHQCLm>Y*Wsqkc0zHM6|10*XGtF>02oEONk9 zge5g)r4k1Z5xZzOD1e&>f+)8t20L+bj`ME_D>}LmDMRyX`2i?fml8*Y`5>vl@#9lw z{bGO8eRP7e7KyNB(Ynv4dCT}wkyx5$++#qiP@k(Jz$XRL(H#)Em1qtH1ZOqG+kax{ zIVu9o`>!w_G$;g95hvaOeVG9#l}j^zLYvN1TA!UCFJL9@q0#|8r z*xji(gMAq?@Bp_30j|v_5=S`#&cGMQ3WQi8<=Ah@|Hd8vUhwptEss95YUP?|zPtJ6 zpIyFZ|ARLzm#=#J@;~uzF1VySdAs1C?nybw$oYAz8#@m@t;oVgWse3`#_Z6*KkB|{ z?KwU)Z}r$m?89*-x#6=YwqB-;YBW);%_^1IMe+5=hipNW5*@JxH%v`x_SuGp?4pa- zsBH3LY|;J%xp|Rz!d<5Klj1H?+`Xa0PSZtJ8#+MhyKC_7qJdO@Ss95v;UDr0d2F3D zU4wT`xUUUegYcVwk=UT`|15IGAN?#+eI^_|Gh;&oq_s>>Ztj>VdxEYw5dZA}-CfjQ z8mOr#7WN+-ATJIq66}lL5}Q0^?rb$tWOw%K^wCrpuE{e56MBZ+Gj-9JvM!p6p&S(f z+ogz8 zSKhmYbsQ7l{*EBXY5n}26}rPpem?O-p5U=>=2xv=E?B*8#hS}`H?2Xov{Zfc{^7Br z5A(#*E1&#a^6H*{W=QEccIa5`ZkHY0^7ja?LH>Ep zTo6#8;v{fl|2H|Hry+m1n@biKvpaz9lOkj#WVSQ*!0vxxEDKx6kTD2=5|W^M=L`r6 zbhnHF0TKo`8yFJ^bT@Ftg+T0ObbNqcvLFDSVk`)-XK;x8gFVAS^Fm-fF)AvHJ^`*W z)`H-{6^63r4}dfF3=7T=nZcgXfjy&R!wrAp{ zB=mkw^i)R-@%1&tWa7XeF=;+r~2pPbh1>lc?z!%9+*52-^x6AEQSBz_*5MKfqGp~bum~sn@(G&7t@26A_o&S z33;*gJ|eZ{{Dp9G>p`=|cz(cI4fC-t?i(}>ES+6Hcs{MU2=YGB4*=e z2Y|kq*%yI?Nw*R!v`-U@G@&h^2}+FC>dcyCCp$Hvb-sv@Q`sy zQkcLq2a*etNL#F#NLK-`<&CpvT9Eu_cddN;?Dncv4}b5m=brxF z=g9h&-+VvsovMu(OXKfw1Vr%yqC$vwZv&SmIEvRI3f9_mt>)g2Sy}^+^fwOJADUgX zyfQbs*ykIM`^KTwEBvPR5$;5cs9NlA?2nHp<^sK`ef`aI<8!_$`XTU!bcmvjeI854 z9&$9<;q7c4Pb5z#7A6MAqgvgb_@0=*&EJ+bYfo$2(pRJYj)(V+H^^Rf#nJKvR&XUC)qgV<|loOcGz3OSjJ*Hy?|qeVZ1|4ROm{zh%z4EwIfi z?)827-)QC?eARKfJw61%q_pp$#rWt%e3Z1zMstr`C@ZB`OE~^^-Ts?6m7IWt4HyxU zHQdPU?2Wq@`6dCWXXlW7-Y=iJ_1^zlm3QxtSMyq4UfFU}%ZJPIpUB(&Jm-#GOqV9*r91+<3-q$AVqm`NN1yA z;4H+6f(xJmaKMkNcnM&6;2xthSh)t1i8v7f{5$}(>-G=wI$5p4#QncShe~jgmw)g5 z+aKMxs{G@ZIbEC1=H1?sclZyxmhti&|GIJI?^kd36!Favd(dQ{ zvoWGDU`j7xO#lr7Ru~WTkwa<%CnSrMYqrCd9pruk$&q^#`yq(moZ)_3P~f=bg`d34 z%Ugcy$#-~3SSE4V~*6_+2qCAfze`7QUM<*lne_OU?)V)0e(YXXK5iI3KSXc0i@52p>R@{D}J zBo86ZB^6l!UxUMJ#^7BBH4eV6&kjq@Mn}UC?f~a7llj3nL-+#XL`=LB3=RWm?%hB> zJOHON1-t-E*+2&Q0UE;Tc#;hafwf_Vu*wlHLu(CWbd2nq;;`4uJ z>U06vk|17wF9S?x5>RdhV9Fl)k~f*zi-;qH07JMGP+Il^Aw@2oofA^zSTrvnTtm&6TU>AIL*i9D4cJzv}lqwSCpS_wv3~aBxY`V!$hg zL?%P-@7}z>46c9rYXK8n2fp_L-*dt1nxP#Y7@r~Ha|5g-6B{!n*p=ahAhMpJ9KIo8 zj(|GIL@^j(iumZa8DM7b$;8z|5Lahu=LKYW0?$NoSv}-Y&S~9;Zwb~y{GI}8h3E&+ z6p(nDA#njm5C)0{1vW#`W}xU?Kt2prfwpXAGDKm3GTE7!0hTcAb2AL(8R+bF2>_h3 zW$`mKo%s~}FwiuJvQq#IDIY*;S$2VJ0{aqj96)l&&6acyd5BKv;6q;-kZ_6+-Gh(9 zcCU=O(P-9l=p#uH&8FhPg`kk1m&w5KX*2)=88~o{-GM0MH-t}2l49D;SUU`?G({Bb zXFO5>i$!G_e}Dd|6)VoId*#IMfAmx2`Mg^WFTX4AwqI=g&hvNvcw^({T`&J~yYB68 zA$RBw|B?SQOr?y9P$k>3h3!4IU=&GiU=AMkbxC+PFb8kV*CpZoSq`4SM}kW@w>V;m zl;jpiin=q417CwmoZJKwj)4`YvWvqJONkQ?!79PpNHMpJF&VUjKq!>hLI8`n=!lLb3}sWUPeA* zHlcj3I~pKvod6NRho+w(xa^NHT~YpLbmFi6`q-RX$PX2zP^c2jc20tv+Z*XafrQzw zI8kn-59N-mALYwV6#sP}3i1kXEms8F_mSO@ z#1Y1ljw(eM@hdSSmH`+xlw*t|0Dwubq;@hmG*U>n%@2Th;3@&z;oXejcDtjfl6X_Z zFeL;uAs;Y{SSlruF@S|+U(DTh57Nr)JjBMWyyFNr3L91nqf7__Vz-zUWqhX)R256< ztUeKiJu4+6PKuetK{x0sB1TsMi%?982$WGim>4XC_Rswjyyj>$gpkowcmNt;vVU3J zq&Stu%>!V___e?#3m^)K`Le1?!Snxk;_*kvdH?^!*){LKbm!_5dC%YX;EI3gT)unz zk(%#4ylPn{XW^;;;N66QqT>FWDJnFLeYINP1}Z9ruGL?y7FbeLzW60jn_EO@60@0w zgxBoKtkg)+6x-_%*py}dF61fQ=K#+q#iS;;^w8=IX!T_iSA!HxO||{iU)}XsX-X*) zr?gD06jGE_QMU+VRa&4$h-_lnt6@3{XH{AO3{WkR6~NQ1Rtu4^04XA|>sKR2vx+S* zrG#kdu3Lb}cu_ate4~VUNitCZHVd84bZN^fWq@9(0@7lsmI0?3y^N1Ap}ZGA;Jx?( Kzv}}*<^KXi)G?I+ diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index 7eeb6b0cf6abcc0294ae0df728be2345690506a0..a1487b0d2af1fed610c253c1d32cb0dd31848db2 100644 GIT binary patch delta 133226 zcmb@vd0doL_W*vMnE?id*=Lwx5guSz#bFT@++x@S9RvZ_Qb9!_*#tCg8%QlPF_+>- zUp3-Y5)(t~g%&mItzNYaOIxf=(-zB&_Fh~3ox99t{XV~cep)`yJ@;&PzxUj8o@xE# zy1Etq@Bd0BGbJP$w_lO1fET_0_DY{8CDim1s(rq4{xvD)iSyB$8@;y5tgNp9F%wI3ox-Pse_x5oE@Mbk)=2FTWwdr@HPd z+^z|HM(X41iv>m2wL@1YN@UNq9y{`M*6@!~p#p0Se(!dCFA2cE@3a_|5|2MJENqp% zx$T!~xyjTzDx=dM?)cszLh|76M%lh2?N4ss`@1zE+|+w<=Wj>l zt9vUtTaWc-wU-~;xmvdKH$QmQn%ecIUPZgk)NU|6ef{_RMN`fG$X0HhQq@wlW?n;m zRl7BBMw=zohC%{3oIve0%`FeGoNN>nNOJNE?G4Ln>K~-gv}_cj#Pb&j!Df{!vteZm z&&@@_YP@-YU>>94xxlZis=2wQp}u)7W@neA(Q?Ne^G!)jL7{pa z(IA8f20Xq&2z3}4UTMQ^*=t&=n(rfJN=2F=241fKN9F+JtbPS5mWj_C{K(>uZ*_ zr6jejs%)yMTvS`tHX9it0I8=@h$Sr*X_BF$syb^q$z+`zz2o`@{-!Uqzz=B0k;}i@WGz>Rdi*JUcpu=~Q zhaNwUg=nb5R{=G~$Z#yA(x^t(>axPz4WuBJ)b#X}bH~Mh?+-WGuV3#QKFY>e zK!CY!_-FtdcokhMdXu^nMfBN@VAJ5^-9nBuw%inD!tgi7j7^30EmciTD;rzHqRRTp zWmR%1S5}72Jx~N6Jh4ZJ6nyal^6qa!<** zY2{7JXE)WfRHcq(<1KY8k}C!uf5#XgbnF#^HF^npFn)p#UB37&%;-9QoUm8eq$vx5 z34F8)=J~$4un5TqU)?KA7)2Tt%NJ!}hfxdty=-n-j?HdwZY!!>+|&TQUB|T6=uxM& z$mYyP8UyOAU9!3@8VIcOQ&Er+b>7lG9^G>ZZQ;U5@bU$i*pJ~XCOr) zL8)wuPDB190VBU~Itos5qvFI51Xax_j+Bc0tsKda>_!59~?q)2h&rE)xTnkQaq zo-H>UL<8<hKtV%Mn}0jYo?RKEKd%kg^*%4M@vWkb2yq| zt|!{;(n8Vz^W1nhJMnJu#LKZ4mjT{Rni#aAV+Y%>cl_w_D8^c`c#&j&>sK#nfRA8D{oR1VWZp>Sqh|4_@fhR?* zbtBd}5$in>fn)Ye;Mm|sY=rz|GQNx}JTZYK#cXn8HglF?D@ivDHLh}J-R8_%O*&zy zV~soOcAllX!;`%&2PU*T-B@>VEWLwGDN{DBDI{-B3ByT8x<@D^r?`wk zfO99KDxA(B!1+;RA@a*@43rL<{~twy>3BNTC_N30*op5rCxi*R@FVA7f$zeH&j|?y zk5jz-oZ_MqTAVSkRs0u)*~^Rb8EwY;{Mv@f78J6ZX3Qv@UQRQ{q^z%Pg1)d61^3`l zp9Y=*Mfx_)J2+oq&LDMO>`%V^pl>88vyCrCEw3G zV;|!n9>DuP6(Sr@v81Y>F|_B|tW%KuAnIIPyBMhsv5wxDTiH^H0-r{ml}i@4MS~Fe zGpMtrat+e_+XG`HEbs#iCz;?3a*@w68QSNVj1rics2J7r$k92Oilm!^7f|P9k~!Cg zZXPB0SbJP$X!Eq(H&zt#8WjBlGY%_OPmuhdYLo&4`=j>o6&6R6bDkh z>f!|Y>t6dCum8Gv9Y>u@s@5RgiN7J8bR)ga1-`)rzUdZd2hCM)x#guJN5I=?d@80~ zhyKR(l#6TNJJ2GHth|bMQRkxisR=Xk>X>wuur(Kb6nV`PGMyQ`J`m$UBX6=K>-(B z1^eu81-s}hm;(h~a*0EV&)vel;KIJ-!oG3}v)hTVz{@USNcpvA$-ZGF^C;LAUa)Un zl0ZMjRU*sEs_{E6Y?ujCeb0p1bIE83{J|v(fB&hUK0*EyNB^0l|H9DA%I6XEU)|_u zCsl_#J_9U_TFHxzO3rROw0VPl=H{fYT}%ZC-IH5gh12s}wq`8k#(5 zRh(8$XdR=FO2dd@p&-Os4`Q9rxwx*;lUUD*4P3C1(b{rz2yKuDtqDpD^N~l1&73xv z(}qC$W^$m(ro^Eh#9=~b^CBCUccMQXqo`-}RNR z$w3!+3l|x~s0ybOiKU`#Sx;#NEZ*XVeokCF)~P4e-a%>=IhL>C*X|0GX7ipg&MZ{jSoyjcQA8?9iZ%f7K-0Xal{sz@%Sa(Gr` z9#8*CV$YpR`n;;ZQxSQg(AijBiR4qctZ6sOlG_2J7PKkiY^QU!#f)uPIk0uW zf>}a1!Q45+)x*eQQu?=2m67rSzjDI266t3C4PzFUG@D5>&Jp0eUT({o)<%}bWHNmt z`COK%nn%=d*l3mwsc)vW+16OrQn`3J(%#}^PSXiUKA-bmz{wY~Qm`dKTj4A{M^{(U z9^_;vU&N^w^Ez0^1Dx4LD+daqbcG0D;- zuLWY*S}5w=pn6W+z=<23#AOB9g|LRKV5*ayiK59Zvze2%@ba$oAVbPkkRm6`=t*fv zbsOU_KQ##~6N=R?9sz3z4?8(@-R?v|iaT8S{&xxvcFdRG#iiZNr8%6^?DlM=Z1s?) zXmb@!bq{MKCOBZNOWHaYiekMJ1^M3#`Q*$azmFio*VnT|BT?0HDB zk=IBHQas>NRY@tV#@Q0^b`Ewxn?1z2Jk*-0QL1RuHbG+)9SL@XCuWPNG7sr$%OMdoE_Ug*&WT#Ct`ViSR)ALhcwTDq4z$k`s^Dn9Mx z3VS)Ec!qOLLCSv91tq$VnQXz2iZ)fcovpbvR#A?+;i^P_IWPh1uo&RQvz(@ zkm3lJkdD+xz4acrBCJ|1EE~LSiR2aEz_pp*&;DuNky{hipb2=&a>+1|(`JLozjfcR&$iQ7gH3%P z>u{|kB`ny~ZZ;h{J**MCgWd`;^~=QtL6AADddqCW@;b>vqE$_uB$;UWC_H^bOj&on z8-%|-D~%sXF1`?2!XE7356=7c+tvlHSKetgrJLS|zg-i0O?HgGtCLv5D@@BN?0u6x z@UZy4sb9I-VcOJc>bjfaq}EGfLjPrYlH)Lh7fqXvnYxZrgcbFYa7lz2Z>^W;YejRn zw9DsU;Lb?%hLf6KyJQFD?ZM{b@NbA&v>oa8ITB%hIQyjLH}U#)(SD?YD@c^vG~3)h z$GmZB`z-UmBlFGM0=uLKc1w=jiFO{4LZN#F@lr_toNgrEb*6uYcpX3AC6Y4!!v56>(m$xF@uK#3A`mFo5OlPf9t<;3mmP#}#wG6!HstWnX+ee(3k= ziX@XMCA<5T-T-oim?6##>pLJlp&s(-)wB!JJ`g!s+U;|Aeb{sRq=&bKbt37>q3^q% z?Ui=NinU=~&%jH#y*8|(`wT707M~Ns@WG0%zFz4%*?J#fcSx2nd}3$|hAKn;t zP0Q}U5>WK z!foYwCK%9SatexyT}+`&J}Mt%^+nram4Pn(GI#R&sn{v)r1dlS=T50K1RuW)4gIY2 zxO(8YG{)D5+|f4<&Un`Lo`8@4SDG;}B!wRFj#Pq=pOR+afTbz=LH%i|#CLv(sbF50 zcI!JEk8gda8vfr~4Yy-kr2B?tS3^t{tE;bFmh=lJ;k|XwTcM_cM_{;YdgyTb!-uP3 zD!LkKs(@K~)4s#)PaY<-6M8Xw-(i^B2rXpbrnAy;eq1p&@vI zDX^dq4Yl8Kq*`uHl4q=L-+pBC)+3u9JW_q__OFJ76~ zZ$`oaL3mW$bn*Gkf^Yx{kG9K?RqO~eWmF8dJ!>2-;Qb#rMeOJNjWdv|qyOK9Tm1`_0^M=@!UrI-*a|4(oxWKL}KU8A3Cp5U+>85}EvA zzqF!vS^>;qRx!`V9uF2XA;lxj0>k1;>RyY&B7x5 z`~~TMl%%#?RfRWxCLIA1_I@TEC)|(Ee5lkU6f{Yd?Ael zVAL1V6yZBu_JwpJq_%t^4GX+KxIaSt=ybn=6yVt}q)|ZtQNXM$?|u^&7MR+5<7nZA zfv5_WpMVPIs*>1+%&;D;*@ZgyOOHQNC>{E~KZLI|Ta+h?hWxvS*lhcCMeprNy(>XP zaQ`(@;K2``2^smpYA7!Gd-IurPf1oNTWIjsFQq0GG=g9EOH2jv3Lf}UngrE&@k{CF z(w-}1P<;bK?5cFX1fYGx(omu22dNn!{~n+LG8lM)G8sOukYx;LAT^+q$rJsre0%k~ z;qQO^>3_u2<-3kaGCB6068IZFu9anUOqR`&z^p!RvTThsHOMsldtZ=AEC|~2BRqE= z)1U3i>P2Gr*ZrTaYgxZ?9XpYTbI|? z)i*S*a5@$}+M)Le#>!)XUk(_2Cf_YYSpSAv|Q-x;6 zO)zv_zqqW*l;DHEIpFt^=7|I}AvRVHPFr)Q0zc*8dr$c#?!(8Q^2r#OCqFLSdy9PF z7JvHy2um;ymgoZf^nTN;R>N(=F&w$D!aTStCg8Ta)eGa%zW5N`d)F^MJ`Mb;2LJe1 zKyHU-l?m;Qmj|7`esSY1;3@$0;}!i_6$pnZYe8AtvA`4*y9=xD(#8mn;W2k`X$tx2HWnOnLW7WS!1y3xkhWrJ;KN?)C=@cR1S`s&H!e@lK9-_Gb4 zPl&@|Cz6J&966F9E9-4TroK8n=dr->B;YlYXUBG-1GTp2>TF^|N`LV2lS4ldCR$*k z1SUQpz5B7iBw-JJ`?0{FfI1uS0ny6F!S5am)Qb9E!B>;W{#&oD=#r2@@X^ikn1LkY zaba##S=Hk8*6cEH)d}twa*9jytmQR}mzPvErKYs-jG%+=3_#z&(1Q-Sp@7SwVNWw? zPRCV^VcIh+VXtg%DFH{8`>eUPwrIF(`Zt5;1MC6DO+LVy!8FLS@>aDJ)-S1AeILs< zJ&PA!)dV@7V^~4Y3*draEv%nb)l^?q%Vq0d;81(bBF@%yn1afd*ECX34$jVSlw-C` zUs<=2i7>oKVY9OB*7_7D?3fFdQV+01Qct>ZQ1^rKs<3_jgfW9-CaPW+@WeU6a{Q_92{+Gkkme7g8hjJykuM*McW-3Q0*R;u;TJ#;{31g69O@B$0KVBv1%pugn# zZ!m20|LTzj3O)VGC2(zfxIn1W;%O6&)eYth_!#nbaPQe@&m+y-8~Rcpt`lrcsTAL66o z;X(eB#!t#hj6(5e@h9Qoal%K~Cn7w~@iEt&+!5!_EGn9d0?xr}aVhws27Zci%dBvT zQCg0S=MmfiR>3;9w1F;1mK1O!zQBOYpLsJ`KM2_T8G!8#4a-+H5>@DeW=S1~bQclP z52|?^K?R3nmHHA9L2AsJmy6_|6F|m_Q3&vn zp`QWpTE{)AYst`A<4z93n;F{ZUhgef{~_t$&A zA?uj_(6xPETpN;n@%y1`kb83Iht^2GXxX~VFo8<}3R|(^zr;zIy_FQ@8kw$1QJ#c_ z3N(|!YnS+FdViq!cKQ~D*fr+7&(L?hy%`mD{g~Zf?YX=TKekJy@W0(QWY~M~+K0E} ztuIfjbgZ&<2?wvX-)1|0@al=m{wP>{sVnbl+wr$zkl|w&wOCA|kKpNg5j>muvxPrb+A@IWw@qXL1EO!6$d$b=G+oZb+d-e)_*#K)BH;@gf{ZO9v}Au| zw@g)Mv)0?J4K{0|tzYRlIrN>9ifDZk7G+o%ojmPso;x!7Co^^bfh%N=AU!6aTN+^X zeIMY0IQkCeavju=!?W;(0CqiSx0D<;Sgw}3fO&UBAn!A0C zt$$p%#H&K%K%c%kTiq(&RqAfzU8Qa{?<#d`NLQ(Vom7HmSlTVAfN_1K);1&qoxiHc zpu1;3Jn41SRAC0*@VaV(9QJ9jpOj9-ufMJugFkAw$KZfJ?EyI1s%{sS<0DpeanKFh zF4Fu;aREO4hy4kBB3Z5Rhn+jQ2#~Z7sxK+O7e+Oa+v|~EN#~T#w%V2~skM3R=qvV- zJ^yeX9kA12f7h{3y;7*d;;N#tc>g~2mJtglZF!(VTsx^heE0c17l`lv;clNpU)(Q3 zOFV<;KB=yh1Wm?=pHzpF=f_W~EqLg*qLjfDgXWOX^R-eQP`;+IYEn!}+G>Qg_vZ)U zGyf|ZIXG%q^KYTUH&s3;|4Dh+N2ft6!q8(eg0}y!ix18=fH7e3z5glA$wo1qIWbu? z*Vok6RxPWnZ7ZBUC`(ZNArVkdl1d|Mxo^W|vMKokA|?cz=6cU$l{oOSO^^%<6{_pO zvSeFcJ{BI8{LujxT`Vh7{oZq@%D2a$ko2sB=U$tvXR}Jvu~Z=&lvx#j2zj~1R(fYY z3N9z7$ms34u0VF9Lr9^lv$DAs8K=o$+X35+dvnU#AP%IwbY>os+hv0*rs^LQNW5B- zMEYtKCK~=Jgkxd5>iR&BN(dO#^s9yid~QsDpInepcci$ULn;lP>l;2Ibh$94*qS$| zu-sZ!xvFaJee?>{vUDj5sl{7;!-JuXo`i=#T;(^`Hlo-D{HAYsjDI6LyN+6cfAt-c3VwLbz6=-7nxV$HotI_unKqjg-4rj zV?5NWiLs)vX+^=d#kEV&$lLL0zwpE$xT{}OSJTF`ta+!N8bBcUj#!A=g3&>lNp04tA(5AS^6Sbw)pq#)Xi^M`l4BOlxOyGN-f=7 zZ>i`-M6>;7u`d|zM~Qxt_2(-J_ME4x>&U;=;axJ*3?$|j zOeqy}n`%~7HHooeaapbySG;uTsItXPRaNyoBTg)@YHktZVYfVIT(+3sP~Vay7S;nv zb5(+f#MHFW>0`!@%g9U-v2Zja#7DeC94QXGp;Bj%?)rU)k6Mi->jS>;C{0rgDty&X z$4P3M@Uc_h&s?5^b7(^AH9Ro zAU|Y4`{}z+wg8w0v>GmsGf+5(F}y4x9v$Zw${X$xXdd~;uLz#A^{`K=rvQ9#V^t0YQ{49*$0a_4J}GKh zDa1{@>pkiSbEuG*OngdIVKDxp+qiRb>>Dgu9bk2-Ra$N=Pq zO&JOAiHU{v;}a8+CJGW2)FmjtZFL3GN7FQTBy*M($Y{Yc64m+%#uy>-p5pqpX5zTi z7GK*?zbpay#|nwJ5IsWU$iQZ5JV4@)AXQe?0tG}d@p$`MwZ#Gcb1olf;#iFgi2@B2 z0#rEj5Etk+q(~xI)H6djO0Y7|4B!HeC~J`3%3M6+nfDZNBbI|x9r4yuq%bE9D@?Cx z0z0XVW^+zLI+Ur%jvu^N9Uabn=!|2Dx;zSCBbir#&^Qhc-K#b@GPqjB){>@%+~P76 z2;Ow0On{F9#}loX`OG*0jI&@FoJKfVOKhdKqB5ifzdBk0#a3#$L-I*npUF%g?M-AF zW~?6|zHMW{vyL>rB{wA{W!-GN_&#;K1AObCrrQ9MMRH|NpGN#zvYAtwJcl^5H6nQ~ zIFZ2VR8M^Bz)YN$vwl%cOIzilW~9j{Vkq$@iVALa^kfYFbXVL6oPgDZ@ZfM)ksmoTvB4OMQm)o;%UnL^gYVo8bN(D; zyqWbQRxM;9gHA06Kf0FH%}6_+6)MMGYO|NmD?yqCpbvP>^1`6v3f%D@)pW-q07FGt za-hnSRwK<~@3eLa;{!1*jE@{V=jbv7ZgV%N1j`Wk(2=g~q0$^A2X8u}lAL?fMcgVl z9d#!w1_L&ze~A=!W8nzcOw3ql&|Du>?^k~>sM}ITACskIW+Sah25s7LK>d}>kRUM( zUOAvPR0$0od(EM_mCbeH%I2oz=BCBTWbR)EfvYXnX3>%=jw%-|cN7#C<=sVrN^sp3 zT_~PegJbuayM&$~jeUVLBr;fr3X83|X)tuFmY3JmRnhRrabsLjr6Il?p`;qnq7XT*U8D&P@pt8?7Q6FDLqs%w4D!hlR7dC0@29C5Z{=eOJgP= z9*r8TAhvNH)(+GMnIHshGMUE6IVm!ROjbHAW%6n5g$RA;4FEW8RORFlSrU)7}-G>Us$I$Iv#Q6=4C=I z1OSyofQ}QEnVz~1HWx?-K7x!#9#j`Z03jW56{KAAQ!>{<1Q61ZTP_C4v#o;&AfyAo zK@Q1JT~`L~lsfPpq>%iS(YAFE?E@j~;6=zmnR)AM<=}JqIGbZ5y7AWa>Tuy-xSu?B z+UH-t`4ry_ItrPqdgR*<65AM{6m4XDBr3hvD zA~aE)EFOp)h%`q>Mvsopk6sYHCOX`bXlb(CYnf^}YFS_zYkAbNHTq06LU6GBJgOhI zj8XkR@3)A3F{1c;)c#npFOIxi9p$j}jk28342SnwE#{iAcB^HJ^lGxDFO8vhkC_WLdSGsM13aZ`uG(vB_r6UDxf6ie-T6|afC2#f;0?ME&9tzus? z$?yIR_OP3WEWJJe?JaG8(Vf+$IQUNYWU>c#>>X|pHHR%1HUC?3v3HRtlI2oLSl>w~ znrv_1>y{c+aLn=3WmLT-XwN0MtGv`|fXs}`H1jgr^7W>ZmiE^zeQ#JSpQq?TPCPOs z-}5=(ecrmh{S8ZNYsO_^%T;)@8zaG$3mxtovULANHraoCCFziDidp_eY zee*2Nx3}n99HG0%1?+IW)jQwzoF9f(?D;_x)}zr%@NK_Il!MB5v_FF5fOb=^PK)k} zKr3S6<%j>exVD_0RCmqNE{gH7l};%ekJb0*$Apkc9OixN*fA+-%78@pBbHP)H;<1_ z#lufzn{nLz`AYpiEDDrb>88#}Nh1VdraBr~Zmm%UKwr3-SX|R!HJpzx#AfU9rPyrE z|BwF!dWz_|TPt%QU*{!^8W#tQ7_^j;e~h+|HMXU;Lnf-FXB>gQVaZrIQJHpkO++s`LBFi_8TYo zA0vpphM%}c8{_z$B~^bgdJ>%gyT3n)dMw5(@Gqt+jibBHnIH*0bSni3l}e}(eCUJ~ zlzOpCC6ohmCfCSFiufKgPwN05K&4*P4u!815h?xLSjb-vD72*h1Q$vgz-1~R4J9Q3 z4@emZ370>&QUaS6w-mWbLVf>LYA2jHa|dV$Kk7!Wm2~Eky&BY$Qs=~hEug<1P^fGJ z!3EhyCOa%h0-p`3s;_DSQ%YG2L_#hjUoIF}r7`$Fttc`YOv{d-J9*ZVJe{-F9tqqOI0e zXKS*>;TZ?CGlUoLzYb`#gvI#M0qu+0858>BsG-RxgkBlHHTcX^+M6XpB{^&kcf6!g zlk2bV2cFT^220|$;M8^MSpTi8Z8NsvigoI7{@YnH=poz%%bMz8XiGZpkF(j<7uGy$ZuS)9|9^1*Lh3Vk2Q1Bt7t@TqRh6~HjZl*< z4Nb_j6JIFR1%c1U0!>LwZkKBVAn%4KPB0b8|3#uaSEHakY_W&$Kom`}=3Db;LjXO} z^+0aZqPDWq9IGuS$C{7KdvRr%&ZOUm;21+MwjdUjTx`Yl;RnievDyRdmQDT?2Oq@2 z+LG8{2s5Rp2M92w*I>`U&LwUXGW;70$lXv1h$L-k_AG@D#FL`fmvDc%E+!trM@ijT z$00df6_Uy@z*QlS>4GCKoXpC~P}pnu&vIR890Z8AtcKe}enA-YI8J87@ zG!Vq;)g`~=UD5>6o3}#QNna&h(n?&J;QKOPvxc{PgGdfkn7v_fW$gx!8pO%^k^l=t zbS_%l+-A*0=BrEuui)IO#Wi5i$w21sXmwfT5XwnfE%--{H@ApiDN@$(5eNw8q%E9* z!hXRihLTVR1aOl0TBmZvVmNbpE(-b|FPir#fap%|{-c2SPFe*JN)N%EuG)qnQdT$* zVpt%iljalas0Q)FAR9zTCkWA=B;;HUk)3of$=IS2;wxAO=IDmx4lNu&y=q^-kl6=7 zLu>BJx<=Sf`&R%ComL^7i(YlZ@sy4>IF{1UwuH@4;Z*`$I+xCzPTIF@GhK5P8Y_+w}e_EG#&mvubBopK07nQ~^KUNU|{sJ?IvtU=4ct$7$+!j<4 zY6xTpe9{xZ)fz^|2$g{QgGxdi!5YB@eZZrMQIO7^VNHc<1j8GcfAn6>%sPVHz#A4U zcBCbYCKqX1`bJ6#pSl7wodLrV)7g%;3Hsj3AFx$K(NA**kliZV2|^t5+X2_3k36=Q{ZSW z5G-`GfMc8mgxG19ym5|y5C}FpvQBsi1RLEA0>^WKV5M^k1lt@f;Up$-#AK&Hd-3dz z&S?-T7*4-P!Oe2f@i6OwIj*Up78$_)=A0D4ylGp!7y|2Z7_+Ec_^g;NG7wC0bYP|< zaSFCK=pr12|4;z1vXO{vcL5aBKuws^tZ6iql^E0HU|gfdO)##}Ebw&%qZ-T%>#J6* ztgLNLYHO-$S=m$%&V9-P9guZ9Iqwh&H18xhwu>a-4+_IS0(VU{D4rD5?u)C(Bi zNWi$eUUXo2yP;uWo}<+R^Bb)mu(a{&QQQizWG|3`OfaF*669HD+3eP^^5SA^cHtBh zQ0JOT>bb@Z*ti0Q8J*K;K%Tsb)-p1*{DX!teUYei4-H}FxU#l1 zO!)M3Gf00Q#efJ!7Y2l+vgPW2&T}K@`GB+S;QKBc=_JjGN~a+GroT&S7c!YB`pFuk z-~4w`4{}jkxG1vshRG~^8$Qyc8{v3}N`$Zb(a|&u?j&I{hE@4tuR3K@ss@5o$+Zcu zdiY|DR~pt~U8gq$EL~ub@}LK;~pZO;w+f-u7qv?^eaw#~H=H}-7MNd{Kw z1l^#JsJ||BY}75&OwDjQ@C{5bC7As2Z5wsubDv52!Cf15dxP<`-MUVEdADw^Z%8n) z>fuwfbVmOWz9GxNjeB$>@U}g=FdtJS+5Kw2Ez#rG_vprS?A3*L^ytFyio2u_g5%W8 zjwc|Y{nhvLaCrjT_n8tAss55mxbCyp)K^*Uw(LARp1fE0_28&p-609S^rWtH@Sgp; zR*A%n@$mmjOoQT6x@RPk(6_Md+Y$r*?x1e(;EqGOQvz;!MrRt_{ETjffG_=9_r5V` z6x0@MI%x|R!Hsznc#z>!1G)zWD+hHq3x4uhbY$UIp4DB@>0#j0uS>8!4D5OEv(M=c z`pMVOI>sxW*Ey8(Hg*jWyp~LmelO?}gmj$xg6>4HX}e$@O}GBUwwG5|(?UJ~i4+fE z!(m-=1bk)dQ6cdWI`(*%3EnAK(=nZE#^B1sx;%{n+ML`kSi!+lf-;>S7`-*`?*J^%>BD{=ICq7rUAsuS@oM|3v@C9edkZnd1`W6ER zybYAZ$pL&T*_enrh5tONi-coU#EUxP83ER_8@TnJd!4CNaxS=7RK==%b#QZ=*E;(0G zuO0|em7jZZ_=4AC22y=RJLJ7lm6erIDBv>bQgl)Jnxmv4^A*w-Wb5t46h8U_#x7d^ ztE?m;-_erfG}JY&Y^f@(s?AtW>lMuX!>oY_19vu3fc1+mcd1DH5pFpf8=7lc;P$?4 zeB0vcD!8UinbA)uDv5zBN>Nj4DOr*Ky#Q`aP zhb-{gfD@h^%wlw$fJuxLC<;mNO)u$0heYDJInBv|=~o8^FpsSpkqSmIPYCQp!3;*e z!a{6dtiK`+n7v44&??NyK?<;b(On%#2g4VY4mK|$JwPRajzxN2nH(%!w1zccr-G^8 zpp|%6A$%fD0TwP=&1uL4_ATc@*0Y+y+Qq9mDhS8Etc#p)_R@wFD_G)Hb?}9X5SQ}0 zFmH6I*AbA(D=&hpFEU*%QF!;ux@d>xAL=f~yQW~P7|Uxa&MhqAZ^9BGlb8*lu9CR0 zQM|5_opmKo;Z>E&s>%_Rc0*m=OFo~X8BMsuE{Pgea^UMUjG=A}sOI&hAI(^1IixKH z`v-XjQ5CN0Gnsy{Pt}dTkw`beN#vkL4CsPB6D6H+iOz{9yNPf58*!GCn9-&o9~+^~ z=CnC(+T6d<=6TbOb|9a8LOccF(3La=jM&-#G=%_nZUdoonyDW2&_8u{FMP5$De|Hq zkm+7D1XALng6|B%c!AL|!9eMfy%|~_-~Ea%%5e+y9A?GQE%25B$}YUI%j+tA!S{ zFK5a})#9>Ob&Wn-ksr?zszk4tzOMzLB&qtZxsvC^hY@ z-@AJ8X73Ux9^`_dinh|3#W~?lg<4Z=gIuzGfZT_?a-p9p9(HG9?@ZVwKjO*^eiYYc z8_m)G2a?I=U3@qQx+Ii$2Iz52_vY=}9Mto{m zsh{4~4DoVpah5m~*h30J%#WE!_XH`VSM%@nhCuW8dPAW3_u+=)I^&2Z-HbGS1j)Sy zg&bh*{S-%m_C9!Hdmn;4zPEUWwfDaXHf!%e*4|3Rvo2WB^Hi+k1r zjm#G%u!#lJy0cl3?if@{gDXw?_DkLnDBR255NMD8IN3v3jf5G3UIP%bKWL6i#&|Af zNOQuw;EI!gOLo1fDBulOyS*tHIH`Nd4kr<-9={r-Cub6f0J_57!1-2h(vO$ok`Ui0 z+&JWC#O;5`m3Yf%@M-xjbJ(cCS3>j?r4HiBP+C=4TTp=`$%GzE6o;5%aARb8h9lh2mHzF<7~~jKH+N@y)`bBgRdV(H2FrHE=-W%nGMxgD!AT zbf;4RaM2Tx27pVRfYAVeW22iS9RP52bOXi!;7d=ySO9zlpR04Dj04DJPm~M*z~R!3 zkqMfA;{w5owgGu4FN(rSIIyQb-QNB*?K#ra&4_na*SZB!6%v zX?y(WN|qDWKe?0nkc49=r!5D?)NthF$(c~$3OH}l8+Dkheq()6|39JJ>aZ7X;b_IA z9pL2YOy&bq4V*lAI)~(I|L{mt1UQd!gd&On=TcXiB3yR?=1>GAaU#qq&d)c2YQ%$s zT=s)%627yP;*~M_NI`~ij6M#2H{!(@y*SC2Wg7h?xrL?V2N$-{=uCKtLUK9FH2F)& zafx{DY@)g3EehEJa9FH9OHknXvHE=Y6^`Ap`cZ-szZ0uZH>)Hhj5Mdz4xgqbv7tP| zQ;oypU01*0}ZpaB28pc#{6Jn?@~dvo$wY)h()BV}(u-4Grdb z&0T1rY=}LTXwXrspWuM=GrMSw;F>fiG&irL zyZ}Yr{sTBxfod;=s7ej)&jLv`dBm<^ZL%i%7S<{@1Ikic&v zN^cy^-1om0y1Lg_vXQ9ILZy5<${k! zp;k8>HY4`Zyqt2%DjfwU(mKYU1!J?CgQ?6Lz*xd-&to!6|(Sw zI>QLThTo_&h&9lc4&x6$Uq$0lB7IP9T2TYI zP~|{?5*(23r61$WZlkc`dZhCO-yHH)-Rw5-8!xMEK?Xk@*MWbQJ&Y%MyEpUL}^`XW~ zA3Y=j4B#2g%o+ahlS-^eLA*#2CMZ&A6*$|}!2Y?V>b@J)H#7Bv-Ri@Q4^oE`p{t#` z_=FLyM=(X)O4q{$y-zq~DI-W0IW~>WKt3XR2nE9x5pytL=*;t0A*W*XV}=A_8qR#o5C(BAvmP_#3DfZo@+iTdJ!Xi9P+CP7Jj!r-7hsm- z1>`XcZ|E{aL(Iy4@|cT&| z8}2s)i;d44uHlOB{A7b0UNEc<>?t=&dQKV^#T-penS4{0EjuT-xP0W1Q}4Wc`pkPD zp8e$9rx!lEc?~uF;{&G&0W2(MiG9G!!t#+f1dI?LdqZIE{={vuDs0ZJSyt1MfdbFD*(%R_*ts+p z2)*0*A_=+3tS^^10nAQc+-QCI67sn9t zj>Na2;6W_+a1bEL17HMm>~)>3}#CteZ6DFQpPjXpYmDeD6P z3^O!PiiiHI3l33oxQfB$YAL-)v1+9FL^kvSEr@g4+4O+x-3tuf5Gan(8v-VmASpM+ zq=F5_1nfwIf%#Gy?951kj1XQzu!m{Fq>$f1&9Ej*3j9{z2*T9eH9{h!_=2&-tQ5I& z;1m`m#V_UT@LLF9R?bw}mn`aEOO zk^(7RJEGzD`>NL5*3h)XT9}Ihra~ETWA$pJoksG(;gH|IBeR`X0fhCC4g1oz7V`6i zNL?f)9-ix`&2Fo$s)rx-B6P6Gq#$z%{wvRD3Y@_S$D;639GPz%kyl2_1qNLBNxgC@ zy*Sd(WR>a^2X;KgEWjdF3%7;&IFp&xEQxiF&Yw=FgEfkR`0&cq)<+MwG^B& zyec%L1|OYb40hZqr5g%vKU6Pwilv^MidrrcX23e9Op-$c){{1FtcALFx1hX%V8IIB z$exN7z<|#gO=N}ud?at?y`@FkIi4D!Raf*#OMwx7vkL^Ud02isZ>Bo{#O@O0cXCO0@qT%?t6w^Jziefqjcr^s zczU{I2jAnCO*}wd!bhwn9hKN;9YinBJlMV{*12_B&vm-jtVs@ma%EdgxO%G z>H?``Xk;Sw<4*XzIOs=#-Oe1ie%kHKBF+?hoEb>nD?eH*R%)l9k1@Uy5zF>kN{hK3p-)XmlK4!dU;a z(;-`04ua+vMZ zZ;;OKy7rX6$$DJCTh1cN-{yUAh?Obi6e%*k9&a>;zT?*BU8gpgM4PbF`1>MbXy_Ri zm-jfA_jzl6fR7d#&7mK?BehV=Wvd3Fn&s_9_|Q9@B)eKn4XQy z=cRbXNoCN83$EJGe#ZGaw*s)YY@BWkPQAn`AmDQ%fwtNgob#7Aa-Nxk%wI9ilP|kC zE5CMXOj_m}UXl!?zT$+_UZnVzQ^3~aDpnO6gGYSloNxk$UBbU-!lQq{xy8mP;Ya*u zvC&lV6K7>ffzPh|42)o=B_o6fvsm*=OOfsu=fc1jBV_m$Fku4rz(j`MZoqn!>)SQ9F3mrCVMy(fwFn}GLPUaky3IpNgfUDj# z6c!|d)yw0mcP$if68xVC*TC>;0MBh8l*8-|0lk8~As{HkB}g77V+V&30Aj0fY=pBM zIJDF_!XZK;P^t=JPbi$fe zy?iuO9UO#BV{luUF=)v+8Qe6IeHrg&qf*KKA%j;P*apY*+MK{a(2FL?=oTyBCjKQS zB+p{{=xs8>CbuDnc<5~=10gh9)``zOQxX)36ggZW;F>3+qsW}k>V|q;^~^F#d?ix~ zrcSey0LN=mr2>AdJHq^@9ll^iOsvepYP3-cE3x@e3p|*eq4KgR&{k9kKl=#~xS`FZ z=I{T9y>Ee!s<{5YyGda4er~dPZ<6H^Aj|G%HxDJiK1oPOViFao4+04gfh2^0;DaS9 zXcZ9DGQLGxV^xCSgJ^53wzaj^D*DqttX1sOwmz_LTOa@LnYnlO-n&86+Rx|z`+R=1 z$lfzEXU?2CbLPyMnKR19sO;s<3$fD0=G>VJ;9QPu$I8xLb0Y_O&sb+XYIk8Rv3XyPvlm4*&DyZ+m+qCk&wT6;R+z1=MY%`(D@^-Y_hapS4_ouobNM z=T~sZ(WU0A*0Iz_4W{uuKQIqwnL4Onr73wV_Z72kszvyki}NKBZUhT0{^I(E?c`v> zYwI=eF%?9DYqpKPYJOmJPp*-@egKb~A3tE2G@g2cQhOqLruy4+GQ>A!es{tLW+|9_~y z&~OI-$Iky_=NF@>PK`y;Hb<|vWE&GxD=798;ykhS#~lTeDcnJ|X799A@*n5yw3O!pGTRnPrBEOJSP-SA4h{_Vq_VOLt6TQ%whXdk%Ni%K z+1oBNG1G2KYS@vwRE`Oqx;oIiX+dZ)mE?agk6WFot10@Q0>Zy4s4of@(B)_OTB0x| z@8&??P%Lx{huWeE0tKkSAtebU@ncHRM$$B}vk+B`L4cox;w@@0~%R&?#JYb^7=F|L97zi;zM2iLTAvpVE|!uJJb}CJaA5-B5~RGp$)PJKG-1&0!`sX_ zSu2&}9c)_gDCk{|>dU=@gKK+7&h8xXdpi)A9ibIy@ssdRU@3Z^Hh*zA6TxpZVGglw z%|uiM5fmVk`=zn)m0!;;`+!}UTwry5B(`#ezrGql0uWU}c#%R5X__P-!A01^?Pp;l zq_Jmc)~L0>IGR>qw2nXn5M%@wj_Kz-9LU0PQ1VS;qa)71<|QDwY&^@%0MjNhM@E6g zU}8-f1%>hR;;2w? zG5HmOh#!)j5relZlj_f9_a~Gjh7+<3H%U3!`m!xjc1}#eRI}1{Ppy=kD_31BSu8Qd z?Pp@kB|AR`MNxsaEvz)7z~rRNmZDfB3kXSZERqu5fD}qjCI))}R13UFVi>m#dwQ`+^CTK5KcM;@XakBH^j&eRI1L9}vAV&NxOg&NN`6YF9GidNS3jVN zJBKs`#rvsGi~F3(KLig4v5ACt;-3*5qQ{^NkGp z$p4)FykLs_9&;KFzEVvh(S&oav_4P4fmgcgLqVzNv@6en(Md;taN3nOh%O`Im{wXC z2VAK|EkW;DxB`jut#mnqPPLLyD%IdnYqXk7exj8hMUm^pnN}VK1czBU@?Q$%DLB5$ zxz*M#rM`~eJbD%)y3oN@+G+y&bBL-$99-q++){C3RnFy-(s5c<&PAEhaaL9JnTV6B zq6|)`%4P68E>5RLGqIn&Tu^FC#OYJYIr@`=n%QU;`T$3(#87FWZ59cKB=uvkxSRrL zE@zor=i|(kse;fVqN^ci zLb2w~6gU;47Iih@azN=UUbHA|sX{UA3F(WO?1ITfvkge{uN^`M4~rlaLh&PVw;8YR zIT`J7M^p$l%3QJvsTN`m1(oFyB=Bdc=&>lNxJLANGqYqAq_ct4!bv@K^5O1!QE}dB zRB?2Y@GjoYo$sfMOU{apodfpZ>Fvx0QH215PxNFvIqa~hnlmqg>1bNvq_d2F_#;hi z|J>JGIEfifPyN^E%0lbtRfWdUq#`3GW5g)Gy6}T=+*cPqPQkK1j8CWoFKkj~=2$cLNyp6g$y-51XL1@DAv0q-I!jmx_BtsS@kgm; zqsNQl@q)eRYn(xC$IZQfh>VRC_-6(Nzyk!ZOQTHAFT~W&<3LJsg2Hz^` zHndFlcKJHm&c-9|;?Ja?PKuMBH%f7pQ!}>7&-vn2+dN1S!p65M#`vUfS`E^j24q8k zbjoI~OiCR8@a>{@BfIrLr)m7m6Gd|k;*=YEuc+T>40Vi8{%g^f4EVu%{#Nug`ZwwC zMK@BO1a|k|i@XH+*p%VEZvuRwD#BXi~fA-!xQ1u51tZGGUN$WK%3|NvQIMUh{2ukHU`FEznJL2nT3M>dp z3A;eawB5WYfg53MpY81kj$nG2b}i3c3NS*UkKp~M&L;3TZI4WW5WKyt?1D+zY@2b? zsAtKx$o&d64i>sR)LJpfo29IMfNBP)iOKJ1-6+J~n;wyi#V8 z{B)C4bSoi2+%JW3BHK)x14o%|ljY4VmEX>@#pV*4b4Pq}nDRn9fgn50t5V(%1oPWN zU3R_^Lf8FpiS+3R_j8^RIWQ+u2|HFQQo@hG!qjJ}<#Q3x6G!PyKNe!0|D3Wax{l&{ zMFKgI)DBf5a)=IvxrXn-)( zcL;=;R|@+j5?Gx=2+=aJ>T34!i^;PVU4wKe920^B5!kd#7&QgE4KomXoestfi+2H( zu=rWmBK`;&V!W?9Hug)VJ)(?xFSA~fnUi>(jN*FMa7ku<(hcHs%2y2R_!L7$(LV8w z?XR2Q9vY#$5;qFa^qbfnmt;<(@GWGM=ikDfza-OAa4XrhUhJ)I7d-3gS`rAJ)!E>Z zMolI0Y<*#Qc4@+Fbd<#*i?;p@vXgmJO7far$YZLe)6O{T?2dN4hdRDS$PR+2pWWRz z?DEt&9JrLRLP~(WBBj@fu9i=R=hd?#h2`d{rqjhdmNZ9E*NF|v@$b3v@$aH}?6s7V z!f9lgh;!?U1~&DEh6dJ2S!9=J&$9dYVeoVOLb&ZXwi49ToDK_wrec|pgasfjyHPpm z9l@LqTZ9hL5TI*7N`^JUw@Yzx5)Ue*mgE{2N$kWe?wr)*Hn}R7WIKahTTotM>yU1i zOr12J;|R%_2rG%}R6fUcpTAR@ayDI}*f=zFG_7Rwq@undDfKByJ}rccx2fM3vmbc} z*$p`*zoZvJHkeENJm+iboUeLD+L`{Z$Y^CJ$VZPB31KfL9 zroHz7tCkjRrWIlePVSYZrdqPC;)A7lH4PRRt9-D)FjWthb?o_qa$9&n;<1HrQf_^; zBAA{$Vvl^m0;VU0QcZyADdldYKI$Hc-rMMhT;$4Dry7a^Fg+#9W>FyK?gebm3pTJ| zN=a5#GFhDBtZ2f;C{uWe5 zdd_y-afv8Sb|k*M_{!p*LnRZ11OK}4F_E2Y5D!+GW%)8V5`xEh3IT_ZFhEEZ?o_USO) z({@1+u7juWO8QFQ4JL4J;dUyM4Ks~qPN7V%Akgf+$N@uy?Y_V<{etTuJH0D*@S->1 zH~EsB9B=wpWK8&^+F`XxDcn#4Ic@-MB!IBgc1*9qi#s>V6GP4|P@xqg0aw@18pKQJ zan@{*thX}j8b_(&Ha35aBgb$%u2?y2h#mdjT1S~-KU@Eoq-zahk`I*3C3u=QGV&_n;l(Xko&= zAUWYa{3rdO;5xj!RM<2o`W{+L=(j7R#QWvem=8x=b2h!4!JBhLH0J@)oCig79um!Y zn2l^)pW`@6&G4fc8#b<3L6N_5#wTdlBg!4BN7>oy93{2TUb=>TGXjyRrEt}{rhc0@ zH52MgY3dVbW&V@w*gA*B@EvyNnxq`xccZD_gPEC$c};l9v7{Uxa!DC{Zo~2(ETu32 z88CVIt%=?h^r*L#`wW}c?=UyO)FrP+JJ!})`d3h7I$k{CSyWl@W0FrfnL?g5LI6ba zb4W&%>b?Dr-0(5{PJV&<0Bdd>mHHo2W;6`A#l2zUI#LHOMe3nC+-{D>^s><4$v;7B zC~_1+Dg6|!$@y6%cUO1cT2Uq0yScB()pT`u{msrA`vPx!7`GUI5y`J@#j8MO`DG+A z&^_E8Z38BFDdTlbqLgr4x=qS?BLa+6pZlxWnyV$tuO$%*B8Go3opCFlD_S`o2dxr+ zM^*k_Ks)80$aqtTukxMp2Vo51Zk&|-M=(aV_y2^l$#03gP%xQqiXMsu)klepW?7crFWuIgEtC&4Zf1`f~rKEo- z>ND}5inaK@Ea(~kf|iptLu->yO2pO-zfNfWKsV4Rwdh0k>Y$^r;v=LfPlK61#yH|m z59o(LXJ;o~C6Z=ItYE!kroljOg5^vz;z=;=;EN-OR;x^pXCvz!(^e(OPlc6EGH}v3 z8&JEr$0&z~hVOJTchRdZW+s^60iK3!9qt9BQ*(j74Qra3F2AsQ!w9{0H41ha*=WS40WJ(ucZ13<B!vWn{M?vdpfof~Kcw}Lnz+8cEcbyTg85poE1;b(E&y{>A48kvym55@{MIv*;!j0 z*6=j68duzsr&Hy8%FKhOox7HW%|Q2M@r;s`K0|;NM!@e*wnxS+Q804N6%KbP5#DtX zN^`bYASS_;P8UtOB{Tfzydtqv$=)tCP0ofd9Wy4P%gM`0yxTT@Bfv^`iW zdN8lfK$H#k_4AIrO7=A7o+i)>+B-qa%)8xTu4@+~2Dze$&yewU059ov zEF?N*yj?QhGuiI#j{NZ1LcTD#yl|?Ka)uz~ES>=85*g>xD9$g*IL{F{bI&DO6_qXG z*26b@zEVY(x2c7&FN(hUh0 zAzL`*Vin>`WW<=4FD1lV1>yu~J}Gk>>3|4Ax0E@eLg*%hmmymUoI_k<>O4{c96}Nt zKv-ZzRR?#-C&K~6mEc0`@52d1BRm_vAIb((eeq(17sYroeOYXDCR`0f*>DC$(eN$! zWcYWrR+>;pr;2``i5N`RJ}sV%91dG5j8*{tnQKWa@cG4J8}!m zgrKW?XjShpB#8%7u({!u&y$WGcD!>_7C)Ayetl`>j)l&n3te&3GO`y-XH2U}f8?WI zzg?JDnVLABz25cqXqXOEh@C|#Tk$xMe>Bv|9i+0mA>try#lvE7km~#m$)mFM=v*uTuGvP`Q6p8oi^2XWv zUFl|{2eCTLh=Qpo1fEw#VsqY z1h^5TwC|7&#GS#_I3x32v5d_99`^=!!GW{HE8>_h@k(Yg#koIU#rmx8?h*kiRWt!C6Ka5Mhd;lTQ*Vi-C=E6G_)1@`NL;byL#mJ(U#v~q7920u#4EQ_R z8%OZ?twYL~DQ#YkH;S+2zFMbLIg6cnpL@EYifz5mU7Kwu;af2>124adzCPW-p1#k0 zn!(B94!Uhlmn28ErT~74)%YS)E)hG*H)7Ih?h?ys0FyJ? zw$fc&FTo$nJ;&4Mu-ymU(+V17!{6qp-o6qil6qi&j`a0OGv>1A54tN2^Vs_b-GvBS zVjgpsC3+#wu>10TY~GmLvcxYwO#$(5YEXD=Dr_qd>MtbL3O!&N^l~ZOuv{gB`0Z;4 z2G)#p509)x5F2j&NvVyLd&>yrmZ}%B!((n&Rtqg!w(Q`B<(3AVt6IeVI_92=02YOZ z+_MqDqWO@!&d|ZGI0RrPyYG;@%+e(mIzrWLCo{SMw?U?!g(tP#Q%cSj>yXsNL<|f- zn`gOXS|WfIOWCyh-Q|g25)7(8hjrW!^yji`?sq#4=d*9!@19oEEv?%`U3fho{6sA| zBy*RGwqA4*rlz6cDx0SlP;!aUNsx*jR&dx|p3y6mh(I8@SFx7E?rK9H+jiKUciIJl zq7|!GZQyrsvesx&0$uVtbk!ib78_LkBr3S=i1#W6*$ao=*{jzp*)Qtt@8KAdHqc6% zG)u;?T=PH=(T?D*a`VLql(OIqX#N4Vl77?u@|$AN8Gav zm#_mz+|I;H1;?grWv?D_+tR{{NUf}w?Aut{1MVurWo*s^?%5reQ$<@w#LOn8ULk+> zY+fs6ZjXGd-cG%px+4M@l+v#hAIsMctbsI{t`e**{WAO31MZT13&;itM)M8gJ{-XHt?X^>VRvD&Id(uXWpO# zq{72RIfy99wU0gipxa3vF0#GhDJ{H_eej^Wz;H9md&pgtd5ahvT&tk6DYvpSA9C9g zZxhU`y`5e5kh=yRtw$enm(=VRZc;pY>}%>8@%pfHcc;|9nFNlDULUb6{i`y_xr>gQ zRDF%5J`D2jVy8WfYDd|b54&e(V4mcy5KXk*!}dJvu5e<)#6aGG3(LKmdizI)SK~kZ zy%$nbR;;m08JJ5+z(kEMY4#W%F>u=_VdBIl30wA%+svwty3-7Y*_@;98P*46LR`Ce z_Y!f*4^bz;YOj$TN7;^}?%C#X!5Rpacp@4?UGWHe=BT?oACo0n!Woz^X$~X>F*r0J zIljdb#@(~CzYQJ6M;x`AM%;|Y*@AI*W%vms(9BG7-8%$7-;)5)piBL(T)oW+ix$(P zrns^T!+Xy317gt@Df4MvuJj)Y@sNdX>W@Tvg=0tmz=b2&_8VdEj=OWxo{dV8H1j!@ z`G|Xl;dwUa5qC)?{8UkaC&7i!it0*#QBLUDyc*!?FR{xXaZd}sEG?&IxUMJWD{adB zsoaI!9z^a;xT-XgSt`4zz@5SEFylu0`Z4YiGrzAN=%g~Zs$>}$+`b$SbB&Our(^OX z!#KBVmoi@!EwfBl_?rp`7L5$fpt9GP_ffZ%{8kDKR7^e2)<5c=Vt9kGN8Qs5zh;j= z>YiHp8zIigzm*j7i{OAFLrb1?uUN6Rw|i)0)95$cJIeZcdIzL}-&5_76bJ^LvBFM_ zy`{Gwhtl%?z-G_)8{ zdc=_7?2}UAi{h<-Z7pTW4yni(Pyb6a6>UuaE8)!^9GT8ly#)UhpFJ1;o&9var^)aS zR_68O8ve=Zyq6?-MsXkf8-h=lhCdMJk25|J)KU(-MlG4(kD}GT zRbtP0J*99#{n6_wHyBx}&vSY~9QJm-og?M#9c_5A9g!E~#p2DKz?So&2}0hEBjV$ z9O@kzCWj!(o8Vp|XS_-AE49jwB{LjLL_a^tL}hS7k>#nLu;N)UaqYLlmMF%D|dIFOrLRH6RdPAn5CAhigm zH^oX|2eyRzSFEPk4j7pOLr{)5wn+l5bYK2-wtthyVyI+~Zt_e?oFTer)=c*MO`e%% zxQyh?FkxM13=gf5@~hYx7kToG=Gkoig`S)$M{E|0Q^yfuK1+qwY~(^ufep?h>g@=_ zh-{qnL=KBew=S*ryxVo`UkS1QYx~eWRN_MldqDG_k{CMP44+Za@6~P zFw)?HA$d|mu{#JKjAVfWM(!j!*$|1$mb}7{q-+Tm_Oe?q_7oUaa`7_etzyq#?8!}B zEqc4GkG*rT$7;L)tx7F1XRJX(;lEYtaKm0UkTYZer?rPL$RR_`gXNzBUyQQpmvy1=L`C=einoYP#V(wy z^0J=ZwcT4r>S{)4ztAp~!5_m{x-RVD&8!@8jm%`$OFii@^&FRa%*IO%?8_H8s={!_ zAV1%>OW%gyeA|!j0%pJ?Bm9aSL)0OI$UA)BF9nBj&`e$yXK=XY%e|5sqzsVVP*poN zyQEY&V@NI7DcnyM+%LpZ7jj0EFg_UC@_1 zaO-;nl#pp;y_r3}6-xRR_WD-Obi=L86!z4Y-_E^WJ23C|(UXsOysoQBd%8;R5}$ZTOI}g%mFf(s;p>K> z9_L>6;Tm@yTei((HQd8Swt0#h?t_Sk2&(yGl%;zQf6mU<-W(hLryRFuB;^h$m!6OFCA zTOfDMlTwc&1I#e0TEa`YZ6lr{!=r5Nh{uxp4W(?gRP-3zJK`xce2a~ZKr27ao*nT_ zFL;6obHxx=@}yz(GS5r@doG3TSX{GXaV<+*TvwF)UE-!_(@+ly0T^2FJvM(ap3Z!V zEnQqUGyezF?CO|i&wQHQzPN5i?lXizBu6mJdX~M0qIu6zQ4#nt(v?!%^US)Wt`!gX z4lJoF$a_&?`|2JzCSRhNcda!2Www7w-89_Y0L~uwwQT)$?(A7Vi)M98?pK7GmHmfT ztQc5_V7z^MYzq4}u7nFm4l9t+!|(YwA*`y8;xc5f zG*SqAO>lr!0)%o(aDdeuqD9olVG%@GYecEe;WbLBwH%TTNVI_j!kj|u#m1Xp<+gR4 z7U+cigd>c7tE5D{pTcjcm@YN4^$WAl8+~!k!eS{4z6COEo9&^Y-rhyMaO1BK=3;pd zyXM>dWqIURz;eM}FD0*(EorzLSCPB1z_wc0v$*M1?IPQ`Pqv-mZ;+jhd27jKX)YxH zf`Vrtif52^)}MScBR8A@9|Nfs1y?2x=x|M6w>{*g$JOa{IN#48e}mXs&)O(f78P*& zT+!8WW>8ApgcTaMhT(Of=`MAP@=i3SuEdMv)TE1*9bnTXqPfPZOW9Cy!>rP+gc9>v zwUmuB;39x4-85`z!z4Om8#!XL*|Fk=+#H<9;hxpYB8@d)!PbALKPQPh8~EYV(UOJ| zmNus$H}Mx@H>T`WRyC)=>VAz3mqBUf>q6fN({OvlWkqYKb-g|Gq>o6dkSuSokvR={ zhF`Ip<}^5Se?ueK%?Eg71Y3T`j#HN3vwzNMm}PjAO=)PDmH$T?&z=}nVEYrhprN56 z<84CZ>E^D;8F+J=-PQmU@3My*8meZUpu`%vmL6;YLerSu6BCI2&lu-D!_I4k=gl)Z zx538JmN%5n|BFcIzMdvPd8kW;f8$UW&#v7(O#8c{BYK8i(rN!>>y|gv8{TJkFK;N$ z|CeAK#jdC6nmb@2YpxI2FPAqs4Ii=e6%Ez(l96a}PlOx+=}BIAx|AMgl<4l z8k=5<=0OGUjSH2O zJJq;qU;~z+*OQikEhLtt)1Zt|k(Ko55 z>-cU{w}V)if%n)*_adp7(xkMi2#!!lGTEtyb~wAoM_f*Rb9(yd>V~ZUJ@ftOB@M>W zl)1*E+Zs$sVN0AO&7UMmbF6c%hsutYSt`mZsw+Yj=T&T}D6!434cT_s7TUgTJI_{Q zyVmza6w$$EH}V|1Li`)jBqfe!Np<8>_PYFylnYnB8XS zE81nZ?TLG5wr$MCzg)IGFTGQ3+xGmyS=O!` znt*rxYS%{F!5ZsWt##*Rwq2Lo4ogCtlqkFpKTYj9h_ku zo5?e!M}AuWWxYqLTXxT+UEj7Hv|Gn!Tdm=Lmmm12ReEgqG21-}0N>NG>v1LR-{t$0 zkGyzbE|L$2zkXuCn(>(J*BS5k{Q92d>P)uZIEu!eLEGZLKK3J9pVauoU;iMj-jjRR zZ<;>d{+n$%NUQq;Py2(k=Z`y|vF-YiZR}Z_?YEAsf**!&JZ8G*X~tOu8Fn zd|1WsvnE)%&=MT@fNSw$2gi4#yez&6CwHXGo5cZv$bo>&TZG1r01|MKK+3#L)En}< zTA_lmM#kz!%DF?Vku_&7_R9wt?i8~Fo{CMt(nd=DDq$e)DJ6Uj-{!mUxNKOz|DHN3 zH^vt7O9^))pXuu|x%c2B^Iko*Smn_C5~cPaWgF8|dkD~+`(voZtA~fFjw9r604pB= z%}}Lwx0Hb8jgm6)1M$`07*%O z&5OhAPYQaAzN63!OWL)Ycr0X&&I5l}ILX9;KPmZpGS{$W`jk2aD@i=1i(^7zOf=Z8 z{((T9^E6Jx=}!gz5dGNOCs}?ZO9|HfJj;;1v+tr_DdAa=YWcD7TXc^^Qn9NA$N6(Y z2y9OHOkmGGFH7JtnJ%YAN_YX~GqC=l-cybmTK45U8Tv+u>c*IkWmQuQ<$`hwZJoI2T4&^G4|3 zjvz(LFkz#M*K(DxdhK6k$G+1)%b0gHyYt5M+=^?k8h{Kg3NDh*HQ*QkLS$gaOSawT z>}F3sl3`}YM(0gUyjI+#u4W0Xf*CtpY7*MogROWYI)bX=D{R5t z^U4kT#E}Q=Y;n<$OV`~*mUa$%=c)cIcJ%IfnP=eGHn;32-%7T-lzkf=RUo8vEtx`n zNT%CGtIT&$QV37Kb=l=p3|^`D&IoX)ILvVL>+{Yy$KV_9h@XFG!|F(Uk(Bvzr~^9r z;ERMkm>oHEV)8?eY{m_yfSl-+@`Kdx;#RL~L|jFupe2$iBzNlqA+dFh%)5Dht+C0# z3fHeMHI^=9w``tYlGrSgy)EoJo9EBRTMBVo=2v1Xx@yb(*@iZD?w0ws#CDOV@eFqL zmiY@z9pshqj#P|ZG(RngBG~yIb)hTHX6=8ObeLkagbYWoo3*kNAY;FK?<1lMZB9^PVm{yl%he$SWsS9xS(xRag(qppr}3v+j5c zGj825uX`1y1^@Rd`BMhp zGno<(G}4UUc+g@U%NJFxu3JU~c{sYI`z?{C6{1;1qONp7ZGjcU&KfJV5~i^d{!gSV z^JF%dQw4Oj0y{1ylHjICU5hstg^##Cu!uKG#$+>^Fr@C`-UeYY|m!zaAJ|^Vhlz&#glMHMLq;9 z@50-*(uJyu4(!5{qVT!~HXzW8as?O{Rg}w6SQOK|WWgr97;W;cF68BRp|C`j#N`r9 zB_cB&Q@m9nrlK@h$E`;dXFeTXuL49q`6%NUDgO z`q#_!W6HQe=!UGXP-uieTktFdHo2NInQ(Q4YY!%Jq~Az6&Nx#JvmoF0l}xxlLfdG` zw@@;br?S|hl9GH}B3V^ZGR-*mb~f0)*1|5o+&hIi)_98Mq#lrz zSEnwQ%wLrv*R8o1i5|wad=0=BY)@|-q_M{1irSpDFmd)>;mxTSl?bXhjA{@u^KPl5 zcW~_%fVktT#?6*ic}HpZ7aB%)cnhvKq?C`BA4+}A@c;kH=l@~@{;!G+_~*NQw*N|` zP#`YIS+#Pry)QLM4jB4>u|lWD$cE8r-FL{_5|>vwjkO)}HX|OzH1qfyml#TEjp!de z>@_A^zhuQ9Iy3lr!ph!|<)6Zq#rv|^vYr0?u+kR}N6>MuHQF~5d^>gNF5P#v?%S>V z_UOKA@XfBz@=q1y>^U!x&-VYUF^8QW@5^F$4EZl(&zU zV<8Sso;T1qMFaSg2EfWz>I!^3s4l>&SEe(lB4J)ezhj1{91*I=;xkuf#tE}Tp)8_1YeI;zY7ZdJRCT~2=+wc`iad6oJCM#Ass-Q7 z>>f9yt-X^zkNb1j*WG~}cH%~%siLVicI-r;TgV|qD37(j0S@dh1_z?@UbK8+DO=`O zm>K=t_I@yjwfG^;oR8PO(U`_we->m!%N~oscwZw+GMoG7fXq>(^3NQj!cPT~CHVPC z`O7SU8K20=6W;|r_8K8E82Lp_{4;~K<}}GeSqFm_NMfbm_9w9<3mC5CGqV&+z{5_I z0KvSE7jhku$Zq{O$UoKibTE$3`U5mF*@89$U!rmI1q^fRM{%aIGK%o_A=~@R!f8~& zEYVZ{wlw7!f^6^H=2=v#M&Cn+e%P489A%0IJ3*g^OVPV+ZB2Y0N@N;XIYWt5wx%89 z<=QqhoD;RKEFjDLk53Pzu#73tH@t^Vl;iW(??RGFEDkrc02+aU2hh*F<;K5tP;MJO)&==d1{Hd94mqwL29PCJO zsDS4;I~*~o4kvrzJU>@uIdMp5-p)X^5c3n;A$^=tC!JfCO;zNB&n^6O+4)ULEN#C( zg`Jqul)=uMs)&Y~b2;qzOwfMr@@78x%?yb-EVHz!j6HU}UuJ1F>yGq<0@blJkrjQV zDJ9NXjbKD?U_3=wZ3alk2uHA*TPaAYJ4q4{)!_k^K;;+4b4*We7i+x{OnCcUs6gts zlk$0@$xq>5B8yIl9HvZ{$?SY$rIL@cG9saz&zQV0?ZmsBZedZdw4Y#TM(vp7pMc{L zqs6D;4NZ@gc8z_Y`h)OO1 zu%VPPQElb)u_GJ(GuhS)F{Ybq142cZoxX{L^Mz&pJWbKPt&RC+*F>3VwkjjjIwi;H zcW6433>_6^lqU{(SY{r?Q)!WzgGPQ3tR!LODvmJKe-b7rJi=5Ti>aQL8P`Q-t;de} zfh?dkjCEvDo;c)*K?aZ(+4US*;FBnW-XLUwSY!b$GSVYC&Y;%5)=?&OO%N8hhG9l$ zknI`rUms~Dj8otW#V8;(^C?dp^5}4JkfxPI79uR99hQ2+kOw7wvB-SP+wHGRi_DQ& zsbCeCnGo`e-lZ9iwQqy%`1akHFfse)vUBfl%wXF_8)dr;otwtaySuT7oo*FU%7r2Z z)^eN3m#!*{zKqE?5$vte#!`0tc3~28rJl+L5BQ5!Rf74kFbiNHSCel77`J4D!p$ux;*T?T9_11Um8qd&)%;1G_HOZbl!R+{1$ILhu-DRhaX;w zg z>Tz+^U~Jh5U`CIVIsfXfjE;&}2s^kDY}2)20+`X`j=>cR@lc+UXa^WQ98$!r9<@G- za|P=nV*&Pho@&ky!jsF+*wvWJuKAT#;gI)~!@f!L%$^LTpRk;>vi&<7Wgl1cQ(4{7 zBTJ3k`<_TEwlw-oXRQt_cki1FPV&BtCTMkMoGTR4*(KEuY$xdL5_pPp_%?+=r}%Z@ z*MA)=7M0$Voxm{(pu3pW9Rg8>rA>L{&MehW9}~fpl~#-yaMA?s1ROj9y`!6*PMQGE zT`{}hS+pa{FAj^aDzY~N+aaWJ#m9WR>a^+AUhTIVuKVcTj;p#xvlrv4uG8%OxT@<3 zUr}_Ut~#LI3&4{0&Z>oldG9P6LCbU;;F=RM(dbBuqwBWN?iow5E0(D4!Cuo?&K$v07X`+4wmlV`=~@UstJ zhWb(DDTi|T*=xnBMUf1PlvK3_3V~W<@U#0DfF%)Ej==J1oe7Gin8UbJ=Z+t%9b4`i z!EWvY`ql!7bEFoLJ?aCeS7hkX!?};~kMZA|H`+1OQ z%^(-wZ1+{6d6QQmnBK5`155vMXi6$Y0LH6&_8O1h;bJH52BM~`L&-QT&i9=aJg(?B z-w0NH^NnBCH*NGLslK_gQhjq}rTXT&M)e(}^5H1J$s3~j))C;;5#ZDj;M5V|)Dhs+ z5#S^O!m1XybOg9`1h{krxO4;vbscShOGki9M}P|iEK{?vT1P;&j(}69>o`F31$!cX z!BnM}JwYKY5gOqK)b^sj6GHlQT^k&Fp6K!mo_<0v>zS@c=+L!EpRO7{1k?Q z6zLk#!*{*98}Y5_CZAv1t-hd+D*$L(+d`I)Z9-1lMXB6h;NQ7T4-HQmbQGt*%dNbSQgL7icvb%IjL_&~d<_27dg}U2J6oZPbOd}smY`x4p#mKNdKvidSc0ngpG-lu z(45lJ({(|$t_!MlU7#0Yeh5%)6;0eV#&qq=onQmf-wtyeey$4ovt z4(P{qosI)_Iu6w72&mH$P#4zqS)Gmpbvgp-bsVVI5m2uqpk7A+cR#7tTj6>g0rffp z>U9Kobp&{I1bB4>cy$DLwHydLygCBBIs&{p0(?3Gd^!SrIs$y!3LQR8eVG4!x(a=| z3S&$xU4=0gl&-}w7Lu9(n*aSe0{l7x_(DO|XQx~(=?M6w)f1opKh5$dgG4bH6njDct>efkZA6(v(fME3NBS1W5Tqwq$MQ%E6@nuy zmn|;A@#y@oHyZUU`%ev!i5sMv|6`2A&)1@;^G+v26E;Xa|LaYv7>ZBT=lU+uY09Y8 z8#Q`0^ZzM@=5th_)*BPx*UtYjU7)W}-zEBmi>}47>wT?>S68i@|Ml~?z6-vvaj%RD z{rW#zWgr|=;i*qbG?;ncM#W}NE? z(vK2-i(_2UIs&y_i247Nx?9&G=P86vFEcS5R(BcA|5`^PzV)hD$HJK9w@%UM`#6RG z6-W8}f68HZsy_ex1dI7!ClsH3Ij-yDPtQSl{{JKvasPn2z#Sxv%#1N!R*OB>O z)hGYe3Khj8*B71t^)39|ER`o0y-?~Dkvt5e^S^!=#t5atGNlDNy%8hzx(Z{um^WBG z|La?54{2-CYjxlFJQYv$nuIf5 zrE2s{i5Yl01p09iv+J!>wlSO5)M;u}Q>~l7s&&1n@04nt%@gCx)Tt`E(5$xn$+f9b zHTquGvK-!E-MENpkxm&`>%>yOspG5Bxf(;d7N3d$eHZET>%=t1s&qKZwJeDdBD9Fk zgiKT96q^VdIdnpB)hL|R+Fru9#*5*s)-u^yt@YpHLY5An@a^?0`z+3C?bvcwYqvX{ zZXH2x?cjF0bp*J{;~W(Wr<(}k=U~Ee{0gONj4_=6OvJ7zD&*G*z$uMt4JlBAr>sz4 zfliZt=JM(>&X)mtgc=Gz1%bLD5yKJPm{)TMGKUKEL*i5feQ^Y${x8C+)@doNd{4l^ zNCT*TBH&btUB?l<9@ol$ti5qkf+EX+&qzQF!TM37BRIwbBg@R^Uk2za(63eX-x?JK zj*FSAD&jTMvoz)#9AfJ#8mFlutwgnkRzU%Kq=!WZf9CTUx&6k{^R5FXeo&J~Y`Ju`2n>)T0=T@t4TozZsd2da z$h@`U!nMf3K~8JHVsNpM9t62Tl#)zNrrDy;)%Ah}O6(UjCBTSxWr~z4;a^nW!dha7`(?b~^9$*S#S`h2XdsxJ*zhkd z2fK5!=r6N5Hkm@meD3HlMprd^uLB*ZgnCI~?EPE|DK)!TqW5vW7`Q^XQgoo|Cy(u#exW&c6Pg7QN z{QV>pe`-Q>$Q(6#Rq>fA!zumpTr|iN!$%Llst?Y^CVl$WM~>@ro%J7fgAN&vgvAj3 zc_@>6QFpzXIyv)uxPGJDw@K1o&iS-qeH0PKbt_z)JfXy~i3IW?#;C&l#K!ee5HJMm z!3cU&xgZpc;=?gSKR;zq9~vS7hHLFs9@&S4AeNszsuYjwlY-9ErNb7Egsw=W*gq-e zm^@!dCEYs_2#CTDfk?NG2!gN|UI|t)T>g<24!`pA`GN#R6dBhz2pfdkHJUg<82z|? zPJ$uirZz@ycybw=|7Y9?$Lp;^3%!Ey0spmdLK#MdCMEJvvKeHaj54}+Jwdv*J5Q1+ z()$0jCf=tM&&#ZX!&Sj=x`^9V%qYH`mgdZSbqsfdpN9V}WlHhNKJq?>sz#3V}n zPeU2WQB)AQD2klR&LY*hrKEQ~wY8r;;w54{FM5|86XdHD1-g95Oe^m6)t809FN z+dWU7-c)6tn3fkFfid~q=>gtLI%2i*LYLk#JSS|?e^3Dz6U0zTHM{F|^}7Hei=~Vq z3{vtJ9YRSfAzyG5r3i8^Aru}70qjED1WuBdIP`t8SV|BfSfWCN{4vurl7tB1M|6Pz zLC^VIp_bINey(s!pPt$ohp@un_e6#AL<2N8`pjmuTR&nxGbf1W+?4;cHv_+r&`!Bn z=Ia7Oed=D^`(s>pKB*n@nL|LSdz1$&%4X*;ZiZUkUB5? zdqKO;8whnRY1bfu6DMd7or%_J^241Lw4d1;T-+XP3yoj3zV#c1L^{(O#Pf#j(ZnQx7nIP}zZS2&sY`%1N?6c4jECsjmR}G}V_(|Rx-gH<6U!O6 z;4bRju%UZZ@A%DITKf}RbPST?9qjGzL`vU4e-wcupfq$X9%z!2$3NWK`uEg$yVu`> zFs7|J&4H#4`nUv}pl$bhNY9TUx&v<{Julv<~n3 zE?*mEoY+_h^lplQ(*{Fm?XZB`SGRW$uZ}cLY&WP%7)nenKJ*=dyZjX*>v565W*4Q_^AzB;X z(1@gU4tHx3sfiJko$C7dMn@!xo`N8p!pSRkJ%kd$t6jV+qE$jEL2Dr5zTanG5L#@9 z{!t-DD(_JxHQEfMKzl^XsmC#G1W-y?pj4|B+5?CdYK*iT=+O0%6g8YT+PBEAse#i> zK)cV^?o?;z^oo?Quid3i;$$g>-0Boww~|t)PEjiA7`Tw0^wBU*sY=s8r4qC)va!Zb zTy@$}!6I*Gv%M{(;iFu+x>nxiP+RK+jV7pf!-`&w>Jq&~N$q``BjoT+9^OlGiiY2u zP+mvh&<3?0q_aj)6F}C|txe#aM+t%6mE9ZHY6?Nui$XrUe!GS@M%m=x4Mee+7DDFy zi=rbDLckj?!1m5yS9{aqV2ipK?+!VA!362NOXT#%a7$CGT1yFul~d2sCvtwsiJ_*J zmX4smOIhiT{QaNknR1ijmec>}holQ$M6mTxd*#aHjv-L!9R;kjvf{QiP zV1~rh$Ju5_HI%HYg0_6*Ea8B?ty9BZg?(-9>Xs=b+S=`lHM6=9D^!OR^oF{O!Y!n< zb$Hb&N)y`J!(7}|X|%V(X(N2zv(%g+8%{~;2zGY0b*NK?<`abY+gdb4Mj8yHO|7tG zI+c=;KS)%x&7>_<)Tmn*vNx$yRILly&s3*GTL)|l)G3PSEs6l;O$jHSwK4Phlbq$S(@9NNicJwRcF4kzm8k{xabuZC?l@=`4=tc$FIkY08 zKZtvL)R2iDs z`Qokcg%`!9@OCVMMeAJq6{k7gi$$C;S- zI<0o6SROh&ScSUe-?gsD>J*^?u!fZ3p?Xm;A}w@(NPsv~?46BmXMdIjuDBNEC_`Ehn^^}%s(gmHVXfo{$M!5 zKxd#Xy1Wm9nac7$=$ElX8y&(@US8HC;6Y?rkC!f#tTN3!#A?excTY#}hJlSkE4cj^ zS?as$yr^I9G*{54$*8o$MR(1}vTnmFPu1vZhf{$nS$W_z0`m6|@w8u5ns}Hn5QlfI zG(f2M33W&{jmB6FQcdUR6tGI@u}6NcbwcgIU{B zY_g-5^-2a?t`Vq8#b8f}gH?l^OiY*CBeHAM2=>!?W?8#MxrXfwo}g1xa5zW6s?1)X zhTX2JlGjLKqX=9=`o`{6_X<3V91$^%J^#zrsV4Chd}F(SKLde{Q7z9N0&%^3Cq)-837A@Fd&C9c^u0mu{d~RU5W! z*jyV6SuU|V66fa?DJeq(1H-eIuN$20b+vUa<{D9ACHv#^&XBBu#swYLz);_&-XZH$ zE6iW(w6>Kit2$TU4eEY5VVboIL9(pV;d@zJ>$8$IHOt!6k0Kj-E3J~%>8f^nYHI81 z9ad)ec3nZ(da-q;wF+-0&bD4E7n4i1;u-kvwI~#CG$rRqNm7yVDE*e^Nc*J4(nC^0 zi-|u3m?2G;a-@U&cY@D=oH^2FsYj}lN@SSGH{UQ_dKz&0bJbO z%NX@1Oy$-nR*kg$;j1iVk%gN4RoFEOh46ni@~3ibp&)Dgdsi(iGNI$CxkpLRfa*!t^( zSRp9(Zfu5{s8CK+o|3f^dh%QviJGO#$9*-#N)NA17G9!NExbHYQx``O&8#nqZ5epr^`*vvMvr|7%ch$DeGOl+)gcr`CB|Z4~LHi3?}cg|I0h zCqVqGgR)%IxYXhw$y6Vae6}uWVQ~d*ZBYsS2YjYt92?*9g4C#Yyi!40u*$1w*=-L( zCigrXOdxouDnuei`5!0v@!3rYnRO1rRqc#a!QSO*;6t_wN*!E-(Z-z4U{3Me&X!=1 zizKpu%}$3rPDPfzp=>M8^hZ!Cg?UZxDwo@ekm-jGHdf>3@#c7oSa<`WcDU5Cvbi{nM?Gvp z5=Ll^i#^9nVS3<0vota^Bc3Fn;gbU&o%_;)Cmo#CAx(R6yz>@3&NSZ@n4}(|`dp*| z!$+uGS!9IP^4gRUid6Lo<%uyP)UBOf2Xh$44X4^n4~ zisTXME{jc+%S1-#?rg{-O)W7a)LmEQ^2<}RbG*4M7ZS4>J5(Hluc}&+=wmqxt*+(k z`mmJaN!p15Yh?M4oQOFS;~V<+iOis_Sfgu&3(Dp6II5g9j@Y~R!h|?>abQx4)8nkF zfmP!s@U};qt!(hV=7bDhqX$Yu8FnvF5)C`B#v_jdcKkk|;raz2s-I>yw)ephf^7f7 z8($tn#7ubKP`;K|$%pOv7O;t`WGDW*Fh0@gsjqT#673H{_z%wv@d~|Fc=9FWX6a+7 zaNFKsLKaS_aa8`QAlYO@{c8_4TJtt6UtyiCBnGQ$6#9=LHXhvf^M3hve2}1BBK^%m&*1rjdsz;%H^I(swoPDtA5Q0xd5T zEVSnJVt2m6wsIxMS`(dnAI}wIE-zBDzN$`5(a6?CWx4Q!f*2p?EA=b>58oCu)CBSD z73!s>BH3UOa{zuL6bMEQ((YpLmJHGqXHAIniTCn;$0Dnl_*B7JSc}FfTw0$GB_%7@ zT0Txhmxiqi%Wd7UHH!YM^+Y?N-?^wPhj4QxTw6=54)P9tuOL{)_RnpJ&!{FsYwIJ* zg?(H`x*J+76HuHp;Bw5{ThG?t=P$5r7~T?FImaS28JD~DkA%1?%a37m@k?D1{RZcIYg#Hk|k)~h~yT5%AO=)#?Rd|!Ao^$Nj6tLy+0!X{lgQY(b zy;T84JFI_!I``>7LPjl5iq5EPcLQXdYRazjs|DBPg1?QucN@Kn1_8>8&>xBjE~n>= z*StlAYT>PSMueAV=zg!Mh!zyt3Wj=jM1a|z=~z9TXl4RXU(#94Z~a zp1in)ql@Wc&i(r6;9#l0fZ4O{-i3)N&U#-Ib@yX3Ycl~=)?-AetDa?~v=s0`km#%z z%EHMUKk-*&@e#oFQGJk0sh_O{oNI#28ZN%xs3FFloTAuLfGXs(?w|U(%uP(Xx4O#h zw>mvmmy;d-R>)d%!MZ_s@2J1LQO*#d)vz?}%)35>r&T%@rg;6UyNBd#u&-P-vZzqD z=<)(bEh70ar*O&OiwmG*Ugpm;I=yV!?;3N^6JD-J_41=2;`HOF6Hu+Dhd@gH%^cf*=yV=1Dn`|jgAGf%~d~l}&3gtTkhV1Kv z3x@2ZY^uc{6+zw`@BOl6YLk}5$fE8I53SoHOHf@_XflG)|PoybA?)Tzd5y$LBlku`4UaCuq$CJB*&B2sVR4WB*H4UhB zoLroO(G@9SuXS*BIn5c=4qigR8=wV8*J_z4pl({Ni|myW>K|%VOruom)%m9@n(UM%u zu8M&g+r<0QyvxJsEoHcYJNX(~;A99FK_pQGQS>slj-mAb(xRi`Bni=?yU@2MLYe8D zj82`v)Z|NFkL*sr|6Gxg2^$Af#N&KcPnnxW9jH{};Lg^fwigSpR;{7qXB&+)dTvI1(I-tqUpOFRZW1r_7Gw*4?J^u0sPyzMo7fig|R=t347IC;EaB zuNr*;-TiY#W?C7&P+2ipo(Gg6V@V5ROv*K^Zft$UILw}!%TcuX=ZfrhjBb8POH5~p znROw5teU7Y(+cdi0uxFBLC#5mj7iy4sEvw~@fnSUKCT&_pqha7rl2DOYOmXA;~8(5 z$_rNOpp^2$P>CxXGPNb(3#UzW&BJECsn`|;lwe^wy74v%99sQzMQ)?hS_xr6m&rAZ zouv~?B^YC2K2)^o;Hwm4fd7|RS(qthxol!(bq?oLdy?u9=SWC4jdvBPxdKciG?7Ln zp@;L(fZSc9EooSvXe)8X!`QsWV2%@ThKKv|23McjqS4{nnkpU<<0H>W5=eHv1MJA4 zfSr2xlsWSU6ng8EaMlP6|C-Vko=xLZM3>VGUse<}2IH>2AyM9#E9Obd;knatmx@FC z#Ydr$FW?Csr-fy>BTFGimJ~QCOBlo(MV7%P0{8F!6weufI_AibBxQ~%;!{OaL9Dtr zZSP;5(dY_Xa=B}fks&1Kf-((KxyQ^9e>mXJ$rMm~b^x}Q5GNkya%RWtx3VL-@wjNs z&EZZLd%e!Wm$bq%MZB#sBH90B>8unO2;Use8SeEuOFqG{X}rkcjHW5C;q!rwp4Ce* zMeZf!6PQcNF=?EH8gld!v}s?wYcDV6eeErr2?*;bADD1c$8O=ia##YE8MC=%C2HVJ zQ$}!)a84w>i?QPg;G_}W{5Qk>8%@)SO#w|XF!y8G>v^Z&mdQ zpCm&6Z)7YgMW$T`54P)ATa$5dDi&o35L$|PWC{lz4<*&Hb?1Lt5q zX%WtBWR_A?JX;M>uon1-qKM9%9f2gbRcc@Of6IZ%KFg9*^Nh;8iC({RtYrz6BHl9N zDPSyMax`roiMp4t=YZSodAXYXPO|5IXC-7LyS4##Xj{NeZDX=JkxNt_ppgIdiY$7o zLp(D>2-1NL@$7Vg@Dt7K8Sg2*Ph*m)_G1`rH`P1{kuJzE)qZEMkEtrBw9Z~%fs>?F zy}r@AHusj}U@E%T;r^u3HoMmp@uK@AbegZnQ<-WccbICcPZd+`PqHORohiT$<)`RO zF@x?-sp4(58sPuQGO4`jz$an!b(mOB&9G^_$l-|gVdnn% zihd)V1{fr5wi;frq&67q+exU7k1C+j(!Sv?{fix4yHF73iM2jhD$Kzi40kt;c5#Fk zzt!f210V8-ER1$HG1?b!T62nCjqo6NT4SVtqkY3?Qyl(SN-Rq?A)&sdcwlYY`ej(| zWOj_3u-qn8)})XVETyOh;~*ur+e9_xPEE)TwQM(%vcWVqMWkZl!~R$`F2_QItr`ff zLtwvEzi4&4%FgH|OG>ONOfR&JcAe!daZF1n8lrlcm+%-nI8w= z5o{_%*eOJ*7poRcG9p4WjkgSSNt90YkLR?rC#E?aD*MxrKGC*g*aaGcY*yLlE;dTc zG0Mieh=Vzh>=^*qY^5~6L$q@zuea1^Wk9k_^WWhSD3(W>5Mn7(#HWg;BL}N{`*=jn ztM@K*M2*yJrX`N`%i|KmOv|{Xw3IgY59g1SY##G!2@ z;T$2F$A-pu-RH*W7E{aX{uOE64!3Qyxsmxo`t2iSZg{gz)7e6AnX~43sNuD65859H zw`%0IIJIva+>+3EgljWCslaNiXA&_iPb$QO<#9k8-9C>RNV5?$>4@2J;2CItK*fMs zW(P@OcCco~s&`JK{!5WgS8wH9C3NU1BN|*~2@hqA9 zk0lc<$6&?ao5(xXmdr-XEmleG7_4;iVo525T-D`{(T7SZ9Gk{lwkbs#m4uF;iTTW= zxd33>t(3=Sws8AgKzUM41Cm4Uj^T@sX(a1C;26FL3Vq^^W)1OT`O4muBr(gIB0eda zI&_T=I4{X!A(`D`dCY#Y5AY{MW7vTbw>tDOorVRHx3$?{`h~9ELWzOu@Gt62;n87jq`?_Z8jlV(|F5#r${40 zCX!H1B{o-Dc6_jmHj&J3$I+P@#=~yMLtz?rwv4CnwDD{iPlcj1ekjIMVGlJ9#dr$; zyN1PcGqGf8Zzx_h2w|@HmUj#ifoV6n(71Du=v?6RG2CAA06X+6V5jB6qNYu~@GO|N zKa`6tf=LC(DOp!3;!{Oa+Sk=RY0B?aZ5r*9u1IY+!>HYMGaPo>c!oMM3JG&e7v;o?f1=V>qvxt3&r`%2v6sS=1xG#KvrbWZKXLzHI z349+@VD`z;>1 z#`2(q-LY7}R8|-6fLJR@JSCU->cU(@xf8JoOF=dJ?6Y8zV9JH4x%7p@F?Kt}Fh?3A z37fBeL)DQ$C=L@0UYEz%@#OO_|AP6YzyeI8iCjuTzz> zwHN14cpH$LevOB*b9F^UMmO_Bv=@gEtwmhP3Ke*&^LbZK%S@~*J90v&c0>mc#2H1k zS(Ra&`BKL*5;MiT84VPOp>FnG6Y4>$zKOPIX)IYwbX)$YHL8Y0WU8;+2A6>~SYq37 ztm^vYy-|`G-M!C^;Zsz@&;Z+QJyS^P<$dnNaNFfhM}EsF z5RKS=@MS zXiQa60x!`UOPM(5bA4EGc4V{Ze69~Ca;z>bor_gwSBrga=a`#!y>V4b6>mhBl+l#x zaDUl%442JrT2>uClXm|tk|A%6wcO!z{NY;e@cEl}`>1fAW*$H7u8ZgNLJU5Njm^27 z8$Y+%MOQR1eNQo&1d1&Jr3Qg=Aus?~PDL{TNt_fdZE(1#(g33oVqrun8$Q--T}1-R zu_?>vmsWVX&*RvY<>SOc6T7kH14_}P7dBS)%5|}+$AMl)ZJ;z)u<+dESbs4ei<3jY zk^%?Ba7?0v_iUqMEi5hJBV#4JJH>~^&XN)rwvphAjkShd2npu`bRb@n-DsY<>@E1% zJ?VGh_+v5eUGw2|ia+YYzhd4y<-=+toZ^=HaJYhBeO}(ZUV<4=J^|zN6&C^ox1goG ztr=~i5PV??4A!OA5o6Y+`By~c6Dr%%d96pZ<(oNh6xktwFX9jYi%50>{CWx~ z=a0IuuSjX6I8caPY$ZV8?$%cfQqX4D<>!sBxCf&U$H=fz-p>pFz7k(yak(qckD-Dp zt8$lZ0$$3BhEAw#N%yuMk;Ov*9Kv=~mSVSSpgG$`g%c|C8kKveS#zh)m8<~Yo|O_D zFt8PFNyw2E%naMJf<5r|tYFTSv*L02q$ZS>F{xsotC)8f`7oD?la2m@5UP&6qZCWI z8mXMY*?}P^4VqBdwK2uXU6Hp8J7#T_u?(jQZ1Q67xLx&_*0jr87Iw&64pI=%ZK%Fn zwj-k*alM9d!4w*{dg9#>(Ce~&%i2`mZ&P}fRMT?VWsH-Se#tQQQzL^YO|KL*rlJ7i zT2ao~4|usvmJ}mKUS;H9S z>ZqXQh1gVz*6eQ-#v$2`0DGb;eh9TCA{Afia#(iPqG~I#@M&aM0Cse;4Z&t`QBT-3 zo~mJjtLZ1eG!p!OQDD5QHy`9~9R2_AB)3$pu!xUwn5w@KccIVc^7&mpQ4|LK*k6v! z`a~5N?W2ps$XE2%=);~#c#Y)dAgaL_Tg)#?iM1SoDoR~AZlekxW6hF)t0d?u5zR!g zKQ7ERQ|S$3JZ!Bj^%%v8U4v}FPM2|qe5uItDa+ciJ-^ps#b0}qvWolmlB_LtxZ#dK4Q@aR8jM+_4<0n-og#VIBX)G>_rF3+YM<-8_Jzy$#_$>n4RV zx(OPe+hvbdKqxJAn`ePG9j|mTPPX6}o|%ABR_G4W{Hfvo49v%g0{#oloi%rXP$)0N z#I1BWH0(Vb$bm2&43z{6-2s5;jSGc)dX`72DqM(-AWE=}CxmHwr((Z?VM|YmLGFkF zE5LyqW`(Uib}gV~DJ_h_CfsYH**)>bXXEV(K;JEVSO>o^td{&G>j1lCEFIK-t#r`Y zsRJAYRXIHK(zw#28rWD`z@Wg#XOfuD$wk5^J=YlhuwyC+kFhjojFw-jl+hKZ^H`HE z_D}`5SRO@T(?O-jF4zdkf9$Oa7{S7zsp%ze1_}m+1NgWzXebQy{cU-}YOgHA0T}Ax znMH+Do!FJ2a2|d)Acm(KdM^VTNB*!;q(m!j*)MOR|8#G`c8jFPMnwrHsA zP97HRD<$7X+8IW;sQDwqm8aX?WeBA}a|O!u^Q3|JY9r*F;_U zJVDQYW|3i#j9ygnuKQIP>~2VA;7F12_6QT_&ZE)ZJ-BUIrExB25!)rKl3#LAl~_LR zj1)R3Rw*(Jxh;vaKEuxXltqSfrWV#IgVaEakWplOwlwiAjn1EoOb(xjIi$V4M|95? z!LYVuv??i``8^|6>EvWueie}esMAAxW6{$^{i-KPG_cYTOC^8w)$gjhWptJI8NA0- zco~KRv9$7YRkia}B2{EKt!ia$?uRLgtSnKfMcPsOqhh1-4Jy(VlqKr4AZ8s_Ay|hR29jg!+`?s%8cQJS!&qE5HuR3FgkFP zD%^ZenVh0zLnB#dC z&UJGny)XQOO6+uTu60J)06~}K4F%v9CH{sOy$3G3O@SURj6_^ml~{dYW#8Tv^?1ZP z{;rrdYu4P$TxWQS6vg`XQ`hV^neZ!peb$fm8M?lo&A3%AZfi^Fy?8}4A%j5U~5~D0Y&V7ArCO#?rBwKT%9t zx#|FWC0i}$Dzcwmct1F}rPnXfwBb?=s`gK*Xlcb9MoeApXcuZFEP&&zkslviaCd0< zy2V6yQ-oqhkST2kjB38eNaxN8p%$5nv1%S7_|m-CU|My3bZGnOaKXN)Xd&B~a5;T= zebn8o#zh@(i1y272Z9X?XBU+ehuqSG03mim)Q?+Z@YHxibY_O6rkyuLb141B=sk2+ zMr<5BAy(!v_S}Xx@)ADFu8ct`v7dV^T%cAM@$~lp)>y8G}Y2`AQ%6ZG8`SkKKka`HO z>y{(gW#e%_P4V(*K2G#yM(GMB>@!VPc7aKyAOnAIE(c<6`a7-zk3Sx`mM zIa*~8A^hhRAUtse2xqQ}X3#EvBK~3TJ2dI$XnXo?MKqUs{6*2t!zwSk5fI9B-CyF0 z%$AiPbKOd$+x>gAD?Ph1+KW1^f`H#~oY1OVg>T})tMDq>^r~$3yCJM7{L0ve7;JKG zNkdnIR^DnO33a(KUdUR`qSbFgcP~OgM^}UI8OyMa?7A6xX@0XUeIFXeugr~Ze>yl= ziF|Z%L@~@1InHZ}$?qV`?u%DuxXe+-8rM@fCHzYJNM;|H0v2Xrvq6^90Tv z2;NP%n)J@TJK7dE)Isjuj10EYUQj?A>X9j`*KVm%7b zM9!ewZ-=h6XHf$Z$WI!`UFgK^W{xWEfGksAf`dvFM`!AKtC^XaTcPj-y_0u9+}G~_ zH?vGSQ@ag{c^8VVcOc)s0YoobZx-NHcS2#6drWn1xZR=l(4A0r&pS=ZK<-SF@Vagj zi0rx3k*hBm_sTmWz38jss6kRAGd99rFz(rSP#Rsk5oq%^f@GrNZZpva-T}GHjJ2Y` zCN!bEP0)vHGZKv71kQJX+Nw>8T0iLl>) zyazn4xW{DsxP>?UUeFV(HvC?+TGzcm+4N?hb2BVii>+UIFO1EuTNDU~@s#*0ij2Zh&4K~JobFhJV2t{)!6q2kk8_MM{DM(EwyFsR z<|v9|z$g}scoGV8fp?0DWMhe@@s%WrL^zCXm3oCPGHSpbPY%9!}x#pGzLklYH9Q0iX3u3 z6m6MfVethLf>rFM2@j#@x0ExZV)zE-w>KY(X17+Q5~{6N3lboZo@c*$fqmO68a^uA zid1WU3U+FPbqr=ZCQzf2xe9_9^jbcmac~t*wN{#KAu`gv<~(Aue? zPR)wTDE@HNlfYuf_JRekEq6W~Eun8Vu>MLs^y9;JY6(0am2bnqfbrUUFrmcqjf&Td zajiQN%SLUf}>|irb?@=xR5{SMGNMne^D!3f0X% zxgD+Iw(Y3$GQc>+Pi2pr4Pwv^D5u>Hqd}zMg5(>j2GV{#klox<>Zj*-M7{L*eIaAG zacifMifGMFH2*VqA{qPPqZ@X@%+KIgcFjdk?L;HKZ6}(k_{RHoC#+Y=E*MF6&PIbC ziDpyVM@-~Nj{t8Nzn;t)nL+Cx0rKb1K|a+&%V=pkGfyuMs#ferJc=6kN+%#?zEIJU z8XrZPNsofgru|^gTU6-jM;+2=&I} z4q~sqjQ!;xmQNA>+0l1o3mX0jO6!Whfi}FBcBHFcgxnARjZGY*9OIuUugb7g6tQF% z;MciE=F8|&cs{-hJX;sQ#mxR!V8_1N1;#r*4%q2`_ZpxH`ejcj`rT;P>+pB>&nJ*# z>l5Gvmu%_u)j!b{zVkYZ3dS@$Cu9uWUhZoY{{v4V{f$o|0aVsK4bE41kUji;Xld+T zbbKe@#?Wy8>wv%24@Ujur-9Bi`zd6Ry&uwxPXTYuP;5_2uzQc8!tTJs=16hu83sG# zGK21Y8nUcC1HAxvwxo~nYL4zLJ@W16-?JxDOOrqLK|c;ncB8KEKoa4P>~>!F4&oE+ z4?JVA#~xtm0(jg`-3A*1tbZ2yz4BRB5T4kPmzCdl4?6N&T4?5XL?D(?oSPO`0uTQ?lDhtG3cOf{8Xx*QlCI)7$!!VmTRfZIDis+s zX!1Xx(P95ElU(`_aC`M1NV1LNgd2bUs;`dw$_*L+{4y9#d>I%!UPgi;LtsQsZieCc z@?~h|pA3+sCwD}LqB;e55W4Oa{9O5pnda|bBZn|n>C}1;^!fz@kfsZb8DBj>73H9B z3`OqR19n#q1rt%^{ypG%Lm}Gv#HY}XTfT}{Nh(>}GRzS2->-t?-}q-`2t$!huVJ9{ z72`@v`eHa5vsoHFXyR*%e_mQSWwnc)P1lYvm=Aaz%%2{?<*^ru>mtE)s!D^_*j*#t z@jC4AVs4}fTHE=R^AB3q$12Xa!yn0~BYxjC<%vK>^L3|>$fe(PG|9#P1okBUdE}o^ z1o4yErt)Y%zly;9!Z0%X9)k#_Z$OhK_bl2UgGAjTurzi1qCJ|qOZgkxOH-qWfu*&+ z#fRh?Huj;t$kDRBAdDRKq#oU1-{+o$b@^p42%GuHr!zrLQSex8q!YF2i8Vt0n*VJd zD15{}LZs5pmi-*4i^8GT2y*=8cX|+2eRm?e<4ovcbuOrZsfwpHw`bU z%Am$KQH1Be2`a2DTGB37$i06SeNkB1ndaR*vL!y!GpO%dDD&oT0qxwkP)&#OlNiyP zxsRI1uL*JQdkfSpi%Lfe(SyzV9$$&wiU3I9jr=!WrI3_gLvCl;FiHRYH^wujUCO30 z=iqaMGb>$H;a-&0l()ff^4>r%TF*~Ha>HKqSBv+;b_+?ItGyR(eZ)Jk5#8n)Ms4Fe zDEuYwu<-EjP4Dy5ll+tUE@a%e4-|~VCi_n4AqpOi_MzGPp`K0e0(bX85P9!i)WxgQ zVx6hOd&q^veYxLYPNt3OL|aZ66{hw3NczQl_(3O^PkRr5mpPcpqI2H|5dkb`s0dM_ zJZDL1to_;`BRUv&5Uu&ce}S8PrLH)LGMIA^=^#cv)xN2+(M8&FGas77Qf|8k@v%Pd z19Z$)AK>-yZ^%XATLF~7!9WW-^Z|tSSu zZ>d131v=5 z1@p-LACQ!>)cYq;AWxk@dNJA^zd~`J$^60*k1`B9RhD-kTRqA_W_9@6+a|&QHL-;r}Mv0QA z1Hh!rkI-k%W7+Tc6oiqfE;Q(CB$7;B{WSoS`PIx+XBw)TT6}h1Fi4*ikL1x(Pa5(K zOENj$l13aw3+;InWhGU89|LyaN7aPyP~x9|!*y|MMGN}sD0+rBj)G+O&rm0Ld(sXr zj)%X6^vl0xlA^y7RncUTf#Ku61H9}zrfhUhooL>7(3Hut8&!X9wsyun#JI2m8C3c` z(DJ^=D|;`eo?oCX|N1#gtC|_s1BTKImsj?r9S6WE?+55$(-&x8m~?DGb^KcN1E+o$ zndoo~0BIC={(wet@(0kq`WT1_w9SvvaSD3%kAUv~5zxtBn$RzPjILJDTYmx+;AilW%pE$3%sqP& z=|#pJ*J1o$@n5K8#3|HX>CblNqZ;fu1;roxFQ^Rt1!@0zic_$Y;46PYBRTIE01khH z6n*k*2GWvKfn3zn-+qZ2t{CgSMN=@;609$d_K)_$YN(oe7Rk?R~huR@5H*UeF6(IV3oi2tC(unu{6Y- zkrvD6F1QP||G_X&=u4n1yeYPCq+v5buVz5o_5)|;Z3sW1SuBsv;yB?wuUSm-MyF*h ziZn0AVU8}%V@1{|B#RdO+vs7ce}ck_euBbe9rA`2J ziq}0K^>Q9P%eFt9{a1BBD^vqRzkZoV)KmG6TvoA^lq#LjcXC>MN`kk zLJ75qy{Mqwehmzy<_-9)e7Yr|cOAxJ)i2i5&{l}UL|hhK)hgyv(C0Z$QvA+OTi!y7 z5v`G9iEC^fnA~rW!1&K%ZFep17;A12eDBSwc2w0O*qg3y5iF*c_-ArfteCcB#TKX}Z?_B{ zRZj!6W7Xto9gNb4*)eP|$ca_aRXKQifq&{hLr(H?V}0mRewxTneFlL0rrcOxdi3?m zELzz%*q2^v8}u_uHqGlC>#a~GwF6*vyWrX6%Zq)hxP8_>SV%|O2eWBK7m)uK|LpD% zET?N;1Nr=p!Qo1Ii#rB~Qm<~Y(F!m$GuWRNW(G?sGe1^Jk47QEjQrT?v@t)1sn;w3 zL{G!JBhJ+cug`V|V0))v8NGR`Dwo=22O;>FP$#;tN9>TuvMZVstS~e-a7?HTZO;u} ztfYJ@iUJ$b8Ab4-3k9~VbI?mC`Nx}wpVtZ?LRN18>iK7Q7sM^?5;SUHtFFNi?d%g9 zNp1VaA~dCMEJ{X4Cx<>)3ENrI34dF3(<(vV-@t~S;*W2 zr=wbj4hFNFO-6I&LJI;tz(&nDQN*X-?PY(}>h1Ly>oiZeTy|N8G;|ijB9}9M( z$%A48XzHj?7TrGx)%NCJ5cfI9g%*SOhXui2^wxo@Eb7r4PiyudZc^`{i#{G4^U$OB zM?2AB26XHb^vFCl?J7jYT+Of7K3ds{&ME@Y?7nz?un2PU{3kusH`tF3_chhPQ}^`B znZQGJ=|rvEs5l~8wL3OZ$^57OOnw0B!gKvmyx;amVO>{?%3pmtrVK>VlOB{OT2mI4 zdGR#Y8@p7c74kdIKnYFl5Atze%(V-oGSVn^TTOean-0Uj(I4xtxF7Y$`YG;3#dw-p zi~!H7)9)0d@y z@>D#1SO_YZhA*XsFF;STilCFtMZvK&u^cQhiC#vb!V2`i<>28x3$N0YZ#oME{xu-f ziLP=Z#UpMI@Rj1Vtp~3oJb3LBL9(xgAlY7ieY697^DRCb$sReIDSHt&Vkkd-0x@nK z3V^8XBYv7Xxw;dbJ}mYa9UI0ue+ekZ{lU}e%Hb@K574WN!RXQAVCk;95wY4d+8&6l zqb>OGej*X)Kgb{}dTuSpIcxo7e zr{DPLt05@d&QV#v+X7~&QT1zyLBSn0Z9c-VjkS}`o>x*Hwx=2$Ez zEkpz2v9Yuwj^?(Xe>#l>O7juHdU|FgvaoFgl2um5%F)t8WkH)U&oNGRilyinhM1Asz;nJj;HjW`d)sO-Z7Dtht#I)K*e0nQM<*af(L_*@ zUhl6HQMH?2jM}h&A_!#9fJN>y2~T41&z}USZxR~A{TwGxCnupN6zG|g(b7X#A?>`$ zh?BHC_-PCGwqH#KBcWVzzS;WGg4@!(vFOc&!1l2)IFjrn$4Rm?&q1ao*`X;Q_0orE zQau~M2<>dzB{7g!w6 zWTh1=mLE+=_X(C~QD{1MnlsR80*dK@$>_F4BR|dn3RId+!)F2tQ09C{wdNvl8}}!(cfS2kX77BMpCrZU7lR6(Mo>NfK*Q%!!>rgMOTIR==>m{K zH;WcN8{`+vhSHwmpJsC$hIjoO5Pf4#Y^bV7gXUs&C~F#eY*EDAX{PyphM&N)6HS?i zF^*(w>pV=5LENGIlTGpY=#>Oz*z}-}yO%8bY(5Nw7+OjD)dCcm3_9u- zqTi8Z_b)^}|F{iCProe0U;^2~)6C##n*68WezEPlYA+7{IZerSY!(__!EDqkDXD#z z#RBBIJXWJj`pU~ua3aEzIWUjB)=E9EfF|TAb1u5b5p%gAa*uT43Lx+0*Nd-=b-mXO zyHhj|*=nAFRLkZCpR_6VnLl&$;L`f#&rqFY=Gc6$VJz-n7b8vte}kW-XL@Dq}FZVC)B!5v|=IGwS~c36_0@fFkV@_2;zxyo?QfUAe3h}8Us{n(-3S+UpAtG zh^`J^q8b^-T$h@q_1qE^g|KY36pcq%#`y^><4S$YFAJV;W7+<4G+JR<%}>H|#pTeP zWTx<1uA)5P=ygT#3`P05YoTzV?7A-Ytc}!f*CFRZ>iCsPFIjZ`U!XM^#q9Zu(ohz) z*AREMhA}HB&#@6WicmhrPf`!cmg5Pdm@GQ;S`>vmExs0lOMQ9fTDCMi;y!nUnXL`{BvLKA&V-(^ z5{yLp8T=$X?&l}T{%8CoDb84hr!*}%MGXMoJZCwL^w4&o{@G&˼>)bk!{YV04s4 z&&d;ZDA4cxbmm$NgGE8>*T!D8sjqMu%0zO%XI*T!4e$U#1s{@Wfri`)9Tu!Wu0*sS zZ;f52l5JRz{E1pV%7Ly87Un;B_|XYi~iZ zNkJdmgf{o_Bj{-l--0$G@-=Qo90;7nX*48SQP_|zi0es+ zdu|Kj9--i;s^WCG2Y^$z0wmKfTc zy-kttM9a3J2GlR-5z$@H=8n6-?YFy_)b`joYO^DDiH*Rf9VUU}J5XmN81PJ47fS?oS@8ej`X!k&{ zE7k3VR(TIodk-8ItNkL_uurc&j*;`l!?Bq|HaPwGK(HO={3rYkx(z;v-8*nAy7h0+ z=ZAaDJy7pH2ow(u*#(r|5243AYgep2-MR~XolLg~ohKdwlArRQKsM910;$^*v37Ln z6F`zJu!bZdQxa^3{Rz0{t{IOnVnMK<=1Cg_2h$AcJ>|6C0WmiT;Z{NV{K?pG+s3Uw zJr!$*mD_@C!9t6Nzu2UNmAXAoA(L0WVJL}4J`Mb0O52Vh0G43~@&05r)zfVHMRYhl zb~gX-%0PSK9mXyFxIP?io2dP(n4hwAO;2GWq&tp9)E9-ZEuQ^4!EHxeoeq#KSiD^< zyQ3Q?Iua=LlyzM&R|*%9G8-gH1=#3BX&7$-Qf`BcqpSjGd9y%ps4+pUf==Csf!f0_ z26NJJw0R(Hek+#K4qj(06k4hW`!vI`FKf1p&U`zTk?9&eZQMF+vO%=a*dgGhaWmgW}c@pw&o=i z-3IsPDHQ07b)q90~U8slA3XFLJpDyDn{5Ftg!;S<_tOXy@yd**)OtHIc5unGPQ8q*`E)pa@hH zow;D)B9+&MQK7CPQB}i2OCH6pSZWhxPONAl7~yFbHC!^wL}GzT$bCa)P9`=gD!Rc1 z(;66xBduy2MNn-f)KYl%IGj6g?%AwyLsUxBK4J575x&Q1-)Vf)#$8|GX{NAhCb zU^Xx>ZrCHPU~S=^#5^fXONwK;yc_?sSWW>BoSN}`+#kn^BUNVBSw8G<6f`bgG(Y27 z`6g(%G#!nw4^Cz!@G7d}HF8eLP7f6ox&|U=*dTaLDnzC6-fpDt&fj~sEGn8z)ngFEj&6f&MqRO!H+g< z03WAwpz*;`lQE;wfdf^!1vuDbVZ|b27)dcSWGkm7uf=jY;5?6oh8>uz*Tt||(2FLD z5@-XL6auWRqs^~j1qFLgr35=*^Q8eOI=6PTYD=yQW9j(|r^=zT2+pD4`svvX^IV0) zT=fzurPa<|Y!m{H3Q^s7RIJR~gDtx)m^N=#11y%2{<4{iW*7vFMu0QcCdb;*0mX63 z?ZNbdsdH!JjER-9e^b7!w2s9_S%EEE2I_cv5bKN+W9hwJ?1$hAS8(Q{YKS|#VIj_o zO}l9Bf@!XK4cM?bbIx>{e}WA+ymOc$)CbO?to<ExVPmt0qD+MH<%8fLlTb8yY% z!fCGPtcK}Q2RdLMtC<9yf?+oM2U};jg0)p)qaTFFiv&{KLTcF>U0Qrhpei0RiV==< z5{P3J;*xo>)@@y5Yr>JyT;s!1%R9h_O9C@ykwj;XLTl-YjgFiLRJH(Z+;H|HxYbfk zX@9?9hU(7XeMbR`s%vX%Eh4Quxh^=94>59OIdk63%csp6K&#sabKAj%laU~VQ^(Rp z^bfYADcr}6{S=!!cfTLZXl*SwbN^Y9Gc@xQ$6r_*}A} zvlz6Dd<*TUeUch4wM@H zk0285oDWyx>~f=gMn$_kIJYRO!>|j7De-w>DTXo^!gZ+W69u0ag}8ym?c?-EZiu_q zO%1iA9_NP&nRdWBU`nG_!>7QIDL7@zr~GKulu#aH;6SpR`9|tDZVD^zcQk}N)a+(# zvEf6##~aZ8H{XPlx!BIk{*l;?kn+BPS^z&aFDxI~4P4u2;VO7Z5RW z0D(G<9*ZgjIIc*BXEQmWy?PnEbg;0$ObxZ>v~bxA!g5xd)6VxoV+`Lvr=n#eJ~%j^ z80ag~7&$t;&2lvU*tv<#ucGjT_bvFBwG#S}(7a7eB{CD5v63oO=)-wmGgTvomWgDB zn?f@+Gd0t)|1X?~DfEk@b6_AV7;QT4-7(T}GMisPPKX=)*cqG26{An%+KfgxMmO21 zT$=s`C7GA6I!`1kMKYV)JR3h-tR(ao;(G`mO88?z%a9PGQy!KkxUo_j`A|4VODcF_ z!|fYZs`5lC+i5D!o{@-;`{IIB_L*j-!q`=Kv0=68(?}(;k_vuLpvp#*8G9^b5gEZL zqTz!>Nf;^wVuBY}79_%lgDs6z78NU;B84HFE->PiG^}TrOL@lU#D;(z&8d8<#4Pc+ znlMeL*Bv8~5i9A?Qjjkz9aj;g6HizP8I5#-d5!aC@tu`$mRT6EXN)U|>9xR_%#4*( zxJt>^1TX?deT>xC2V#*V)tj-Bs;m&VjjCEzhT4HquazvpOB7-xlT-MAFXdb)9op3O0<8(tRSXEk0EA5%1XOg$~F zi{xav;Tg;l1L9$ng(F2d91ZIff#mFc#LX_)s9{AcJO57$7lEwH$s?r=A932 z#m#Wu%usk4L;d9Zqx)e|9K3`TT#=y?qt)1v*eSQgO3<7Ir5LHJXx;vPO@T%lCJHB+ zKB-1o_l2-&6WTA}Ik<5PW-gjmbRJ*n>xP3edo{rEwzST0)L&N+&S*5m5c|^v&#By0 zU`K|%qTSSJwk&%M^)jMmHCTh}+TQeg&O)<#+4HEVSNXkXzvm8-)twaVCe()IWF{(b z+-zX5f`a@10nmsx6-+%|z#(i_ZhjNx2H5)xj=EyZleG>FVE5WkI$tHuRo1IqeVA_e z+;EA8>Y$L9J{yL2BJ9)V+tgDb!{=@YzO!Yt$LgU2ha#>l4?FIJG2kn+;W`cN>CB^W zbHbO#)0Ce=>FwOMi{z;19Z?rMKH*5_%;uZ+aRTPt%gI?>hPdIw%rrYR;tu$~;**Y< z_-k9Jse|xR#_B||V*~02j15_x1<8cu1{62^{F?QewgWeZ1wkZdRQgfKiNRd{$X>}A z6;^OkW@U889ux*=w4J*YZm5ln(%d_d|3oI?Iv8E8(54l4!XrwGOe)g2lEUo@n|&AU zD6cBOCd!d0ol@}@#3Ls(!;MA;`z2)%O@#AO=H^jLC~E5bz+vcW$%7+XXS&N0d6(kY z`EHl)JR6#1Cr6q6KH7cC=nrM zHFH3@nd6@{;3k|zSh?B23p;AZu`?1Zr&U*M3>#V|u|qDzIff4KhlvDd&?vXPo z)AApxdaDX+AEMbXggow6!N{uCLCJC>7~;5lwTG+3FvQ+ZQhQW`q)GZf|?6Ory*2FR-4u^cJ6 zlZi-IYg1kl4+bxUlQEd3Cge>0q)4);Q7$=1$?cEGsZvQn%&Nway$s&jARO1@Gn-wMnhE|WH=H8in7C0XjQdbh!BQo`y=Ul)FI^Mq#bYYb+8?3W zYHc!OreZZwAtL%ojb>OtRYG!Ixd=PeY)G7UCTM9AWVqHEH+U(albeVv7mmZdhf%kc zOipIBbag1ZwW5xSDzDl6Dg?wMw~S2b@MgoRHW@hCF8!mGhr()@!dLd;Mil-?riPC( z=Hg*WuFQbRya7DUqi9Qc+)W+t!YmJZ;Qr9Jd=0BgFUTT>W8Cbav~iJsjrPyCfD-{L z#}12<3aqqc5>aK7f{L=9CYsS=n4aCDD1PSW1fX0t#(38wKqoiO6MO@*MKQ*^CKi`X zf|=kY%qcek#R>&A=nsIYqG|qpmA$z#+mtKwuhPii&EKqN!htUvu~qC;V8aA7A!q0( zRT9&pq=NksIVqJ?39NPiAS?XR5qJCu_(#HDT{);3Q+CJc+h5L_K(=Bp&M>G zY^olkqf7x4{U;@nAu>I5S0qaYw#ljZ`fMCU;#;iDGD<}y$;{ML|4bMhKvAiAa#1=!0Xn&f$m-TZcET^(O~s5h6AX@`&IX5H^Z1ns z;C2X3YJ3WN=)ea!=alkm4gMc7qk(=K_T|tPjNozWS(e-B_tE2_z^9=Us!c|dyPOi8 zXezKXv!dG6Xem_7hz@%p{7icRs6(|3baE5T$i?4szk#S$VL6!zo^#BJ?;?~U^gQqn zfJU@K>+Hh#53~;32CFWU+MDmjH!@rG9LrFL@tG5L;e!cA{Q$srqn>2bomU^ijg{F5 zS~aTKIuSvt89_(_S)G(!ebh*kKw) zsj0CXxwqpfOAIw(VaL|MLB+0U0Xlez22}@VD%wDDuwt~R^D9I#GU`{fpefKuV=4Og z2QgcfvfGO$?gXd0hbmp{YT1oQB*pB$sv|W2Qh2MG5|6aV<)xdV`ife1#_f*6I`Orf zbZ}ioA8}35&W)>pVAJG}hW~(J`u_ZAi!65(ckd(?r#(Kxy`Zj6Ady_EaOhB2loSik z!dP3tGN`y#POyi9!M=n}-V*8~C)E4PP5@)48&8}X`@=+7Y16VwMN5CiS}(p2GEs9l zN6G*o9c{iZluh%i;Vhfyz*`z{iEf8wOv~U&Ot!>WWy+KW3n143809D|JPO2%p|(nb z3oN;k$ht3TP*Z{s-Ggf>xiw-1SDI`p;)3REaf*?uBp&Os$Q~#fgOQQOtRx(*9Ga(^ z>hfT%+-~AyBX zl5m$k9xSUn7=eJ$#iu*my5sxCzjhLw$sIl?lF`pzd}_ZKqhgtzD~4Q^!O}k8>b^V~?5Gcdlu#=*Y-7&5E7Ci9Fv!BKVzOq-JdRuoFCgM2 zVbjQQUAwCqm2l+k@yK@quByaHW@ozkJmp#N1iRWg;pS`*#e4yKUmnIYVk!jrjJJj` z9#2ww^@(mQjxY_jTz`P&tgbdZ%fev?Rig4T6?^3sprbVi31#8T%4;AwSTPz_@9m|o z7#WqIV$ILSTtiBf6^f$bn_iNG)$RK_AH1-C`pL z{!lNlG|SzqQT;8Xa_IxzE*w0c-DYHuJ|0|AX$om36KWyu2IY^oJ;F;!&s4U~8Hhhu zv|$l;8b%f@n7hE$HxQ&F{|>maCbw@Rz`BNo3(x9<*-zR$y{6Z$elu$FGTLKy8(aR> zZHnV*{s0_h49>2(t0-*6)`v!8|KK_GmUqoyBnA)}N=&?1CbL&u?yRM&K z^Hf^v5}_O(Ter)m>IFeDuM2pLiOBB<}9+uLsJ|-wg~{bU_kAhS=gj&PXXB&5SzBhw7@18 zns7zU$h;Cm2p~vRsh+lQA+Cwob=ws+b27YPxNsG^^QO(I2V~}4RD6?wJ8Wij*4%~D z4DhaBudeyNeR@$KRD}&%H93{x_~@dsaop-?C37>;qG-5?K`|$YDVm__+OWMyJnmhe zFRO{SXrbiTt^8wWqWt3^;&}bleDGvLtiZpkpV=Cy44AP1uaO zM4R@=86qoL1vbzoxcT`Mkp-(?)ZhSe7A=zXc7Af2$O1)YDrGl{mt&8g^RA3YWX^>m zZGt6?60C-G97i1yvIGKDO4K|+l*k4kupWtY_IB*%_JTu4jq22q-K@B|#aQQ&aA8cM z-lFI&h&^61jWr+#2a%a0<%o|RUF21e#$X+6aDsz~WhV05A;Q~)VA(v8#$;q3>#@U3 zR*npsOprJ9+lHTMm2EIE5A@i{7|iWal4m}v(=^i@t4{FP6No4b;*&cjpb>qUDkTHr z(6i>gi>r{;$F2$je72!Y#7PBK&cDU%lfLAo~PJBC5YODd3vom&}=)(Y-`?2kC(TV{# z$FNBSY(OEHeEl@4<1uz3q9p^(-0N+Ji>hpJo@ubd(L-7TY1@Gq8wwjU1En!sR9o** zuc?UM4Q((M|gD`f8sZJhA^OdHFG^Vxy!BG}F#LPl%U87WY8_Z+lgwrSXvSGs~ zVuPS@ro@&|Sw83mP-D-IC5n|{z^u#U1d95H+MLKUTMHXeW!el^1)MsV0a8Am7pZWpo$Srx)u z5N`@GCqNSrQ_^20(W^3p%u{GOD4*(RI03<}>{n-q0 z?7gdiDaTj@w=h8B-tH@`(@N>EG94)ue zi9&tJ6K!;2M@^STOgNg!adm^M$gh%na3Oe6vGH8Wq>4(+w%^W zWz$;(gq9FcKPr0Y;+t z^ZI-!dNbN4p3jd;R~={^9j`jCCMih?tPZ!Eq-gF9jjd=&kaDnY+6sL95!$2;;7W94 z%iP^>-WA7_G}-jg$;?(A@tufG+rcYv z6TjHZv(^m8E~(s zcuk+6=@T`5lBQ4A^z$`+il#Sc`URRkRnsrj^l6%Yk)}`A^ck8yQ`7&X=@)DIEKQ%S z>2oxFuBOk^^!b{;K+_j$`XWugMAI+T^vg8;a!tQN)34O@KWqA8O}|Rhuh#TNO<$tv zOEvu(O}|#tuhaCuXu789f7SHsHT?!nzfsd~()4ATzFgB+X!=S`U#01*HT`ByU!&=_ zX!=@BU#ID$>9=b7dQHDg({I=GJ2ZWRrr)XQ8#R5Crf=5tyEJ`^rr)jU_h|aPntq?A z->>NpX!?Vi{*b0`)%1roeVe9l*Yq8lzEjg5(ey_({V`2{T+{!i>AN)j2~B@e)1T7x zr#1Z{&!9Pho--*>91(|9!-B$(_ho{*ERj0n*N5S z@745un!aDt4`}+oH2qCYe@oNF;a$K~4Wa(?8VoLz@1PrXSYy zBbxpnP5)TaKhgA0HC@;AqniGirhl&KUugO zHT^qH|6bF7(DWZQ{U=TTS=0Zk>Az_DubTdwrvHAUp0+|yLuiK393dSc1EB>%ON3Sk ztr6NFv_)u#&>o=!LPvy5ge-(k2-yfZ2)PKI5%LhaAaq6OhLDfY9iaz8PlR3wE`$Pv z-UxjV`Xcm0=#OwZ!Wjqy5C$R)LKuuth){%ZCW0HmgWyH*A@~uB5lRqB5y}wC5zayw zf^as%P=sL!!x2Ux1Q3DVgb4@}5hfu_MmQf~3PJh`8!h;A8A#6o>7-1X2c7z=WI}sj1cog9= zgvSy7hOi6a34|vRov0K&fz-b8o{;ok^vBfNv~F2Z{V?;{*U_yFNUghL1)Asj|Hg76=N zj}bmW_!L1$IEwHY!siHIARI&Z65%+)352f@P9mH__!{9Ggl`ePL--!y2ZSFHenR*e U;lBvKApDB(TiQ1L_p~nm2X}EmyZ`_I delta 79676 zcmZ^M2Vj&%_V|2TlFgQ6dr95&0xW5SrL##kB(O=ABy`k-5Clvk1O(+=f}k7{4n#A? zqr|8=gMtCQM3f-b15Ul@i3J;qhoFej^DJ2SzbW7D27iAPzHi>VHto&Kn>XKX{PkMI zM)=!zQ6@8{>mznwmaUTM(<4p0YvhSCb$Xt8_f~i@q^Fp7KPjIHFS)wizsb`8Sr@T8 zJtPrc?BTn;AFMgH453Hm@@v;lAcV7SiypgMtKK3LHcEebN>G0&;P^9fEx7B@ z><}EfAasi4j+^mACljr^pVX?Knyyv9DmA1>?Jj?(1)edxUw$WvKEI;R=-v8vNjiG> zgm-7r=iNMgh(2R>pP|pFr+$6+UYYhcg!UvvL=0U!FI^py9;Vp6@586G1!3rp#8F}B z`}2bf%Zu~L{nvj?ow(x_gqH_fj*mCHiY#~YsVe*CMz>c@MIR8+Eg_pR{qW}0Bxy-$R^LSLW` zFN-gRPf2A0q=vpEo1c2}(_Ip6{{gn5@89|u-RLVuI zAbU1Vrh01PrPn0FySoMTuN~u6GAtV-`}xR~$r9P%#uG=Mnm+trDgN}%sc(0DD^cQK z_9mG$lAu2_EDVOfe(w*<6_?E$$2c1=n|C+=kQIB`JRI8fYHx=9aYuC*>OHnyrf8Qd zwo4T4QbkwoQ_ugfSeE=!@8I?)r0oZ#-P3y|_637|4F?~WwtqF4cJRyNr=&xXz5i&x zGPryCFY%5mgI$Te=k0q_yWG8_HzwNUX?^M zJG&qI#gTq_u=o7lpN=cm^;UOoJkdM7z3Rl?b+Wxbg~ICvbNfYeud4kEbNeOpQ`dee zT4FVaAy<{7sKnEB^NPm5INY<_lCtwrq!Rz-M!{xP`7@i=wDR0SWK-i4HwsokgWtbV zh_;3^q_UcZ6^%DDq?{ZS9f37B2~mP};GwrnVM0%}5ZFqi1$D5Gsg(fqc;y0t56 z>sBL02+BB-G6vZ~QKh4>Am0I*4(LQBgS) z7nxK+z+wu_Lvl6BKn6`9=N`hyi4@^Xyg35*H3}x1mL;`1z|1do6jAa_WYgo18wG2W zfrB0S`QB_=*yIx-nOT`A%7}GMLZo2Aqnm^%rEtIsUE74V|iss;nw~K1gRjuIJoE1;DDl2_(>u8j~Pq4h3v4e z2bJ)r{qi85(k-M<`j0uyTAk*sE52;^ry5ZE)ROHT{}2@oOO1k(%LY5T**d2 zb=Rui(cKw#^x2*(=7ER0g#u}GyyYA7Qp-}ycks8Qv9+$HWleLdy|kvW=H|K%iQa}) zJ)m_6cJv7GLMZmaBT0b*7Oyb7qOP{4e#MfOn%25IX(ME!xG;o=Zp$=|x{Cs`QM_^l zNma`&6?HXBv+`DNrU_jLMn})jVc^< zPG!Q>5ols$7AA$sKng8q$a{cEj~{wRF!~Ifzmf5;Tu@oy@_3NeggWb&u4_vKfa8WN zWHzJDm8)CYT-i;l=Q~6!g@rS)U{VI*K#AAsd;a{mgv8O~h8j zaBi`TTX-DT$>Vl=kMx~w-S`c-*sC^c)WI$eFITUINWCMA5K}p4Iq#8v~YT6RBQP^m}C@LvKwlTq| zh3){dgCqO0P}o?GZOjbDc2&7Nvydu_BLZGF$Ft@H<5jp_h54WZ;O27N927Q=mrvx3#-p%FJj*;em{Fm-vZ|td0a8r~s5ez) zGA$Uh!d>PnbtBbujtI5m;)s#?c=G##%~ueRRw!no{};USrQOAlOtMZ1tV6vtGpHN$fA<@NaYdPqOejCxeQ-AJp*IB{jn-j>ID{`0@%z-o_QqAXRxhQM_M>8%AMx*VA zREq+5i$%N}g7FGG<&{tYH*!4E$!-!6tAi1XJaa0Gk*bCx0^SmiXRZy#gPJOJRX`V8 z$}yoC>qN|D!I;oi4D;py=HlfX)wCiQ6_~oqi;!w%0P_|Ru|60Pcv8fM0Aizv*o6B& z05jGc$XX?4wOq#|e+~#+9YAd5h|z0<5kV`lv$>&P+#0}NC*rRU#;2Xr$9g9rxGg|% zJ15X@2qth9c#!H(0jxVXmSH2aO*6a>Vs>ik>ye@j^2jW(iJ2wSov>Uh^3G{-XlzA_%_w6US+g0oAjosL3JY_x=^`Q%8SbK>$~pNQl#L8`Q&8y~4+rHU<5nzu zBp9arndTIh%;h;wWZFhSB@{+iE-*J4?_nIuMLAip0^E*ge+2E&PO}P1y_`i>9y0A< zJSdRya3aG_3M>L;x04Af8+6^pK%guGWh3Lg34 zKDrLeb|QTT1$oM6P)JTD(qjs#oL#{n*+~BYg}CQ?86+3!J1L}~yplnH^@EJ6q>Mp; z^Is{X%EchLp!gmN0fSwpq$=fRqnLfT;bS3M*pIh;3@fXL@S%@|bW=A)EGj53_0r;u zgQe^v`0~fFlzo(D%`PdcqAbQ`ZmDm9)?J2df5UN~2-dpC7;X6+j^NzlB?v`G(aSoA z`T%qmSZP2XK-$Mi|AOh8bO|KC zF7haop?j9e@PZwpV#1$8zRsysBwaQfgXIj#T;M{AK~8&|(Q2O$(85LqsZS8O>!~jK z7nlxdRL%6!>yhe3&IuU3#2LNJ8NCum$|15!q+H+p1J}3wT-E=E7HMYXRlN->z{WbV zkW`%E!rtM+-W7#;JVcoKJ-;xdd7nsv3ifzhNPm{CKz)HqQ+$9rS2V6i;UD@%jmkx; zj{?Fz=E6SV!p@1pDvOIq$v*W98#N9oKl2yt{2vPTxmYkCQvb&<4yi5#gnhwSsoq2nOmZSJLP2vx;9hpILZX9I2#gh(&{@;Cv`wrX-+H8wbK($A9Lk8xE2v;a z5OJ8$+0;_wCk9$2r&V!UHKTQxR}jIPAlh)Dv#zNnm^K1%ePqVda$+4LhRK5v>w}05 zLT7D5b1<=y3pR0DGoy7C77$uX5UmwT3|31lF(_^0w2?xFk4&cFQH;1UpAtt05yuFf ztCx%*j^)I0OmKvq(^f46bArO-h0dw8>4`;CBnWg!=@Wqs?Dv9nNMdY!iez4Z6vn5d zjOY-a3T$ZaqioU$n_8q#{{x#0uFWW}&1gRts?8W+Lpc&QDu>{=8)La*zD!cs5t?Oj zVcFLUQ|Ag`K=>AO92cC&)gR9U7rLqX&JpTQ5WsAL`V+6`sF>vAY$kL4r})`W{ig== zQB4czKb^C5{efjZFImC$1ydFh^{FCmKa~$AHZo%=f)!EB z6gr!i*C53#F3WShEJZ0`)C1cx&bFMh^)j}VRg|q_Hk7}4IoR9^f1e|hP^Di#QN1cs zUf?%}@U21mxqrZz$0f~Yl1vMPjJC=uSHY|{GNq0sW*;dQvdr*BObzcGUuCfiMJ%SZ z+16ayT2p%q(%m33r|ER0xRLX|iIZ20(H^PJN3=?eV}mK~+yNi5`juAciH0sxbg+;>69I zc$G+8S)5;j)GdOK_I|RWQLPThY~^HYczM?bks-~kkRm>T#3|V*d>t$N4OyeX*`ZqR z=b^lf@bHkW+3g|LdsAo+$I`7!REi1oWhopGMEs{}^i-WlJ7D=<@iWy&k=dvs2(f=`Pl?T5W9G>^a zH-j4^4%$u}DE-{5E!ox%{}%M3H!hloq7PmfEI9!uE{Dz@KPMfMwx`GrT`=!}BbDhJ z*I&MFz>iz4%>KOSbp!@SFV^3f~;fplFaBU=Jv16N8TRR z+PlqfTpa9E*l#46!{KjyZN`cQ$xTG76%CTHM9T-^nR0P(Iyp~6Xes&Uy>lUbuFdK# znhn301C^1+Shkm1x@J+FrHztgU$bQ$ z$6*NDE!*2IUH1?KYxOx0q4l;j6_!`p^Xr>xZ?VHcjSit3x6N$7Z0V97R!p3!=#m^( z5C^<6ZDsq{mTqa6{IGiO70cGs+8?`QhZXG?EGOaLFD&-@!EX8BWy}5fr?o%XuU)g> zI#`VskW!%cND;OtS=*DXz&X)+U@*gaubS}h5_YL6mv}4Tl3)ccKnpa4Tq>2qp@1OB zWR%0U;iL^Y*2D1M{no>{rb!Z&G^5wL{cdafRx2=34~N3hT1>ZfG$<)KtZv^1Jk{;@ z;I1Y~eCU%j(T7hqNfLxe{8f`=YHYNvJ;t_tIUM5Yy05_z!o_p4p_fQ%d#0^D3(shl zjFNTP+Pm@EW=XpGUR(Qaz&_MvJJ^jMZW)UL~;!3vk{l$>c&fAq;tZuYr!C?reUdl$>oDS7j4Cp6Qi#r`U6&yPk%ZSWj+rb@v%o zlpned_DVO)w#b3g5n1~1siB>WRkz|;Mf72*?ASv5dy6E`I6AsF9!N(=cTd{~Otn`J zUN#RDua-O`>DVA~_N%!AJUSrYpOCv1ax^0ZZAp$)p=k2b`kIcc#bG)#GcrZ-4C3E{x6H%JvzlT0(Jz^fjh3V9_~ zKw|{-ahlPDex)>VIBkz~l3@lM8(EcTQ%a(NGXur;P~owpAag3+72sGCS%oZ6%TZzo z`g6+I2!BCd2K?Dj5Wr8ES0JL&XGn-91YJ|0T`A^pbdCuIwB&-~(sDmjDAP=<963VJ zy(t>CU%v@^Y5m0E>b=rVIN*n~u;2DdrI7>9XQU@1@Zc-bP#iiW&FViXO%9Qh(1B(M zs(42ja1KeKBfKqj_PiyP3^?DGnnH-lEk7%@xvE^Wnu3Q?d2J^lBz_Iqnj}Z%np3gsU3@jAjlMH;|taQA@F^JEfl}?jx zh#AEHYLKMm?Wh}UKOklJ2wuRV6(2-H?LC9b71q%T=eqXegFB85Zhv-g`PJJl4hbiB zyfC=^MLholX`bZELA>(=X^ia4L1#4-K_TGJKa`q^`mKE?ap0+sdz?00pWWQ;VVEmDBbvx9R_fkeZiZ1&Pco8p{8frM^f8TuoR4n(1Atx{s?erzh{U( zwh!5_M)s+?rX5An&TH4e$W#+HZ;Zqt^!A3Ro$t}i!yuzK0(9E*q;yZ8v{&OfjE{XJ z?Hm7!wJ)h#AO#bD*^&%eFgS#6(- zAO2XH1s3wm$I=`j28VqjO@~y@C(=e?!9e#X(q=(;1sgti)%3yqHA*Ghd2Ao=wnksYl>t%|Juqm!` zZyi3PT!(c=-Ec$*`xDCkD6sHvVFmCcucHNSVNY`rw%EA#L#2fs4gi_JU#3r`%G zFSASFIXPc;qveux}c@0KkR z0C1*Awp{A_k^~A&KUj1kT`}CaXU2(*84x>gbmNJ1`|wRix1NBvjaC@%eHDkk9voa3 z3RuO5Jm&$27=dpF-}n;NeZ5QDzchEp4I<+{WZY{vp4NVU@Zz90&~FIcO)(cr;>V>4wZWzdJWl*?}KI3#!Wdu4ena%o`VY}u)a zA%*uVmmYW=!j*pPXqU;cP-^_8e}_!7S$FBnuP%Rm<(uJe|NH&Vv18pu6@c?uhe2-Z zxIO%fexrQq9eCQka;uOyFz;S@Zxnv6ToyMl?~uG#=vb(5_V>v>3K}7Wz0b&3K^R(^ z8o%-k1g(XoPt@VdvctU_~ACmFl0onNtBm!5^c zIu!bW_O^61DJ4Y#kz#kv)TNIKA9&}<(2N5E&V`DTf}vLk(Pps!x9DI=Lt4miLY;iq zVnzSrFi*ei$F!920k2*eY6xwtTi1#rPvH2Qs;vWSla;sL5z&x_4x~lu-??^v_pGaF zu>-$8tSs!%Zn2=p(iG;mubsbrF$pUh@J>|qVPof#h81matX0yos@UOPx1tp#Jb<5j zDLj4>?B7~fuR|u-x2sF)D`>-V>c9a8lZNT8J zo15B}fSaPNwW+PNW^hVzl>A>14iYfONB9ATk@Z5(mH6?iIKo~ngjvY+d0 zX(o2!TG+Uq;XbVOJiOAR!4p%#6cMz7Z}vDV#z%WWO<_F zCAHaih-b8xt!dcCa*R(>*xY=NqcKy2_4#3$jU1*wOkt%>OY62XO8pTEsajEc3x_E9 zmM-#XA!A0lqoQtgUF$t0Gy@W@Y(?yVrEC%U$-S%s$)6(?j1ACOTlE z0VZ;g-o0NvTDTkkYrop8EO!AP5Ur^g_-4OaXYZJyj=_cu;n89z1*h-z22IVK>vk}y^=*TF(>QAXXhC5T*v&@;OlT? zBRTl3kulS+ZHd=WSy4a~1+TRzC7Vde5ga+9lw1LSj17^}_;FNBY^)-WOBl~3IH5j> zgd8+}qJYoJR*p-Z#H$DnwI?%wW}pd4i%bD2mZ<{HoW9beoCZ|^9`2Os0xqAvGO5CK zeH$WGKJcrpZF7uArh@;AUm@Y=798WL-zO~~EFDD`iOu(ke5HK+4B*83Zm~ckwPw_{ zl2#~hZe7vT*ap!sfC1+;j&Vp1u4-hPMY=XV0iDO}q5TsP2Q?X6@-mWNj%T}8T5Vod z3dL;R|0`Jkw^w5GenXs4h2MNQ)Tjp+G#!<5S#HKW0Uz!(q$SSh6$U5J3wUExI!e3+ zMKi$1RXGdAECj>{4UtOuB9IxgnDnHf2Ms2i`Jh3Tas#J^Hog(EjW=D#V#YiaQ!O&6 z0gTusq#qFmV+zYEk*bz6fS$S(vd!RSrX51m0g$p#%reG88oyk?Z#-zQ;IVn`kVK>c zFS9s?RsyvOyv)=W2Mt{h>68ZC^;bjkm`12QIEPAff_oHv%d|mrk-C{+Lpa!Y7=o(+ zJ-tP65887bEYj&YbAxLVfIQEHUN-%9(Z z5qtL-RHN7NzPX;O290@}a350ME;uG&+5#I`3t0aoU;*kuqq@Vdb&8KDY+FWRVB2b& z))M=cOGwmgTUvG-R9HHa-$_*6OxlX5DhKZ} zQr<;so*aP4!L5ueTbcUvw0~y3p0@eubx5&|ITaP)Q(n;s#oi9s3UDQp6Aa=dyLk7ymlZC4H@u<@|$Hz;?pqY8WI+R-WvPMmMf%lxMl2SK>s=z3pkMu9H8Vow=e2J64 z%*kI7=GEZ+aeAvm|0?4Ug!~VVe3Bt6PYE#PyUPku)MxxYvdqnu?t)4b{VD#_1Fop*&p2F>d1nD#E}-c10$mFfmQ)rv7Est`N~(ak zsm}xGl?*-RKSAhh#UY~KiPA3M3hYYte8F_h%iU5*V@q`x86Yd$xrK!8A?qc^I4{SU zJuW9N=gxxi@>wNrr2CS=bH-(6Z7%m#q2#adO`Wbt{0Vj`t(SS`_{?00z|P6c%PcA? zLh)Z?;Q^OD>V2VA4lhMAsZi8CK!<4G0gBHg!)MW9~3qxE9^FNX)}CF*

Qh&r>Kj2F7`~*ZGFi-(MGeDpMt}?cNsDNJ>++P7n zzmf__4F8S8vomv1^zV3QrzEseJa$w-x%Q~MfM8enQ9H0%AET=2v9SlLK0O|qZWO$~~|GBzNR$c0{ z!N~?Ygj4`%_os;j=?hPtGLI zpC|QpIjg&(4xb&~GjZ2hYc=6B$3EBD=iNfKKs%+LrcChYe0ouxJQH?Aaj-9mg6y3y zo*F$YJLMQsl6{h#K>7$L#vt^O&maAdr$2*0NPg54-S&eI+wD(|@6+0EO12-y-b?CI z*wgI0q|QwMiHzX0bV>l}ANl4ey&H9n8Z009{*u~kO)htJnRcCp&DKexDHI5bG5iyF z_|Fc9cCWOfV;jTlcjK`VjZ=6JFPCVZN`{@(C3~o|FML~#4hWuv!KwPa@QWO zYtL-go(dNzGhM1l@x3((GCW~vy^ny-YnsdosK)9^bc+ zyr&F>+C#_pm2JHFRO(PPDd${!S2}&3N*xY?-CNN{4MjcuNU1!~tBo!l_(iI*B>75Rno3u?Rt@d^NZQV@1GMCl zZPjF}cmkT_nEj{mI|uA$XWz@)x@^17(stil<$`uUSn6u8ba}?65(Sd&`FVX09k5qS z-WfwXL1!;v+AC=t|?CyI1&>(GC9N_xA&wbUhZ z0>W>8aG$KVoAj+N>Cq||ckjV_Id-LMjEl-$Cr`+RzW-ykh}x~NhaKxe#Mj&DN8ZDW z9o!eHp|t*v(JQo<;l6Z@Cra%a`mxusSMBP$cJkukU+vSO$3tr4cl*=>mJH1+dAhVw z=#7*t75eS`d&O_2Axkb6cFC^6X584nMDwat`@WevmrnfeeCiF_^kn_OJ9V0>m?Y@w z#l3cW-TUON9*WFxG-v%q10cm>puach8;o6=L z)smj6;r5=7;aMFX+5evAeId2Xr93d0Y$r`Rm_#23%Ur_|k8K5i2mwAY^gjT++Or`% zq~omS>R2d7>Zy$3#8Z=oeEH~C#OxW4Ts?5%>X78ZFGsFI?&+cLHpX)s=jyV;c$Wec{6NFM+v6tm)=-qI zWOS2aJq~saw3NYXm;J%qKDGT{xjR+%N5`F$4}H_y>#X(|#yxWJ(My~0{tq>(u*I$+ z<70=fzP}jndT~~bZ@vp$w^!O1xK19va;l~;5o|R%{%&9BI{C%|*WoLW)-0snr%D1C zdf!?-q&hU;b!EP5u-vur!l{-az5O5K`sCp3+V~!|Ja26rbEgeHwrg&y@vi z#l~l;P0{rt0+IKkjlI@tU+*zzb?@WO>MM&~Pb_wIE_PMJ<0!n{;M#hsX6S$2k_U!W zkcM{|*ns~5UbWqpw^JYRn?-_&n!N zhy=^)<7f-JMp=R8+q3Op0sswOU?KrC2QRbXrGmUvxNfT^c3%$&8scSh4TS*Fut4M- zb`H<>TL&^l6r@K0GtxBUp;P35`Q7OthB%#M+S4P7?SszczCKF>*wu2^&UdeX3-GNg z;5U5z3NSv&Y??Sn&J3`g>+GA%89RV+24S2`7~7$(`r^A~;pHxe*X5Y)a#XnbG^dBY z(NGB+Uk4)%hEindAuN4tmb=5*H`TB1^;{t{hV%(#w^Zo}<{f%^=*sn6i~Wk8%7P_8 z=Fs?bfoq#}$}#*SXq-+oP9hq|oiZ>a%gi9g_{1j~yFM9~6DQxiIur>7IQ;pr+@6fT z`$Ur*^48Rk-kLf*;M!w+o>;Z~o-U1~qi<^7KweMyKf}UFfZeEz()kth9ImBH`}@Mb z6?%?@YeQUQ8@dc1+!A52-AwjS>xq-sJ-?*NQ3*cs1&|%T9DlS0;!RiJ-?v00R)C*^ zh8TjYg6ytIBpluFL7-6s+jv_W$+nRA#zj^$OTECz46ch{0R)EHCgDq;YR2`y79Qagj>D!e zV$ImQDI&%I&SdIjAoofB*_ns)S zxVIvkZ^UzmY880(>$>=`Tn2NF!+T%XjrZlTq&6n^9FTc8!xnsCxn+!|kXlqz4Z* zV>%%>%eNV>MS1LS>^)XRd@upZjk_1k@3EVxKi^L zGzDM%LX#AcTjVS%Kng)dS*7+r z5%I0iaVX-VY+72RcHr`%2xA={y0tWOpzNaNh=Bk8b7^dkS?lRIJK1J0DR&fR!!WM9 zh4>QarTy{a{GUXK48^0CXl;=S#PGb!Gs_$4=ON$%y)z3%hT$7YzS5tc6_lTYB2{=7 z59K|9JfIiwQ78KAL>(9_$)jtch-M@3a7 zTpQpgsdL#$s&*d1p*M#K4oaAhU0-YCqv0kpv4UyMMTPVl-9rDds$~(@^*5R=a0huR z89>B@k|(`<9C;(2+TUoK0QZhZki+$3dQb(|FX7fPIk%qW@Xo1ht!XW2Y~4ZzZ(i zv?@7GaqRc=o9EqH%9rk~r90U;y5<(6W& zNL63gvV$yf;G+xAu*}G#cyeg0P5CTKCO;?ObIC$tG>@HD4Z?^8%K`H&IM!+D(w@ir zLSs|IPOzly1^h;6tThch>9mTnw=hdkRkZ*<&Qb}N4%FaMr+z|pCQ5l3hrgvthS;mz zw^S*nR~ZlOKZvDsH?ppUT^r`)Llu+8NDVdHTB$bX?@m>=DM3zV!l$vwpHn0*Q(-cK4g|2@2rxcUDvh15Sl z8sg?F+-X%v{UeEIFZa^BP2k|9hgrn0NeHeof|Ory=O$gU?^l+jUQ3`S_i?}g|IX)b zP)`lMOVaD;jZ*|JOTt88eoFX&5S2=(kVXm#@isxYOhRXWc6~xCCn*wb&+Zl|LkJ&o zZ@{Mt6%mm}5x_!WVSqwQswB7|Q^jSfAq|?6D?Ui0frKbi8!n-iSCpcNkkH#M;aU++ zt}iHcgde@ip_g>#k##zhQezNtU^WOd0t%IFBDf&i%w$JfB=Cu!y2iTx%{oO!Nm%Rx zG(8rfckJ)kmn1Ar_;l)?Y4Yj1=`qvOr;nRnFn#v)o2EBRe`wn6(>K9#^1$?Grk|ev z!}KSoot$=g+G5v-Q`N3AS27yIC~Obh(LsJlJwvXZhR9NsfX~!N_~p%Nw^i*TGkGnp%)`05|HqW?u|k;ie{h{FcV1w&oW2a#Yir)otb8 z6EX*!8}b4?#|j{a``btl7q>}W1@zS)NA%Vxg;YSvkQpv>lS`=Z!I|Ka!jpD1lhWp#32F$M^2)7`ONpyoCih|`eEy(gZ5ww~%u-J;_vyedt7q{v8 zIc%G}t^zl*3}Hd&VLe>3Cd)HkTjUu16LRYuHu!Menr=Mcy9A&8p_1W_br2a; zvK;PMBOP3>CiVxa2m0z1up<}TyqBd;Peod|WIduUU*vt+0=KKl)F<|2M;U|#!k3D^ zVqGTbGJa;RJ~0U{R`Wx7E8M0Is>s5++7%5o^-g3R=9K_9s!5aCzQ=L%^j0-onx>8E zMA1LuOrtjnR+Bf)gW7?ZFFMplb`7{2J+f<%h%brt2&pVt2=~1ZpTLrq zEkjy?EqQsLL@>mIDaiU-#*e`J=j(?Q3Bk*4I%HtEO@~Ykn~h?Z;J6xnj1QL9M2WO! zZzWkTsbPst``(01q+3Sz2xQh==!KxKEEKVvA@ihu14XGa)}W7%O=L;%KM>u@!HAbv01FytVN8KLPI|))sq7j%?@DCA zg0~^4`;h?)-Ud2gGoTJwoP`3M4YZm@a}i@i5pc`iFJdeck;!h^2Z;bb!*wDc#*K=| z35dw$BF1qMd7=pT3V>gPlZq$?-vTkfK_bAdaGeNnDNqp*{U(+IJPNcFQ<;dEY4~KL zJ~|Wp1GG`VK!9VQrKuhn!5tvl4{*-7YHQ(sE^!Eu+IC}OlRjPzL2cCkl8fxc*wv(u z15W^{;tAjhAYTdyD5vs(3M9f2*37W$C*Dp)Ini!CQh9k+7P3{~gH3v?uZlz`iTc2< zo$539|IlY1FU5SZqGnW7Z4=EQv_80DkZNI|Y>OB#)ncY!Viq#OZvFaVD#3WLa^8f? zn)UHUupPXdiY2W78JE(6&Vrpd`7%MEp!#KhXa(>E)AEA#pydU#!M(gBMvdE0O9TG2 zS#LIgzn2fkCayy>R;~gaz$l11tOgMXTB%Za_&j*DCL(e>?r28c7GS6}@+kYXn{2fMgl zB;*Wu#_pyaf<{|I&)rI#rM!N~^6P#))S|b569i0^!KZzIRF@wCJ}WdL4ReeB!I2QK z(SIEYfqmB=uEJiXLexI00v|d%f<`9N?++#(2mVT=?+OMLfIm?G&`1ab$aeFN3|97G zY7Mg=;l+QH%kE*ab$^4MSn!%5`Z1~uEFgN`(n&hBQHlY@TK&(u0ijiYP3YLBzgfG} zDV`8FPa@Y3@U7eQi85V!ih5w*HvMBZnLHHj#qLM+56a{w)QLZSM88lex55e~(uQET zzWKD?93IJ66HX+L0-VK<>SIFXv49gDhZ_#)A#l_V2}?X#wCTR~8t}nK_2W8vU{2|Q zUB~&3zrkBPd7D~`OsR-8nYX58b)9ELV;ysYr16z+I$OqAGpQMS_0P-X zu*e&@@reE{K_>qV-G|3Mt+&eL@ZFYyqNnv00E~g~5&U=kd*Bah?$;ZIHoUoCe~(NK z4%&h60sUe@5e6m;M%^a7>KXl~1|y7r`V|wle1O%)CcN~h{;(o!J#Do+arCo#pG6LX z4!$HtC?QyL6C`YRl2Fvgo`r9RjKgm|t3MTK-3@`KnH|K?5vQrUVMQy65=E-}@zc-g z$HwL&1@u@tV4&1VSYmCjdEV`%flB3^=UrkKSaByJSj%(MxBPY%nmv^xg8~Ca~%b6wnF3B z6#s+~OEztm!7J<8#OL#%b2Ki8m*bGkS+HGQCR~xz{zr>Hv->Tk2NBF6lkO zq{RFov;LZopmWfLXALYoKgQFZ*V`iH=Y+eF;!{{WlCO7s230{C&xs<>;|9q0eI88n zA70~56n=sB@w*agYHAXY@(U8PPiMJ{93`8?_rdf*N<@n&DvuOja@D_Ls;6A0suwgh zG_Pr`tEj7YZV~q*1x;oVh*aRd3H6x>_n!$-Uu zL-$gFql0v5Ft~Kmvys8Au5~!=YCrgqO6gSPOo$w%X-& zwZ2>0PJ|RWGbgu9KA|W=#$U+3A&4JbZqWZaV!1{X`fVfxb}--)gIYqWYXQZ;8y3U} zsUV<_uH-;5aE#FrE|tuqnki)xG29n?VRVrf0zM^}4UM4^QnEnB!e{<0;0X)VZlH3( z6~-$UKBxQW3;OsQ!2cDb0x1hP!Gfz2KAHkPtMUojqZORC#NS_Lhy%ArS(xx$2pV*%i=|pw->RiSM zN;2<{0!-fsV*Th5#DJt2!^s^Z$)OXCy&FR5KWU@{DBk4jyNZ6wNC@l#r;6=4 zVM{68UvOlP8;7*hMzBJ$)A7t#^f6;yl8gsv56Wjvs49R)ozOvdvW295X}@npC9o$0#@InCF7UBJ`!mep?0DJ?0%{TsE`l@c?LP6bXz|zzN zsjFB5Z4GA!`q}^~cr3{Wu9uQt024!2IL} zShTXl1lI!wnYjU@fnYR_!~o0E6u@XE7^_BNz^b@~W5{6t*owRUp|>Wk0Y%6}yq1sr zbrNy^vYtVrZih=>rbyccj`$}AR@}il&L|%aKdH}{zL6AwbnOWU){EEonN1@h&}Z%( z3CY1HUe%lIc}Tq_z({*HK?>AT)-2#nC}a;p4d@Ahx@qzD2xh|(FINs@(oo9EZ)J1Ej9~mcAzqv{An^e{yGu@CEYU; zl7p70_KN(GV!wp>9l8L-HvQV}5yKv7A0Al?sz(47rf290${v4f{f#w?t(T1K`u@}U z7d&vSI?9A!F&h%;=PK}J^46Y~p&2k(3=^f;+iys~Q=XoeAoaRt;kE994U?rl zm;jfPWg7dwHSCbNIL&(IYydkC*MwnTOlB{*y9XY>Y~m5r+%7JBJg`{Wz^CRMq`8By z9Ep3<2s>u(Y+K@4vAPvpbo8@kK7p)iX>8BDHjjhc&nb3$yeRyBy5}a}T7%z9Fm~X!>=45|42x_d?3#(9iEI_@ zs+a+X)MV!j15foJu$$09ZSh}WahEa%dJMS+R9Nnw>n^L>Mn~sfzWm$AFrxS4t8s=X zHSC0`nh+?{jpOYGlkZ_x9)5Ee*&Ze9E56?-tgLb@hf|iaIi>7Q78xfHO#|B)f4vnI z)HlJ!Uc&*>(qz$73UO1j*TBVg9c*CeDri9|1DIjg;-B-E!_pqQ%p~{#+>;Csu6|k$ za||-M2lc2U0gR_P2Iz+0up7+t%&^I!TqzCN%);Uwsh;tZn4S$rsA^~?`Nm`ZtEB!< zjFPiwZVF7t@k5WQjZr5^6Vt|oZ4a&T7uk9`?j^iE-ViIiOdht7x^>Mc?iKnjUACeL z|2f_e9nw%!i{k#l5a4G@P~1uSj;ALW71yv<; z-IZIXdf^-MZ3(V|1QhW)=`<`^3CTAC$tp;~7D&up2uaup@nk+he$$^UbV2ehmh=JZ z!g5IeJCHpm0qJ2^#EA>%l$I_41lSL8h`TTW>D~z>;XoGh-wgmtA^Bb)2{*wa-VY?b zkUT3UeF^T_aB%p6m`;G>Ldb^=6&F@abccNvPm)~i$3e+_(B+fhbQS>41p~4H0NXD= zgZvx-z&?xud~FFOW%;=PIUkHN4gjz*3(({N05)d+#q$LlneT%}O)G(UJ=LKJqAM>NcR z5T_c0H<45^<0lfk?i6eZdCGnID ze5jPHXRBU4#bW&d^Qb_sW(jWC5TZSiM$n(Xe)>=A{x|?Hx3z6XhYLr03Ii0 zPW6Mx=_e0=(4~n`dJzvkr=QLMM}&oy*?5&0Y4BhTBNEf_0n&ZkIMB=lw#z_c)Y8@U`ltgTsGrz&W4E;SXkHGx}29Wb`pF6 z%n;+69AH+p!0WEOixjw|jNDr;bbG6c$!3zwvHq?B*|1ujMuD!j0rso$ShvJz z9G$?kJTLI!fek%dKK9PiH1I5f__+_ey6ox{7{>q6I{1;&#AKdli%x?jro)=dwoOCzlu_h0*vlJm6Pr#C%6F zDLDI`1!Q&L-K9! z{j98SMMeeIX<`k&Fn{QSTnPsOS`~{&C~bo9nQ{mfRI}I^xdt-f(|T;R%feS#5Gjg? z02HzXu5W5>ggHpdA|&AV&nPlPd}e0CX|h}o925qKJ%LZt$c>Otnjp@TIV-~8_q%8) zewc+T9%n@(ei7?#dgv8U-^SDz&stz34`(eXBAN(YC+Z@PAzH)H3t6KFlx`&I#6gw@ z4r1t%G%F9>2=VAXWJ@5yNC9*bqPuJv;46~YZzd>``7;%Yxjq$~0qHylC=W$s@CYGZ z6Qfv7m`5W#`}T20{TL8wbueubsX9H)Mu$O8#$VfA8g{u@KAm854AF*1V;( zM`fc?McBE|m=QSxWzfD}>L|$NjZS|+F0vSJ*k`l}CAedsF$YeePw#_HH46*-;og7; zkJ@jH7Rqq(exn;gqIZzTZ2b0qW13Kbe ze#_$kY?g^X<8ihhjyg!a7fFXW_(=v<^sz~2%wcNoAQ-B=wiU-i023Z_QXlF|fsN-8 zagz=nji<>Le1sS5?;{~#Ir~RK!1@jR!MWf>>SqFMHO~e)l(f$gdgkm1f$0uK4l-}Y zaZUio#y<(4g4DDLt^w^=g&9z z^I!Zq#Gb0RxKAVpDc|Nk5u`mc!q0*9@38bO+_OuZBkzttA)fvBMnb?x^?pE|54dmS zLjW<~i0UJF<-U(k{JxKKz=Ry!eMVhcy7N$xqzin`z)=@y7l{0VgD*0$;u7lujxQzn zOulKf?<-L-wD;E|+Y(g2G7Dq-nq-sQIs$kqH^!^U@F( z>;J${r~Lt3`k5Ve8Y7sLnFWh*!a3mflK%u)kw4Skpufts1WWV_fMb4@;9~`*sO;ZF zaY+6=3T1ddnZj&iG3ABgL|Exp0WGS5?n8Pb89a&TGLvE90YO0h3`)!#u zkAC(@l}6COMFye%8B%a%k!b>Gh${oEBE4xaiq~Hrf{*b%SY(PWQjH-+0{>|qEHkC_ z1jI0wRjMct9BisgUbW-ls6xsdhuIvIgVJ;G{327Dkc-=jAc$-n;T$96;b)3W_N4Jr zI2b3Y_%+$I3~m)HjfX=DkOQ-A+64S-ktsEHB2*OgK0h8(O(MF1O&N!bld)@t$>5tJ zg(LFjdMI?=`dgb?mO4rbkzy+2qnO6(GsGo@(irlkfscHV(7<#QSwNd&3mmz&-NNYG zJavuG`Voavx=7$2y$H9c3L!+QBCdzihjcSYC5hpNKx!%`?RmXQB?Jqm<(cfMngtxV z`S3`=Q409*aWLL9%6LC0XZ^tFm6A?avf5Qjf@50N)-6TW*`#sT;fi9D-d90-260r< zzFO+vh{8HRRSANaVOCV}hMEH)=D=6XJ4Jf1aM1> zS>$ZrCuX3CjUxOuLZWGt(g?l8Bv_+ZH&H#e6cn_<@7&fm-CEaDOTL7twBJek6Pb6^ zo8gUmblZ?(3oDNGE-3G95J1(2%y&!ur^(`x1MuC-#IqjfscVIwhNX9z{>Ta>5mitC1B?kX1 z?q@nDcJOR=0GN08%GG#`$E5RNF4BoK4~Qa3Q91*vJSf78NE4|3%5$JK_J}z|dH58! z*v|Vz_%cedpXAV^R?R~}?HAH5b%ev6l82@2a5U)=Db{`!k}&mAAO|BD(L)9SpNioZ z=>EpKrSdVc%!*##Q4g>}Mm|moiLa%ZOi}Pnd{LW&qBawVHqnRhqEb^-)RTTLeVof- z-n>Wfu2PdV>Zt&Sr$r9qDTlvP4q5$v4g;LSGmL}jD6MQ*$&%o8653yukF3v1@v74r z^UcrsYeRR8^A%4{BL^W5Ocm*QBdHa~S)C}KCqk*a`~(;F!u7)D6d>!1OxVKAidWaj?kNehelt zXq9L9WJDU=QAmG|m{|Wp5Y$tA3QQs5SpV4wWXLg{9{~Y!0O~&<$pCy<{{cHkIb0Zl z4{LGL7b75q11!ESj^qGA4wpECFGrw5u#4%d5fH)v)@qmiy+QRg;pKy~)NB-eh0I$) zXQ_9>mS+n5&46>(Z>R+El$DfYcq9b$`gSA)1bychr1&qNfWBvq4kx84{}aoBugo^Z z_0TF9dBr2NNQ=H_bs41jbW8$W(NlZ`FygU=r)bwgh&r9?B&&=Ir@2ua;@A=q! zX6DS9GiT16Idf*_cXtVvg|hg+Vj)V}ADUtm@~5^SIQy4au$;2?Z*56H{?V2MOD~Bf z!O|3oygbfiiPHJGhov5;km2RF^dWzaGbIo8_q)u2qu>BPbwMHp8~mshL;JxH=*Lav zAU^~R29q)4O)<@(erim)%ATxTYD|QoylU2mBeTKi#|_X36^<({BPl$}kJ()Q(f9~5 z`9YmB)e*yKjEeQ6SRJiZhz9F<8O!8H3txNZowCWc% zIXIZ_XsQlTQ#AwHCr+YHPX@%R+_Ih>zi4f2p4Hsct~!jP6$Y(qZ<>|gyj1nU#yAzvT&a}C)snN5U}TRbzfS_k>sY#DV)mHPsv9b=|O;lLaBnGRs_hK+kVW7Gy)>e4EuTsCW>l8)`KvUfy z$3!=1x*kW4vggnZcz{OGd%q*E<}mE1y1mph7z6;=-zo{ldIobkRNh84=;;=*8`Jp1 zQ%oD?lQDml2fDVuWNM9!q0XK3T$|0hc4YR%@#EONnf=Yg@SZ7sKpc15_KTPJp@$`fl>zCXZ<63fK?Denp`1$FH@e}h!WHRb?f2s0J)swM##u;ND1~ft$8Yzn zHR=y%RO|GIj5wW3wx3v?LY^(YF2XhJ>5$`;?7+h}n4|Qhe3RKsPHr+c(qGHS{>|pG zNW6c?7IPaJzSV3XGq##{0rF+fHnTq7P`9uHui(zkb^pk7{~!^2W*tIZ`MMi_?d$5h zE#|*Ea&nJMQP34u_?-r41UO*p&RQtXFlQZ9w%Hpr7L=G}XkU{&*gZfJ~W5(6md!Kv>_}CI4?KR*{$CwD|A3VImvW|^w%0xr1 z#&u?9z2C9=Yc3+5{jZ+e&AOgP&0#%{nDyjTT)fF;dcpinc+YcYefMc|&*``z(!R3K z78GpP^?V$cL3SJCO+)aODxFP7X0w|bCt$H`z;QozqzA|RnBu}+$#_;(O8c4&3W`tF ze*#$9dE;?xcQS5wO}judCXk&$@qP8*v)nP{S$q`Y0abbs z#-~K|4-#OsJdMEL0=>6ZGW^JeJmV+wXHfire$jYSm0G4=8Q}*4UGcvno_=L;d~7`4 zRP{O!hbi}Wjw0p1WM6Q+Wg5;0s!j!r%X}P<`%85$r`K4efjBG3RtM=0>$)otoTN0i z59x9=x6>b8IB7(G4#%!5B?C(&gn~&lq5@~i$Imf7{{qpi3;Juv`8YqKH~am??{W%@ ze^nPjZ@|R0w__aDG?qb1){)hr2{EK1B*8BU(_UO38fwC(6*LW%;3e;D+tK@d{AdNjlVI9MMvR)t53PmNHu&Zy`Ts_Cbi}w$!zi|o;?u`kEj?Q z6p69grVJ)qLlgSu(%j}aUo0}k67P#8fi)n6Ci^F%0p@RV5Zna##0v--z!M z(5ZcR4+jERSQAdKAwH(D2@WTm37|2;^wI;I1YoD{U%(zcc0t2%1c09Wrw;<+S-cn3 z*1_BP62;0kVsItE%bX$n-Mv@%QH|hN!D#yKUMC(V#z_F$!?cFi)f(PVYd|mztpQO` z)#IcH77K%iGb_8=*y95<8itCk`i^H9qG6~8;E4tV!?49Tf?#OCF@5tg91$=q2L>qa zgurotPTnGV9)Pw8@h-GJJkfmxjvpZ0g$8)iI2Z3~5a-fcO$3W_p-)%3>qVFgySfJP zEsR?qaoiyYXkpwMQzwNYmW6JYPs_auXOzPc$U;3?YBK^6#=;KRha!4~=fWKr;fP%E z$`p>c6|c`gM6K{Lh*nX{4Y1rzegAf5twbfE`e{xqyLXvI(c_t(wHa3G}bLXk$ zc?Quuu~dHsq?$7k&Lt{PXj~i|Wj&ENgk**$Bn-=@Dur&mcvJ)2bvdePuyWCa0*lP! zHF_>ok^1G+5tP_jpoV#=_ff?b=`=dqK4~3W^cVBvM$GL9bz6eA<7w51p&Ts-g9*XI zcs{sxqf51z=zI}UrjDPd0S5Jl6cyfFJO&&LK zG(VLL4G$-Pvt?GR6pJHaYM>_G$lyRK2}PKzOvUsrf@*qV+LMOMx}@72G(?aabM^@% z>BTvcX&9ercs1Do<9-1{nZ!m{OgTk_3ro~U%F(!i1ACEw8KcOX_YF69_IIe{@p1^A z154dumu^-h;gmm5@TO;QdT|$WNXa#7YNa-{x{LBv3X|Q*&aQkZTEUk)h_tR9!2^B$ z^|2$!n=9=x1tV!1J0@P2J*u^J?xMEQcnJkOi64a&wH4#5?c=HxOqS!Eqh)DGEy-Pl zd$@}}N{k2@qi*Wjq|`bxd6hi{TdUWuvRfjJlzig3!M|8!QK99(6OA{CwXkbtX^!#snmKARY$kEtj7&nB9pXVT619ja$7 zv5`^5id16CYyvgh=^Zm$rC04%M9b9S9r!u4ipk^IeM)tUI>zHA3U>n}^IVekX<}^1 zd`c4EK4~R&fONL;2knCws1Mp>(r8C9ZWe@h@Frl8(ncE=@z8D~k&6 z^fV^C3zZ!HJaJS254f)THnCne%50xdId06AxM+v}7x1J-C;g+7`V1OiT+QEMq)#ly z+9%-px-p~OPZtI}g>1WMHYtX^au_fu$>93?yTm%ZAC7-DxW-;gtkhwv{N^9lBa0pKBh&7yP)S3f)kQBbwi*>k0X`L6B z^C*|Qd%3*cJ1f!>H;_%AB_`xwul6c(Z{)?HX@fS?YeQ(AL0cev+?lYIE3fozfo5|+=SOEp5Pm4f3RHu!_8dXn0Jr`$w{%D1fm^$E3E^PmM_KK#;ZeX>>cyw;v8-L z{Do|%?q6y@!z8+$hjhf<@&Dz$)05Z#F5nN_*()Q6vfhO+x9pQ4*8~`5-3^-P+aS0Z zhc++xKDBvRGxYHG;W`{>O-&^D1FHJQ{oK@MiGGOYV6Q|`BZUp~;D^y}J`PQLq;9`{ zos#KAm6j`=p1D+te2KOMZXl4w7A;cj%bbsOH50O_e+HcBn1hoguXqGI`ZdpD3071` zcAgchs@Kul&^IXc<>RU+Aga#rCZ{g$1X~XcLCzcLjeWfnbks?p#IZs5DyU|XX|?DZZ>#Jw*O3l<)D3S#?~2pqfq5Wu95$o8AVO{5|@qOU&XEa~!3vSgoE zIRrXnprgx~xKAXusk@BQPJxJaVGgp`Pss`Yq?oCnNw>jW7O|S!iQ}s$sBf@!QHu&M z!d*ubGOPV|8bkROazmZft zAC`tg*GxLYZ>ATs(Z}&%do)UecaUqJ56jdOU5_EjFC^Q21EOTkAy+4)#w7d0dXdbz ze1P%TC$CI-EK{dHEEvgPqk&b3t19U2TG%U69IO*+g5Z9|E9;v(@(K#ARs>=qmaG`5 z;U&C3)gZLkp}Zy@^VJ)~Rm$g(pfb0<2`qO9o(-1_JY+7hf}99RN*HKo1B)&IYFigK z)wH+H$9hZQ14Io&~K9?an*xNuXz zgjOjS1_>MJIBHP~gEuKAZ8S%#X%Uujb!x?VQu;WuIzBbcG+z3bWPnwH#eozKGlE8V zRvr#ZS{jgu#eL{;N!{~=)PzEL&?V1OEc?mL(MccDdwUm}lB!tFkGyl9E@@Ln`0Xdl(%%mm%9>aYV`O9UUJIt@^%LQ>Y)GjxZ@2XBRDL_I2b zS-d=WnUtRnHK(OY$&X1IOAC>I>=Z@j(6Wj$#D^ayhyNWqQ0F2a;SU*eLqJBX>1oMh ztTI0+J$7h*hFn}@k1>WlE8&q3N<=nd>kLQ8g8u}x?%1G%3ICGu&*J)fT1vqUTQQpVW3r(cK7J6%X#7yLMv`iE%B)7f^YO$`Ru$&tuvUjs>$lMTEN2sNnmAeJMslz0fAr0T5qQNJv zni@_aFq{xL)16@h0G5*!0xJo=&hCst++2OTsw$)JK$vzK6!C=ix%6_^+`@&DyQR$Q5MD8~YvH1X23*N7q|4q_ z)&)-{ULBR9@1d#VkxGHp^s+lC@Ln|qxNIc+snT9>#4s(8zDD$mJMNfH};cnQ|9*9aUy^&C8>Jiq| zh@-ttJ%(1sJx7ksx0-d&lcScI(UmXsrXGhK76F~_<%7SJ)HA#0&m&H0k~Q%_E9&9E|YT8*h= z^#CjuQvP2+{*LFx!Ykqe?cv@7 zzLNj<$Pjmt6XeKa@;;#*u2jzIAE<`v*G4xym}|%Q6G!Ein3$g>^20YlQBw`SQ2l|0 z&#&}vn-uiBTh9jm*KKG0p-R5-PpCpwgN$}oN`Zg5N8aDU$fK!v;OmVxYeL#3q-AD0 zTZU$4N+t>S>$9ag6ozB``0=qj}+6r*qAc`h{K1 z9X;*VfX=S^E<7kVvdihDdo;mtaq=LhpimqW=4;|QvkgkDlchJ9ddxQSPo(BDk-EMZ5 zNgB>-tesy!x2dbDMT)?#21Nol0Jfqag5lWCpn8D=aNYuKPQ)GtTb<;uv#Bp`;yLRE zy1UxII8L17Uvs%&jC4u;i_gLT!P~l zs&BZz$2aUh00g8AB=Rz=g+7%)LvMlyQF^c@vP!Y=MAKs_Y1~Ml_IlGiv|=y^yLmH& zdW7+IM;0&WIjxcnRE0L z>V(l|5FFIuMcPg+=ADYy5h!JC%`L2NH!wY6m15wJrtRRgk8{*_)Po=9Qp!=vCM`S~ z?rFxS;&lswsihOU%Lv+4H+tZ&R`r0{&JMhHS5*ydY3=A@d0EyX0#1tM2t0 zPG+L46ZHN%#^&^*SyGIXQl;uiHZ73v*INBMN2v9W^we({rDCn+SmQ@itlrZFuwo`a zTt^+3o%$~NfO9rgyqF52$MO-T&IDXJjZ>=`?-2~c1X@i9me&*2dafXy>#T8|liWxh zxD48Zbn<18PEnCw)r)khO8Yd9GiExa-L2!{VrO(m%y3sR!R{PINv`k3-szq)>HUdp z?rP{o->9N*;^^_fuXeKRru)BWfFx+R5HwE$b%)r zJdWKwpX~0o#&))HDPtoVp1n5uxvY26F|;#zkwO-#LkTYl1b2XDzPs$d2xG*snC~g{<8l^_S*@3V0Je;SDkSZ&)xfPzg zYhxoEatk*zP=13H86{x_fYW>&VSb|&Ya%E2TVq0ED1r&~?TC7raQJ}r!flcD3+G!F zEo{$O*xr!C<4L+lGW%t`rS>v zfi*OYU=wqmdpjee;00xK1a(H%jHlkc*n5e^oF7wlU$1Ej%U%kGF|Q7!D0oAu#Cttl zgO|)86)@}BDwEpu39#wO&WTsVUKtI;o@$_xbUUlIX+FAIGQ+xOS_v-C$#`l7n!3)8 z)3N?=Qb^GUc%s`4Nxhx$R=6i+|3{D~<`9`NcR_S$@T00FRU*Y4CgH9YQ-sS+9e-dV z_HnXcbGS)wev+I-iRiVGKMZ;)7&bg>d7hN;EcHRg`Yn}`k5JcS47n>V-%tt5odrX{ zY-dI+lLC#=>-%UjyO!Q-Tjn3uK9|2WVuQ!uEbVy67O#sV3HZ~QKxGW!Dd-R` zqy^Y|>8Aqudm#n%USkykjizQRkW9%c5L!3z2TNt5_$By=(zWz-K|FjF#2;lQ-3NZfu~YT#7f@RDi7u^;HBla>2z zxw;u-|9;!R!kNsNVYko{D3XL^BQS>Ya>Ound&k8UdT2OBIa@u8|KXBfXI28I)Fd81%1+nJ}ky{ zUsNV=!T}dEFW?26S{fVhwgf{K1s5Eh370Ql4ln}+bWEh#?;L0v&+AG8h8tt2-ewB-h_;tcKIN!~nY zOAmv`jfbSoDv`3gc06Ls(ybxckJxg?t)=xW>*AA{6uM6RY+O1|is<%y%vneKK6JeY z&?bd%;2$&Rwa$eM8LsDyPriZdf5es)xRHMj*hEf0V(VYB+1>dK>+-S+y6QW+AcxQZ zTPTuxwR)hTUNZ&&0r|UyznFDaff5@W8Z38ErmS$3_^KPOXb~hp7U9~%y zIY)&Gt|^U_C95teFr#f9tgx=;7FYvaZLQ5MKqsYqKz@GQW*LJ$Ol_m#mZGA}WHAM< zD0k`{aCGgQ=Gk+&La&D(E?WwRo64OVL<~4j8lJHA*L_T`f5J9U_bEB}gl#~=XIwIa zKbPFpCw{>Vq=ud+ZQVJ1>Rj6}uc^MhYjJa9Q>zpYUlfxIhymTp!ReT61hi!3O0i#) zl!}6wl5cp$bc&@46iz6%==qL|2DDq)_o#zSQnLy%NnPYbn0_E_6$R1Na5gczVGBzU zs>jJQK*N9TO+~B1f8m%im=dS{C$>vD;y3b2MS;`&J5|dIvm07En9-1#ML(sn|0S9B zg1X8-F~u|W3Z>1|pxZa_7v!8C2l|`uY8fx_O3+}scOeqf3#;`kycy3z&nNV4fj}^g&%7Ww^_>!0ou}Q&jBC%x& zRwZyHu{ZC60fJk@5W;tNb3)1K%7X09FuuU!cD-aV^0f&UZzf1g2=I+}C(|Sg4TxRX z-o0>6^Q?}pIn7;EFuJIproO#>SvEqpd&}c_oF!eenrAPhN#Pk_PJ6xoJmw5=^BRijOs?BYZrV*!fT}Ea!evQRaIaLK?E$d z)`81Dug6i47dEeM4$faL#Pu7J#iHA>xF87!x04qaBp4B~NXL=d{KRxJ0?-=j8KSdh zNjG+pS{mliW1JY2tr!Ewfga?n=i&xYKMs{%k8_CM@-ZNjJiNG|f1nzzIAjPpv$$Yz zC!9knZ7@*t#&)2Yac~f+ZLeC?-VS9wmU&Di6MRLq{Tim=<`y3e<~$Du&OH@Dg3awi z01AdX*n`|$K(;I?hz%)3TX`IaxtJUTBodL0QZT|A84Iu(T#ENg;#18%OAGX=Fhp=o zb2DypflmmWlfo+?oOD}Q-Q}w!=a(0xx5E9ycO2ttJfvwGXHckMVomI%)xpD~?gd7| z$wO~L2F32d178o@cN|Sc7bV8f5_Kwp*nAwv2uz8i-Wo>J6l(d4vPT zUE4ID+NSemy#LjxC1!?>Z9eMiN!_&tra|zwNM^WN)Gnma1D?IdVEA3sO~-^;WXrV$ z@w(ZRp?C#p4moyhK}=vXAGRsikaO1-SafrVZbdX&sD7k1ID#%w9&TB2K7U@^nfq8Wp0*q-4k9osYP z459HYbk7ibe&Gmh;d_Q`3(*L#3-={r3vn>^2;EK>_oiV;H)|y;Ygo@)8nc16$31Yi zK%aFl&67gmaG~QT8&B0qk#Mn4b|RmW+SrZ-pA-g%3ttkiTMFH{1tdd-EzOtuZPSsq zyKM0(vD>}z(qkFyfieSq{Q_DU@2uHZ6_|CmkSVJQEJZtLb<1cCy zIar!-fV{D~Ab!dtIxISPa(kmSD^rR)gc|dSE(97n2TI;>7h>Gk#N=GV8+ z?aCW2g}RUdHX2B)m-;|F(kx+lr>t^)I*r`%=prNn34WlIXz&0#x!T?|G3a4Gp& zva<_{{3v<0s~{uf7^OXr)82Dk!P`A6`slkS6?RW53iE18(sQ~UlZq3qFVLp&-0h7N zFBi!Vks)oEsMolUn9QQJZF>5wgy)oE!~*sW%<5%*rgjFHS-oHpp6gPpGc9C5 zdWIV#Q3ZxA!U@mbK%K?>7N5i={0c&zl!ZTVGme{3o?cTUnU?DN-3G&R%($VB@vvxG zyJj}emI86VH9It6SWb4ID!m%s*{q(oN=Fpb;NCSj2c-zaI`bVUjDR^c4qWxkExVD~ z?krgDvjLmhMEWI`rNq+ZJ~J+);MuC(3#Z;3>eP!*Z|1fr&MoEVQA_t4)zZbPU-jzY zVIw#OO=h~vcjIa6#j}7MO)QJQ1`B)oV3ZqA1OjZBwk=*)W2X;k8ah zKMew0J7L_!YAJ9DR!+=p#Y&&fIHAkkuk&H{2)vf1k^^1#XnocyGNoVHkYsEvGxt%x z6t&tNnT9Qr>QQ4bab3M;O1v%rnBEyz)LF8%xV_ zvp=JQlP7xyr}+z7rbIg`Q6}q4@^xw15ZzZKwybPO+&45=fln^H_&2qzEX{a zj=oIE{5{!PRu-$fNbWBy%S!rzCKj@??Tu8aK+)i3SSAqJKlPNCrH4U>Hg@E0WecG6 zf*muMjvoLs@=_PEYH#T@JY(*F<5(&C;cCKRpvA>IDUsTz@jZq0AbO z=V0lan?a3ny81Ts<*y>78i=c*ECm}9XBx_K!-L(Z8s^NEETJT>u`D?*Ob><@`7ks& zoQ!QOOBxiR2hVsix{A#-(UJ5rF?bHFy~*)UxUdAqS}AZ|4MtFbJxGmgJ= z;GxSH4*(VeoC`3X64+&8k}*+$_v7%0B(Y)1ykX(EzmyK4jwP+IFC+tf>jKlTIaSZS zXK=7!#nFa`Bvs+sm+2o5?H`Dp`V$lO=Q{AG)#7M%$rL$WX zVo`V#UC+YE;0weIC?XnjIEYspnu+gG&hZnva0I|OSdV--7riCBicT<6%n%Bo3g||* zcT;br)3q@RWh!B+^CT%O+k*oN&_FLNt*(Rf*wvMn%bt#o@0n8;nT;(3KN_UqzO(!V ze7R%P-H*#3=NAMRUi5RNd{pt4u4hG=zUOAE{_yHDLr`aOA4#eRkfc&ex#iK6r&E&C zGSY^o)uuJ2txoHoo}0cbeRKNQ^yBGG>1FA=({D}tAWg!V63e%#2mY`Gq#pQXPr7Aq ze~U$*wr7B4??C$Ff-QZoE&Uba#iYFj>E`Cd%?0V(`dlbX-&?}IO47HzePL+&>Jxj0 zSoUUhvZ7YY-dy&>X4zX{*;Jdpd35@oJj>pE3cT;*%`4LP6k7HcSvFmtzWIjqJsFn0 zgJ{YDo9E|!=QnRl-&1VaTVmOCXZq&5()VOq_6}y5!aYANe^?%rif23+u=#lUo@~qB z9E)Y3KJD(`Ez;9l-bsJZAK(YaZGO?6rcc`yeDJNi%aMEl=bHcBv#G2jd^~?IK4F3% z-C!B@XgRJ^)t3kLtO8TR%9kg@#k*Nu!N5>}JxjN-3|sl?$h3_ZHTT@@xc0+`+Yr2jG7v z&2|^BsHQ4!G}Hw`IClaXy^F7yG@I?a)s5@BxhBiT5dj#!Qt-VL10Cs|lK*`Gn0n}I zU36aH)U$op(EC;D(`)bs$OFh`cu=JlMz0j{ut+V8U8=5nsohJn?Gvfp4`}oOPOUpi z4CW5@kq^>39^w1Q!G}OIR6({)@`t4>MLb6FEbYY}0h^an>|sMR>|M5j$OF$f%Eu|n zC)Bl^`Zl`hDH;pFg423#DA!-rUXO<(*ctzY2)A!&Z^g3Dtf~O0I(AwVACr2*5aDAS4xH?&z&aShi|ADURNhNI6ZMHnGf%%*m9R~To5}Gh~o$Q zn%SAayKMGrhC9~l21pftk~F}QVOgHCB4vHbohgr`yq0n*<;xU0`#z}OrNblk_r2FF zJ#gRq&5|YH>22Z49ptoJe9Ep=wSaL~ ze_nMsuev(r!2DeU4!(DB)*g#xZwjyfz?8jd7S!D5gT1Nb{tkOh5ONIN{@%$4AC!o3 ziM@mvPKS=}EBUj-9^~%Rp*j8DU17A;>m+Fe{?Y#|mf@C~6eVRu%8Zmnq~BuuO1#B< z`(pdZ!4WA3`mlNYGHeLdvVlY{v0rdqT4H}VFgoa3Dz0#ONrn|%JkwXIrw>Q$$k0|M+>O#HLu~P53%Vf1+x_qCPSP)#73t&2@0nV>0sxvGjxE{eUUiqb6!60 z4W7L0d_dSH^&2NtarzJ=-(%U4dCg-Co!IGQLAV(Fv{5)LiRN*|d=qb9(4>9VQ ze%rxwgcHx{L$;R0*k{SN@#Nrt^&afGogd|)$GbPuR|tr8jXe%7Go14os4u^hwAIZs zlZ|Wa{VUY{&)}Wd@l{dPeKSo^BlG zPMJ|>c7>g;_)zR&Hfb=|W*WY6d(c{~%=crwO;|07z zJ3S0H{phc?{}Ujc|K!upK8I2HXHRsno_zUbBnY@6?8iQ=wk>8ql6zNG3aL9(Wgrvp zt1`hAT9xQ}^mNUrU#cUhJ`h;AX)uSS~4^1iYq#4C@L zY;TlJJwE$8&bX<6+^b2s1jPdDpao25c|&dFwj3knBL5_2+2$%bC5+M#Lchts`T}C-wOW;YPT%v`TB1Fg( zAIQ!Kd9jComPq+0&qq>}JUPr;YNlDpg14&_Z5?N#&}@-b6k+Q#D+ZeLF>x{a)2TEdj^l1^Fi-3Gy%z<+1mwhHA^*o*2l*rM}cjwo_lil``FOc5Cz znkv*l#`r+C10q(SJ73lA-GBw>t43*AaI%K7@Vv;`zUi`4n{jHoJVHdj?c*vzIPDZ& zV1Kbih5h9^v2evFRn^)mKI<>nh`H+j2Fphc5J%v)0dlRj@K*z5Sqmv0C<~3fd7wN} zo9CN>A|6MEEGVd3GvqNMS-Q`wg!)GhlE-LqKR8I1Lp>^rj&rggvNnc%|9RC%tW((h z%9PGWUsPpk3$rO~+F)4#+pisEA`c7}=Rx~aXs$1Pa$|Zlkt1Jw@^r>@($ylH$xAt6HBjC$#9$S5I_rl~ zR@IbXJ!ZcK6}@T|wGKnD_1mg}+|cr`R3gY#xneGc@6xwbL$t)Rp1O$KnwBzsU7ROC znOa_*CpUSuCm>%Oa*RsF2h6D4m@oh2HF+|Q?6is65m~i%4mbL;3VbqBThMy3O3<#X zO;7pcW@2`iZ*D47&O#A46QR%_svH`|q+FC^$!|WHEwLCK|#JD8FRgaGlSh8cJSV$fzWFcEe%2#N3bkQeE@hA~Z zrw`;sA4q7eSZaa~VxZiD?1nJ5G3uk zI(ddj60_9f#|mP@797unIeauW3~>SJdt>G4TJm6Do5a4$BFGo7%xxP zFlg;~vC!+|#X@i@m`KJ1p$TNl1TiDr4T1!3-wvP6-#$g*X)uwK5e`9>FPy5ZjV{rAYZAABg>PDB3rp99WEz4pCmhGmM_=-MgrdtSDfa+RE} zA(rW|%~#1K8Yt$mS`C0%3B#uf)*jqsWm-YB&jpW9P8ErRWf?=v(?l?=IM_7PM6umX z+4_J_ZU(+MO?0R+BstSXo4IQxC~2E6=4O-}@ByEq1YVpjN)l_1aLZ`r%p&bqFA6P|IdB@(i&erRHIbe5apLczx6c+S zWo%)Rg=o?1C?bnF(GLOMIA26$Gz+90(3_N$PoUNsofuHgLLqJR}iv;v|w}?HamQBGqAEi^xQDq zZ5fVyEs|qPf#js%Y zH}fm~xlnZAFmc$M=@>8MrtYTW9r6(k*afArO{eJ;#{T(P;xujCiFtIx5>XF8Jz!#6 zBDQ38EZkLD*$!bEWLjhEQV|IlDy%Y~Rbz=|nW)PE7W_)fWUcryLA$U_&}~f63YLrY z&R#B05v@?2zY;}8=Q*D6$afrh=2|&P?CZa;6-O=W)Rp<70!8l$`j_?4>np^@F#%7q ziRIBlF=Wz8QKK-2-kmE&Buuv-v5CFMyv|EjhAA93ZSEmbLOT z0&)MW6+4;{cVeh07Tc-T->^>P5aa&K>qI1s=Fo1R+*B#lc8jBrHRYjh@6Kk@lCfUI z%ZQur17^fMTPpII5qF_fYziZ;Xv1ZRTe9J@#O*3`@QvbLQ4T8?@iOAb^&(zI+&eyC zMn&umVs6HZD}BJ|RudsNh?2;<_1zmpYmf=(%HfV@xl-9qZY&+#$pWLih&D+IZ z#dZqZ2-`(n%Q}0fPj2j~n8-OFFq4759U@QI#>(s)FBfR>0H9hO!zSUce89{`&%8;@ z%_iZwK43Np@9_a+5;o!GEz#&4c(Z6=FpvAno5k9g$NgC!F!Q)y0$7}A;c>?k2e$}H zn~D2vx5%$}jg-}jXm>L~dU=O@)RRkV1+bxXfe7X%!#(U)0m^KH4Y$f;G{cTrLBHQB zuhn({tN6~_L@8qu{Gkt+NpQb^iMg4mPWzYWuwk0!v45#rm#~4@HcB);*-+kayVy;P zuy<}3r&mT;|2sr5BdoR7AuKT1(Arn)5R7In(@spao%UmDA+B68NHo8U`D|!K43;cYn@||Z;wv9Th>C+`@^+e{K4IFxuzf& zn|s89R9mkDG^lsGm^^-u5WUgoUioV;<;s{5cAq@R3s!NTY}e(ITZbzaGJU+md-414 z`{ZR_IVbkW6<(irX+OWfr?w@Gnacg*WWbntiw~Ifa`r@VeZ`oW^MEibvEi{2P;HG& z5xw?+oTCN9Y&VgF2j!g0>VUaO(B|)XPwe8?z8eGmA6&p#x( z#2modFx zy6>{h-?&d4TVpn3srJkiai+ocpNYio7nxPJU!-*Be)%db?EwemWn9%V3Ep+!vIKs2 zK;#RPhmi+0tvhj0*2XR}Wo>#yP|J)l`=*KF$n?hkM?^b>iMnOFXthxF=PEfQf8z)CH+L{=RZHQCLF#G*$=<46eKO>LU z_H@j%qN%jtS#dBPdsY^l3zj2dg>1lXJR&+iUOgf^HGE>-Y&$BtJg_s2o!z72=sa^& z9xv*Uu4pXSYmbSJxQMCnK-_;!MEun;F-WTXIgtn0gf@}A&&j!3)_nb(SQOjRleBs6 zd0u1<+oO(sL7Zh;k7A52c|o>{&B4}n6nXgtK}}%mI+kP~7hBVIT)sj}z+1<~0IKi* zKuyD66s?WxUKHc1&b%nbRpq@TqPKeYE21`GL$jh5;jJOBiVhf- zHvUyHBAHIDH@zyS_)5xu0M#~_Svaw;i8dAs_nh>as2W*?cfBUk!H|6B1LmXVbrCmP zCCqzWG=Q0bzt;!Mbl`>8#U&w~6J7EfvW>HoN5kIvrsz#!v-0^jW$wjgit5-&u&3#S zobIhbpx4ag;S*xRK0F~$(DI8>IqsyYlHCY}Xfc*4_LSH{tW{#jws+-t-=x-e#eQ%%Ls%Vt@UBQ!@)=QMpjQN6G$66Wbw*Cq)Xenq&u4^XDT5Zg zCtJMC(CP1qJF)k@Cl`~>L-ryv@qO7!9Pi6N`-tk459G$)xtDeB*$*z;x&1#B!C+$y znfKx4JNH9z2(aPu`-h^%z(l<4Bf%D7eX#~mZO5@O{E82liMa8sh?e=HVd7Qjs(i*yb{YtI0xrIQ)*$)AYex=%#s10vQ0Z~8kvd04Po z)~6zglRp)UvS77GKUJelnJ!HFO!PO-`b?DXy*_z<@yS#AxmaqA59HO)RjZoSjMa&W zG=3qn;Fd4seO{xD9t9r6Ch`yaWM#tr!?)tT7?UN( zcY;F)5qvS^(eG5PL$$uJ<-}Onc|p`FjD^o%kS`M+V)$OvHOLGX?)N@8=p=RX_oAL) zY&`S5T&7_<;$*$oT2EaRXHd2T`tzd5I97M%4|19Y+jeS8b^jo4RxlhVeh^VJ9L68T zB>uaEsIB4?yT{U%P8 ztku{2CeEp+XlFhLuva~`MUKAYj^E`R4{WbizcGG4``^pTOTZsuSF)N%{UPRN{Jsfb zO%JoU>i2;|17-6;%AZ1BHh<3%p-e{h1L~oE33=v$8UtDOmpsS=TTI$g6$7dMTh8=? zm8Ag|`Hwu<3-)cIVsM@NN5-{*^eiLqE%e7?Qtqb&-f~*;MiAn!1d@Ao8d$Sl5yC2< zT4KlxdPR+?Vg2vMnD13Ju#NQkJev*b{_s~?ya;IvQigb)xqCH087zuOOkYI^%VGU@ zl@FLn&hEa75Qf5+6F#|Fw`LlAaMSZ4tv+BTXOH@Tna}gTK42yr<$)q@w$HJ~2h23j z%Ylk83uB)nhJ*(xrJRM})bsq;fmp1ucLgYM#9CV&OSXn6HgY0Zne_jqcK&|}`6O!? zy5wM}()RynIa`V>FN7)C|9_Uv;Y#8EpE}+6zfyA|a9;q^5x&jJC!2o7aa~K~|Ehf6 zcU6JpN1BBl&)s^+ZX%aMHE(*Yfjl4ezff(8{$G?PUkOGunf@1o?3n-6m{HHm29g}B z%=40eQ2HoueqAy~!s>p?$Q^M?nE)c?bYP#4Q}V=w{qf3BFWAvEWjxuOpa>3IHeh~C zP=wXrZW$}c{6r`&n_4;)joy;1jPso|}r1lpDETdC}+Q z{e1>2omm_ML@;yyUOzzTFCrf92dB!|fr_eod#N>NxTUHW_VmM_e8ZRu2nQvOr z(H{)b$Owu>k=2>XEuv;D94t}?T^B=E3>H-&(?>^t+L);?O3mcW? z=58-+*ibo;q+}~;A_C}irwDs97;ShhM^R5tv+1E1)f-k+&IWuh3{?h_J9CwKFRAEF zWtHEZr(}r5P1k}5$3<}`!awX48G=C~rMtgmZ7_QXv z9kq$xeuv)x_WmASq5ZxO-&*!k+d0av2x0V$2iMreLCrXJ%&rLGyBHsr^Tp4r6w9;C z*XID{?CEXnKZRiJ?Nx5pvV;R;!3FSKRZ4EyIAW{TaEs-eb|uuMu12Zy!psuR)nFQ? zCK_9SvUV+y#Q~0X3C0+s^iNsT?aW{qrikUw{u@#2T|VH0iXtrJStLY=Q#4A-oMJ|H z&ihuUBAkh!^t;YFl`3v_s;BhX_CVE05o^ath3^A#>(tHdab)W#ML2H^mv$o2*DC$A z;|aDO-YKtzTJE(1+-OD;-DpMFjdeQ|{mGos?)k4bf9e=9KV#>bF>Vdoo3*OWt=)TJ zkdeM5aje+IE5#mXqHuDYqK(dF3xLG&in?@SXt{ut0`2$X-7`#Y!qrA3JTt*JVehAy zd^b(h2qA{-2*Qk^$yaDfuml)6ss-H&a$9LdHd|cFK=;y~SH;+v6<9GJ7(HHvIjhe|QfgAh% zEq=HKFU5~viPnt=Cvh7+yPwsN>gQT8B5IM2Y@eVcP}MVnJT*x(LXA@}5I0TMGy$+x zT3KOze_)Czu}p-1n<9=FwtOhPO3ckP^%@^A8;!4CB?>qbALCRJjOBxH@Cfq)Ubvbn z62VCR)CbIH8#GNs%W7-&0b@uDwJ|T(U(FN}i$Q>Y(w*)+<^3Pc*ryUdhDoXX}-6{P~;zDV@o_uH}E8oC#f#Oa9@1DjQf@ zR|5kc=U;w}3|PhgT*v<$V}Euuv83Vr&no`snI`2|K9xN^ODXlQsj<}5xc;7{+@~XB zzOr9OtWzr!LUCbFaTbysHMqTp=r)hAkX_d){^a{rjvTV98Hb6DuUFqpPM)Z2#pr6Bt30G5!v|C+Mp|>N6fORT|8RFfVIDcQ zc|<%%zIQd;BMtMF0W5EzH8+pvCHv+p6B#VTnrrivCu47|QOT-n!8AiFK!(EJ3_omh z)BR=(Ca8W-*#|I+-1u1&YidYtD`xE$G1act{&*|Q!6Ra7m6}@JhE(Iz0_JKp^{qBw zt`}1CR34DY3!u*)5mVi*{&azoL;5_U#hjs8y%crdC1Q6sc*ZhiFbZy3<|$}%H~8~qO178T zcVwc{&$~-(?xwdcSF(ZPuI0Tb-0l8-xyDSk6_SW$;1eg_=5BxIwG6?&YkLv68*f;_ zl8RRJCb^ruohKcoNf`WV>4GXQD9>uE%F4^d_#}6%REByPoHkP5SQ$sh{((TNtvV~8 zWjeG~F ztG&v(oBA0~i|g`AbGLP2mtyszw}9xLwZ}1{XwB|s{{vgk19bQC%IlO`576DUx-}{g z8nICARH_k=?5as653PX^(FW5*wN*&mv74)9+09k$=W8)JtGp@XjU+|u6z|xy z!a{QJ8N1n&i#L}%yH3gXYEdCM-vZ_8M#bArMs_pNUE1Btl0tW5-|AL!H3+tkRuO?&^P#3*DK0y@~-6 zQlxTW{q?M;pK>RGs3Lbyn{H65D7TM`_RvVRA*E=^Tt$iY_d;-aZn6m#u$bRLz zUvW`hp#S9OUqA70dgmRnXPHWg?$&B3=v%APF7tl@tm0ifd#kdX7)9Q3$KHQgj3DUZYsu}(L_LDVTyNf~jP0Ydx-7euKy~J)vpK1F$sSF1 zO|48JcZ{wM4YXEOXW8>;b0h9i){~FUE8!|D9M&v{n-wSSQHIh8v>6XO(#W|Sr#~_N zTIuI1x>q@FVA-RsH3eCfRlV&i%C%&Zt}iOh#Cm;|pG(=RwCen5rxM+wDhsJgat4s| z`;;OQ-d=rINUk-Xwwd#NKF#26a}Zgb8xTPL+^+=l;<>JoDa3d$_?CYF>vLw0Y%3ob zN1i_bGnjpjp`XJJDx4%gGUZ?%}5h>*Q zL+TI@MUmpHJgZ9XM~C3h>aBO|qlz7YedoTYOe05=s{P4Rk1DaODq60lx7?}t5tbci zEB%P|F(sPUvwK;U1!Cz>!ap40DFchZQ&+{|8c!L2^2KB7Pz2_@qTXEf{dzI`lZL~} z2TX!pic4|mh~+e<*o)s*2b1?N+6&39Pwl}Z;T8CgEywLaR0NGtd5{TJ0wIKX)?!OJ zIlmu9Va5Acb4`8%ON|-HmC0n+I~X`mJ%P!k_cQrPgBKu9!J8luSJZrynaf|7p0`*c_Of@8HE;??0`4$%j*5E+!y` z>;5l~Dctr1tU$#d`aE2%-@l73xYyo6>sIJ03(4qr?I9%b2eh;8s>&d;E2=t-SG?_A zdvI|sY}H&#X=_`#1@CE;(JuzcV&4{g(Qm8eDr?@fP&B2WILi*1$s?{8lrm_(V`-IY zgVT;HEgHbl<8Y6+{c86kYZq50G6s|K7hy5ylCab0fQw1a5Vb1|*hoZIB$Kn>IKv}z zG3U@qaC<$qrBNw4`T{(i`vwQV)A$mk%EM-t@nvPX+O;!2L__!e;)tZydwU-nW)65fQXKotNeel7qT-o!H2O}#1rt6Qo=$dpq!4aNkZ@&vMQ0Vt|e z1>oQb<*h!tT-VxvD@Xly6j!e$Mvxd>QsQY2*_Pp@Fe6CD8D0?xJOe>w1on9k9Rd?) z2QO{6X4$J%b$h83s>eE^%8x{z0jIa^pfdl5J(BEuAFP0!Mw2lgK*bwBRFYh;zpw1X zis@Yprz1Q z!281sbd`2;Bm^SNMwwfi#L#);fghFO6wDY9PfR~4V>nf`|Hw^0DHFK@{B9li&*qni zpOrP7nCE_m05P%#{Gz-_R-6SfXRoPFB=LWM%HGe;KR_x*59)|>CXls%Vvh))k%W=@ z?VrjMYG=Koa}T&+J$`9)UwB|%E~JS~2cz}Q;k05^AfM7ZRiZ85L8(B2gp=Yv&WTX= zTlzSUa}vA!oGP)O`8i+YurvNnb!e0aIK4@%>g)6(kv!bjxtq49kdy?1F8sqoK!ov}RoPQ9}q=?HW}|IlUo zxdm8>Ukr3kqB0$JuOon@gjQPu^Pra%jaK_cnAQF0&Gn53) zsf-}Ij@#p1&xAN1){)gu+7p8E@@xfJ#f(*(!<>Z}moJ1l_Xq!P$u9p8yt^xB1Q=b& zg*1=ECpgPJ!z13+o#33QRp z(CFq=2_8W7<`i@z)xW*nd_LLT&0_{*7>}_yr*he}-VE7f@L!}$BD~OJl)fp(u%x&X0`iu{!iSwwU|6rsX+j1oC3}vQ&9OPuf$Y8QFZA3Vk zR_g5QZ!JORl4r`CX=GEWQ&lHFma0y0g8hXxML3JYi)48?a^iN zWI>~b1@ARF@rDA6YZ<%5xgHAW%o69zTxz!7t7=f!t4p23)##VV<<2a!`ZGKHe))Ds zuRP9P4zAo?T$RpruIuIH&e!z}Z=d|i5)xsl!JN0;8Sa+mgy2dx({eMtW0h02x0zn~ zZk4l^>>OV`kgPV3@F%l6oi;unVHl)vFC;m-u_lcyTkXV+Ep$@7u-b`hX4#S^zp6N^ z#6h-CMtN)Eh(Pi}m(#-1Kynq9PVOPJUpQ&8>G0=v zbnOk!ZarTm<=4OvRF^%jKQ}p}bm)!nEzWT)nXKFbEzQ`)NXjQ;Lq;W#EzdY3Tu*Ir zR_loI<*HC}U~rAUEAmEXt^bZq)rnCyIs>UVSuq>RMW8Pd*H?#Y^09n!Q}Okk&icMgLr3S?Z0K!oR0US@!<`VY(hy7}!S^^L$z%7S8#C@h>n{XX zC%T3|;H>tC8e6y58ST&QFB_Kfc51h~o_)kQN;S4^MRpaK^Qg1CFC{$0Rsvn;Cga1W zoF>W)-E@f8feeU5+sh;3$bsjbMm2L)mQBq}E^b4wf-T2*5>~*TRruB0t5a~{r4t)fr^#GtNQ^jI>o2cve%a2jKR2Z)5_i;+apKfv&aBIZJh%j>`Y5 z>dxbAtl~J1&uCR@ltc^dixTcI+QSTYJjEzWT4ZfeQj^fWjQA>9qIeWVrA^aB=(bFw z&_t9xPm~PN(HiFMBFG9s5&uzCN(Vo-Y_eu98Oso zOp9J}RAyGJ#lAaLGLdZ+-KiO?f=o)G^{ayQ(F?0(X6;w;CjWe_LAbgsJ9YP|m7@vH zsGLQ`dvTPtT(Typk{;Gw7G#7S)&}D$MwA&ZPfm(@CRgCm!NK9>r9s2!@^P724PysP zaV*v-`Ax+sVM%E)g7M_73yLcSPJXm^IUX~qeBg;r4l+`Awpv!B19xmJvq5Ud7MD?S zHQ2{{-f_80l>NRVdn^ujcTl+tilap4Rwp-Ct*{N6MvX4k*nsKFCbWTsi3Ts}FF306u zxuqP)SISq(t>o5np4>*hTE0eZE4P!|%N^uv<&N@o^7V9~k#Cec$v4TJ)yXAcO9=VTvuiRJeC-;}{lkb-wkROyEk{^~I zksp;GlOLB0JMlV6wLkl&Qwk|)b=%Z2hga*_P5JVl-=zb8+V zr^_?s_vM8Af&8KTk^He-EPo=G$TQ_n<r?97badLX5@pcmd-u9xvi0Ou$6Ej92g~CgC-_jyLco-oj+OjY7PG zBD{+!n2Pr>4bw3L?<0W^@F70J$0)`pD8Wp8iq9|$voQys;|qL=ukbbIVjjN1d@R61 zEW)?=4vXLLY>_6M}?G!j4HLxI|D( z?84k~ITG-=A}b?NU!@=jXI;X0L6{{l)jD=*4F^sL7-Sdz5Db(I*eVq2y^p0%%1Y1VOe~xq?=--u5XoK&W z4_z>2iz@%yr0nYJh(JEkRdAcCg5xTu<-(k$m9A>Ja(SqFX$19~zD-CI)}`Zy1Mg@J zcc~3O)Jn>Q+0bxV#9JL$=EL-+?+_fqzBJtMxK=G@`>NwC5H|jyuZy;{NzQvGJNIRN zqgKpxI$P(JH9DOg8}BHZ>U0idbpEDiw)B9jwo~XixZRQ2mX^8Qp4ld5cK%@B6L);k zG4p#phqmt#+j_;W#XWY(b*QhQ_W`l(Lg%dBQ;#1N`zQ7McbnwwSp4m2F3H(BqvwRQ zGq2OvGq-hyls2nxOwS(y+Bu&T&xGQU(tphA=|@ez{q6CN`wz7}&~e|lU2~^8drs{9 z@bS#8J+=3=9`0G(7CpRkt7GSf8Srtmvu&)iXGGf=XWKaEGuh4#d%JtsMb6>y(>B%F zaanu*CE3ndIkmIOYAf~%ZMV)^_uyA%?V0Kfo;}+;@HlBUe0Wa7z~jRSuG-EUdggY` zmV{UDo#@>6qjrxtBI=y(jKRN|IkA23bbP~ZyKdi&cmK*h@@osVM52-hiA@{gSls8tC33Fr31 z&d$d%{Dym{+AqrK*m3XJ4N^{**qOF>a~yw|*!Dt) zXIK8nUr%iN4b*+`4-0yL0X>LiU%t?1yd@c0MQquRS*D?o1FY5njUF}?_v#`ADS3Sd#M<|nOru0529v#)6 z)|1_46MLb<%f+s=gSSt4t7cd{~ zX-91Xduuy;d&Juux2FNRhaC9>NBi3ezOKy7gLh2X>o|B<$8S1I$E}^xGYy)roznG% zU4S_IOs~_qFZ)Mn7kz1xvtm`}=pE19ab(AH>)`+U*1;HW7w;c%oSx*Y-Ma3~oAy52 zQ}DTU_cN2772gBCw}0ng+jkGH11mZ`*;xw%Yy15N+a5TG3`=;T{QiSrmZ&WNI+_nJ zu%DdJ@xb9Pl-{}MP}}_?sU*M$u-%GYp}*~xL+dhg=4O^{ZRzll@Fgk`cQeaJ{?wF_-<;TYk@V;1`$ixNfBw|;2~aQs22N(z z?_k)&;Ooi5#1A}mX+8Lc;kDYBpWP|sz<9lBmvGM>@yPcAV*k5+lT<&@o^`ace^^&P z84#yxdv2cFvl%*=*mnl8b>O9kC(U_jD=>xrUG>8L|3RS1#1VAtc-naZh&ZF`NkV}1 zR>%IQ=YpJ0Jbg4B{`5ZgK$;y!$*Pxb5{o7{2R`bZ;FKyRwErLc-FbNIvChRkg4A`Y z?|*LFbo=Hz&g?usR(kcV-A(z9yEZ|M4#&$^&z<1xbnFn|TTX37kNr0<-}N%Wk^eI2 zsq^fSy&DHEI9kyE!A~~szCpUN6s zz5c`bnFEdYE;-yf8zS{1t%viafomSwb{Iaj=D=X=tA6kz=b^0`P^;p>;0dUMM(8x> zGvgsz_N;9i@9ethkT7nSFm9(b?y2nedSQHCb8@mB(wtjQ_B(*($~U(=>=T^({`~g4 zwhqTYj+&hfknC8`r#iv|BsJ4hr>(*S$grv+Msk_{pOCAbwUM0ew4Ry`Ou7K&%X+f5 z6~^r%+e3e!Qi}VENwaLEv%`=EOQ>orJj+IUYt@RrBU}tAby!|XVjuiY-vjyFYb2i& zvfhlNbxoh(A9*77?*)mYozi)@((GH2UL91alip#zIuwwITv zWNuN(Y=tJcZ7jS+?M(PsEqYAIiA23XsjEWm&haD&X&wLW8YP(%c&iQ|-z>+=R^I?}Uj z*}_*Z)U`s8{Y-DEY2}nKD-iaCs{DZ^DlzFZY{P{MN8^^dB^>zp1=~emC7m8twFevo z@f1wwBE0}Os2)K&)j0^Su&d-6ypIb;LVmp+@LpzvAp!rP8Mw~qF1@9O?36IJ63@K# zw&{zYTbx_31I}aRPO*9bH z=t#c;kTt8wG_}Njrnl7agV4g9(5{4*)6}H^tibd}Q$-7r(K1 zocy~ly#9sZi*J_CTs~XgAUDX(a$fAp3&bmJkHvm`fwIce{) z{j!FYlj?V3OsgTj1}*yy6W>oCR3 zsqIXA>)WzF#Jr2aD=!KD^pc)VNQoxzJvMOfA}kBQQzLCE>kHqGcyAYjO@-2? z*em12nOA@)E&_rk1GxPcN9PVWj=K7XBLStMl0Jk9gb4^TesXg6Yr*}qQ3--i-qR)Z z{#cTJx1cXux@M;IY%DxptORCujTcM4q&IjqA2)#SAE;8v?-Dxa01G>g9YJ&4_d9d< z9xEK%ITLGiRKY|Dc{y?JxAHsC-*g!_tmnGp~>o93|tYCszX8T8M-2`#p zOpxfC(#*2H3-0K2?l{&nqcdm6F`)0>2KnAb`Q9e^-i`bKchn*iIt&^+ zC`~A9KOmKq_5JFO&WSsYVYArTEQ47<+qN6zU_l-tXr@$K+V`XTrHz*=?H6Jrxu*wV z?XkCQkYUE(Ah$Kjr2pO~xm5(&Ls^dvpinB3KMwro)`JrC(ky?eLEhN_9lckJzh20G zy-fcqIrDpj*}+bvaYxP}2WlDnTaI|qCC&0zaIH7C_5WYHy{D@d)hGkhAM3?^j-D=L zd!6DV%`!^%w`KnWJ~zbViQ>4c8ssB~9sTc_!CKX>OljD`P65pn@6jvYtFlcSM=fxx zTMA-3);CcMPM$6If6(LFIa`LQ#T$G7C0z|80=~8Web?**&Pn1}F&9j_qDPYY=Jkz# z=p;-T;KL0_;Pa14{eMT_)_|o}T_`RX4u$XF!Y55^N=m=o$q$KWO!J&fa@0J1uy@JQ&u` z#`0%~GkgH7LRJ!xF1WPsx2^NT?5F(X)b9U9u43#%XYPOf zOuzm0j~+S$(5L$U-a1Y73vy=;n7#<5;4}w5kuF=wl-Gr>r(OcK%P^*!|{hu^;A&BZl29_m8`8@0mZ{9NU*G)+t-$ zPTStoZ8yqC_MSdk*Eb#f8(zTODj#{~MtSdP_@1>DyXs}Ap#M*`{UaXSBA?zOA8L?W z|8}&we~k2t`F&~NqgwxjN8=w_^N()mpC%2=??avodHKmOd|DsF!z>GZAJHSU?&&!Q zV_SKktoHQH@}8UJdv2C%;m;%R=@xn0(YpTkyX@Z^7y;kmvjc|2`%teIrqMa2?PH7x zGYPsV9G_DQCG(o(d5!X<{tPI}w&&CWdONB;N)294prUY$Ru$E2loe8ORp*?X8;-R# z%SUI#(E|A)Z}|5CdKp;GK=|&O~@6&}84VF2@B8va3;c zHOa1xa$lD6RR23!xQo``fnx*TqTvi0IPWd%yPS|~ffaxkB8wT`B@TDdxHF#WKg}bp z(2*W3f}mR1uPn&7spJ+Nbq#y~B8)`p9GviK^hdaU$FaRPV<@DPqy1%f9*_8?L%kE>d)MM2EKh1 z8^{xfO&_@YF9Vkkq&?ODA@Z#c{`K;|{FVB8^76l&j7^>;UhM2BYtKF^w~sh_ReR>q zx_0sCEl9T=u@$q#!jT}GG$0?+4@hCYGfSMUG|0n&x3(?FW@dxMz$gQwnGGh>wiQ{; zY_OTOo8-O)U3Nw*c|dw^gWRx1wYP>FReNjLs@hw_O~~GAA*AJJ4~Sj%T5t}BHpu-B zVBwA0GMwdP#4gJhudrPi+mQ9dE zeg$ph9PCPa==E<(poNEHE9Z%I_6e8A4$c#&!rucg&lA%f``w~_x^lucb4k<}F(w|c z*a8XjbLz~)m}2EaF7GOx?7kt)^x3Fmqg95z;WBzv;h% znPVO|bA@38U7hp&$wyE)ZE#* z&ADICy(0JV+^cg-bHAUvW5x?J1ObNFYt#GANW%2K_xI#Vy)z~0qv?AtmU{Ehhtu&nepKc&r;+b*6i$W?mX_^Ug&{rS_yxvkIcnJe|q!%YjN-Xi>=MCzR{ zZTIK4Ey>;EmU@d(;qF)4Hs|gsm3kLQ+rOII_O;wSv!vd91nDc$Kkj~|?VGuK%B0?f z()PP^+hVzUW=p+uaHUb|N9CjG(iy2o03Vy)_IU0dm(*K;Ds+7a1Le;C+@3Tj?Wt~i z!T@!S*!x`9FD?RGk3oR6<=$;z3sQT zt*vEm+S*UUr{MT$uqh-Q?CsC(`UL*gKJ+xB&|H>>#z1+L@G~JE61c3ar{J#>Y6)O<@Qb^V95+Fy7QUb-Wdcuodzqo zwRmS^Zf%c%DBF`G^-hHCUqqk%_T#k`yN_ei$DrTq(68^IUtM=2F&3VOhlS!Dvq?oecf3syTrCQw)o z`l3;vAbDY+OWx-7Tk4yoi=|M+E9Hf*y>?z?O>=#HqYB8AqV+ACq*-_owp{WzHExT)@_oL6krNZ693gKZPEtrYjVK%JtjuZCa&uQg0s5ws9Dy$XC1Xvq^ zpR{sPZ?SEba0JTH&$h4Hgyr}X{K|lJZ}hXxPX40LZsF(X4|IsoPXOmun|}KAZ%5uU zY}>YM+u4Cp0{|R=f5NDNv}(EoH>{W!+qzeX_U>9R(yPRb^nL%e|G>{5fkogm-D|`w z$D;=iJq};~)qR6F%>KlaaIz!qlkQu@5oy2v?;}T_0?0?*-w;Q1e=oz<-64)}{QeKm zzksX%P#os?<4b=!hQIWP!^A)T<*zZ@LGk16eSqlrt5E*Q{zIZ&R3_PHLLjT8))doU z1E+h^q)$-6$F?ltq*GsiQ*Yi$YNFoIa_!Jk`f#XLLE@dhoD5O6h6`6C?dEV5t9uf{;%I<8p>k&|{^7Vv z1>p4Y(9g$QT!qzbIa*#FS{~7ql0f)_C6sJ$moIZAX{`AYIZ<~Ja^secTOvf?zpAy8}hG`IU zB?%nos?NL}$F{A5>bBC=fMAPx?xpk0V&2u9R`q7%)RZ^+fRJ`^;MlkYJ=>~ z5?IOFeQf>I`*#0%y#NOyoJXehHFVC0-16Ez(-9-ebt!LhQtUx2a#%;+_obiz|>Xu24NU%2Z*$ z6cIEZqg#d6%T(4T16xx_U!w@MlO!N^E*n|&wz2ue;`prE+m7Gd)l2wi5&oS!bKMu4zt~u@Jm4*;4plDmRZELKEc5wp#9j8mq7alfc;LI`?( zoGZ(80x6_lL{&3JR9zrfM_wY9oxHa%vyi(d?eVh&v2>y%O5`$g}4~A|9g>pz}kupR4-N<|}{= zRa5ClUN=1e#Jx+1JN&+iEtF@Ui+P0&9~EbMCDgl()cZLv5~$`T_0q3|YPXVV_v+PR zwgShE-G0!=EVNPjNq4}JW}6esUX+fUN@W0<|r9h)}BmIL2k?_TN1u$6RVe(`K~ zonx}S+>6_M1+?W`fqP!d3`Hf712sEsdcP{wzVYk>Wr+h zyOzpT5jogBmKR~q$Ni|*8?e;NIVoo}?=b_p>6`Ph{w%aFHg}idq=h6styr4im zyIK#z6y~PgKC4n*>N7eO94~?|zK{4~-2=7T$H`&;3av}{>-ZC3lT~cm=xw);1(!|L z5*){%nmWi8v}~gGIu7ausEUq1Lp@kGBZ@Gpfoq}Mp5S;HsvRa)synoH`;2I$f46;MNox^%&x`3;QbOV#)wocL9f+Up8eba?>W zk$TkS%v1IZ;WqWSig4oAb)?!@`fL~yNk53>OD2(wro1oIate;$L%o@NJv8XU-BZ%i z)4m(~-aX%kk^NEkjI^!aNo}f871Gv<&g+)4z?`zL)Xw z{%@sS?zGK_UEYPZ+R510nYXvPYm+Uvln8qI~nx>b*C0y?=$=9eh4#tuZE&~{N!*RX*+ zkv3jDJ@76zsTa~lKvbC^LVqPpfByhPQ&dF9b;ajGe>7c=55bHsQD+VY^*CUxFbH#; z0c@H}G88%<$;?gL$45|ar%9t@q6R9gf`37^*(z~{xs9o0J)z@csP?vrhd;nZ1I?;3 z>JzA^MLmNFXXR3~qOaSf;{wqpfJ5Qz10=^#=NJXmH0NMQ4)PEZrPL7ysemZlga#nk z$6>?V#zggXG)$ypETG$AVqzRR4@z<27zfofkr*~UTKANsu46n@Tfj;V+s7+uc8C3g zeWPaBX%AaV3T&Pn|^{ui)u zP6}J|jP!wF<7U*gG)S9UnhRQ**A$?&{cF|riHYepThrZz>65*Djq5hSlDn%#$}N)S zMWx(ZDngaMui(P0H4Pgjgam)1K?h0;(>rbZ-RX9R@>B5yCuSx;M>DGl!UQohZx&jE zbWwGui{pg-Gl}JC!$}h7sH0A=S!!8r7BUp5qv#sG!m?gI9(Fo1LActo8a+|NBsN=C z8|$HmFqq2i3aDecs$7GKF&-`VBdT-21DE<>q^s#xg6lcH0oCT3uoXbm_J$%Z{|c7} zbMj4tI2e1XCz8am9wyrt9QOh`&GQ-6Ob%-o?}@mR3a}~sFSKI&IeMx%WnE9|*v*?mbFT4B;i{)~U*XLgnv)ySw-MuC~Z**X3 zu(B!?zOp(Jty#8w#mZGN+r##Ey1$j4H}*@{)Yh$Dv$p7|v=N-$+ui#Bc0rpcRVH#*lydzbw(stB@v$G=)qErG%Q0*W3WQ0gs5;ZKb z;Sb$2$oe8jQMujlJaR$)+mzty+fq0C}1*eaonmKY#1ZtNC2BEl8gPJ>PiY@y5L9` zdevDy@PMj8^at4ac{uNoVJ5OcYjDAgw%{i5^7Jm{qtX~}~IF*r)KYa02#&jo? z6y*A|(DqJa{nkxcSsR-+uFXQ*6HE8Mm9f#D4m%;0E3@uCoiSc1%vu$3LBdiU&06QJ z-?GHN0yV=wuJVXfl(i0R!)rzGmntncib?6}W#vJmOsl)qyS~|6dJ`_4VE_mUpvG6_ zrAmF?*wv>qCQUVp$fpf!>!ht9{H&l{?hD4AI1NGpj=tJGkYWGnfDDeL%x-s_h5g9b zyW=w^fdXE&iFapYp6$NWo~gVA&c}4_E5l(v_tmbhx>7@MxI-U;#|?X%DWek*nkm4* zAL-~4cE~oyX4=7s(9eMtk2?O-cK6q9nMw{&^4Xk0vOI#MNN@^h&u4N5$?^yi98CJG z&LCMHK~g@uGf1W*Na>lwgbus?Uojh)<@Lhn2a!HMi1h#DL8SLG?0&g=Nn}yh@Y(Pq z1)J*Du5Y|f@`P(7|N0H}iwZ~zTwW6hdhxsyW|?qQw$QCM2-mf=ESgc2H9YpS0&zl= zsZLK<)ye1}yMYtADrRMUD}7ham{`SZuC(!xPF!>MW0_+W$NBw zJ%30BhKB<-+B$br%hvN^vyyUtZMNuq4;qD1V@g_FoHg9DX=}iHS>ap>+~Q^6T?z|} z3Jax6n>Jo9$sUhUG7o>35ET7LR~5Rylr{Vb27wY6L!q}!vc@o2k`vWG-$O@9N$80< zIJdE{d`7_`p7VhI&#MHK&n!GzOF;ST;kHs!O=(-}XYd8j7?|L1l3ect!K!=Lheo*eSQry8!WZ*HvLa9)lz zobOc?<7fxmw{>1509oDVL;L^VL;Lfkz4N=b_-SnIv4@4?pB3^I>Su+}Q6u<)@sE!i zY5u*i(8sLvSbQ&{kJ13#&3!NCzL#*{=d<4xUnyOn?enC{QlZ<-lEne4crx+(6~CXt zfDd7*N3g8UaQWefJ;Q}dv=dnPj8~j9T!aJ@0+2t_!#Q9mOh7_%9?;feA`DiFFfnls z2o&usT%oU&k^-ViI}2yU1Q=c^s|Z|Th>=EM#e;@Gp^oE$@y8({5e5T60!73{pfD!_ zhl1hU5Wx6j<(Pm3%}cloi^|ZTjmuQ3BmqM0Xit&+X<=03d66e2gbF^1nHtl+lrW}_ z&<(y;`ESq!H-;l#J1s1Qp}RuPf%<^-`RQF>3xlB~2H9+QwL1inlgD zO{NEFj0zQTtVdumwjIMbi@nGVQQyzW62Sf}kDRL+lgT*Ug~eWq z(^h&gV@N=Po+=bk=kCE1u_Pd8r}2REAH)SOg@hQ~h3*m!0~W!M5rF+8=Zk;%#FHWc zcgTd*R7jx4uo(dvan}A{m!msXIdVMcnoNiQh*IKZeJNvzhro&l=#Wcy;AlG(rJgsM zF(mL@IuEQOXcCb9CBUFFL1Dnv8Cytzz={MKfucT&Qdn3_Ng>&wq%jZ#2}nrDgQ7nT zlK?|9CWgd=yRfuGx>TVb$Vos#LMG0E2ZftYf;rHh<=?IdnE!s-Q(EK-Qsx2KKWKuJ z!e^%l_0cM`6cR9DVGBr4Y@j10hGU7NNw9zNe3%)-XW|!N{$sd!ODPx+n6W8+YJ)PF zCKc`}IWq|fFr-ETs3txSiupl3ci{prn=m9G!NL}Z-coeXisdZYEQJJ2Sdf5>X#v9n zDKVR)f}S?iF%%oN02mUaO#sC|eAbi@0mM!Z6=X01I9kK5*9{s2pEXekaEFW{Oe7%x z!;?Ub2s4IMY@sA|F{=3|PeMWrkN_uLs7e)q5;yy#FyIb3IVLB85hGM*5NKjl;9*#P z^+7ks`Kim%+8h%i0Io_T#TXKn2Av#(H3BOhaEFW(+=U9zkOV{Nxk@1s0wtv=Lt!wx zCQX$Vn$#V1Tm-897tsc787kvqKx#`sg02W_1SzaPBxiycUtAt6NI)#&oX1#yCPjuV z&{EJbEI3zN;1RIv&tRcRH&l2GoI%G$V8sKne>dwGQXzr$EF6LawxDa$K!EmNcoJYq zE~yj@3>F9ykf2LJMS$%e*JtFI0D}b+78wWFSG)DFr;vgFvLXwf=xQJ zE>nhxfnh==0e8rVF#!oG0>yjT!bBv19QCy#GR)2SLP7)yG>J%1@HG(>hN0O)LIfyp zr-BUaSe{U{izX6cNW#Q9@Bsbm2Az!$4t}1jUD05~&c8NR$cluhf(Q;VP+%ic5kKf0AS#6o#R>C~E{- z{sn?h8n22HE+zwpq+&2*VAlU2T*Na6T@_{&^a^4E42D5bY`~-4&?PrI;}T(@peDJP zZw5n3l29>dGRB8FxXMa6UV^)JgDCVt$~!WN*=Q;E`347``q0pC26(vLgu1==X2PM?;ngr~O#EhP$*DL_!P(7m8{7&#Kxn3P!BQ9o<#cr2>IlI9nlT`YqDGn} z2~<`BwK?~VOOjbAcAVt=lNkd@)<=;56)Xn3;d%#!Ko=wMplI_)+#x^Ilaipz5$z%Y z#SDT3n$#7c(81V*0hE(8AxMaz+{0+nEJlUBBydnn=yIf_U^b@2Qcz6y6q+%BdC}`3 zv^nZ>Oh^K-JwJ{yDXC#dz{I&pfr)>~n20whQ{w@oK#P&sf#T{9DjNH8N{k~)%q^4lK?N%&N40rfv5gQn*iGy+|d zR8ENcU|ka~K@QsD{Eu!;2{EMNpi@9#oc{rm%(g&bFuSHyNT4)nMgW?G^AARxqUESd zAt44Jxtu~k^N<<>(7!jSL9-bt7iD1LnlwzsM}X`vX^?;zLFIz(qGHaP%00}ON-6~k zfqwqSM^C>~z`)H~VG&|T2#oVT&}TeF=wphq1zi2yy(2UK2j|AQNArkaNH9CM!For< z9zAe`j|4LU@Vq?PR zf0B(UchQ1{j5%7WGQm6|z8JOrpJXJMF~lEJ#*SigW1`l-Xc8>s`0p`CC9ZR`AR)0T z`D0WTquPuh;r^2a37@tU)c9XWr_i(_ESNZV65K^)9@U<;{ig)O+KL1UgZcpLpb;#@ zj{z9xe?Gl2mFOTAKO)%kKQN{SqX>7A9Oqb{1qs&1gw}skjn-C*u=EQ_c`(i}srJv1 z027gPM+rOEK1lD9N$DxW*JO5)QA(d4>>imxt0za(?uq|__rO*GE zF(ipTcG7FPF_Mwv$%n{CCB*c)a9JM2$MLY=! zq=3Xo&R_Cb5t9xiz+lMH*a{t-7!_@woL);R@^h2-RLn6Ug1BOY{;imBn@C6?g>x}S za{khagu%&C6C;(E0T0{)goPOO^Cvn92{FW#V_Y$sNMJ9S!Tv|hK=cFx9*9KG`0H|| zqBjgFlWjnW;cuaWIi-n@0QC>|xx_ozV?_e4&Ww-*2z0U1!5j6bBGC6Ay!4WWB@=Nl zXb=A;M?gtI=fT*2NQDVHbW%*DPJ#&mxBrlw2|DUnkdWLKXm?v!r0AMRoe37h5D-v9 z44%I?j3IRrC=5eGpdA(0?VmAdm?tDPM-78EfCh~KAJW$2|B%GMp7S1xAQk$IwUsFS z@mzyGvJ+!S;xSF}*X`zaHxo>d4EIDi2T(}rF48!ElI&n_Q9O&VBmzXKEitJR6kKBR z(_H+cj)5Y#%%ModEC-1J**`x4rX^t}!kQ2EAzu0*sTl*!29AHo-J6JD9o3mN8$1Sn{WAp=l&i6lL~@7erZAxR$MKQGWAg76cDv_uCsI;L)!k|) zqK&`QJ*GZ5G!(? zU|`caj);V8X#3A@)>&~VlJfyX3<(2s{?W}kD-#jPlS?<7n3*t`hL?H%HE0x^MnMv6 zYL<`))&6;pIT#eguy_o`tfz@bM8flbsi8=4My8nZH0JSztct#W4l`onBPohxlh5Kr zqXWr5xyMY1BBk?lbog@XyMG=}()&MkXGLK!FHIzHxw@;eL_o#AxNDX=3B{DB0=W&r zXPYpv@ehs=#XEgwNd&IX`RuqDbU_qTo(kQ+X4=m%c?r`Hr%?o%~Qpcd>e_b4S5CJCiIEvw_ba&p#&==mx|2 ztg}m<2xI+m$SCw7I-hbiRtmN9+1&bLVicOwR!?_fTjc`>70q3)s=f8~&I3Ih0he`{~B&FiG;v!JvZ(JkQr9zn~$bBfOzp+J4 zA<)j>!0a&TldcI#NPxhK2V5Pz9(DdE0R~;Q%rFCrIlozy^xPhgfSvyg+Q9i^cd%DM zX9V;J(T%GZW)YIMyNmK~$^}xI(bq+jCkROp#3c#Dsy_cq*{2l=am`a#%uo>+{x6|* zfyKv5a&!_X)uzq^v;H+C4DPWDA!{*8_5Wsn&Ye>=OIgE!WNabjxDQjZB*cCG z4RFQ_2s)D`#rSPtEJp3k5oX0Rw}NA;wfB#uj3KELNG27YCw={yVhU?MWs>6EY>*lP zr2lxT*5fA26x>=*UpbWkK^zij1gibzO z;+BHB&NrK@cK!mhz{_=zs@0j&Ns4E#hFGcfKOn(0Z%AtoJ@AemTU|BJOQ6Nb3y zhcRM^Z;YcTGeTOd*8V>kNy+8PB)eu3bOfsXCv&eR49Vo0T&!fsS?vE9a$T?x1+kRn zI>Vl#+dKl0gBBS<0&SNR7{GAt63xeX2+Zf!(gRiLSXI6nEX2l2`R``HhXyE zequ98$?tzpNRoLuBcZvPmktuWf7Hre%^2JzGQECGuZI|Zqx^;v_N);A}d_w zHG!bFU~@}zK}++Rg4OFA3$EK#-?GWoqP7V(;Wm;dTqF6{Z>V3yv_tjlS{huX^F4*o zi}8B%hPpM)O)cmR=Wcl8xCB2MUDS8HCPID3PxVpX@riiqJDzS)-|_T~`i`exu)ME# zh+mUuR4BrNqP}wk6mbL;aRiW8pi=EQ0?7ME;d&R1fFh0n^!86$BIMnjMt$<;P3k*G z0GYv3aE<`{&Pjz5IeBHI(SW@0k^0U_ASs+v0hvM5#wA5!hYG|@WqsqCf;x9o3x3PJ z*5P~~u~qFmx(I=l2(KbP4<|a-C0xjJT(ao~yL zm9;enGloL1k=U>p3=&zZK#M=LjNm(d%$rXfU_L>eC0I3$=a9u6tbJ4Ap{N> zS5)p}2bRTmhCqOGW=Ph;H2mlmEt&zd>R@qAnZ z^4fZXVjm-7sLu^NKVDAM>igiG?KFpQgpGj%fixW5v675p4@ZE9BY>oA8UcWhv2ys% z7$JP8R0RG93K*+|*Mo5sFJNo};CO~&bhv;SH2~*~Z~s4tEH#43JIh!f#CxL)iRlp>BpJ_Re{I3#V<3RSPvSqvF>iFd$oW+=UPYXrfZaPL`oS%=`gaol*$Qa4Pp<%0 z3Og#y_hRn*eC~TG_k98P9lq**LW}>rhJ)+iAYK=9 z1Qydqgy57;aea>9V$N}C3!8fUFXq7vqDynF_OoZ4Nt^%W#TSYis<(z!l=`aU|F5vf~z&Tw{74O?F<)gt|PGG!Gr)^UqGD#8v+L0 zL_bExBCz5C)iBPXq0}D##KB<21gdXfAk7EG1BF0)d>Sl`eyR~8RZl2eGXd4Pr;Xww zu;zgvU>@JF8X(|g;yppali)5a9^6x0fPj5`12Dw9^Dhw-@ETO@j#PM?$DlL`1c zGd({ArUzq;@VpAcG{v))e}d=1kzQ9!61}8gjASyK1fk~tLDR{_NM^rDFlwHVeXhsa zy49miDPn|2)Z>X!Jwgvs9E>p*$G<+(7}MP68`O4uc!Z5vO2$7)=2IvcCjTPG^E90R=_Y7UG9VOA;sq`t<>YgL?Zo zg@HV)KU5x!>+2F=NXCSIUBIAtC@h709GKUKBp~76r3i&nC744}&oWe7u;M|%Jbzl& zq$?t29+OTo`=pu z3hNKanIK}1%Y(HTVSy0DNUcA+OX98>>KKw-EtuREcm$^P=cM<9@EBNIC@hYLz={Vq z*gtp82Mm&U7<5Ijo`pvc-$7d-K>IH|39ux0jwJA_j9?&qm{NJb_U}gZSvkhXV8H~~ zKaT)CBpbeL0ZKk&plb!-V$kTGSyc#`q+t2;llQ z>LPG63An`CLF) zOjwgpW*SmZVp0Uz|JE_k?}HqgF(k$S87Vs#vC2OOi2~^4oePZtcgc+kg9tVL>L_$& zFg_OQvl!z0SVNQv7eKXfWtIQwG|(@`G;6~oOG6N;V^COi7$_|HNGwZejDPrKK9MNF z{^HA0XCn~?=+YN&cA6Z48h_&MVd-L^NkCg(tUbiv1hXW;K%nJcz(vw{MZZ=P>T|&Y zmzc&kJGnGtVAua(`^M}dlA)mQl7)yB4}&gAnh3Xo8nCiV1x3PHa!Qg=F=*qT6Q(=9 zLJdABB5-|{jrbUJlYm#P((7NmRV9jBTG(RV)@qoQzUYz6up#~r83oOUBx^~CiHHPj zEQUv0J@|c;ED;M$;|ofxZQD>s!YO||n_#Ywq~AJg9$?`7;vJGOB$1E^3k>@8(UyGR z2CP}7Km_foP<0jo>u!nto8o7}X@5Lf(g%f#5@Ij}p?KnM8l{TDguxIcMMNA?Qm=0U zwvwd*iDfwi1ci##J5{j9HFm(sL+!eaB~&lakaW^PBY^nJGZp`|gf3Vb1FFtiSbPL# z9>D(GCJa~+$%Z>~i!bNC0YTD~fTU;S{1dLvGEwMGdJ-*Rf(P~%4}mU5K%nS;6V)Fy z3A7^22-u;Hq^f1gx*`;6dl{3=kN`I_#0%#-hJ*;vZF_MXH$i}2Uy_)K_|~M$kw#!L zCTw2T#snmouTQoz$K>x0Nk{_7J>FKB<@L#C5)v?RZc2>5G!!T<2VkHKKRLl;WoS`*1-k|1CfBbfNBkEw(hK2=*F z{ez?uP1>wf8PC7!nxwL0Kp)RRTb%#VrH~Lq0{=P~1lH$2t(Y)-$5cq5G--jL81^3r zVNGTXAldSBLIC>rCMAJNYOP2}er7v9f^~?4_(8&5epJUhZxbbq+bI3 z>M3QEeSNX{i;Y>sC07wS++0*NUvjzC&u|#YRjht4h0i70=W@w4U;VrQh>~2T+Bd)C zQc4TeZ}2W@*8=r3yxGWArhSI?Wm+Y<6d_o+P=%EjXAM`WkKEL-x@m(Hty>M(X+tIY zc`bjq#wB~b#c-LnQ4WvLK)K?I)ReOTc=!i3sH_RH<#1pbl?O}!IO>T2-oP@p0SF8? zFah8cFzzAbEmjzs;0Pz_0w6R1J^zCMp2{#=PMB~7OaPS$Kd?KULDMruz zfjOxx_<^@5U0-Fv4=m8dDg)u=)pV&!eK53yDn&Mgx{O>&*F^`^QK?T^5vD7vWP3sp zx>Th)5~!j}RhpwRQ>s!dbHysf5nmJ%O$v*Go+ZZg`J#T=LqX6vXarbd0;sh6J)ugP zc&$M&8uHV4)aq9Ts%X)o1Hyn_arqgp(4)qvSAVF+s7-G8Ug}!rqhyF56kqD{RV{Nx z0;_yfkH}hyGFPR%f+|N304{a;gHf&= zsdlNWD&(~+5Ba%bLRL+rnl4A@4hWubgf2(3Vce~68Q(2>M0BYu8eM5wKTv(8nFG|r zs<5}3He&Qx;;OI`45%Xk4+L}-ohMZ7bA=;;#;Qy8U!ld7^Y1Y zU0Ju$x22w~283_)G_9?tnkwj0?2TUV(NrP2eSBkNQ{9^D=|V8njpcPMbZhif-l}jp zV?l&hDC5lnT}d8}c%mz40E~Z-G@y~;TKN^ORUSDQ)H_81NrOaIMtB%JHc48640#v~ zSpt)zOL-VPT~A=h{&^sb|_*P_FLIJmn&YaZFG ztTqT|dyZ^g9d-E{*Xd0GO!bVxL64`dd97Xpkn8G;1}c5kMJQa8NDMGAVA655T;&Z_ zVxaomHMuICK$m(#RsMkCq-j{iDhi=rmlHSxPU+}EM1qd?#puyvwbbRQs1AjqwEsgM zL4$@XLtfez419)Zkw=+Hi--%%K&K5LI&BfxvWT}ju*|>)P+gEp#3e^Pa=I zM+B0J0EHD@ey;Ub9$ZR8bc_*K#26Z|CqyBGfJHSykMPP09`+H}ij_2kLRJ-^gCe@# zT_eE55P6njLhdy9V(FB64}q5QPuU-5UfF7egmLsI3o$7>MT-fk;9=mnX84 z9yUlgK9`Rx1Xg^mNL2PL^;K7gsQ6ikZi@Fo{uf;ug23tzhL+Pk06VDTX8~Z;`Ms|hP9e`^nWHgR0fq=5AD=D1lW(tq1+-JmRXbUt!8SRtM{SO{jRHkV} z7Z7+{L0T*5@-2^RnZme#;N0W#Gu1#$9+!va4P9>MaYaHjX&`whqFoibrx9?`l%ZSj zfvE`XP+lGqn=ReM8KLE3y!%nP}eSM$Z7NmQGe0U=F>T+!;9Dw;*4qY&!T z$GAllZ9*<3>RUlmh$2)7V%=UmVsnv5@=^)yII!CL@{?%S7dV$VE5N-GX(aa=sWCMa7j%z3ZFl>Yz+gUd5sZb5jVw zAMi8w1SW<6;q^@Ngc3kh9NJjl$aaD5D?$+TGA<4RIzbT6hNhN!4g_rA{t-TkUNjrl zo6zMdAE*<$7}!A7mp8B9R8N*{C;qNlGB zWJ&!d+Op8aSXwdHKxF~-K@UOnjsUd_QjF&RXbPtC;D;WV`YI1Kjn}bVqscBpd9fJl8kJwrlI$l~&7{e}&6 z*D4c!*2kg&7*T+S>iUMJEwtv)iwF>+>iWjI1_q##6mDMMxQTX?B^pU)h)Pt%3_)a} z{v&JZ8fj$cnkd2_4^Zifm|CbDM(Q`on>NvwgRYoDxGK3RD|)p7DpzVu5LHp3#trKm zY39+(4p0+hBAc!ct^P74ViX$@EV6F>wX~-wRplZ#Uq{cq(R&b3b-7u&Zkczp=8TXf zqHwMDg4Zl7>swmtuA}KdFG;|SqfNBbP)?v0({Tvp6lyUYAJB^vP_Yu()Xd@0SU~`$ ziOLIZQNut~cEGeZgzGlZwu;g;)Q@lL#=1t@YamAt0LVW#H3u2@gC+;42>?7BZ=!^Z zE^pQVj6X&%VNe0AFwxr>R6xx}+APp(88ASB-fv_en!Q5r4YXp=n;P`qTk2ULdTj#& zf^B%~x0K&h#f}^F76${2!p7zn!Wi;D4w0jN3&k;dxdSQ>ggjAN;OHd}s2sgV zF}Qv;-9lxorg4KArm}`wd7DW;s{cc8fxsO#wrCLI7}1q*2;kdVzlNp&y%s_%r!5=3 z9Rij6o0@6YgkBPXikGfuJd<1oSE{0NL=t)tB*h7OZ-fSlZr#K~RbEhWq`t0s4Q+Jj zl@h2aXrr>efs4}U{SpWW=Cv%cPGQF@df9{qgw_-}=&chPL|#iUn@lJoKP&O;pNj8e8~AXwHYQMb|Sf8NIDSrOVHQRFcD}+|2`tMiiIF5~}i4 z>77r>0KM1(^#!GY#`Uyx&?_!fNX^EzbxaGhqlVWa*9l&GnSZ@Y_3d7$Bykg%;Qm z2zZ5&M*~z|Mq72L74j-(pfUIW zra&C$x>Qw)x->P$QwY4ZK!;u&%Sb7jsYiS|doa00647CSXdwlIOc?a90;@uv>QIDE zK5@FQLiwExG^x^B`sp!)=3pAYL$Be%bOz}_#iuPO>Gw{enUo5{t3?!Gb(k?gG}|Zb*tHLX#Bfxh#~Qloku+de9&~1DqKI69R@fh*Ba=!J0nl1f zyCnc^(TB|cNWUtsx)$2AseNPE3Z@@qWUfjAVERD@rUA5m@HPXoEDaDO-RS;DRW?TF zrTf8CJGGUU?gw3ZsG;-H{h(b=9l-C^;K?09hoq_NAH<2X4764lt)a~j36y|fR_-l_ zl?Hm@6-kvqmsba(J`)&dAz)ZBtdyg)2El{_3d(F;u;Lx94$)kr6&unnP!(WWfpiHV z1Pcl@I*={_c!D8j2qMuE2x5S00-{w^2qvBe>1@G<8dXjlfZ7i`6!0h^kQ$(wU9lrp zNuaP68IG!UPx3!vngojE&ktN|>nzwpPZR+f6102k^)O3e*a_&k6xg=P4_&>M zUdhYs7FvLAaVLb0a7UDZB|Wge0QL%Kqcpp~HboYA%7GKMNCh#(iI zV+)#HzAJnl#tx}Uuob~+n+C3o`Z%YF$0w1A0tXbTb!)(r0knW=PWg%90mm+y3bf4v znk-J`3Ftn>_*2 z+D7ZoM246N z7e%gG1I%~1X%0wGBj{l3Ybmpthc11G{8Q)8%N%+kVUGY=%Pu1JizLi$+mV1sns_Q? zI>z8Y9|m{>v|tosC>Y{_J!HBEEEo(a z=OB0#Vo0!xNd~YfkZvMeo`AC=42n=7l7HdE2*bhw*68ry07wOFnFs0PKWa7$Am9}K zr!ylmG*nO^#P0p+3}XpF|JuFc*!Mvr5Ri-AV!uj7^3!Y6ByUt3$sWcR zX;OklaQ=bKnKdyXgzWSc?K{Cth!DtZ&w~%qtO1(QD#Tt7HGxA-RPa{1t&h z4Hl}4gEZx0l+HIm;am|1)$9Xx3(g)qlp_3sJ{&Wej)~K_BtOt?-3yZ*x0r7*Y z@cE(65QeHrBBg&_%NWi@B{DV9aq|In_fH1S_LGwRohKEFArbv}|Oc5xb z`4V5R;{xBUkv0HD@b$#9x z>G(*30*0{Xw!kfy;QlcT>n>D9r(+}mz);^ZpT%qggQ`LmHDQ`O>@xvGrzIpZph8%o zpe9r(|G^^*gJ9>DMuatj0p5^_0IU%Vyt0NuNZ|`xEeAjgx)}AZb>pD{P>u&A)L-Xe zIMF&@$K+jP1ftr^8VX6KQ8Ap#pn1biC=C8@oo6|%Ep#pbNJMxxtqss?edvXzIwZ7t z6O9c{3Fsi$wxL1ThyY(T6CGeDA2&eHebE5o$WiwIpb5s=5~7Pf2Sk!2D5R~1`J9R`1Vvs6Q1k#q5)YyvN1BUwn z5KK)eCy4T2O+o5p$nJOsL0Sj#mgBi zcnIKPrZx_{P)v7-`9_BkT^{ftHPy6Hz|;fjA46CAn1q=SiXoM-I72Tl5jT!OUYS9M z2Qmg!gxCWacqkKWus}*3?LgxnbAy2(@f~ZqXAq&r{u&;6a%`Ph7toafXtzNd@=wp z0AV&=e!3+wTchQQ8cIMUN*TcA3I)X{>LEgC;&WBgK)nA%0sRyZB!~t$)smWhkTyzh;!z@Npz;SsaO&4LWO z;Bt6u(6|Mc!5j7vs3KORj(=hdxCvVFpp!)6<1vVT&cWzM<^}@sskl)k2f~MDh^jo% zfWnL)^e~7j3cQ>{$6b7~g|vh9E{+s@x`hD4F7CJ|di$J;H0+XVRxn@~|LARWI-EI4 z4lqMv5LCER#OO73dPC3+VwYLD#s_6Is-S|W${%DF@rui_|HpCy{Jv#ou5o0?75wb< z3EelZ_YUtJ@iBRaF$#54gh7HwNqD)dO4h_q{Er&km%GApB*LU4MC#ClHL+qGFQAw; zFro%j433mm9y)Fc0eCr@+)@OUmy-N*xhqoPqaJ*KO*xVS(CZh3`X$w2ihS%n3H1oT zQbQ~k%BdbzM*+fuB@ReN6zzOGEItw+qJd!9ih8I(+enM(VA$Z3y_L+PAF7jAtFRIy z0ZnVJ6RP@uEKUt*Xa})}U&9A;6&HTAPG^O@jt`D&n9A{!O&d0ma@RWMKn!_FpWlEg z^zjYy%04(G7^f{d%tS${eLwOtKX~K_6KU!co#HTnbUOvVDlaPX1 zgx7Cn$iYfd0Srl)PTa@(W_H^aJ3ItYH(K>&KFkFIc@=(vZ0YJA>jZtlt zT?4H1H=&0^A^vI*jouZEC)7-GB~z;PyMpnJT4|(t4dXuW!URZfB~u%hDo_m78K;65 zE1=xlvWZ?-A$}x%#Rov*8H<(2D^uI3aJO3qn|`4g^=i zqm$GFYj8~k2q_3FMN8QIQviTWf-WTtPdR}H;#+|n;8;pIY%Ea|251DF>*a^>O(Nh}0BfccPvta%4XY1Al`FuvMMsD3eJW6## zbK34&5AB-uX}+jD2g!2kIY{8~b{r(t0^4Fl+bsSs@)dj|4fhBW*>R5$bIhh~DoxNb z#s}9bH&3t6Z!eF!mvnq2#dPMnvEV9Ln9eq%kej8l4L1*GYL}tRax1oJ;Vm<1^=VAH z^((Z*k5zB9Fi3?8D_vA6Wq$TCr7Ua4mX!zdfUuRj3u} z#2yHUCb&!bh%%Y8bGG7?|LTJr@?@BTTX-h3ewQro({qkiT8mmE*M1$3-aoVBvr|IX v7iFS9UDEN0Txj=S+u_Av|Ggb1@iTfLdLVirdLVirdLVk>pLpQoWN`EYMhhUK delta 57070 zcmZr&30zFuAHUly?R!o8ttKrpB9tUEEh^enC`%?;|F&#T5i==@cJYQM86l#W;+5X3 zw4rQ`eG6?;B2z-L^gnmD!W$nm=brmLzwP{fzwr=F(4fXvW9+wW#z~QirOIYEd;vf z>*EIS@{ihn2!@HE99&QeFH#i~YsNR6L>s~aqrSmTG>{s~h1H%rxYgGSHvV+67M<^}}K3?`V8 zlOPhbf-D{=dM_K8lVC8Bn2`Zlj~iqQ2F?lg2=bZZDHfKT31QF$l6YXV9CiUlwBX|1 zC`{_C$nL7RKuu($)VI3aF-~jW>Sjgl9cS`ZS481o&9}wRGT3}5zdQw_rjn>B2sIU@ z@*^)?-n#&^tj(`TDL_*T(OhOef`?TI))byaQ@bmy3*S^WphAQEYpFdIS9oG3mVn}+r4)&lT^f%Z(CtoLp;2K^ zg-;dG`P|dWW>ko#j>67$=%xXsm|W8BE?uEkk9JSb+e#tY^RDvTYu&UiT?OtL5ozE3 zo*vyoz^eQ&d!ruR)OWhY_eJV>uI}y53IP=lC+>+di0X6tAFNWMby*|y?XHdS`S}c zkxQz0t9QWdy7~YE1F9x_cJOxgO<-TIs~EDg}wYs`DpGae1Z}GB50WSPB3EUK9K0~iC>tH zCSbcrfKoALBWe(4NJ!;U%Y%%HP^^4j)(`$9Z9AiUGceN5D0f;8ps7As_*VCV_c4+w z5iJ2#`fi;S?C2GPU5Eg_-qTsZJ$bvU!uudlFJ*su>VfhVz?XWuD%c?GQqGj8o-HTb z3%cxfrX08zz!NvJ&Qv)fjEbzbs%dDfaYa_+Ve3h0ODj^(pb{_y8o(F53n5`@Ud0M3 z&5r66omyFuR$h_vPsNJCt(`)+KCQYUr6w!1dJ^)cA}gcX7<*IU#0F`c3TL&~=u9u6 z33Oz}Q!Z`-vy)q!PXVUvXd?cwaRBI-0lER85am9{!6aDYVRy10pt%plo-?ghe+igs z$sl4FnA84ZKp-_)DE>(i;)4bPCH}NB2t7131e}CTP`Ujxh8T~pG0b=@zF7oV&5~1eo*HC8)qq8u>oy|D{JAf3%OUKVE9Uw*0r&)RhIj;^{)B) zxZ;Ci>Y3R3`-=@08<;;Ze=z!S=!4J)wlAbNTJGB!jDAc9-Nc{p2OY8-gZIZ~^fc(Z{FeqP-@OF}?M?0X!8s&(s%z7}@t;@-L$ zAHZ*xK@*OEAD51WhdX)`Tv^LwTifaoN=Q3`U4K7+#mQ)2=TpEZlLD0E(6&2AGfbu@) z+XgU1*uAf~{RS+M`AbsY=;oSMK-xKw_7PnBx_WrbBV{S^1SxUoo7@HoQX9y-Y9LE@pXtFK zaz!BWEntH#sSV^KbN*wbwZCTwQB}(ZlkY+(^b{5AAvYE8t$ZZdXNac)@C2KoP{(1O zHy=V2=(~6WFkJuhoOi*}9g57Lya>=G*%#rfqdj!`AFHUyn)Q! z1Bb0h@I+t=v`%VBAexu~&-sDOkTRaU2+$=lq|6*|AiAfA&qz7QDzG}V(^#T_eB^og zNZG8sfvkN3-2?om`*=x(AzQ$TkgbNqVya>?stG%s2qMIG!<13ScJOdEh zASEW77T$UJNEv-#w7_4CHVce2lb#I7c$R(-Lri@oOl5s7z94R8JR$Nt7=!dM ziM?er$_vck(11{+m6W zb6^q_Ns){ko&)(vKumn^4P*tJ$YZvjTsrb8U`Tgq-jt0j{eB8jTIQo*5(Y6%Am}0f z7+-9@#Jt5ddm4$U!8B&tFeftInX{OSm}{8Frfp;HWb&A2n3tH>nV*>Fr`1n;J8c20 z$yJ%<&kA6LuoQT+RMA=R5#DiClnHzC+EvlZ8V*wgR(yk^r$UlJ=2IFQ7nEL9L$4$7 zQXWSWW$7Jv5-=LZ2o>^~*k>GEnC%+a^#wo9d!&g@nV#q*n2#sh;L274|H2@a$}E=9 zt1y7&Gqh`+lOS*xp?e1EyUre;!)wt-EoT5zm;!+e!R?Hi20Ibfzz`}D1|ktX z0)i4SCLlmL(SGukZ;36@L)Y#o=nH(K-!b16gRvYmE zaQ#_o6`Nd$KN_fz`Lv$cz<%V@i=NtR@h!o(3NSifC-W&VdOaaH&=1V0XaH>Xo{A{B z|HGkjt^%IO8>@>t!H;<>bkPfzz@l9^#Yv#Lm#>%kG~a?x%X|vt%MM^=2ePtfv9g0$ zKsKfxI*N053b^5`V4Z&gsIb`yYzF2{5^Mk+4Rj$Dzc@*-jA&be_5w%2Jfb~HNWm$N z0)OuE1}mWvq4pe{ZzKL~uo98MEKcY8f=jMfgSpUz=%1v(l_DlIn2Ql~t}TJE#5tZ$ z0vgVB&M;*2^?*W(if8iGjr>@##W;;kSSh~%Oq)KqW2kth(2u1O%(78e25xY|Iz!=% z*b>NIHsL~5V8+Vv0mqC|T-QlZx0kP<`Bdx{M?zS@Ex_2+V3zM#D?%Vk+-;KJ*cm*? zg_B_{c9KK+1l4>b)t{9=lp4TF4P;697tUgFQDA$}mk)!xpcj?=VB(+HavX38VJ!?` z9SH!8`q|=3f#m0c+L@0z z0n*>)-2yB>m+(D4S{A~RZY)4MfXC8DwdV)0>Z>qe|L?bD&|E66P|k;lUF0D-I9sar zNatV!ZRMUqsLd1TqrQg9EaB&T#t~%}f2h8*=o`)i5dp5aeL2dddIqQ#N(V0OosZ*! zF#?_9cCe`cjrD}VCpO^1KH@48c&fiK>Zk}h--|ns^U{q`UHCXJ&lruwK(`z3m=3DL zGdDrCwZOb;0+bG*AyNPhkRM8YOwbI}(x0Vxros{@j8H)r{}lSOL~5s#Kx!d64+=jP z`tyF6q2I;=B32EyB8!G8B2#?QWPwI;JMfi2LM}Bx^E20zZ(H7v0W3wRgkXapye1@>z_sbQ@8qMn8bfd$BK z#1UcYJXZbfFji3yxK^1bPSwFghwurFEmS%e%IXPaRRplO9Ss|Vn)tPe0uo44+{fZ@ zyvrTauv%z|f0!sBQWuft^+5b^{}IQTMm|LJkn$mJK8?-EF9#8R)`{J-fK{-7b!Y*L z4Svc%YauJCAwu{u7da?W0@t98fw=exaBN(EQikU6B4YrU*z3^Ppl8f1)|fz+fsg{a z)DapRWHf+PldfKb6!w}jAb|?xNMZB%qiJAF@6%Ke761ksAlrh_2aQ>v5kxeCSX

6=F$Z3CUoP2qyRxIf-V;BZkPM?lx+G7%?@Dz}Xx?PRd{q*~x~uiQA}o;}uRT zI0C&jAlC%2G9LAS_3~~HSY&VY0K)Z>IG3#}GlogeaT2&l2{Qm;TY|79K^O;fB{0jy zR0CLyKo(;b3q08ns9YDmR}pjI-U68mBo7%$UxFk@vJ~ua61d96{go=XX-xQpVlJx4 z7)hIQUD)$0Rd2bV4dcMFX)koNfu+)pYhZ{z1Bq=2iRpyIrVUyWklu_Dgn3m~D6VM< zmf-q3gF*wKKvBDhgj@3ZtWZnyyROggx{65Gg#$z$JXhuVylTYdRoCZNd6TWtQSfFS z+ZxqDHaqe5e1na6S=OkQGMEbz5CBvNpvow=Mr}C(EJYw)YA6d#sV$gt5C~w>ZNZ#_ z2mrHg3no2vK1<-3i~M9(DnlNI)07KWzV}Ze7`FqREg9UFBK znv1YO{8sq0gcy*#lkFrfL@2!ZHs}o4ftP24PN9M|4XnklrtrFK&|cV&_skXz(EVje zBHT#@2X)|Rv~(0Gc!=X_V#yj&^03bkMUlu;9Bni4AfB-u`Uf1q+i!Ubis%)^Mo9i7QqeT3YtAP$y zzwGG1zG<%eNEAe_jQ~55A<0ez8s4y2x_kQt$aw;C4S*{{%5~TzdW3Kup2HisTYzh` zl&efQUc_uvi_-`QnEgfo@bL<=kySZWLR;oXSX2rs~9 zsZG3D;*8u>L62kA@dy}!Oi>78k|D?icf~K7m7AGbSX($-_*pEq;96MH$I)Zxsq|U& zEA*xGsq|y?gBDE|5Cr1tt+`+jCz}gC7SQoROB_cm3P$0DRz#y`0=;koy+K`MQaF)L zTWOR!k)DC}OrmoNCyOs9(=+b(IMca}1$KDh81Ybhyl|YjF##`}h^P3`Q~l`$7^JGhE2ED)uFPx009HFP?&=etpt4STM9(LI-u$4{tHZbWN=0{bUBPVuI1{>; z7mgxm!MXFG{XH)NrH}>17cTx?0xk#hR-!6cY1u#jf{Sl?TTlh0ypjjU!awrjP$g1L z-Ic4?z@4vosi+cGfBnWyG3*eyxGlVMSA202Rlx2&c=$+saUNVee)_CQd{G9dJ%0(B zKT2wW0302}62zC^h$9GcEAWN(-(1FWw*OCoNPZ2X)$o^7y*H3FsGY`5lEekM8^pt6 zX*>)EwG^2`^Ol66{PYO~|Lk9)NCEjh zig*#%K(wlYJC~)}4WAW=!+k_vAZr3X-+u}BHxP{#;uaJzH&`}FWGw*u@tZh$tPpT; z86XoHB5MN}Ukn2;LCCU4>Gx|WnD~~qb{qV(nKx9>lOAQ(Zh11$98pCp9LgV&G-^S+@* z7*$b8Sv*P~Q@~Wz)HR5U5(|u~;E@QAf|wWtjl!+*t!A-iiDpO4ip_4A-7)JlBXU7L z0v-xKrJa1b5;}eI*-8i}muIMX7truReVlmoUk)BK@l?lPmYjT)DA;qoL0`T)Up@0_ zfeBt{iq9i*(@e02KME|1%L;J3&`iQ#Ft5;p*f^j~h333dcGz@9fBoErUTZ4K+QZDoGNql5EObOJ?VqwiyfWC8o3%kWP=f~VL!AA3UvSJ zXQ^I3Cb%&cq52x1p~ z?aT6a_w)*ufB?)6!Jzq)v&a$DtUbUgDZ_yIAloCV&{BOO`Z;RcuTt}wBQ|~kU_l-R zl3jstk0ruYfu|`rakyxXSOo{W4}$$R0qrUWss3AEnd+ z%n>`WuHZ;jN|Wpja0wFJrC&_~VB;k?vM+$eN?_S?7!MwcZOIG75X8b#Z24e}gpRVd z;lV-J_q;Gn0U13e%k0l_pnkiR7l~0+#*Q2BFk#{(N2kfoQ(VA^4|%IFLuBeS@!&)- zZA>?DGZoNr_nZ#e-}ACC3hL!EL)<%wKyb*2(`RfJfGv{dWH7Z-7~RMJr)LRRFiUqHx+ z>JGxf0BpV#2I5Q%;{+fE-XS4@9t`4ySVC?dz^#fc#2~&ue>uD84U`VEuNnu%t%W*KXsUqPB<9; z>)U_}OJ~(#H(-vKwW!ugg$gZ15Gw;8e-F=4hIfdcq*lcifw64Kk|b9o9AV64`3JLt zr-SVPBhVi_%!xo0pk6hxB0Vzm0T^q1KyWC7SiK~$|AD#vBAMHsLxlM_z-Ph{{os%= zUr&a6u+&|!HGu0^30L`~g##;l`uYZp5N<7i1^*_TjUUU$e;5T}QGl!T!9^8F0%9q{ zkbV#yR-a#ef&Lx837^0^lnGgAaz6W-6lDV zh{v3~dJLno7e_8xx@`FhFcSlLw=fNK<*L=<2zrSrA?w!jX01fdgQyJ1>&7V5jhp}2 z5*@R3n;857(@>4w!Qsa3j8E9LJ27d`UeMiFLLwoCoaadUFYiKbh9L2>Bc8zlFoR4m z$0}CZzP{6?8!w3~rT`;>0!lK`QmvAaN8p+C$laV;DuBtHM5a|Tq6?^bk8^|MyD#h( zz|E7AlRa8ZM)Zj&X1K}U*2HcDn6U&Vw|O$686w{TNrYo^;cUe)w_#ivfC+wsS%m@wCxjt4{9rYRY8UA`0kzew zlX4AZgv|8;X-WEodGHECffA%oiJ`XG6%v@%$X7B5liUC%S@@etG)81$fYO7on*e9| zD~<^Mz`Ri;GAUzU*8T$^YQN@v*K9#AStxuZ6~RrRW-HX_V>J05(TNd%7atTA0-D!u6n#_1tc15Q98GP zln>{ffZ@FJB$eSUUU2Dt~i6(ymG)Z>0z!~fir*dAM70^*=zmFgg+hNyu|afO5+$ctv+LO=2vNIH4>44WrnXnJQg{DY14#Sd*SBKDHp|FpDnk@eePo@rGukj;&;}gIg`E52eLxxF- z&Hov|Y^A~tyPBro&uI@E0I+wz>2MDm8je(zqxJ>hWJ}zz5_*0!iPJf`Phtuv41w(N zi*EqS{UjZx2w<{IFl;$cd`OlgX>?)AC`yq>tG8|0wAt87U3de<&nEC{|X1 zEML!%5P6{7_zG4dZ|R{CB=wIk2z^9)AvoTE(Eoy260i|gyFvODhJmHx3sH)Ko#hW8 z+aNf70K$FC)5qXEgiJj_M)H)&)DlIqDpIPdOYT#Gm3ZUMU_*HpWCe~0d5vmF6TQqx zm?lsi|5ojHl#K&eKJzu7t;nXSr!|Hfyf5$0*>@(9e|TL z&9HBofoLE3!SsMPxJ%<`*rRpl0F#e6S;zDNHCcSf_5~n!5=n7{IXRdC!2O;T?|~!p zkzCabQBXTcm&#$`G+84(3jkgKYO?e+?9l|*;1OK0mjEWNV}8zddOrTaAuL~cfrm8% z+;^#khaKNeT*QO70ewBh1;k)0@QKlqdZ%-!?a69lMnI+L35v`SAFKmV`z29{J$ejT zq@WqGaSgtCW$VBh1q^%y=E2TnL&L~5QMjak!2~ zW$VCIDchOMCtg6cEpZ_u7$+BP`^9`e723pBNf**UsaDqFZOsU*F{%xfSV}xf7Al75 zXlX<-WXN&<39}{~0AM)sne+TloQ*i7_1^6cV1$~YyK0a^XDA_YRP) zltSmtU$8KI5j$dWBoDrde9Wr=16HqH7qx!F#!b>)VC?oC9PxlxDg1)1ufQ2mbHc%Uid_d>WlN!qDaR1Ck&~0KzHt+975=h>8KPExtRNrXF!`zajXNj>+An?l zC?f~LR|=Cy++zUyB;QX+ENzI&wB#vTkk{q~QZVd9-l@~#m25Vcq!0=MGK^-aCY#cu zfSoTYz97D}ilU%%v8418cq9b&0GF$(YifyyK=lpaZg<{h%9y-^pmsY>Q6LNN-n;+c zA?O~=E2OB9A3XujeV&Ui|D|XRANn^^6gV0{m`5yk-;pbdS|_lTtn`s7my8gnU>@UH z^5OyO1~A!rB0DJo>yG#kK&B$d5^n+QKZ z(G@SnKsa2o0?@=65x9_)G4>w7l!swrd@?d}Jt3A1&R{Q?Z)N#{(l1?5bit#f5vvn~ zeFWrGr8VgA8mr0rW!?D%V81Vl`hc2PKIsyQeFm^+gm7R{WDc)(nygl`7FJ z&2(TQq~v5aCVv4iLn#bUlbYl=%MAjU)N;*I7+4f}Kb}xb7D&tqmMa8$jlx!9bB@>p zVO?M>C<|m(7dJZ5M}$@$K0z``)ZPHtubu-QD_M|-fJg5sX`&b*YI0T()QO^27hvQ8 zoYzcImOo}Hc}LNr@Lc;TG@h`ZvV>^G@Xmjv%mOq{m3*Q^A-ri{C{!N%3xyB5I`al8 zhygWlez4AUQbA#8OvGjrck*61HDc5eQGcON? zdNuAHo@MNaGRfs`PU`1O!apX*jo-Tu#|QpmEQG$hXB_;)a4)A^fchW1HbK+P2^>cR zxO=BfE>D0{ntU8l#c;zbfhX6za5VyNPz4&_4ZnoE=;f<7rh<8V9-2CMv8!(VgN~}d zVxqJg>_t(GMhfzLOh>bAOyai?<*CihJJ{rNo()@v6vzzakgNU#4(zXZW9|TD;6mzT&)B3ioQ69X9<@uIDMp5HxKvsv1)dO`ToKuU)T~$aOum zP%Xe0!8aijb=?*}#-XQskBwV&eCL)yC(}j8ci$SzXy!~mPW6rKz%8tOmv`Xti^ib_ z(M|^Q8|(89h@vK3uFT|qrx~qlZ;o8Y(D03kihA8pb?MjC?|3VYTb^xrEn~B# z+mg%R8K7c?m(P^iQGu-YC)Tf@skfwP#tl8?qRlt7FXr_u(puQvla_JM@>>S7FVI92 zPEHH`cj?}6&OD@S+g%su{bu7+rfR6|k2x_bKCQsZbzh2R{{e4I@91A~HO}6m{;_}C zxGkOLrZ%19WO^cP)iZ_O9f78fcAL6h{@W!CPx2bCb31L}jUa3kD*=AOT)zL__)g>K zq6PIkRLO3lD98VOt*$zfqe|-VNN5~yMru8@zrJz?*+Ue?8T)4h5_cXd^&X>00xPotM6)IS>{y!HD+-Bj%UgqLO=F6(K~`0Vm!anZ&th`z%9n+Mf9 zw_0e8SGJ>IS516iwI$aoOUN!C1)Og0Z>@0usA(cMkJj#+)QY!iR}NMkbxQBxyQ?jT zUpVui#*>Nn|K)Q2O)2+=?mW2F&fPlg8;vyMwTNxySRThXdFjF<<{UpJ*J?kzsHF$~ z-asj4QoCOB70w$#${RPNOjtsXjx~ZKYj3VD+k0u*>WNn^!((rL}VT3@Sj>?qt}w0X*cYvGqZarSvfnMbp=soSFT&n<7C zynNTEW^T8T8@pP2pGU&fO{lGbOQ=<;!@4r=y`xv$W+Y}M?v2y_7NR6LkEogIUoZdm zgNw4b^+IP+peYF!@z*J0UvX>o8J^Vj`YBg$U1JPJx;hn7fyhIkbfi!<=@Ynz6U?ZQlkbX3wz|1S&9t+$u9^5*sb#E9 z`5P`cU&blKzASR{CayBlkcr^92+ECEx^~m9ldWd#72Ny{8)*U|tmM6n-`v*GO;%rR zI;F)w%;$JBmpds)%}srI$G^8q|FZYAS-+A~ZvQUPKX$tkBhR~J>f%jdm*K#gk)y1gdx$Y`U={?Ak4)-)(8)JpIPxKkW~ltz)%U`$k)b z9E#*TnxMA_F$(LwP|Eu&{?OvPv&ROvOs;SVrTP~bO$&v#n9sdZ8((bPee28i69XRy z?2AS0FVtmrpM*Q-gn1UefxIV#(Qh;L&X9aN_c)&XQ6KtBBT}zq>>nX9<@WaGpZcbL z+Ekl9&B%0163K$skTkmszcbJ9d-d+i>n~p7zvr$yrsFSF!K>&V#MzLYHK~e{AkbF2=)B%2(6MzR}gz zDNuCdQzw74*rermqFZ}lM)?GXhv;eb>n8&qB#_E~(2%^m9NMbjh#I3&u8I$@+(usQ zIK^YArV*dkn+FKB3G_7-Wyu36xCigas|Lk+J_Gpl@;$9#R4?Mt0#K>6;O zv25i7oyYD!iPpZ3Y3l15O>_$0$#WFBbqpxn+`8vm{dmGXsDyhg->@`_+YL7a0sny5 zOHZ2Z$`y8q)^2E3=jIn7-VrMWoiNRZvtlE^p>4J4q(m!^#g!uFYPFOISX=Kv$n50s zaT*gmY5mu!e|?}mocCF2gT|d&u5+4_Q^;TUDyZ!jcsm9+Hd~9Dt9w-=-j#h``A2_L zca+2C?F+aCg+~o{-U&nY%s8iV_uQ?A-zaGlS1eOr*6MSquuHT)%Bwnlhm&W_Lnq!z z4tL5^rIwYpynNA-7dh70sFL@exu_sA`qG-lXC|u2uiEgAmB+?iIHKG&-Z>@h((3Z& z&i;FM8k=jbRqtwCznq8ZC!bZdTNqUsq@uq?%f7WasWse9`&u?NzPSe3YW5?yy_5?(s!mERY0HBuAwd30hQZO|o)!IE9}#qRcw#up=u@ zEvtA3BWO!9_t+`Ma&N=Q8?zOz1+Uz@HM(=Lr9mcTmVEj*Zi?8%osAH0zT*(z{HX=| zWWME?jk$$0mag0&q(wxoTasDS+{%Fwk{MBcwZDCreB*C5P0FUlqqw;$EC05dx9`ou z^Ap`BhR+$-cH!`u@AC|f>9kg@PUv>K^l;(o>`SM}b$3e%tNp3; zF>1#@>R(=Q=O0xi|F!#jKW$ZY^}=h-RsVbw=&h^UJz>Qs5nJ7H%Nygq=7>fL>DtyP zbsfDmqOF3l%PAelKB|3T+kcMV$=eceAMuEGnhbw)37uc#W{)fg2vaVfVEyl&Zmz?9 zcX!pVGcV*FUQ=@YWcSkz_@-d*>r*FiGAWCv>(ilCkNO9#>nAun#~Jy*w))nAI$1?W_rh!+L$v;c4%LI{o4J-4v$%{ zTQ+|9kh^Z0Sz+u!qi+WtkEeXuXZp|`ay*w;lK$Dl!YC#7hcv(!glmF{9t+KXiu9`yA6R-vzPCuUR8hWs} z?t`)qTHtfMV8(je*Y(R^pr^I3Cup9aHj!`L$hNHAWTu?7!xl=tRkNnuIXZ(j@K|e$ zS?TqvwxfFxysz(l&W<@~UA&GDJwOd^WAXa-}i-CiEN8xUcmg?qSd%DGPN1O9^ zYoZT&XAErlYH#$T(&+H5#;Rq_y|{_FUNdVTDM`EzDG`ZgRph$0%T_Mu=3!4KQ-HGp z|5{f#YV{_|h{(0>!NO)6_Qre*r;sK5TFWFTVA;gJRnJSK_dI{%Iv!o%v;JiL=L3y%r@uVY zy-jfLR{3IDn_w-GTFTgu;&;AEkB7VIZlmk15=N_DVQcYAl{e8F&hxiugu1tLpq6%Y4KF2LGrInPmEwWg*SPch7OpOO)!U0p_MJ)%GjoOa zqcwEy`q>eU+30Igl=u0m7%T$s#?RjUW0LZk=H?7zqtzLwD2s0->_dH`_?pTYrjXVF zh>MPQGcwLTLA9)-Uro_B%Yl{$k|JyCC@P?EV^Xi+NlQKyaMZJzqJYK64-8?A`{D$T z9>k@(o>*rWGcfqCqKl1*iG9t1zn#u#7nTi(#?n0sl-;TiZjQT5qjsNOQM5*L(KnPa z`*SW59c^zl^n%hS+?zfIQE{KFv2b00B9zeLb}4#rr%_RNbaa%5L)Mwmyq#YxYUDB?ih-s~Ef7!L#_iHw% zlv`5(nv<}z&CMy}+!TdxB;#*JnhjSzwG3IB>ZQkPM>{djT}e*f16MyT&~vL9a*ltl zq#XN(sdO9N`>mOF_BNW~(ma$6z5Bpj+~`DxL%$`x;PCx+@0hNBO}ur!L%%Kn~2j^D=#Bc-rNqG&P~SNky1q&$B`6@w{(il-Gag&0mlXjh+9j zmgNb>vFvGf3_YbiSBErBu6y)xSp7T4pEqMKSatWGLwU>hZ15~P74>$Ry^H4-wP zmUfk%Zb3pg3-SvDyI%w;k`^pa=yj>uOx^d%Dl4gOp9}R~O<+@Z-oEyB2ji%!56IGu zT|Do9)Dv9PDe>{5Kvw~J>6xbgsnw#R-sR6+{e9jnPduUUf%PNGw2Pv=`{)cbc617P z>hbk=^k&6q#%4S-U^{DTN2gt=3$=ck>~L|{&a^a}!`nHhA;GLt4gBQ1fqEoS$I@bD zt^UA(YFZp?d2-g%t7+3Ci}?4R#BH-yeL;L4t8c372LJ0$LAP)3k;Xh5`>QFc(k^R?(Y?P9GR@peU7aaaHP z$VOYL>z-9d|1s276qwC*-gIsIr`_Mb+`9v5*oc@m=){#sNxNGny})x1)*Mx{P``Oq zUvth)=n>Mju1454qa`6<)8+32Rwt;TbKOHPZ!e_}a70ILmEF_awK9G0o~L>DRz3>r z>azayF~f4_O+}rYOJDA4($ntUonU!pus?!no;&7 ztkS70+Hvpk1EuBb=YrJau+4cvcEwcYH;)%aFo*qc$*cQ!mn3xGEza4#oe?^D+vMd} zE-UJ;RITKxjow$aES=)+iZL1;6*WFYtsHWM4lhXuqBDQ}38NKzWxoZ8R`_O{mcK#I;RmG|oJJhI1k|^pA(m-_OkeaUI z>t-X){9SF;=l8><+pcjxwl)Ww)F~xCj^F69KJm)YfuiO`YxH=D$q>L_PDV|W(N~Zi zJa9p`rK82oPqAgrdbgH?i*0+ObT-Vsv8%T7oATs-_K!`P*Z)v!rC6@IImUZQg?@uY z_0^=M%O4DOMqgg2S4HP3UJ5P_h=uKX0_?aDXo#?8WTMc$c>)0*Z7K` zXS;vvsZBEv>t5}6e0M=qLYbM_kyv#ODZ*!!f{J%W@4m{_=*6cCChMu(nR+ARYfJTw z4e#{tZ)Ui?-;-$i#?wyqd`j5LWvP@NNCgQNrpFyvE=Aii>OPpF|6kju{#E=w!u5?J)GU$cl45 zxzFstzc2s1UhkHzUN!qw^Ej8Nr;4tM6!z7%^uznRMNy&MJ8xWrqC>T>?Tq`VK6OVf zjE~;kU2l6yQ$@|(Fl|SmVjw?_rt7kTqH@~sqdnnaeQ}8&_IhP;RCwpE^lh<;jZ%*6 zgm#&PQm1vWYhS1;uD_9WtL6k8-me4Ker$GGos|z6=Tu&T8{RjoADPQAWt`k0v~9@0G%Ds*!-LZCURk?e+@_q?cxSdUY%?6?7vrruKfuLP!DMFC zmlb1T*6dN@C7-%{iq^|<`r7or>Iv3XANw~xW8~z9)P!yN%GULYkN@gnqOPph0z)~j z8eHm%sq3*=ftiQ3%@=m`uBodvSt~l$^L2G68Tc-|>KY&55&7(DghQ|UUnEA2zShOV zPvDkQ{DBphO``@Uwsj<~n|wa!^^WN149$*Rx1+Ppt2kX69NT#93d)(pn^aT2!(Qpa z%tQV5ha-RsuN`C73QakG>&f;h$7dx~9ioOTS<)*ES4aOk5io(29Z4I&)hHB>idOb! z_kbFB`m` z*zBz*NTJ`Q_>EXQ_=PXy{-?aC2 zTXplFJI7RQrf*$r&~*)+y9fF!BTdy&v7!1>NgdVh(gwA#+O`(vTlE*J2&HE)<&+6| zY@nnzyl)_ivTdy9M$u!`NdZQENfh7JiXTKYE+_UPJWgvU333QYQ*>fSYHcu&aJF<=_pihXh)io>plHL3ys#EarSd)+g#OS z(J=8f4y8{K!g^(5pUQ+i=BXyU`<*MKfy;zi#1Y^ep}@B@s`= zA3?4vvs78GkcZ0rrB6tsgcf@mGSnZ&_T|6N35iaibTbxSd1O?rYyL*{%VgTd>Z;S- z;7oDup-ia!=3#t^nwu~8jsLvK>>;fzB{z=UtJiGpO2eo%Rp}+JNXK2USeG;t z)fM(0*$4NzEP(DbiuhruPDWzjZrb{oOV}P`w`uE9jc6wge&#zi({vSi0;ihNq{$gL ztr%I&3`yJT9L0*xAQ@d|l5Vu}>jOgIAIzgO(f$+D0^L6f%o5w6IEzR3QqE9&tF}&w zr71jVt6R9E2b$2wHL1DJGIr`WaJh(HVeSsMMabz3m$x$Y?`e6DnKk{%DIv2mRxxde zjBMFzuWsQRi&KtQSA9>g3{`KfQsAgwW+S9+(AZWy`kr21yaL1C=mDvp?K^ZuL#0mP zUV7lsJKl9KR7qbkr!_4e6G>m{D=RmkV-0Nj79Ukc`}t;%cu=9s0Fn@Yvwv{sTL`H%AR@HT@vCkD{h(_#d<3XeQY`I+cS*wA4pv zoR8;zssmdI^Rbl?o40?UWJ0@k9I*OPo1VxRieO{XxU zB5po^`|h%XH9qiqpUAX@sl&-z?($0g#lf_KRgH`&&uA5gkd1tGrB%O{}5fhiwCmJ&%>#R_!Wnt=zhyiC+9@{r4|?H?2^$msOlsy*Tvx2{(oc z&8=se{Fnqi>$Rt><77Y;{|?Z~ZsADcbmw&eIjg3#*O4JAWR!K&}k&Q14@_r??-T0U4feU^6#a-JWfsX};sT zn_8CH*Lt-d$LQ7hMdoR%Z_!m<=S}{8AHLCw>uIw7y=eN?VDfFJ{x;4VzKJS&Cy2bm zL?b&@eW}7XNAyP3KZ;cIo1e$ z!i*Iv-Q+Tq=-Zbl9Dl{-cRho6&$+wHntjR~#jwP!d zRZwW-#w$&5JN5T*9%PnT>6`9Io;Mg3O;(RxUZq6e%8UsK;Ha|%Kc}p$ z{96xSWpB;?@q=M{oucci%d*B`gGZ9K~M_P)nmjXpQj%xxBdxBvVZ&CfuuF616?>K? zFRi_C=F+RHoad9*_lF%g+-5!Q@Sahv#dqfHjyx851FG+Rxl`12Z6EqSzTSqOSLfI( z-k808sj#RPy6w`+xMH=NB&^HG`m-R%B+Y#BvdK~P+y9Qb{zFwce!<_QfSs($d3*C- zpCX+waJrxA#ANT2Du(1e6-d5fQDyn5#N89hElY`9pBf~6ujY&Em4yfuU6k@0b81$ zILSJ`?cHtevYl~EJ}1!YXbm@_?xMxZWa}+0^B~mnPTw;6ZhGSAM*<74>=*a9`n~rmc&V^+mhHOzOqQe9ZByH$oSVm6Sg&fE ztMVrvPh=(T&wauB<3Vo``GvAde)!tIJq=@DSZhXBv%X&3WDpZI<;M9p>SoLSw`a4tUfBO`sUfAS4t%a}t>3m9jE9C5F;-S}VW=_e3 zzf$Yt+o}hIVVef&tkY-RckD4yMT8%H{d#{7vuXt->cgC~^6*5Z%3S{#?D)&r3eFjPL$9+v~*{&g*Y1 z{7f)(zzY_5>;h)&Esz;n$oD|kahyI95_m3Z|M5$I66^+?B zA+KusewqRH=!6;lWB8v5Sy8VP6z-gyLwKJq^&^ja< zSKPUKsq!~<<@Y;sGVBe{o~^+|anAqnxwyTB-9+ji+77g}QJvi$n)=8t*0DQFsw0YC zTyk+`B$$uG_WFC_v#dk@r=pwa#UDrWU8bb7`*u`o)TJhqv1;kJ+cgvt%!PHW90mGb zKeK)H?R_NkJ-)|+PTzl{GAentF(W;IKc1FyRm&!&30_hZRxoM4aZXkH`pMahxrRro z+k0cmT^E@iZL-!>!rYG8UvitGJ)E!m|Cc)F)ZEh(4g8iR&(3?aVzcwYwVd?1ryjl6RNh^yar)+3`@eki?&%lYUS~hg z?#~-KXKt+(JY8M#%=ld6y2=xijnk}x4>kRttoMv+atpUc-}KOu&^v@)M5;>Yp$RAg zVnvZ6AmBy_O*#p^h;#*&4oa~A>J~&w=pcw7A}9z6y%UNM`i=X$=iG0Mn~c242qQnp z%6iIt=A4V__wm*n^_#ill&v70Jf&;Tep9!0!y5JM^Y=p%J3U1>%6nT}J0!|d6P|<8 z@aflSB;yiKr#mW&LJwl+JH)@$B$KYTP2X0}Sx-1M(M?QAf}o|rQo2sVIZ+)(2~*Ab zIA0N!GeYfYQ_~3#vgc(r5{-`}d9$L;)P<9z`#eq4FLXl6zv(uz^NstQ$+k$vK4VK0 z7yL33ZzRrVfQdBc2_=Qz9gJMQH;NRFNYxWf>0iA353Hi;162S}>FQ%aTZ&yPFI>f95$0Y#|r)E&5=pH~oQL>x; zqXH1)pfqty=$(WHmOAoA1kN@fbh|sAN%Y4vF z^*H4_oRWdHaMz^ZkfM*M1daR7$|Bn#x9|AeA+Goa;jwpxnww-H*U?0Z2j&&xihl^1 zS1$@!yY(-!LVk3(2gnfRfp+~-7S)EJ470W7NYNA8Aju$#WeIe*jQ3T-7yda4_P8AZ zI+Dc)3pF!dP5Ja*n8r)Jp-X~4o19?(5h#PQ8xQS0DG= zKd|lqSG6}GH3qe|D8|S{R{_T0jmf7(x?LH#-Ee=x&SKbp7TErzRa8AUGx}(lpe07a zy!)E!o89zic-Q!}?{=LIiTR$=pxFGh$&~>@-ti<0(icf2wuw&ZkLv*!Htv-4AVceg zV^m79zOBtad^I;9q1wV`r)yS$qUSZEQF=c`3BXd5ArRqOnUtz|h=csXTQq*-%|j`c5jt4{c1 z`Z100n~22UY@Z@QbsOK53x2}NN~D=OZ)BHtGzT7d0BOTRvh1fn+uq6z5@Cq2s8e_t zO2BGuI7>7UJlGB}-$@W;%M*u87O2pyI3nKKp32k-1l!H37aHKC13-a^L!)- zcfPA#8_$ut_#@X=C+BPgq4w6YLz`ha`DZ1O7wz0c5bTTy78?+%+uN7m>ud(Jh0sZE zDpQ>gl6(`MMUmQ6DFUXxDF|TNH>oyh5Lj z0G5q(u+j$gSf5wrt|xE*z|7Q9k>1hN`j$M}M0DSAqi}1O%a6Bz*0 zf3QJ-n-^&ds1*h=HkgAupHu_IrRX(?)95)B!p?=eWb5d5&&T(E?2EGUzZ-0}PvuUB z3YKtIY~Fx1Tb1%9YJ>fuih*;`N=nJtM?6+I;&0wVA1oyFK;)pa7}=H2)Z; zIgL)owVdlA!sS_i=n^qcL{Qt~8I;wZ?Krx(c~Fwfx_n_)krKx(NM&u*8LrvKa zFq|Ljln)E{i<>jW-Uxe_^>%}#&I-}W6EU>GN$Fztn#(7KdWeIcJ>&mI8u;H)T7VPj zF|6l5=%kG@oz{~>fUE;EdZ)X`0G#H~yx_5^r%yb*L@q-(0 z1E#08NCr8#F8$;GKKN&@XJ3t;UBmqkTo2z(J#qV(iDEy--%I!{uJ_+q#)BJR30?ecxLv zL|kYSFVY5K-$?{1R7mo+U7+I4_JG;*{W1*m!dq=w$DDN+>OPeWN4&q#IV2*q{{kK{ zW{~RiO~QQtJ)#Q@LB=I%Rp}y{(R?=@j1artJLJtwNaSs&8<@MuHLu^GHL;I})0Yk1 zg{d84q~q?0tBydN7d&!dQw}FT6J=#?KD*eGmkw#h%eLisWitmC+*q7q-%UknW0Q!B zn*<@pY{j~YKYXCMo`4-a&S7Q_ZD&w%Tp!7rBI+u!QnI+h0G7YPA()8_3{R*Vdni}f zMdA~YT#Zjs6W2<9R$cbLH&`MK9c;umv4bWiv8*W|% z8lpMgWNOEO*WRFw#FzS;#{&C>k`ODAz9;6qt$*qLh_*jhPkE5a`J#Mc;G{&k1w{;M-{VmS9X4-Rx~!Mkw>Tf1>- zu=Wv;kOtMU;XZ)SH4hnmz5D{^0u>cHWv8k7=}>Kz7WHveGp!P(i!tuM#dF!U=|+Xi z1ZP|&XR^fD{v+!(>wm6^$fj_CMqhQVe;{9HX?w@wCZESoGbc)ozKPn6aNywl68fD0gXDeJw$;^O1e!ntQ+-$Mp z(la)-@*|IRbjvzWS-~W7^#3C zzl+Fn7~|L#C#rl1eabS+x~mLafLQ}|>>L62&A(1LC@LA9A}*qtvN9fAF}Bs)0d*z{ z_L0-~#UoAELL~p)m1STO5hwp~o{Nh>d0nJQ2WIXwfgR zj5d>t6!ERCZH|UUoReN>3TG%lqa9>~(rRA9vhJX9pLU)SA1trIpwwV0LUe!dogR+P#fNdq<(hY z+5)2EL>8HPj16S$Idn1piXY+%JUaO>FBH-TP%T{sKzFWq9~Pbr&*RMp9pdy1Oulvb z1lx#Mo|ov}jDMjVrM?gsNWhnF79K$LyaC>Mzj_jyP0t3gwD6}uHf2vj=IblyQBpXD zP2yF)E*DD_8FMMRr@8(2HKq1c-+j6QQBM{g9%9(a9C+QrLld@`i1}>v&C#~Q=gc$I z>TbQAr5+5tDWB6T?W=&WI+02_JAVcsl>%Ld02b@w3Qy&^Kjbt|R|B zpY@^EPDA8WNhP1XArg=B`_(Vy{=A%6UF0&^J&mhMqxgWGNiiU4Q@8m4vdf>2lU8ycBmw8!l5x&# zw9$}{`)S~R5cPE z^FNfY%BToeQ8;M0rkAXy?QItLvsE|myx(hS$hTn_F*uc#9F7G_7^FaeFVVs_M;RUe zjmahmVB<-3L(WmuUJ3;W zGv$EvYyq(Q?eoX!!ya@iwqFEACS2R4$$>BUQ;;IADAXkZw^<5e3=LxBlhfbO5z~ep zoWunv{$3oPG=de&!okTy>(jRG-D#KGTyTiccWEUn7Ro~kIo_)ukQg%~aQ7gi5KmzU zD31Y86_iC2KbR2~nbQU`O6`ce0EyM8gxcK$=S#nm$!?&i%3DT?3o~NXSd`GuzsUWn z@$!S|t~))ssu)0bMYJ}PKYa>UV5yvJZt9I}%S*lH*XS2_tuz4$ z9AS2v1*O_af-B55>4S=2XD)>YKNy|nA58ih6tN!PN?i=)A9H}K0LJ*;n%2X(jq?Tcsp)i%eLD^EQ=4_RpsHW!xWXKbQA=t!PsnY#P2Lw&7^-G zRdZFS-kX{Q>>^=hf?x1KdxiuP$B9?rek6PRUpN;BySC&P@Ovz=u&INr#=G;L$Q7Z| zUZZq%F)0VvS>9Q}2%hKM%Af9@%(1>ne}Fsgh`)I0w9gGDqKDQd@B4rPv9#__61a^Z zeMA??Ypp;Ztq4N)qW=^C9(xD%paY3l?Z1T!6IvN*p2&ObD20#i@THfMQZog zwE~nqJ5Z3$PlekFkC_Pi+#JSh3n7|RVWHaMZ&xF6X7j8fb^vUHhPKg3WQQG+(~0FqIr(`CI=GDjGwA?VjgN1=fk5 z5YN;?Qh8qkVOq$>wfyVQgEM+a$n^MHJ~NoQ4rTJb*ZO_7yJy20MI?eNX8?CE7AS&# zT~@w!=%P5kY3G;Wufu&;G_uY2@%~^@_fvUB5$`7k-m^G+TzH1RtRPAxuO+-I=y05! z*C4krrrCY_e!S;%+8HD^kUP4Ud|`I#h4j>$e)VUCHR>u&&pP$b*=YjSBOU&;8Y_?| z-`fXL&UC01Ha!zg4mW~u{M3GYE5Qr3b^)Al-U)qHdP*Cay@{&Qco5SvpBk)f#6l&7 znxe4(S7%Rj@o{$XV8p%J;lG{7^CA~92cL32_mkFM1=Q(T5YEw)^tx(tj)pP5=gZ(x zi*>j2JwCu-Kq^UC@wj`Yf0Tx7u5vpG-Fr8I{L+l9)I4qA8M9!BJCiJAC(ekB&=ZeX zry}nQ!!-P=835~a4b5ffbXF?U`})k}`$#GmF?sRA%;1^Q_%x$m0-RJJ!_R(y;)5-c`{ z3M6V;6U=%}@g|{Vj-*rbq-~q(Yqj~PUnm_R!R%A-bHW@JXuJFb|A_D~Uf7hnqm)LVYsWg{YuPIQBg@dEByO&Q)Im4h4Eh#O}K*7(%q0MjWEpWC) zymI=98ex@HEjWsK>eIh&lq(@fIH$ed5=xQtqiS6Z^}c+irjrkpm4JFnJbN|PFvg%czese24`@`cAOYF27iu~^h$5Z;n?PuSzQ8f_S z7?XVK?}d{q#--0(pTWxWo2WOjo{* zh1ve*CaiZp>Aoh~^>iytl{n;7I(RZ~*MNVp*Wpfs@IiynuPdFiVy%mk{?WhKECP3E zM9enidy#LDX$^?MsycJ~GWCoAwMpz7w9i6BAuy)B&zf4NHR-3d;q$b8f5ZE>=JH3Z z{ZC($q&IJgVr6afCm0ze2Wf;GSzVg0dx%?Wb=fMJ{q07V9j)d7J2&zSH!7HD7? z6aIDX`)e?qbzcoCPqg*1^#t?@1P_|LAzxX3$A)nzXoB5&8`Y(svYnrZe4O%Cy3IAPh{@FGB_!WV{marPB9)gElD`!^ z30GKe?7M8yW%+DJ<2J4>I8RMAq%_*PP=B6P;f;6yv=Ypeb)ju&;#%<2}?FJybt zTbpx{rsn6Tsh~UobT?W4Hm>uNNEXWBR_^#I$n$opm~=u`LJYxmi^8q)mmf|13I)b4 zztiU9IbwxJl$oou<=T}&pT-h)D+=-r=D* z{n(jXPtB#xe(YTUnUp~y_$K=NcnmZ0J4iySa?uVdll%|i#g4TUALWSXHYZivUe{9s z7tX$OtjQTC;1+!~g)KULJM|Y4wak>0qzayhoo^kS5BHv#OMg8FY9^KR>x$J&1yr&dY?J z>3wv6!wHZ&pJgRYpMPH>HHr(41>UD0{%7icf|R;sNW@qChkx$ zODf0U+^UIV)VXC4OiWTrSylgz2P0TS#AU-cam*@MxD#ee415Rd!A3u=oMgy1OUa15 zQ)V1kRl%9uCzT~AdD9$g(Jc0qp>0v;IG=EXk4CuB*-Yw9o57#f_DcFF%S8HG=&)TQ z{h-^2?xud>qu;fyy1izrlUoY7npOY#!i6S=%wu>RQ24U{#ync8$N$tvCGFzMQ)_F$ zRX39hxu&ir@o`Vtf4c_rkESZcJXhI?k662GrKF-B15#1N2Pml;_(9D&%!ofb&yMBz z{nLE2KNX^CmMOmQD>~@s0^BPuO3;0zEht^6(gI52Y$wl%@SZpRO-O#j;`(i7G!Hc% zLn2x}@2)zX+7>j>{j4MYVEl&oO#Uk1O}x(UeO#;Pb%>_89SHN4COX0|&=lXHHI=1~7QvtC&S%*yIuq)ZNFR=^+^Q@luP8rC?lX~i z^!aa5@mKAp>TUpavJ5+`%gXW=_V$TFx)mQCr`xjNSL>rF*eDIuFsScbL^|7?Z;n>T zKE?UePQc}vOWw()dSc91H!!ffIZ0SR2Ac1-S6mA{(6=M;WPCtgELFu%$)x=Ph$`{ZG8Dp z48$k30#bW&{v)y2r&0j6Xk9*8URPQ1<5kJ4**MG{z87Lrv$kc!!uLpeS2HAGRom!h zl(7YdN1CDW`x`deIwMK6f_gp#=*2r4NvtLsRK&n$+2e{xt?K6htv1291YjLrFT@gS zrwjW!MG=xo9`#0q`mt(E6*!1Gu{>ZD&pCN5(6hvC%q!#(2EhRNLEgJ`jLa}7GsoMv zx%+2Y%@}Yvf~J5D`k4memlp75cCnniO;I47`e=;%G*&!cjK^>C%mxNyBxCBw!~qC8 zunawqXAqg}agBtBq#l%-jDP;@p&3e#O8AgK`AqoT#7+5W7-SG&h#$Qb^2Qj|#)pcVFBYc(QU)ROBPfevVE{`u&TJ3DXFe)AF&KD(<9Z+Lm!2!;(;(b?3+UJRL9N2|SRiP& zKw2up_u2J>g*^RWVJmDEl_v;42o~GLiek^;B}Fa8 zD07a-AeScg6x9HKm!qy}*hYel--n^OA#pBnh~lvhAoCt9Mdj%!E&E}T zS&5C{DHS30)1UGGTbKBI{^d2+Lw*VV<%c`;$CUF)7E~2Y&(e@CY0p7maa{E;hH~EB zhqNK^AAGWYR=zRa$Q0z(LF2@i5GCZ1LjkV0S_2v;vXbs8NZfk+gWc}-bpuxu`4cEz zRPeg<-F2*FpiDF_H8dWY`h|}63j0o z+?{-DzijJOK+wRFtaOmdwDY>TAs8Wj=CiUk(xG^|P3RR`|AuI+LFIYSuhI7jDTORD z$zIv8U6r6+E8hFI;g?}c-O1t6(4y{@Cp<;VA9d@|@qp{VZwkeRD}X%O{Q!Yd^jLT) z(Tvw7%+JmpI+$LNor@%%GD%^D8E9WRvW4<>uMFKcjYu+ZleXaV+r@*jG68xThh6M( z4#!SYb2Fj-fR8ue5Il8U@Qqd=m%Dt$YgLNc1vzjAZ zDdY?MY}%Pf{fGhOyJEhMCrmW{h=bApnB5TDff9D$x;fHg+Qh@KbICjt_K9FR?YhYp zIhZW!ZzOX$C{Rxj*7AquU}-7CopQm6yp_e$)Ctn6C-92qOa0*!d284bVc$ZcFXksf zYC2fD*p^cb$75giq%|Fc@{H#ogTC~2BfchoD~Du#kGaCO2Go80c!0%-w)_p$qE*EU zt))?Uwo0PJ)3C~n$m91-KBBu;4;x;g73!5y4feASdMJU{d23=K7y}X>-eU%Z*?tZ* z6LC_OaO;ZlGV8TVn#vD~!ZbDg;hV0_#o}SVH2i$GKb;iEmr%}0aU@*6{}hHjDC+(x z_FWEA@$z3`H&@pjU<6~4IOwbRU*-Tq&Bkrk4rWq> zinQ_8R=dEQz*`g%ry5GWNMqVxZ=IKJ##Sei z4&@_)ARg|w!S`j@;EZP_MMcsm^e>5-ahfJv77Swa9Z(rXV{4e9m4vwk!=6T*;oW%5 zjKW3(gs`oosx&79-jnpbLZkr(*O|jkA=|M6Q@sM*(bS(K($rDZE2(LGI1)6%8^*e z=}AGiD4=CI`?v8LAxf6E^@w;&m&{)~Ak~ob3_L^T9G|BWv z1!4j1VKypiL^N1#jJ8GLx&jw&v;YlN-|TLAnEGDKUIwAte{F%RIYn%qrkS;>D+BiXPi_!SNkMhR@q<$zSs0%?%HfMH_|BZ zv3$EYv%#Op&Kv^_(W{?y)wJS1y&9zxs++^*zeQ1tHgWW_0A8^KC2PF|^2VB+ucX?2 zhzn@O__6690ny&^zWIYB=HGfao=?Gu|<!>RIXaRJ!Jkl%*_y}IcC1jx{t&+Yf99YdUD3y|bq=G{+x@T>xB|VYoTV3lG!pdD zGQc(wob$NR0$|@DVCMC@$qzE)a+E_U_jN5mn) zm=AGmN^Kll6cNDI2ac7|vv=o}AktNci1bPQp;vua;f-bcl!F3|c~$f--Ll zA$M``@nOg`m2FKLTe@78*u=_ZzgmD>>ZFrJEKpOsWVjm&9U)^fh6%#)5RY*Y=xFR? zq2PtJstn&?0vPdZkOa!hf!~Sm+%^qPfQHql@^+JN5fAh+nY<04rdSDUrc)aP5#2ls zHq(tQifhduKuR@Ii=m3xAc!yfY(|RIYq+dBj9UPEyJXOxFdT4twcxI!3`T7PTki*n zJrLPoXKD()PI}~Z2_O!RlX^l8^#J|M`ka%8iw+2{2V$##lyz>aZV+zn_Zz>?=)R>sGc&>{R8Pqkhm3l!*BPx{&ik$_s>J|m>l|ho!&Vi~-C5c$TzD!f}c<}~PQh)AVB@S+9zhJCBB;2aru<1L3 ziq*A1G*`REG2{l(EsS4=%S3mZ=|Rng6=UgGN1vkdCS=%;AERXuYaeI^U%T79Dbyx( zU1UcypGMS?g#_IpJO_E^Kv_+}pm8zQCH^LjqQ@%uTi?Xa0&p0I$3G1B=5m~-{D-%( zF(MvOiE+8@@sG31eG(6J^dl6DmFx$YVhYM<&yh_b4$q_cNL3ho7Y&4b*bO$XsBU1u zFBHeQoDN~%oH>nz0)}cFE-M6;0Wpyck}ye76DrqSb4B;ZDBlqo1J@jZ7j%J(BeR$ zFi!BJ7r)!8tqH&ON9UC$MnM#DfCt|S6_~v^ZMq?#l2Q5ix`RH|JDkGtl}`S_@S&NC zZtOCfiaa;;Dv0!XD97fd8}a2?mUYWBg!{NUZhsEeIx zq+en4z0b;eOJGK3>yspnL<`{;q>InFzsa))6un(DajA?10=A}=`Td9@Cv{gX9FDKC z;VzXOM3wb^bTLP2Thv@CA8L&%A8KO|ns`>j9l%Ll{j!uFlhqyd+No3XVE;`zUPcc$ z^}wPzJ%-hAaj=kklVXntSzpNCpOu|i?K6CX_1{{^KMVPtpfOgH_wV$Z`zkwko}9as zC1acS8LLXuvY-#BZj=%O-y{supI3m0O=v44>82c@I_cr=s73C<5|z~?JH0@;d`Zx>?O$XV4&B3N~+6WK2v zr0Fk%=j9L;TGbwZzFl~4A~$XM3LxGW#9 ze}S08GMK3aId|GY=l3ItXzz^tw}fTUese21&aUW<95G}d7Ap4PUvUq|a;~2_dyoOq zG}AfP;#)-@q+W9;I@-e<9(=x~mpidLk!!5m+Vc$-Hxu}zk@ z98E>bkaB|sT(aDkL#joE<2zn?mqWR&liO}2d~a#ovaqtd1k#0!A?j}gUI(wUKmOzc zuDEnm1%A=4nTbGIrzl^FXNtHW_M<~C8GG+VI|?bdAS0ZzpGmBPL1Iu z-$e+n{h}Oppj*M(myKg(;PmTCx}<9dGNrK*y??tR*1uH}mS27>U3;bBsYh*9LYkn^+9z0Wsikln%Pm4qadfC^+A z6T(asjy-DEbHtnJi|aLvj<-$4mrtKK>$~Nf2rF5?%X;&>Vkk>wXrhJ18}lI11JXcz z=xrCi*yYh0KY`Jj8eZ~_Ydx$uYxeMmHtSc2+Q^r?YQe0nttQBU7pJ@*YMw2uuH+lq zIzeP6=S;l`*__Co>)V{WxuNn=$oAo?*%#X(hHKA?u;BdWxb5jY^`tRd5SNt3dAHg3 z;zr8}c+=Ipt(EYAOaT1uAA`e@hWaE%u7x>0$})UboLNXA8}kP^4VCMk_!aNstt-ho z{BJt4i?=3<1bPE^?mO%!XMb3hmW#0stAQ9NiYMQ8dl)!5&&i2tz2i8TR2=IaD9_a0@^{T)jLg zV#@uRQ_;} zFBOddo}SGCETur31jQt-_e;c6>plZwy|X8&G-qQ*4wCPAXmjHgMW)1bHt_ONb5EKn>uI)6NFQ1OLd%YE?n?Mo??^ap#HoMNAXN8ma= z{f8NE1ZC{bSVU5C*>oDIKPRzhq1F#m3$+c`or%eJuJ4Tq2m9q# z=NN-6SZ=dy0+_IdX-GS{Sws;MdoMej8O(Yk$D>tO%Qipz$FS5@56|c<4 zby}}dt4jn_m2WURVlyO+jipZ zn_5YTGmS@B=pUMO8<(~a6NEx>Y2t>Ire-*GA5vfsw#!+Vt^2ffMer3}Ze&rKPgp## z!PVjR@Vt=gwrL&ZhZzhDcYaLQc&Oq>_#R+(z zv{>CZLo8f`G66Z~TfD-+hC!0ZTii;=_%HuLA4&CoycaA9?*;Byde(Etbj{vM$x+@d zhSW5D;fwn6T+Vd^xcoeYVVZ35emh&?;y5#~X9}8(<$U}0h>li*txdBZvaqNZce?4s zL_GZ+t1nO6Hw8F<8HA2770;6G>pDN%%_!{wM9c!`P*%uYym@fJzlz%KH9h^3;5-q? zYmVn%QJ|yPYr{n#HRAKfCnMBu!Eq(1~wE9@w_W(YG3J5bH(;F zkxB-}vC^Z2h(Qc)y8?G>|K5l3X<#lau%Fm=5t1Q%Csnh!jTEi`iPgOUnj>78CjyX( zR=fQ=%cEHw;i2`C(e|tWkI;bw@>(+~Vw@GqCe)=hPtj-px-<|P z$|uu`uQK6;Aq@iLbja{7rdxD9ZVRi%1re^bir8)_ZT+U=Qd1$-E6dQI}X+-0lE z>!o}j|N5?r;%!@oM+|sXY9bW~=-bU=)8Ys-hvciSMMv~1y$-~GUrZsq28oF5!NM*h z-rf#R+^b@iD5~}&GN%FznwqAQ{@&gJhBtskORMb5F!iuIIQL;S4O3#u`n zi+_aWd64k&rY3)|LM>f#NdDAN=eUl3sJ3T!;4fi%A~;#9?1g-_cH6I1jmUn6vm)?H z{PPOM&z!qbX&QsmJ^)LyXF;6M`&eu^u^Wwk#JWCG@O- zKa!$+29ixfc8ZZ^#9p&-vA{Q*oJ*H1!==8PM3L?#_{g%`Cjh(3hdp&N)rDyYLwULQB@Q0l$#=r=zigS3e)KRydlz)&L-TG@d|3m#U>a%X3{nl#!C!h-W z9y)>c5HDwZD6!UZkn&N;!H>km34zOHTI@DSEDVNC+l$)cC0zZbTEiKU^si+Ej(OhRJh})R3crIceXh_ID{k)`RXJN<2dxc$KS`OU0`uI|g?=qh4M!2(ktc9l z$S2@-4gYl*2W~W~;+Bjrv5P>{Dxfy-+3jQL>x-37!PmYR%5^W3@b?gT!K^}+*(CXgBGH({ zO&VG=>Yjk=®%!uG4+ZA+cQvuKFX6+@*G5Os0S0d@QZ#Bwtu!Bh1Q3D6$3o?e$4i_|Yve%HT}>8*4p8uwV`DEst{U`9d=ZsoV0;0U*0O@Z!#5Z|BrS>Sy zR0W~vdu`*3ATso*K=i)Yj`BsBkE9a}+}%Oc7tR%ueKWgw35%jis&j;7^JR=RH?%=b zSmX2iK#z!R(1(-)(MixDIbe#=Mf`l$qSkqymdc?QO>$IX27Kt*C$ieeji+SZP2tsK zLYW#v+j@m*l}3(hajW4`Jd=ZK72=i+qbRiV8G zi2x2xMe7`prgQgN+juwFm#p^UT$#f_52vzwIx5S*68H)?eZ?mB{Ol7yfA2XyRt-(f z_@Lc+QCB%Q=(QH7!oB_Lpaq=*-ofg0P$~!5894DB4YJcy|Z=9|gpXnN*TsYve;yQ&lJEZ3gTnVgYh4ai&zJ3^{^k$!$*o$ZmcF{w@ zX)SzUy0)n}BntX=>vkDI&TBI?+dX&@RC8 zwG*H#!yJR^fktbYC=fd%m_MYDje@A{_Q`T2Q7gS`_X`f0nfi73O&{iMl8k*0 zE8(WGfLg)|4mPY4E&%1A^|N_4gbZ_D`sb&9zSZ0=fLh=_cpnS8pW>WQ1$WPCNxk>t zLe`2iQFp}wTC%lI(bl)(J>*B+7dK-XDilx+o<^(_kX>jTw7wsCa+W0QpL*ioi0Hid zxqk`I3Y*`jW%Tid+8`2&gTR0$U*KmM8TfU}^x(vdK7l$RXu0m`S-VfX-Th(wH+2rS zQeGDE)@UlL8@c(!H=krLx_g(tPeWl7PYmFRp~~oo+$*MPq?hIyiUQkJOxDK*sASdx zdD~hd9nywxAVfV|#CtO>JPu>{TPkCgzH~P~m1rT$fS9)=ELp)@VDtfSooGTM8MeL0<%re~x#e9~M|{ypk`-PXs}hFqz63WX^c`=PF2d^(iAh?Kh=s%Ekf zFCN8{!PB6Z&0y8Dha}Rg6QC|_ON^A*Vl~qfUHth{3Z~=4K$3ZsA~wm`=_a(uWa4)} z5Zzw;xo3B;0ArTUk(&Ppqc;r@Z(f<#jeWM)4KjJFuQv~LegW=p;&t^-fxv+d;EyZz zp^Rf{+nc@{zb~FEbkqz6_+?bMJcvG(=q4JvsDYj&n#Nz71PCn%OJx;KXH>uyjYE)qPoDJXiFx3Qe0?@@jO%jpCpRSVGA!+wA zfoihAf$nHV+AhaflbeWQz!qtu6hsq8pTNS7=UIMupZBU%+g~ATJh`c>>mFtCxy~S` z#QAJ)^0SRJisBxI)y(LPwG5L0<02>c;A4^rb(#E4{A+Zid)>jB>Gu-s`KUkAW3&JuBBPj?lU+qWcXT5Ea`BcS^DmH;tA+h>d7mLfquv55rr6K zo+ovIyPBlb8#hz>+-?n!8X+(@-~JJ;D}_yP-~+qbTH6gq3SioM&}dG2vK!6x@K`GH z$PR2kTHD4D*+n|YDm!P=fr3UpHogmvi=)B^tm!{P^x!g^}@ znJe!#4KzYwXyRgj6!BafdnW9MEWtIJru$Jo*XN3InD>z8ROL0T-F6#ay`0le)t^KZ z>I;sM^ZnOC=vYKF*p{0@0vD2c+5r7L9Edp(b0a8MC|JPpG)O` zw^m?xe-$*>6v#mlm1Lo%AAZ7prM@lI=gQ4VTF*L(lRganfGkcCyw9@QvY7C!& z?%nW~@RXy!ce9Z_y#xDQo^M0XUs7X`|Bd#U|6dS`G217Su0npzz_1dpu|QS7R8G-D zhk{qt3}z2A9}-VW#l)0XC@7nrd+s5sG3^NSxvnxXFsxQVq^5*(04S#EvOP4YDjZ1vV=p8TijP1^1hqSznnlpH?Q`-2^iXp&fqAu%}r0I z8Da?u#N0`2Yq&T#!W}`yCp-M^MUNES7yYiz}tDzSHpQ=TCA67 zOMOC9flKb(REw_?ASz%if@gT0eLi7{gtZUl44<%PfwDQPR%|WIbfnfb3fZ_ljlM)M z@bSZV7RJzGz7xnyTO?r=D!5U2I0pY_dRd*wdA`=r)U-{!Ai&s&Q|PRnMY4qhPa9V! zd(9NtPUy?AG-%}4`j$|-Q+yeHH2sxMy!OjuJLK7UiLIvfOD&7>0cmk4#)nonv@)am z&ljqnZ;=}j(+XzN`0BYBaYkyDbF`)f;0S;%bqz>dX6|x8R?IjMeBntHnyMO((z!$GHtNvSajnwL_EOjMa z#oeO{VY-B@z<#l6eHO*ddTJp`4QWy#{ANgqO^ED#2h(n{ZyExswfsyDA~3Yt?T;NS zQbS`1Mf(!hF&G#mKEfY~C2NCPQfo1;WFSgttQA-0RmiJ-h_$e=#4 z0`|OS%7ZS8k~Fp#QDv!7Mw=fZK}G3bQ@#S?oWj3<|2B&-719ikT!*2C76pVE|{h8{?w%i_Nzx0 z(>ta5maT+z)0k@Jf|JB}&)J5TX|s zlj_00-axSuSV`b|L3t4olUv;nc7Tu`^1a4)b%WAgHPB#U;y7~;QRRfVr@rDV8hyUm zEfOOiwFnW+GPP@5mxFI3m+kQnpsb>p>FC)v3$Y=A{fGc_oWExvPV17p0YKMH$m*DZTi$WAssfjDl~3YI4OXwnvj z^_9qWDfsTyL1KjZ39s?>WXfKW*9Y5sKQh%?87Dd16+Xra{nppLr5Sr*9_SC|ObWcU z!e84!0P;VRCM)AgK(BNzbHMpV+kgg582cnw$4QajyJ1OsPoM`@BF>`J7BAkUke-J8 zY>?{cg>-f;O?{lS6@8?QUHKWgU6o?jtO)Fur+d9)>Dsw9fxFHph zUQnZ60=ymmM79=_jZvVFpb`|yzq2wMdEZB3xwOo=Ym3iTjR&GVgIen9RC8VPWHJ%A&cq%)82aqHMM?k z!#h3HgeE;CfKo&Vh!P+`Xc`p(!GcN&O;M355{m4E7NjFcQ94NRs9-rq&;SWd5D^rt zpo9(<2q21x@I-(A-~XNW+cRg*x96-qvv($Y4`l9rue+>ut?P0csTL4JKCaxRwJ+up zD11uqFUUv2PaCYCbCu`m*>{(f$s_k*T#iF=3ZRh-`Qo{M|AAC=5Yh9%<*HOqYbHzl zd-U3Eu#YprTE(|`>@$$NGzu52_J>~b`1;sJNaRwHQUH2QF5stZmBve41)R1^pHc^P20uijh6zh3e;adHjw=^;u97mnm4KR zA!nyf{yg~q>)r%Y;;&x)-$~?T*eM3$cW`PO{w9#7MXRO2pgN4taIBh*kQ{;J*bhl9 zMF?yA3OJCBF0#r)O&07!3#WpTozzANg7@#udBF?fhX(}-o7h~;G8ot~=N~;Bk;)^p zM1?X8d|Btk>%Lkf-C4jdE8h2)pPKLVBZV+joNt+#C+;Q5`}!KM#_#_yVT1N!Nz>7ePk z+HqT(gO-Or{#-A*Cx5)@lYl=sEAqYzf8oIis*eucZTq3{g=MHXSv}qvKi)PdM&*~A zJ|~-5>JdagEn*$POy*Ekbk5Ag0r*cJc)>^fUT&q&j^7LxTgcMLQ9VJ7)K1!Rrnkdq z3)%_ukU{H0k3N<7AfgjQhpvKmwuQl+NRf1;()pD4+G9SLgsz35mfYt$maa#cYI)8+ z634E3_GW49f2X|m;M)ovQr*Vsem>9Ay_V#hENR&0^hE28kPO)lmMpDpW$SY0jj#+Y z^JpXuC4awzB7)62fgF(mbXr~CZ$b46Nk`kED})ryNJ59y0;_-r#;YJ~FU!+O;WDir zrk0#JtKDi_vo!_W0u5N9z`$0BsQzj_CKuR2&xF)XGWWY@0>{47)LeILTdS8iKFN68 zpXD*hNaj-|o5(O8C&e)}0e`!}LHdm(>a$-`hXC zb;DD@9%1`fH3eL;#YaOPaz}ed0qlFFi8?eux0OWG!&X+1>FW0HC6RAzCP(qn>%!2U zT>c_agP&r%k;SA_p+j99wmQ^Z7+N!af7^rVDIejnQ~^o%!3!j4Lp3_1K@-DDWn9MJ z(q8G3KPEiZlFAGeiP(dBnVo7fpyYXti!m6Sbn7v-4h7 zm`~g#B|_f9{(^w?o-mdE5Q6QHWiD) z|K!s)-@gK+lKk%k7++0tCCj1XW;jhQH-hY**QAZ$s4zu32d&r%NEPkib0ioFdiKch zL=bQ{Jbc7%PKh6ci3Bchef*R9G9|KG`H0<2!;P$Ub>PxX*&UYzsLvyZ4vSR~*j~lS z^%vwZKr!gLZ$6!k|Wp*^iy&(cXjI8@ZB3AgQ*T6nKb6{xEQ9ll>@)ken+$MRV zHBbf+K z1?B=hj~LMu*raXThBjdy*wEcP)!w3wT9iJmRdaO%La9frX$gf0YlL_9zXy%2zYN;- zA)zyTn#@UmpRLIkUrh2;KPWCW;8;p)H=Ie2afgM#G;#U$pE8R}HW&!S-Wxvc(Myp? z+wydFvW!$T9A5lZs(V^|JgC7{H($}Jz^?WDTt0z03LL-}uDPJ@T3xjHaLUBq$5|r| zfDv`DPpkLD)e|y%r(sXNac(~GG%hl&Hi+QNoHDwa9x7z-W4=Bve@k63Ae203{(+FV z>{Skm>^jrV*f!kp)Xwz{nLTIfTro~jw@g6uHy=M1NydivT{RVB@R3lw;Qk5z(@ zyC#rvBN1dJ5c1-ft`eiAZYnA9Y!}3#{9QJ3U+T`-E(el|NUEcZld!kn5O`o41dmFC z-9&D{8L3bNR*ynkLkn9_lWI9Uag3Y_DDf@W{Qw;qu^RP@$vLzNCn~~aDo9(-aw8@H zlUGlkeL4oQ(1g9|8)!*KjVT#lO+PU$8!lPnJmhE>Au!9*{Ob}{c`Ozn0EXJsi38>3 z=Z=JXnkPoLM-as-hW0R4Xl{NBNtlW zYo%fx@5M!WEk~$7W_Fwu4X{j4@_T_%mJYst$z=QZ;rM{yP2mbbf9x_M>}rxwGCih$ zJ$Hw9=LG4+HCa3DsVr+wPhRsrcrKcZ{t&Lb!cmr3xNc=m##G51 z>%1km5XHxAJ13Z9G7mzZ=4*lvHV&e92t7?uuR)x_NoQE>nlDjCyqlacctv-@ChB=d zy&0Z65&XqmN~`!=MiOIzf=w`JJNwrV7dU&ieh34Hur?cO^$11Cd2AIKgOQ_0lCyG4 z8(=h5eyKtm`aH!9@&(fmTK-h~TBjMd!Vxzy*W_2bnba1U4{2My3qDGc3aNkpvHqpj&GW;EnF76zh$Xn{6GT(e!o zzGX}OHBHnNfKL-FOiEP@Q7`;I7d3ZX-2DZ{XEOim`y}p`f%T)7rsOpo!5p- z#*enul|Vk+BY-8BfW^|o&n@T85hJqzxnGCp$h77HMmKe?zT|U4<6@Fl)z+}^JB6F` z5@CVQovN<@LaPdyqc`TlO^epBkQ>IcU903bqIdFkS3*l-0g~my7=^Tvgvo+#kHG3$ zsLJPMp;aTWyB}qtXBG(_eylELAvuX)-4%Bn146*d_0?nWYh*jg<=a(e4d2FlGfGjTi#!BoClH+oZh<{xL_JnA zbAcPPYfym&z+VR7zKpBe*XhfVK`7QA!1(vA8>eEF;8t448R@%p=v0GKt@2;9d3 z)XGJ)tJbRL+win9FRIT$jSpsXoON<~V4xDwh!8omF&XzY6D&YGa2arrj#4)^ci9+L z5o|U@Mf&?Av^I1s#@ZXBm6QMo%qQghZy>MW`hN}N1^+Q5@81LRg8vwj_wNCD!G8?N z`}csn;6H}s{d+)O@E=3+{yiWs_>UoZ{~nMR{Kt^Ie-FqD{$ohqzX#+6|1l)*-vjc3 z{|J&7^J~aEd&Vo!GsH(%@R{)(@@B7~AeI2A(rQa@%8~jZa8V7PfCL_27!w#;ew*Dr z3dK_WrC?wtaa!vXkFnPs3%7ly(SAYlpszY@QS;fS@UcHota|6iHLlIFZv>*vW@HDP zZoQt|sp0)8S8wlo^RlbE{f3a$DCwMVneO#u{qnpX&iuhcT?Ns4X-b8Kh4~5xW}X?2 zK0+|pN9BI8%ufDbD-rwRSgg1N_YowjqU9O0Bngb)D_}h1ad)iAX|51$HjoGx>4et$ z8HWhEh*(xUwSs!P!DA3661k`>n+ z575u1tvFx2WwLzcMV(VcE8yPohpe>Qg7{OXxb>X_RZ6s&dYtQDoTD^_=~I;_lIEg4 z?b(YjhyS>QN)oH0T>Y$XA04_%QA;C#anOW}2e=nhQE`r#EZ|~a#@-zLQat~blzrpJ zdmVCgzp_3tDK6z!>*JR7(-^TW#e1Qkq>}#qKs(ZjF1{l?Mi{brqg9so$@A1oqbASAp7QRYGZP|3(5< z)AuG!POH2{)DNysJJ-AdfvW8Z@s~nm5|=wQEZ`8iPK{$4H9gfn;CsWEXDycg3D0G_ zJPE0ZPN@f2CTfwIHy$g*d$wxFA1O3D1iKaaAb@xWVzM@NZ}*Xyp+I4PI^o_zDlqkk zvNicRR_|uAKIT5!-VGbZ@OS}yvF#Oxj-vyYY9-D?>$SwJnv2EXq#Hlud?zR!4&D;^ zz_V!+D$P3Rw}2RE?Jh3-u2>Y|BAHl53d$vSiLza>8(ijD9*5a1DjegE-zH|o9~JZm zM55oLCW)ql%buruM{7P%+T*WTQ zEe}@@RLD!YHEBM}xj#LM(6e4o6pF<0gY2pf+kXsReT@g_Z^Ojtq|Iu~y`!UAQ2?Cf zo1wID@km9s{o?r|7$L&-w7#4u-e%sg=-zyyk7O3bW7v^NesV&fz_G<15}qUA`Bz#M z-O_dts&UMi#C6?!*Y78bU~_)IA$dTHo$nr z;3gpd8{b_QVxW`J61#{eE5G*E6M~h*Gp6R+LhTl0j$BqlTIDeYlx$DCeluj=?j^jQ z;yDTDlsC)5RmZ6QcDN;iM#dSxKiw`7Z9>#N+y1ovp0$H+g980szKXDSXxOOkE#F znzb0mb?|-XzDGT58kgIvuUmSacHRa%EF>oUeiurVD4>4&Ew}M|u=n>2@b*0!`rG@X zVQ(GbcmU5KsMo4C^%s>VBr!^FLTJ8zX?(1l^`289@y#1Bf%j(-`BsQPI7FcKadElV zdT0CVCGb@58U1C{7rbMfm5hlNT1|LhVr%cwUgcK(u0wKq1|)x!YqMz(?48OMYG01w z(=+>}N#~Zd?{S~s5Z)bBmkp~a4Q@>@GF3VdVM{watg-2r5a{q`9o2^#OcGdgv4mGS3Ea=7Rt)$mLv zthVq_u-D4x(Ze#;jqVrHMTfU)AR!mty{mk6GLV3be+32-gyUZY25Q(EuN=IkLV;cj ziuA+JU;pLJI?N-O73x+NTS-dm@{_rm?VU{Nrc$VNb2amkDBg~E^#LhqQa{4(it`Mp zlp2Xr^UE?>O&@minpYq^4=^qgxbsPgOX^aE)WY2X6)MuZ4@egF6#2HD6GGzd5{BDe zbw~!YXC?L79|8ba)iEh3948n22ul&+=WJ?9BvB7Yl#cc@vt4a zx&E*JQj0fLEBp%8@qUc*`NmW3DFlz^#c(#KMnGTs(1}1(-D&T4!;hz;miTw*M0_M~ z_TgdOdiH>$QqUU1LIN@a?DzsGCi?6b?J4-iEA==v*R64PF%yV;^o6;^!|S<2&iN#e zmDn+U5Halree1`odsnH_!U!l^305ggeT~k_a5(Q3C=NsJPJ~K*`G&g_v`c(5qvy_> zq#@gz51;D~q^YSKg4|PT^#_4;PYccMPbljCU2T`2sJ(czz}b-}S24>72P-kLvU7f+ z)wh|mbJHJSp4`64r0tSFDCRX#?`hgj)*u8 zQhOA15juRqChgu=LmZB^N8{e5-1zij=n)l1Srt)W^9NQOW0k?31T&s9Aja`AIPCUu z#-^QoN6}Qpk#mqgKXDB6Sd2jlUg=g91WYdr@||X8k2U>F%Zhmk+5V}FvU!YwC9>d< zw$jZ0oAZ|hKrcaY#IKpz$M0mwZ?}B(A2l5P1mLDBP0N>%#_8wF>6P9vU~5>^)HZ7C z5KlGDfN*~)0t}4|m0ZEYr$+&~Onv>aiyf@wKAH9HC1@*YG}m@OXyF;LE4hCLZyPhY^C#_o+66K@ijz z#HIuLWjfp5p?Tw$%VkOyUJzi;!V$vbC_feb5;7E*rOLB`tDMIdiX)%k!9D7ujp~=Y znb^$TT9l-aPo}gGS%*%}x8X;SL1UqK1Ap{uMN_fY0i=)miCY^6 z^sXhLu5RcSl%42FJJHzL>@>bsAyNOU{6omiEhk8B@@snEa7b0zQLqgMUcZRx6zyUcP^_rx` z$T}AKU6Qz(bSUZSpNN>bEc^TuTa9Xha2Ztsl@1R%i=zu{So7Qy5gy0L^B(ScB35y~g99|S8qeu6>nMW1~vsmpXm5FDEro*omf=!vFl8r z3V>S)5(we2qHSQw??lZe-Q!hUxN{Jau5X7vn2Q(8$_MV7XxUzb9$DT0b2lpVx z3AZduLaZNlQ*<$hRFp~I<*a&Y)jZVD_e&5$-n#Cu4%)CO?oMe=JLW<}mql(EEn))& ztEHg*$}#?+q~Q`xzwRnzHUEAMGwm?^uUED3%F|tQ3k-PNv*ph`_nqoh@;~S$f2G1f zK(Whm%^%jmwE)!q+>X_JZgIl$L##U)rh-GPQE1QGCaiYX=Cfk%3A7ZWnrJ6jE8n*w z){3wX)D{m~`=uwLKR~A~Y99>Ts#$9k8Gqs^pkD=*vHA~}uJ!=4nz5AV_wJ&?2>-K? zmsTIbU)>3LxBq2w1E#=B_Y1H)uM`@^knO2hI;XJe+taT&JGDy0r~yM=jyvkA0Y8Q1 zN>Sqr!4kd)J{+FaUN46c@YDB6;eQl9%naH;Vd*G~AjhDMfxmO*X zE|!4qTUxuQ3^JHnv>vq3mkk#Ed0*n`xdMVHK%AL^p$-@ z!zovdF-9z-TIPb*uHnSNoc;=*1lP7O&G9yXg_8q}w*-o3sKMla)Sr&nZgCt?cU!M< zxtP=T9{yw<4Llp=>=}IZC$qs^X9xMi5)sUS@WLD>IpjeMWHAhUHN`vISFW;(&mo&g zx7|;8;{b{L(qX8ZGGNnReke!Cdcf_4h_aBlpP}~DgU7Ie%P){=U%Un5kbzbDOUD+6 z-Io~hs2B?B+4C54oVYSvc-QksgbnJ3j;~)ovpU`a;x z-9~n^MOxTUh5-m^&8gCuM*zeXJaTs*0wAp5fwcu*spRezO<1eBFi^~=pb%_nNCb}| zDn!?WjdKC5fq{YN*H2%+a?=9=P;2s&60R2H7q~UO>m3mRs4FhezE+N`Uxqwjx6%a* z@1&4jA+}>Y@jzK1>hj(Mr|MXM{;OPxUY~af#9ZE+Q|W!|?c0xuN@RoFp|G&9<*(<1 zgU^5c7Ve#)g8T99TYRf0rSzcoCJ{vIS|KyD%g<0zj0G!HQerpy7JErxjEpSIuL#+c zdlCyB)&O&~V0SGz9ede~hMYO}9u2wm;3JPA=b4B@L)rw!V@T#)<1rKkg*Ipi_(EVj zhVq3A7h=$mHv;1^lw4h1-O-RCfeG#ipg)4=(n;fj8`06xf~T5)%nQE%`SYjX?Mey7 zx5l7>UyH9|G$13KVAYHU1iv))JsOY|NoZY1L%IdVV?Z*ye6|ZNrTu<81ef~P{zife z2jm4A3;wXcaA-)6z<3OK-&}Vzq&Q+)0M(N~$6E_-4-XH&M??Aq#$zZ5SUb?b=E^f? zgGTv57AP2>SEnSmsK246^1mmgYhj~9btw-s*qWOr#8AeeQ~moPj`glYc`;-gv!5SL zAxNOxV-FAuK}Rha;f~m6K~4Q$2LGj+Gf~b!RgA9gY;|)hYM7G}PG}=Ei^h}34w!X# zJ&W%2KF~06-b^Kv>f>arp1WToXRohQqt9P%dii!IoQ*4Ao;518Kk3{!@%6%N)x+T6 z1Y=miEQH4KBjK><_*hR$jf?KN|Nam#UD@qnf;AZ`v905-Li+AXNiFp&2efndRY_@8 zz6~}hcKCDjU!Wpwm(j-1RBa;+R{0eCsH?v3=|| z{YikSN@mx@od~;I$My1`Ox=xmG8Z*lH5^^Qp^@;C!ie-ZOU?rBW}B+wlMqXFFlXNu zsXgsW?#=vH#2OzHf@HF7g+$Fn0Lf|3j90I7#A;(38M@*iJ{`HJRKW+ zb}D1?&nM8~<oHfQgdzG0}XESa*a;uX#Ru<7R9 z-jmIEUOnATR}oXhhCZ7$d~nwMTSyA4eQcrOXS&tGcXD&!Y?Y6AQWABG!V-v0Jv>dw zU+z!x;q0|F;9pGYJSEgMb)l4M80LEE)JGY~G`HA0N9&SY>~@|{*;Dm?G??@*iCr8x zE+;Ln_@q2|US2xgQoq1%N?JiioOz)3;D=3$GU?plM%Vc+k`4~0cBy;9&}17f&il@r zPb9Lg3JG;>U|w^D9n%%#F-YEamR$XFNU|urgn}ut6=R_m>a*3&@ahrFURi-WbUyGrAN0N=EoC8 zA)}ARo#Tm##K-d1)KjxJ=RePE{O1@;D?nvuzV@w?m7&JR8t?0hE5_at$3AjD3^m3Ggj9pu$)UlE0J* z&68bO!>8cUIk`$X6R`F`Tz+b~OtROh?rGyaa_Y%O=;iZmv{aI<4IUUt2a8Wb2nXEN zdq$)EJ_&5_dB(014b<=^2ZuI*{euekBS5#g8qC-$N1 zh%e;XOqwd#Mb|4^!wN$48v?ROBOjO&Y17WuWXc`^cufHyB@v=+B!&8 zOVyaBs8>*jZe>^RueG0mrPyDEFuz44>L%usKSl*b-X z&5NJMBX2ax*$DmzV{8d(t^-#${=WUAOIxu{1_Js!VE1Jcu+W&pktFz zenX37~R-r<}?V;qNsj$)>l5 zdMTi!jLf)Qvur2BQBzv@iJfIP;nII=^Z?Gxu@1iEB!7XSm!W*<8kQu9=)D z*+xnb#`EAZP04c7Y&i)*t?ySvMW(yisd+u19#bLYwCmF32k4LO>6ZD+0vAA<6)pBe z5J;>w-3vwn-5wEH>#!uE!*r31@fNQXbuGt}6c2?!* z2?hdwDQlOyb8vjSzrn}1x+NtL^{eS81^U|UfK6;@#-5x{r}PWFOww5fqg@RF1*DNr zl%&q3+J^_ueKGlRs&3bnFMgpj{nN&Md4jkf34?@t8(rPC-Csq-fYg%^(aIim*ImOS z)KOz13##-wa5hMFe2h2g(#bhb6=$rAu9AQ=xrUMkHlgO*JF+8#_(8Xhuj3VSng03x zpPLfChjXs7w{;&+zx#-Mrte+GHTaSv1}83)n!Rl$q6VV}Tx@DQ?Kt<#O|Z28brYn( zO}b^KoP~3=SB3y`MsqcA%xV z28bU_J*p`5T>opSjMSGy8{;H10V@VvWtFP`-o|Bnq$j0?#rQl`zr*(fK?sR0um@bW=5l8z@E4*{ z#G%g=M7Mn4hteOTw7o{4x>bQ1rT^ko{gJy>qOCeQVy2t=R)cFxzM*lM6%cwLJ&j*wRq#q4!U+l_@_e zbh=T&$b!Dpst?`~5KgK$6KGCy3DLt!9m>&3W0j8SdPb;sQ@pve8CQYEJ4DPg+DN;` zZ=my|>i>0FhlgASkNTxve*sH%ki{5G$3d&(pwV=q4DFa)!f0$%56IC$q92{rovR|0 zIHF#BY$xuGpkhr5I*c~erN6h$jxCFJ`xSFPE2u?%_#@!_#T`RKq3p;;>02s9LC8H~ z20vd86OY6j2dMBfkN=9em-X{z{W@y#I)OSAEJ?&b5P4muQ2P!dqU(j{0+wk5)gJ0A9SJUj zOThb>SW%%F{FZGmzvjyx^kqBBdv^d^4bwG9D;)Tv6vpd6$MM-XA}W_u6iw3)?SvIo zfEPzPp{peGlH)MFn!(!o<%n>TuKDk#KA8%tV!%tf@n zAoRrBZT_%Nv1+V4xJuZm(9Tl(m~~ySgamn$t@d27BNl$pxI_u%C!4>9ZM-5z0}*F> zPu&T#itolvXlTPjFuL;P;GXwu5D@i&@ba8WrmEow+s(eJF*G#W2} z$Pv)K=HKsB7r9Ht>~Auq_NtNZWmWB}wL-TmJ!6MXjHQ>!@sleM(;{QMu%7WeNCH-Q zz89>8yu`c>r8|oE%GUK3kYAsBt~~#)Ms^ z`k%$0Z)z64aLnem06KB+KY6cfZRboy&8h@>?7yCAC_c^lpFB3y4Q1tq`knS_EBXFx zg}7DOP?1|74IMOcPnfp)o%MpcMQHb2cfO8IdVgfvrGtlBs|0?0Nzr@K_$e>!fRaOc zF^IIMpL5l2B(5-y*#&d$I*KmQL;Fifyo}A!TVxs5@D;cSH}q8a_+3HPn)`8#*XFJZ z>t=Z0PhnJBT!gSLLR9H=|249B3=UK<{<)bokm?qzz7*&28dXB9SO8hr6V8?P86$U`qU7R3moqL$K?@wzh; z+Unyu1;Xz4?Z}2eT^mK{VC*%`x&*Eq>5@|W)45wFlY?)!d0c&CH-A-{8z>=v*EARs>^9p}8^ACl! zD=M{8Q>8JLPol}FLEvkp5hS`xc#V&%YiEAIlA2q!)U&@5TOFAZFeD%hB=V?!hZl*Y z@pN->DDYpqf4m(Y2&uY`l$3IH_n>)tdHeXDIO%ul^cnxN0fE^N=;vH{v{W7fg8p39 zjNBp_6cTza?0h&qA~GuaLJY`Y#^yobu(|&}^y4lTDh9c)IF0STZ.G OUT.bEquate - + jsr SRC.GetChar bcs .8 @@ -18,18 +18,18 @@ SRC.ParseLine jsr OUT.PrintBufReset bcs .7 TRUE lda (ZPLinePtr) - + .1 cmp #C.SPACE false....skip label processing beq SRC.ParseLine.DirOp no label.... - + .2 jsr SRC.GetNextChar bcs .8 - + cmp #C.SPACE bne .2 - + bra SRC.ParseLine.DirOp - + .7 >LDA.G ASM.MA.ON bpl SRC.ParseLine.LABEL @@ -39,7 +39,7 @@ SRC.ParseLine jsr OUT.PrintBufReset SRC.ParseLine.RTS rts *--------------------------------------- -SRC.ParseLine.LABEL +SRC.ParseLine.LABEL jsr SRC.GetChar cmp #C.SPACE no label...go scan dir/opcode beq SRC.ParseLine.DirOp @@ -51,7 +51,7 @@ SRC.ParseLine.LABEL >LDA.G MAC.StkPtr bne SRC.ParseLine.InvLbl illegal in MACRO bra .2 - + .1 cpx #':' private symbol? bne .8 @@ -87,7 +87,7 @@ SRC.ParseLine.DirOp jsr SRC.GetKeyword bcs SRC.ParseLine.InvDir - + jsr SRC.DoCheck bcs .1 TRUE, always execute @@ -99,7 +99,7 @@ SRC.ParseLine.DirOp cpx #30 .FIN? bne SRC.ParseLine.Ok - + .1 jmp (J.DIRECTIVES,x) .5 pha @@ -147,7 +147,7 @@ SRC.ParseLine.OpCode tax ldy #0 - + .2 lda (ZPLinePtr),y beq .7 @@ -202,7 +202,7 @@ SRC.ParseLine.OpCode >STA.G SRC.AMID jsr SRC.ParseLine.AMCheck4OP bcs .99 - + jmp SRC.ParseLine.Emit .9 lda #E.INV.OPCODE sec @@ -216,7 +216,7 @@ SRC.ParseLine.AM jsr SRC.AddToBuf bra .7 - + .2 jsr SRC.IsLetter Any register? bcs .6 no, try something else @@ -250,9 +250,9 @@ SRC.ParseLine.AM .75 ldx SRC.ACC.SIZE beq * SHOULD NEVER APPEND - + jsr SRC.GetACCSize - + .72 lda #'a' .71 jsr SRC.AddToBuf @@ -282,7 +282,7 @@ SRC.ParseLine.AM .80 jsr SRC.ExpandAddress cpx #5 bne .8 - + .9 lda #E.INV.AM sec .99 rts @@ -298,7 +298,7 @@ SRC.ParseLine.AMCheck lda (ZPPtr1) beq .9 - cmp SRC.AM.StrBuf + cmp SRC.AM.StrBuf bne .7 tay @@ -315,7 +315,7 @@ SRC.ParseLine.AMCheck clc rts - + .6 lda (ZPPtr1) .7 sec adc ZPPtr1 @@ -339,7 +339,7 @@ SRC.ParseLine.AMCheck4OP ldy #2 LEN Byte adc (ZPOpDefPtr),y bcc .10 - inx + inx .10 sta ZPPtr1 @@ -357,7 +357,7 @@ SRC.ParseLine.AMCheck4OP .12 sec LEN byte... ldy #1 String... - adc (ZPPtr1),y + adc (ZPPtr1),y bcc .2 inx @@ -374,19 +374,19 @@ SRC.ParseLine.AMCheck4OP >STYA ZPOpDefPtr clc rts -*--------------------------------------- +*--------------------------------------- SRC.ParseLine.Emit stz SRC.ACCTMP index in ACC ldy #1 lda (ZPOpDefPtr),y sta SRC.ACCTMP+1 Char Count in AM - + iny - -.4 lda (ZPOpDefPtr),y + +.2 lda (ZPOpDefPtr),y cmp #'a - bcs .5 lowercase, go compute address + bcs .4 lowercase, go compute address jsr SRC.IsDigit16 asl @@ -400,34 +400,34 @@ SRC.ParseLine.Emit jsr SRC.IsDigit16 ora SRC.ACCTMP+2 -.50 jsr OUT.EmitByte - +.3 jsr OUT.EmitByte bcs .9 + iny dec SRC.ACCTMP+1 - bne .4 + bne .2 - clc +* clc rts -.5 bne .6 cmp #'a'.... +.4 bne .5 cmp #'a'.... ldx SRC.ACCTMP inc SRC.ACCTMP lda SRC.ACC,x - bra .50 + bra .3 -.6 cmp #'r +.5 cmp #'r bne .7 jsr SRC.ComputeRel8 - bcs .61 - + bcs .6 + lda SRC.ACC - bra .50 - -.61 >LDA.G ASM.PASS - beq .50 Emit 00 if pending symbol (pass #1) + bra .3 + +.6 >LDA.G ASM.PASS + beq .3 Emit 00 if pending symbol (pass #1) bra .91 @@ -435,25 +435,24 @@ SRC.ParseLine.Emit bne .90 jsr SRC.ComputeRel16 - bcs .71 - + bcs .8 + lda SRC.ACC jsr OUT.EmitByte bcs .9 + lda SRC.ACC+1 - bra .50 - -.71 >LDA.G ASM.PASS + bra .3 + +.8 >LDA.G ASM.PASS bne .91 - - lda #0 + +* lda #0 jsr OUT.EmitByte bcs .9 - lda #0 - bra .50 Emit 00 00 if pending symbol (pass #1) -.8 clc -.9 rts + lda #0 + bra .3 Emit 00 00 if pending symbol (pass #1) .90 lda #E.INV.CPU.FILE sec @@ -461,7 +460,7 @@ SRC.ParseLine.Emit .91 lda #E.RANGE sec - rts +.9 rts *--------------------------------------- SRC.AddToBuf inc SRC.AM.StrBuf ldy SRC.AM.StrBuf @@ -470,35 +469,43 @@ SRC.AddToBuf inc SRC.AM.StrBuf *--------------------------------------- SRC.ExpandAddress ldy SRC.AM.StrBuf + .1 lda SRC.AM.StrBuf,y sta SRC.AM.StrBuf+1,y - dey cmp #'a' bne .1 + ldx #2 "aa" - + tya beq .8 + .2 lda SRC.AM.StrBuf,y cmp #'a' bne .8 + inx "aaa" .3 dey bne .2 + .8 inc SRC.AM.StrBuf rts *--------------------------------------- -SRC.ComputeRel8 jsr SRC.ComputeRel +SRC.ComputeRel8 lda #1 + jsr SRC.ComputeRel bcc .1 + * positive : check 0 ACC & ACCTMP sta SRC.ACC+3 sta SRC.ACCTMP+3 bcs .9 + ldx #2 + .1 asl SRC.ACC ACC=ACC*4 rol SRC.ACC+1 rol SRC.ACC+2 rol SRC.ACC+3 bcs .9 + dex bne .1 + lda SRC.ACC CC from ROL SRC.ACC+3 adc SRC.ACCTMP sta SRC.ACC @@ -1031,17 +1073,17 @@ SRC.GetACCSize lda SRC.ACC.F ldx #2 rts - + .1 ldx SRC.ACC.SIZE .2 lda SRC.ACC-1,x bne .8 - + dex bne .2 - + inx - + .8 rts *--------------------------------------- SRC.DoCheck sec @@ -1053,7 +1095,7 @@ SRC.DoCheck sec tay lda (pData),y asl - + .8 rts CS if TRUE *--------------------------------------- MAN diff --git a/BIN/ASM.S.txt b/BIN/ASM.S.txt index df9edb31..2c5afa08 100644 --- a/BIN/ASM.S.txt +++ b/BIN/ASM.S.txt @@ -347,6 +347,9 @@ CS.RUN.LOOP ldy #S.PS.hStdIn .9 pha jsr OUT.PrintLineErr + .DO ASMDBG=1 + jsr SYM.Dump.1 + .FIN pla sec rts diff --git a/BIN/ATBROWSE.S.txt b/BIN/ATBROWSE.S.txt index e5373267..d360c2c9 100644 --- a/BIN/ATBROWSE.S.txt +++ b/BIN/ATBROWSE.S.txt @@ -41,44 +41,28 @@ CS.START cld .DA CS.QUIT L.MSG.NOATK .DA MSG.NOATK L.MSG.ATKERR .DA MSG.ATKERR -L.MSG.NETNODE .DA MSG.NETNODE +L.MSG.GETINFO .DA MSG.GETINFO +L.MSG.MYZONE .DA MSG.MYZONE L.SEARCH.STRING .DA SEARCH.STRING L.MSG.DUMP0 .DA MSG.DUMP0 L.MSG.DUMP .DA MSG.DUMP .DA 0 *-------------------------------------- CS.INIT clc - rts +CS.INIT.RTS rts *-------------------------------------- -CS.RUN lda #AT.GetInfo - >STA.G P.GetInfo+1 - - >LEA.G P.GetInfo +CS.RUN jsr CS.RUN.ATCHECK + bcs CS.INIT.RTS - jsr A2osX.ATKCALL - bcc CS.RUN.ATKOK + jsr CS.RUN.MyZone + bcs CS.INIT.RTS - pha - >LDYA L.MSG.NOATK - >SYSCALL PutS - pla - sec - rts - -CS.RUN.ATKOK >PUSHW L.MSG.NETNODE - >PUSHW.G P.GetInfo+$8 NET - >PUSHB.G P.GetInfo+$E NODE - >PUSHBI 3 - >SYSCALL PrintF - - lda #AT.LookupName - >STA.G P.LookupName+1 >LDYA L.SEARCH.STRING >STYA.G P.LookupName+$08 Entry Name Ptr lda #4 - >STA.G P.LookupName+$C RetInt - >STA.G P.LookupName+$D RetCnt + >STA.G P.LookupName+$0C RetInt + >STA.G P.LookupName+$0D RetCnt >LDYAI 1024 >STYA.G P.LookupName+$10 BufLen >LDYAI 1024 @@ -94,6 +78,7 @@ CS.RUN.ATKOK >PUSHW L.MSG.NETNODE >STA.G P.LookupName+$16 MaxMatch >LEA.G P.LookupName + ldx #AT.LookupName jsr CS.RUN.GOATLK .99 bcs .9 @@ -141,7 +126,56 @@ CS.RUN.ATKOK >PUSHW L.MSG.NETNODE .8 sec .9 rts *-------------------------------------- +CS.RUN.ATCHECK lda #AT.GetInfo + >STA.G P.GetInfo+1 + + >LEA.G P.GetInfo + + jsr A2osX.ATKCALL + bcs .9 + + >PUSHW L.MSG.GETINFO + >PUSHW.G P.GetInfo+$08 THIS-NET + >PUSHB.G P.GetInfo+$0E NODE + >PUSHB.G P.GetInfo+$0A BRIDGE + + >PUSHW.G P.GetInfo+$0A HARDWARE ID + >PUSHB.G P.GetInfo+$0A ROM VERSION + >PUSHBI 7 + >SYSCALL PrintF + rts + +.9 pha + >LDYA L.MSG.NOATK + >SYSCALL PutS + pla + sec + rts +*-------------------------------------- +CS.RUN.MyZone >LEA.G ZoneBuf + >STYA.G P.GetMyZone+$08 + lda #4 + >STA.G P.GetMyZone+$0C RetInt + >STA.G P.GetMyZone+$0D RetCnt + + >LEA.G P.GetMyZone + ldx #AT.GetMyZone + jsr CS.RUN.GOATLK + bcs .9 + + >PUSHW L.MSG.MYZONE + >PUSHEA.G ZoneBuf + >PUSHBI 2 + >SYSCALL PrintF +.9 rts +*-------------------------------------- CS.RUN.GOATLK >STYA ZPAtlkParams + + ldy #1 + txa + sta (ZPAtlkParams),y + + >LDYA ZPAtlkParams jsr A2osX.ATKCALL bcc .9 @@ -161,7 +195,6 @@ CS.RUN.GOATLK >STYA ZPAtlkParams pla sec - .9 rts *-------------------------------------- CS.DOEVENT sec @@ -177,8 +210,11 @@ CS.QUIT lda hBuf CS.END MSG.NOATK .AZ "AppleTalk Not Loaded." MSG.ATKERR .AZ "AppleTalk ERR:%H\r\n" -MSG.NETNODE .AZ "Network/Node:$%H/$%h\r\n" -SEARCH.STRING >PSTR "*:=@=" +MSG.GETINFO .AS "Network/Node:$%H/$%h\r\n" + .AS "Bridge : $%h\r\n" + .AZ "HWID/ROMVER :$%H/$%h\r\n" +MSG.MYZONE .AZ "Zone Name :%S\r\n" +SEARCH.STRING >PSTR "=:=@*" MSG.DUMP0 .AZ "Net Nod SkT En# Name" MSG.DUMP .AZ "%H $%h $%h $%h %S\r\n" *-------------------------------------- @@ -186,7 +222,9 @@ MSG.DUMP .AZ "%H $%h $%h $%h %S\r\n" .OR 0 DS.START P.GetInfo .BS $0F +P.GetMyZone .BS $0F P.LookupName .BS $17 +ZoneBuf .BS 33 DS.END .ED *-------------------------------------- MAN diff --git a/BIN/ATLOGON.S.txt b/BIN/ATLOGON.S.txt index e0f0b3d9..c24e4f27 100644 --- a/BIN/ATLOGON.S.txt +++ b/BIN/ATLOGON.S.txt @@ -120,7 +120,8 @@ CS.RUN.ATCHECK lda #AT.GetInfo >LDYA L.MSG.NOATK >SYSCALL puts pla -.9 sec + sec +.9 rts *-------------------------------------- CS.RUN.GOATLK >STYA ZPAtlkParams jsr A2osX.ATKCALL @@ -162,6 +163,7 @@ DS.START P.GetInfo .BS $0F P.LookupName .BS $17 P.FILogin .BS $19 +ZoneBuf .BS 33 NameBuf .BS 64 ReplyBuf .BS 64 DS.END diff --git a/BIN/CMP.S.txt b/BIN/CMP.S.txt index 8e601bd9..acc4d24f 100644 --- a/BIN/CMP.S.txt +++ b/BIN/CMP.S.txt @@ -3,7 +3,7 @@ NEW .LIST OFF .OP 65C02 .OR $2000 - .TF BIN/CMP + .TF bin/cmp *-------------------------------------- .INB inc/macros.i .INB inc/a2osx.i diff --git a/BIN/GTEST.S.txt b/BIN/GTEST.S.txt index d203699f..ce320bc0 100644 --- a/BIN/GTEST.S.txt +++ b/BIN/GTEST.S.txt @@ -177,7 +177,7 @@ CS.RUN.LOGO.SHOW >LDYA L.CB.LOGO jsr GFX.Write.YA - bit xDIR + bit xDir bmi .4 lda CB.LOGO+S.CB.DstX @@ -193,9 +193,9 @@ CS.RUN.LOGO.SHOW pla bcc .5 -.3 lda xDIR +.3 lda xDir eor #$ff - sta xDIR + sta xDir bra .6 .4 lda CB.LOGO+S.CB.DstX @@ -211,16 +211,16 @@ CS.RUN.LOGO.SHOW .6 lda CB.LOGO+S.CB.DstY - bit yDIR + bit yDir bmi .8 inc cmp #152 bne .7 -.60 lda yDIR +.60 lda yDir eor #$ff - sta yDIR + sta yDir bra .1 .7 sta CB.LOGO+S.CB.DstY @@ -299,7 +299,7 @@ LoadResources >PUSHW L.FONTFILE >PUSHWZ Aux type >SYSCALL LoadStkObj bcs .99 - stx hFontb + stx hFontB >PUSHW L.MARILYNFILE >PUSHBI O.RDONLY diff --git a/BIN/UNPAK.S.txt b/BIN/UNPAK.S.txt index c2864daf..0853ba66 100644 --- a/BIN/UNPAK.S.txt +++ b/BIN/UNPAK.S.txt @@ -5,10 +5,10 @@ NEW .OR $2000 .TF bin/unpak *-------------------------------------- - .INB INC/MACROS.I - .INB INC/A2OSX.I - .INB INC/MLI.E.I - .INB INC/PAK.I + .INB inc/macros.i + .INB inc/a2osx.i + .INB inc/mli.e.i + .INB inc/pak.i *-------------------------------------- .DUMMY .OR ZPBIN @@ -70,7 +70,7 @@ CS.RUN >LDYAI CHNK.SIZE >STA.G hInBuf lda #1 - >SYSCALL Argv + >SYSCALL ArgV bcs .99 jsr CS.RUN.OpenArc @@ -90,7 +90,7 @@ CS.RUN >LDYAI CHNK.SIZE ldy #S.PS.hCWD lda (pPS),y - >SYSCALL GetMemptr + >SYSCALL GetMemPtr .1 jsr CS.RUN.SetupPath @@ -180,7 +180,7 @@ CS.RUN.LOOP jsr CS.RUN.GetByte bne .3 Could be a 0 byte file .20 lda #'.' - >SYSCALL putchar + >SYSCALL PutChar jsr CS.RUN.GetByte DataLen LO bcs .99 @@ -202,7 +202,7 @@ CS.RUN.LOOP jsr CS.RUN.GetByte sta ZPOutBufLen+1 >LDA.G hOutBuf - >SYSCALL GetMemptr + >SYSCALL GetMemPtr >STYA ZPOutBufPtr jsr X.Unpak @@ -247,7 +247,7 @@ CS.RUN.OpenArc >PUSHYA >PUSHWI 3 >PUSHW ZPInBufPtr pla - >SYSCALL fread + >SYSCALL FRead bcs .9 cpy #3 bne .99 @@ -272,7 +272,7 @@ CS.RUN.GetFileType >PUSHWI 3 >PUSHEA.G FileType >LDA.G hArcFile - >SYSCALL fread + >SYSCALL FRead rts *-------------------------------------- CS.RUN.GetFileName @@ -284,7 +284,7 @@ CS.RUN.GetFileName >PUSHYA >PUSHW ZPRelPathPtr >LDA.G hArcFile - >SYSCALL fread + >SYSCALL FRead bcs .9 lda #0 @@ -295,25 +295,25 @@ CS.RUN.GetFileName *-------------------------------------- CS.RUN.ReadData >PUSHW ZPInBufLen >LDA.G hInBuf - >SYSCALL GetMemptr + >SYSCALL GetMemPtr >STYA ZPInBufPtr >PUSHYA >LDA.G hArcFile - >SYSCALL fread + >SYSCALL FRead rts *-------------------------------------- CS.RUN.GetByte >LDA.G hArcFile - >SYSCALL getc + >SYSCALL GetC rts *-------------------------------------- CS.RUN.CheckDir >PUSHW ZPFullPathPtr >PUSHEA.G STAT - >SYSCALL stat + >SYSCALL Stat bcc .1 >PUSHW ZPFullPathPtr >PUSHWI S.STAT.MODE.FO+S.STAT.MODE.FG+S.STAT.MODE.FU - >SYSCALL mkdir + >SYSCALL MKDir rts @@ -340,10 +340,10 @@ CS.RUN.OpenFile >PUSHW ZPFullPathPtr CS.RUN.WriteFile >PUSHW ZPOutBufLen >LDA.G hOutBuf - >SYSCALL GetMemptr + >SYSCALL GetMemPtr >PUSHYA >LDA.G hFile - >SYSCALL fwrite + >SYSCALL FWrite rts *-------------------------------------- CS.DOEVENT sec @@ -398,5 +398,5 @@ DS.END .ED *-------------------------------------- MAN -SAVE USR/SRC/BIN/UNPAK.S +SAVE usr/src/bin/unpak.s ASM diff --git a/INC/A2osX.I.txt b/INC/A2osX.I.txt index 71ecf64f..70a694d0 100644 --- a/INC/A2osX.I.txt +++ b/INC/A2osX.I.txt @@ -58,7 +58,7 @@ A2osX.SLEEP .EQ $BC10 *-------------------------------------- A2osX.SCRNDEVS .EQ $BED0 0=console, 1-8=VT100, 9=GFX A2osX.ASCREEN .EQ $BEDA Active Screen - +* free BEDB -> BEE5 A2osX.CPUTYPE .EQ $BEE6 A2osX.CPUSPEED .EQ $BEE7 WORD, (->255.99 Mhz) diff --git a/INC/APPLETALK.I.txt b/INC/APPLETALK.I.txt index 62e600c2..3e8c2036 100644 --- a/INC/APPLETALK.I.txt +++ b/INC/APPLETALK.I.txt @@ -6,6 +6,9 @@ AUTO 4,1 AT.GetInfo .EQ $02 AT.LookupName .EQ $10 +AT.GetMyZone .EQ $1A +AT.GetZoneList .EQ $1B + AT.FILogin .EQ $2B AT.FILoginCont .EQ $2C AT.FILogout .EQ $2D @@ -16,3 +19,4 @@ AT.FIGetSVersion .EQ $3A *-------------------------------------- MAN SAVE inc/appletalk.i + diff --git a/INC/GFX.I.txt b/INC/GFX.I.txt index 8f5b0108..29593900 100644 --- a/INC/GFX.I.txt +++ b/INC/GFX.I.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- * GFX Devices *-------------------------------------- @@ -95,4 +94,4 @@ S.CB.DstPtr .EQ 18 BITBLT S.CB .EQ 20 *-------------------------------------- MAN -SAVE INC/GFX.I +SAVE inc/gfx.i diff --git a/INC/IO.D2.I.txt b/INC/IO.D2.I.txt index 5e3496c7..b5cd55d5 100644 --- a/INC/IO.D2.I.txt +++ b/INC/IO.D2.I.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- IO.D2.SeekTime .EQ 75 *-------------------------------------- @@ -29,4 +28,4 @@ IO.D2.ReadMode .EQ $C08E R IO.D2.WriteMode .EQ $C08F W *-------------------------------------- MAN -SAVE INC/IO.D2.I +SAVE inc/io.d2.i diff --git a/INC/KERNEL.I.txt b/INC/KERNEL.I.txt index 1e70ec51..93bbb8e6 100644 --- a/INC/KERNEL.I.txt +++ b/INC/KERNEL.I.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- Mem.Table .EQ $0800 *-------------------------------------- @@ -26,6 +25,6 @@ S.Table.hFile .EQ $1450 K.USR.MAX A2osX.EndTables .EQ $1460 *-------------------------------------- MAN -SAVE INC/KERNEL.I -LOAD USR/SRC/SYS/KERNEL.S +SAVE inc/kernel.i +LOAD usr/src/sys/kernel.s ASM diff --git a/INC/LIBBLKDEV.I.txt b/INC/LIBBLKDEV.I.txt index 99eb4c14..6cc4d178 100644 --- a/INC/LIBBLKDEV.I.txt +++ b/INC/LIBBLKDEV.I.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- LIBBLKDEV.GetProDOSCatSize .EQ 4 LIBBLKDEV.BuildProDOSCat .EQ 6 diff --git a/INC/MACROS.I.txt b/INC/MACROS.I.txt index be029da6..cf7e4019 100644 --- a/INC/MACROS.I.txt +++ b/INC/MACROS.I.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- .MA DEBUG :1 bit $C000 diff --git a/LIB/LIBBLKDEV.S.BB.txt b/LIB/LIBBLKDEV.S.BB.txt index 83fc7f6b..f6eeb8f5 100644 --- a/LIB/LIBBLKDEV.S.BB.txt +++ b/LIB/LIBBLKDEV.S.BB.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- dcmd .EQ $42 disk command (=1 for read) unit .EQ $43 (16*slot)+(128*(drive-1)) diff --git a/LIB/LIBBLKDEV.S.D2.txt b/LIB/LIBBLKDEV.S.D2.txt index 4ecc9269..a1d962f4 100644 --- a/LIB/LIBBLKDEV.S.D2.txt +++ b/LIB/LIBBLKDEV.S.D2.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF */-------------------------------------- *\-------------------------------------- D2MotorControl >PULLB MotorOn @@ -124,8 +123,8 @@ D2MoveHead >PULLB MoveTo .7 jsr D2.Wait25600usec - lda IO.D2.Ph0Off,x lda IO.D2.Ph0Off,y + lda IO.D2.Ph0Off,x clc @@ -192,7 +191,7 @@ D2TrkWrite16s >PULLW ZPPtr1 bcs .9 >LDYAI TRACK16.LEN+1 - >SYSCALL2 getmem + >SYSCALL2 GetMem bcs .9 >STYA ZPPtr2 diff --git a/LIB/LIBBLKDEV.S.txt b/LIB/LIBBLKDEV.S.txt index 29ddf287..274a7992 100644 --- a/LIB/LIBBLKDEV.S.txt +++ b/LIB/LIBBLKDEV.S.txt @@ -188,7 +188,7 @@ BuildProDOSCat >PULLW ZPPtr1 DstBuf txa -.30 sta VolDirHdr.next +.30 sta VolDirHdr.Next * stz VolDirHdr.next+1 * stz VolDirHdr.VER * stz VolDirHdr.MVER diff --git a/ProDOS.FX/ProDOS.S.XRW.txt b/ProDOS.FX/ProDOS.S.XRW.txt index fa31493f..1356164a 100644 --- a/ProDOS.FX/ProDOS.S.XRW.txt +++ b/ProDOS.FX/ProDOS.S.XRW.txt @@ -1,7 +1,7 @@ NEW AUTO 3,1 *-------------------------------------- -XRW.DEBUG .EQ 0 +XRWDBG .EQ 0 *-------------------------------------- XRW.START cld $D8 to flag language card bank 1 (main) @@ -193,7 +193,7 @@ regrwts ldy #1 dec XRW.RecalibrateCnt sec bmi .9 - .DO XRW.DEBUG=1 + .DO XRWDBG=1 jsr XRW.DEBUG .FIN ldy XRW.UnitIndex @@ -732,7 +732,7 @@ XRW.Seek ldx XRW.UnitIndex jsr XRW.Trk2Qtrk sta XRW.TargetQTrack - .DO XRW.DEBUG=1 + .DO XRWDBG=1 jsr XRW.DEBUG3 .FIN @@ -741,7 +741,7 @@ XRW.Seek ldx XRW.UnitIndex jsr XRW.Trk2Qtrk sta XRW.CurrentQTrack - .DO XRW.DEBUG=1 + .DO XRWDBG=1 jsr XRW.DEBUG2 .FIN @@ -900,7 +900,7 @@ XRW.ReadAddr ldy #$FC rderr sec rts *-------------------------------------- - .DO XRW.DEBUG=1 + .DO XRWDBG=1 XRW.DEBUG phx ldx #26 diff --git a/SBIN/CIFSD.S.txt b/SBIN/CIFSD.S.txt index 1c11134c..1834debd 100644 --- a/SBIN/CIFSD.S.txt +++ b/SBIN/CIFSD.S.txt @@ -137,7 +137,7 @@ CS.RUN.CheckArgs lda #1 >SYSCALL ArgV >PUSHYA - >LIBCALL hLIBTCPIP,LIBTCPIP.HST.GETBYNAME + >LIBCALL hLIBTCPIP,LIBTCPIP.HST.GetByName bcc .2 >SLEEP @@ -303,7 +303,7 @@ CS.RUN.SessSetup >PUSHYA >PUSHBI 2 - >SYSCALL Printf + >SYSCALL PrintF lda hResp >SYSCALL FreeMem @@ -580,8 +580,8 @@ SMB.TreeConnReq.end .EQ * SMB.FindFirstReq .DA #0 DIRECT TCP TRANSPORT .DA #0 24 BITS LENGTH - .DA /SMB.TreeConnReq.end-1-* - .DA #SMB.TreeConnReq.end-1-* + .DA /SMB.FindFirstReq.end-1-* + .DA #SMB.FindFirstReq.end-1-* .DA #$FF .AS "SMB" .DA #S.SMB.H.CMD.TRANSACTION2 @@ -627,7 +627,7 @@ SMB.FindFirstReq.UID .DA 0 Flags .DA $0104 InformationLevel .HS 00000000 SearchStorageType - .DA "\*" FileName + .AS "\*" FileName SMB.FindFirstReq.end *-------------------------------------- .DUMMY diff --git a/SYS/KERNEL.S.CORE.txt b/SYS/KERNEL.S.CORE.txt index ac69bf85..fcba4408 100644 --- a/SYS/KERNEL.S.CORE.txt +++ b/SYS/KERNEL.S.CORE.txt @@ -397,6 +397,35 @@ CORE.PSResume sei inc IRQ.InKernel rti *-------------------------------------- +GP.RomCall.JmpX jmp (.1-FPU.FADD,x) +*-------------------------------------- +* http://www.txbobsc.com/scsc/scdocumentor/E7A0.html +*-------------------------------------- +.1 .DA $E7C1 FADDT + .DA $E7AA FSUBT + .DA $E987 FMULTT.1 + .DA $EA6B FDIVT+2 + .DA $EE97 FPWRT + + .DA $E941 LOG + .DA $EE8D SQR + .DA $EF09 EXP + .DA $EFEA COS + .DA $EFF1 SIN + .DA $F03A TAN + .DA $F09E ATN +*-------------------------------------- + .DA $E9E3 LOAD.ARG.FROM.YA + .DA $EAF9 LOAD.FAC.FROM.YA + .DA $EB27 SETFOR (GETFAC) + .DA $EBB2 FCOMP (Y,A with FAC) + + .DA $E82E NORMALIZE.FAC.2 (L->F) + .DA $EBF2 QINT (F->L) + + .DA $EC4A FIN AToF + .DA $ED36 FOUT.1 PrintF +*-------------------------------------- CORE.VBLState .BS 1 CORE.IRQMode .BS 1 CORE.TickPerSec .BS 1 @@ -410,6 +439,16 @@ CORE.FSID .BS 1 CORE.EvtIndex .BS 1 CORE.EvtCount .BS 1 *-------------------------------------- +IRQ.InKernel .BS 1 +IRQ.InLib .BS 1 +IRQ.SkipA2osX .BS 1 + +IRQ.IntNum .BS 1 +IRQ.VBL.n0 .BS 1 +IRQ.VBL.0n .BS 1 +IRQ.Mode .BS 1 +IRQ.Tick .BS 1 +*-------------------------------------- MAN SAVE USR/SRC/SYS/KERNEL.S.CORE LOAD USR/SRC/SYS/KERNEL.S diff --git a/SYS/KERNEL.S.CTRL.txt b/SYS/KERNEL.S.CTRL.txt index 05ee733c..9a957c52 100644 --- a/SYS/KERNEL.S.CTRL.txt +++ b/SYS/KERNEL.S.CTRL.txt @@ -28,7 +28,7 @@ C9 .EQ DevMgr.FreeMem * ERROR:AUX too big .LIST OFF .FIN - .DO A2osX.GP.SC>$2E0 + .DO A2osX.GP.SC>$1D0 .LIST ON * ERROR:GP too big .LIST OFF @@ -45,6 +45,6 @@ C9 .EQ DevMgr.FreeMem .FIN *-------------------------------------- MAN -SAVE USR/SRC/SYS/KERNEL.S.CTRL -LOAD USR/SRC/SYS/KERNEL.S +SAVE usr/src/sys/kernel.s.ctrl +LOAD usr/src/sys/kernel.s ASM diff --git a/SYS/KERNEL.S.FS.txt b/SYS/KERNEL.S.FS.txt index 57bb3d28..938cf8cd 100644 --- a/SYS/KERNEL.S.FS.txt +++ b/SYS/KERNEL.S.FS.txt @@ -240,9 +240,13 @@ FS.Stat.203 >MLICALL MLIGETFILEINFO FS.Stat.RTS rts *-------------------------------------- FS.Stat.FX >MLICALL MLIGETFILEINFOEX - bcs FS.Stat.RTS + bcc .1 - >LDYAI K.MLI.PARAMS+3 + cmp #MLI.E.BADCALL + beq FS.Stat.203 Apple WS CARD + rts + +.1 >LDYAI K.MLI.PARAMS+3 >STYA ZPPtr3 *-------------------------------------- FS.DirEnt2Stat jsr FS.ClrStat diff --git a/SYS/KERNEL.S.GP.txt b/SYS/KERNEL.S.GP.txt index 190f563e..8a9eda5a 100644 --- a/SYS/KERNEL.S.GP.txt +++ b/SYS/KERNEL.S.GP.txt @@ -272,6 +272,7 @@ GP.Float ldy #3 sta FAC+1,y Reverse Order dey bpl .1 + dec pStack keep 5 bytes on stack stz FAC.SIGN lda #$80+32 @@ -304,35 +305,6 @@ GP.lrintf jsr GP.SetFAC rts *-------------------------------------- -GP.RomCall.JmpX jmp (.1-FPU.FADD,x) -*-------------------------------------- -* http://www.txbobsc.com/scsc/scdocumentor/E7A0.html -*-------------------------------------- -.1 .DA $E7C1 FADDT - .DA $E7AA FSUBT - .DA $E987 FMULTT.1 - .DA $EA6B FDIVT+2 - .DA $EE97 FPWRT - - .DA $E941 LOG - .DA $EE8D SQR - .DA $EF09 EXP - .DA $EFEA COS - .DA $EFF1 SIN - .DA $F03A TAN - .DA $F09E ATN -*-------------------------------------- - .DA $E9E3 LOAD.ARG.FROM.YA - .DA $EAF9 LOAD.FAC.FROM.YA - .DA $EB27 SETFOR (GETFAC) - .DA $EBB2 FCOMP (Y,A with FAC) - - .DA $E82E NORMALIZE.FAC.2 (L->F) - .DA $EBF2 QINT (F->L) - - .DA $EC4A FIN AToF - .DA $ED36 FOUT.1 PrintF -*-------------------------------------- * MLI : From Kernel Aux LC to Main LC *-------------------------------------- GP.MLICall stx .1 @@ -423,16 +395,6 @@ GP.IrqH.Exit stz IRQ.SkipA2osX reset flag sec rts *-------------------------------------- -IRQ.InKernel .BS 1 -IRQ.InLib .BS 1 -IRQ.SkipA2osX .BS 1 - -IRQ.IntNum .BS 1 -IRQ.VBL.n0 .BS 1 -IRQ.VBL.0n .BS 1 -IRQ.Mode .BS 1 -IRQ.Tick .BS 1 -*-------------------------------------- *GO.Reset jsr GO.A2osX * jmp CORE.Run *--------------------------------------