From 9d007ebf8e3cf7efb11249a7ecaa7aa675dee046 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Mon, 25 May 2020 15:58:59 +0200 Subject: [PATCH] Kernel 0.94 --- .Floppies/A2OSX.BLANK.woz | Bin 520704 -> 520704 bytes .Floppies/A2OSX.BOOT.po | Bin 143360 -> 143360 bytes .Floppies/A2OSX.BOOT.woz | Bin 434176 -> 434176 bytes .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes .Floppies/A2OSX.TEST.po | Bin 819200 -> 819200 bytes DRV/UTHERNET.DRV.S.txt | 14 +++--- INC/MLI.E.I.txt | 2 +- LIB/LIBBLKDEV.S.D2.txt | 3 +- ProDOS.FX/ProDOS.S.GP.txt | 5 ++- ProDOS.FX/ProDOS.S.XDOS.A.txt | 60 ++++++++++++++----------- ProDOS.FX/ProDOS.S.XDOS.B.txt | 40 ++++++++--------- ProDOS.FX/ProDOS.S.XDOS.C.txt | 70 ++++++++++++++++------------- ProDOS.FX/ProDOS.S.XDOS.E.txt | 31 ++++++------- ProDOS.FX/ProDOS.S.XDOS.F.txt | 81 +++++++++++++++++----------------- ProDOS.FX/ProDOS.S.XRW.txt | 14 +++--- ProDOS.FX/ProDOS.S.txt | 63 +++++++++++++------------- 16 files changed, 202 insertions(+), 181 deletions(-) diff --git a/.Floppies/A2OSX.BLANK.woz b/.Floppies/A2OSX.BLANK.woz index c12083b30b1ebdd5ae63ed00cd0dd3b94e0eb977..13af8ac3aa16c4aafcbbdec70cca0382d4608707 100644 GIT binary patch delta 872 zcmZ9KZAcVB7{`5f_jYvk?(`y2#JgMH52embdo$FmphD8nJMl|aU@24z6^UYJ7Jcf4 zopLBj3Y0*hOAZ{cLJopNBn`SgREU+JSm<3=ib>bb?n%(Vz|1_)JpbSS;qlDaJTta9 z_u;xNVaX`nh&NRZ#!WPku6z7%+|(f(y2h(5N5-=kwQQ z0J-&&lj-CbXLFGfCz3&;2{LbN?{*SAG}lClqc3bnKd9d4M^csT5@m2rHX^_nWFZUYUCimwZqO&Mb7r$1tHh7!oN zK;wVwl=|HXfXh%JeUOe4K$9>+-@m0bhqWS2c_y1OwE*oO4o@tth1K6OT%v;#>;ZCd zJCd=7H+J7Q2+~@(u&K{Gvf!O#fMhZoWkD@VS5!ydY&5F`WN_fcx97So{8KVLD_Y5_ z1NAcfO%as{L$9WiiQhys0$_&>V*e;u$>m(Mye=D~ygMLF^?zN_vUk$_0PF|Fc1(Gm z0?efyz*mM0pi3n?(M={*!$q-rSM++1@=>E+FC55>9Bi@L0XSSGrIU(0bdUt+Rai#> z`lUI_X69nak*%70GrO6!fL-9d1B*WY-gXdzD85pKaFC-#CJnsMi delta 556 zcmX|-Ur1AN6vz4P{yA|`x*N6v^KP@Eq@Z**iHwYTlB6p%7lJXO41K5-1j$BB4T|uk ze&FxP(1ZoMwA=WB2WB`25f+v{BoRd{5RwUNi_+R4yR+!wynR3Ce7+~T?20bCssd-a zn>LhcrTNj@k!00EFAW9DG;~$t-!ES|M#qzNf?=e2W9ByD^5YRobnARZj(uoc2Qd-Ec} z*RO2#UG$UFZ7b){?h&dZR%#D*_tZ%}OYZ_pJlbdBD-VTleyYk5R}LbSto)13JR8~%>+Hz^I8K8~Gb`j| zO)^(=@e*28l(5h~5CzjPaH32G3EO@M?py4KzwAUK^zooV$R@`cys&0<@>XuOG4;Ku z$*Hty8kLtt2q`eH4qn#l13N3M2yJXJD@ypx2kwe~`+xmZo+c9qncf5*BJ)nA=HL4H zBT&zu?r@N)qtK#Y%V&70PThn4t=NAF#%odOfEp)i3?>0HI*b9HkHKjt#_xivVrm}R O9Vi+Qvt#@$bdf)69qIf4 diff --git a/.Floppies/A2OSX.BOOT.po b/.Floppies/A2OSX.BOOT.po index 8116b17e1e41b7866b45939f575ce2204e155cba..1976e914d8595d4ddeccd6cfbc04c3bf3779a87a 100644 GIT binary patch delta 30925 zcmZs?2UrtL*FQX)1d`AanjkgQ(4>jdA#_j#L=jYkps1kUN>fAKr6V0dK}AF?fJ(E{ z2_RLZ2#O61y(xqy9lqSp^MAkh`(M{LSFYK!XHS_qvzggF^IL?0Lm0SJGnH(7D}AQ> zZyvsyjIYta-&x1E6PNO^HG25_F&ypPD}_g+9b=9Z;-hT}ZPTtuLSkttI*>(Ls{)If zm*7TKnBwoeWs4)O*n01zi$da@j!sXNg{iht_@+Y3GrZAIV{ecLmbPPsVt6X6(;SQsb%#8(TAPmVnINF=r9|8YUcG!R@ zaNi656)OcJfK;xaMM!tRh`|36;D0zM(0kSIqH$#a91UtoQ$x0)(UgEB0S&=zrVs8zaK%!2jhy)W+_}Q4?ERRR@!U|N80j z{a5q9?st6tPdm~**n}W-I)svkF#oC9{!_F6Tc=C2O9>k9{Qs0!5NZGtvUMfd9w*rz zBmFm0Buf{Px~lH$bYUC?KQ1>rF89PN=|j2)jtt?`Z{W0G<-(UQUTx6XnK=41Q!8&D zqWyxt(M@~-==6Kt=p-V&urf~-k!D>KqBEEnmcT9@lH_(Nadu2DPowY^g3S{m;pMuw zqq&j@bQYDwLC+)9$jRMjqT7ipg^-vRJrCr9LL3Q_&qJ|@Iu=3NCzpp|(cSRBT#y64 z=74XL-79(QEau?9yzZRgt@iHqJY4R*!W|Z)D4hOcd#8A3hozc?rzh|1ByR5{;rYi{VWz`jp$uX6acD7mUt!);2d%MuA*qHo+LMG9r^LCL0q>IMoy0IxW zz;cLWAcx@c1Xw(hqBKcDhBPd#;z1|kQ~;g0!RkLtl8Gr|-ONQF*6oUj6ryf=p3TKl*x9z@k zMJ^KbD*XVLAz7dN!hnqIE!yewBo@|3^X9Ub5x-T*bqmME5tj>(78{aZ7_pk1Ud$z| zzapDwz_M9r8ojHqKU#=HkT0}NVy`QNxU%Y~MH+Q&+@-7khLwZm?cabUvC;FmSTu?R zr9<2zu(2&(j^(8#nzs;}G%r^?FPF0*NBSmP>YBS#M(pN`<|_nAb8>~2+PdA#(G5lY@H4Ab~j+!;OHgqbQWG4GxkqIj4x9oq-Bu&c*d!v(j zW&dL@sZTa(CcU3qQlLwgHPLj*7EQ=8%UKS#7g(;-Ie28@Ik`K;x>WQXQe6>K?hfz2 zq*NXC-){ZCcKT#l=Kn{Xs>xj~taXy18us8CRWdHrBu{2~+}t zJj(@Td?=DwdN*;GGC$d7Ct8@*6xLxkwGddd?0PA&)+?&;O943%CZvP26iH<}CqfcT z=j9a_vLLr9xGR>bY9DxTVDG_c+Y5)L51HGszp~&JMZrG+mINR)>T@?Kk(!iC|HORa zRajcnYTZ|q`mA>+j;M2>=h7v!(OFh$(m2#aUQ$@SdnZ@fp&#S>J-h8Lhn=`ctR_ z>uZHN(Rm*dCB8xX`+WKy5U<3&O45iN>7?&drFBCkRWZlpRz@jRr*CWcEympW7=O*M z9P@j0Ovzx}^+>9^H-U5Gu~rG$c=XV&#%r|hT!KPLk!`G9e^rU9!IVz#(pzvpzIm=)mC+F zt&fwgF!Q{MJ1*W-f!b?Cn;%Y?tJ&B%C3jhPc^H|i#5O{911tI~W8D?8)TgJ!>^S^j z)dPb9=<@5voZuMEMZ(=0*i26j=uz^;y&g+neTd!Q)sUSk&`Iwra7oi?mo+)K_$dC6 zr^1}WxyJ3W8~izL3LskIY+u=YE=FP5+M;6b!OVb>ikX9XOO?h43tk@~q%|GlZQaA$ zcAxj>36CFZjL@6%`(BTe4s+-+rtKar!aTnWkmTY+Yuc}Z?J8?^6wl3Oi%W2zKQeOh ziSeIrj)t4IZa-jkR?##2U%cVRWEx+O-T;JkOpT z7_PL;6~@3O0;=%nuU5p^70rYDTM(i0tnO6%fn#=m3Z{}ytxAV$zkk(x<3qkgZT6A- zIxm7?u2{kAhdyr^7T?VOn);;jawe0Gs*3^l)-U@^wGRX(ZTX{$?_H6b@^`x5uQD%co~QSf>JY1}>=Ft~-TFD^@NMm(#Bh0 z*!Ch9uSTDETPLP8A=9Tn0`ZA_ClHQ2noXAF3B#fk8oLpLM8MrDQo54aTuDxB9iyeejZbxcITFThsOlWuKuTi7-c|2*V8w($jufZ ziEwMn=6@-lJ(7=}gh4J?!;y%oGj)20)wA0HLek{0Foj==DO)rw?k7t+AJMEXiW!M4Y@NJz zVJXDefC25%F;NpX*{5Kljjz|&tvB3VZ>(5<2v={fQ|~NXe_WyQE?-2YUqr2DM7v`| zC-LqlpSxew?|yf-F&Ch?G^0obvx~?JME9#*?U>q2R7ShHQN_j+Ls@&Z)>;z+HOB};1p$GM3S`K zO=6aU!lWWp!ymRn=frgH#;w8Gsz0dFVYZ%>Z?9Zz<|Liul}y6^xe ze|JE?7XQzpfLAtluB*9>bd6Pf#H>-N>xHK&m*cP9cvo|p(p3+590}P#TwLFF|0k09 zyKcoF1s~MCl;h+m{nY&9y7va^Z=S1a<*xIwD(!7)H@(?Z^Ar1fiHfp(y6&r7St?lt zqm$He2K?#4wWW4*Irxu@|Huor{rP-jhZ{)TOV?c~&7<7^)2#CD(%p}<<1VE6PBxcR zep#hF>D42=4)0gY9v(1%AewhjXaM3HzjEZT6}yoqD6~F(XCZaF4>(Ecyc?6c=5Q>p zKXrL$aS;a-cqG88i&<%apZQt{kwJjw{`kqpDIr8hCH8L>4sH# zlvf>43?B#4Aqr3J1)|ON4if?VwFc`6Mh?)}3Mt`HQe)qk6ed~Kwkjx&mo)SPvJE=J`|{NXI(JAlIC{0p+Gm>T zdCTdPT21fCA?{-H7|6vnfeY1LA;Nk0%~m3q3$XZ8pL8RX#)L~Tb~`W?lap=K@SgL5 zlq_qeaSK{Uz_SNe4sP}~q>*j+{OUPYX`Zc^9ehbG;aiUo92z~vcb(lhgLju+xR%m6 zz2EyplAJ~B9lXYi(&d(teRn6^~j7(!r<{T}=1)YJ6wW6R_E z)?&2nOWIcfl~Ps8$8}}+qxJ=ze+W51=}z%&spS!JKyPeo$RzZKihCLs18fPG)e3;j z^YV@5Tw?9#W0Ise>-4;hifh7L+HpZ<&iWT~zY+ET*;_u0Q?XEfLNguPt9+yP!a9*+ z<==fRuc+K}{Hk(HcdJCfB*EXD>wbym^@KYbHX-ULwt02TZh5#jCtOspDLRV_aytsF zk;&33(zqX5CrO;u(Zg<}*=64gl3763rmdkv#d)Ts3lIizg3(`T)*y|nr~mC2gJ@`A z0M>kfwA~^p##&q6bT&`RBAapb2KxECg$8iREX)8{(-w2jqp%xsn9kT;Jci-Lhw?by zS-*T=b{3A?q7_v|`|zehH|U=q^!6;-@8dtnRrj8z7`d)BTomh4 zOuDJN@>Gu|y}0Orb)?!f#m5&;FOX|wQ4?&dg#Z?aP>`lLNecs7b=qOJ9i;yDRQKp^ zS9`b({L_@l{b)4;TyJV7+$BQO$!PCu93?tLe#6qU($as^2UbR+xZk^_suNWOm{c}R ziAcaL^rn1PD2A|AtQaF0-Q=+KkgZ-LFV3*%2WIXHxeSQQaQcnZ zl|VuH;rfCA-+DbVlYzy)?HBY5B$}AiG?ZGhJ#4o$<=s(-1oR(>26`cD-w#ZPqdhzB zyK(S7e-j&pvH#~~2#sQuy!(prR|r#A3K-IeRvFal7^-Z@OPbrBz${z9+#JNn&L8#MeA!q6E_`@)n2g_jR zHHom=jftbO4gYm5_{%KCNeUG``umVw%m5h(H2g^!D!(A9!s`+q?-S1Rnz6uVuj|(W z{T6@J>WNR`*9zT`!I-EFtxTluQdl1G{cRWrEs;>9W0$MbuRgC0p!n;(JUy}=fn~-? zsH38;v~cYktz+eN^cvt%oOSVd&Rc0t70c}&BzOGI!JOJG2m5Cl0sc|&B_MNsVCUUD zqP+~l`=%dVgw)9IfH1y7)hH^l)A0;D{)wMY2TQug|EQa`=!#3;|DJPOcg+ih z-RX%?ndLg(xO(;D;t~oAWg6U^y_~}{nvpbnLEz}A8*{n9-F;KtO*G5}>T3D@82u<$ zuH#PFKiwkmxNYrQ*R*GM;6IZn+)9rn51D*B3fJ&kW0?=V(9TomAuPy?4qQc<&jako zc)`;beLcd&TrWg<1n;y;dMhY@B%Ua(L0i4f*!xg>5%64Lt;WSEy$ZUuv~XH&&HLO< zP4ou@EhX{O;PEBS?xTUeCPu2SKAu=JRF__Ns1JulBwD2$IA!%8NRfLH35r8Z$P2KL zi~bQ$xTat-J(RpX?O-m@J{;nb(4P{EaQAx3voV=~I=9S#3@*ji2xu;LW5329J6nF# zX$NA9mA{yiFn0Z?$qV#@X#veRhfwo+vB+ z362FcZB6>{3Tsm6VM1V5`B-vz#_mYVZYS%U&E;2UdON>W6p%Pd4AwxN$dFBc zwma$tsC87Vdw_i(kwJ%?!P0pFUsTs;?>`as1bqQ zFxxT_nxGepG`5(sIky1;_8`QpX`3RU@bDn=NwTeLdI~y+gNOT!v`8CtpxhzO;cxyx z>iN@AVKSSK9jDa!oq8@^y@EpvM9jXA?Us|=i?D;Ge)>>%Cy7qQ`Sa$@`SWhv4>Jd} zuXOdB0lFWNm-z0I{it%XY4!kQcln*yL_Z?rHND$siw-?-Ggx-*zZukeV9ND#)esO0 zIhEId(TRZFE&#MeYL@a4!t>4>OScv?IgS!r<4ihs8wnF8zB9}26`|{XT&JT-|6%Kj=fafRifyOV`K%dC5~bAz&qt2_9VQb{?gpBahz*nFPNvE<6f1f?V`>ADK@5 z_JaZ!!GOb2(^EVzBl!+?D)p75C@`Qx!K^v8z-4@V%4>pn;2G(h_Mtbkd<$uHw)JNM zS~dSXI?_s)3_!6lQ;joXJTe$4G>kEUJXg|IlJ4Aam@-oEdw4h(Ujj7cd}!LqK` zxL`ZarI~C?Y9O}o{2$<|VrB;1&wZ8}5 za*GE`|18^Ki=udg!$iWD8#xL!vAsPRkXLM7MObb?pYT6g^ItvD!i;*h#tun+OtouazP))ycLd6!Uq@ zA!6q(a<$%}cxL+(b`3=+_ks!v;(1xOpYf(AhznH5qm=|GSA>CR)jtwyUx7r9SgI;4 zfEt=b>`}?wSPF@+TYnG)fZ|Q8utN(!StSAq@_+C5d$B^ z#+R4thG?=MgE*{teRe36CuG0BiZ+{%>y*GDp3^6@;M<*w_1Q-v%O7HWO?fd@@y74f zm*)TOjc0DEM=CNL5v_duQj?A4`3i2a$5-wNZv~hvQ&B-L{26BgKK)W5(tJQ3Mnd3m zt)tpgTxeUGW!Oz1vN!$euNe=lj%W!JV7(9E`LJQwulfhV_y9ld0hO{`X!C0o_@x&% zG?U?CvvnU^!G6CNLZl+qqY8cx;H-+x4Zf@FxWbs@r{)6- zZHiCB!-(Ft0vMN1v+?&S=0MFU12e;Uv|@-kNUrq)()xEV@=;$uM|nH)p1J;Ba2(Mo zlhpDs!t!XF>O28fC~CXi82N0&I5}PeUdaXb*8yZa1yEL*Na@4lflBfFLXfl~p0 z-O?(Hm#t$G}JwwWhP z(k{H(7D7+WDdGmjB$$-+p*c@zX$>=3j4RIB{dZFZOil_m-dk@6TESsDFcNr1dZQ)R z9n1de6=gD!5(y;oNMs9UEB81ZdlN|P2l~~e$ck@*WGJs(FB63& ze4=0`Q)SfxyRXj-AFTQk#i0v#Kzu=@2<)BooSLn)Fd3|R`81#TI-ki1AY7_npj5x) zJTL#M*0ew6A(xSg6$mY;SB&L+l=&A8xhie=2`Js!L{k0N(yi!D#W;4F742QLbGlDEnL_O z^_f))HU^;K24Lx~;1TKo{iR?BfV&^q%0&@2C_wyi>P`-opW||uHlS@$5K(=<>6T8w zyEB6!ecZd4%cjZI+sebWxddz@0~S+xKYsGE337lKx!8w@lq3ak8-$@8f(j8lCS(!~ zr*s)emAqiR$r%7wrg!})z_KT#cE;R&YGy=7rFtP9H8e3QgvYHt_xcHF6bs4F?1G0w2Ow;}&daBU(3&XmZMM3BCRl{qX=hGkACx2WL$N zN^nDgFp~>}`YFVcLXcccI09&!FDRipnr!JpUFPHJfA6x*jGYyY_7m%-Vz=m+q5UNc zqPs}=n`(7v`8DsYNlS7uzz@7#lEMCTl(Y!H6=uK)-ems>{~;>BtaG5iG&(d|ib?LY zZvr%}^@+$&rkqR@3wI@9GxdPb69B)?4A=jYhB=jxs*2<~Ca%m z7rW@h@rd+wPu=__ibPJXIp@?gVN6AAGjOLBursvauh5N0twh^PcH61wX$}#?P-~RN zYFgaHibizPA1}2paH9JGb;F8{qi(7c8U(GX6MmVaR<&(*_#Lbwr$-5^HU=SpW3t^` zUB_!#Uf`FpCNV!_(Az|@ia401zBP=W066y#IAcK|;Ix@Rs9OiP8rh5h_-nYoRa$}e z-tC)^zx_^zVdh@S&+{?tap*(pao5oXdIJVw6mT*Ifxr0P6{Dnl!f_3r25U5EBTu|T zeJdO_u0ZBWwcG6>qddy{!2KO^P8cqTtbf{U9a|a>aHLS<9;vIf zh7}6r#{8-uj@l`ovPoAD=Q_mCV9t{^`qvNw0ZKxp2p>n)s=p5MHe)R|0mk_S8TfAJ z6fT%7BnO4vP~Wn+v82F@E(q`EtI1!hNBQ_;7&F~2lH54s`bS$g(Y-F5n~N0w@$#Fy z*B_bqN^U4fj#JneUK{L@AKnw5nHT~vfh%^|;IN-Axt7Hzi!no=uUFJ16QB83L_r-*tbLOb=W*U+_F1956ISuo~F9aHx zG3K%4Djp`8fu4Q$(e^|lYeik0!)DFU-pCP<=ow`z6wi?&I_SjW0LP& z*Ro0OcQ%C2k%TY2I5?YE@&RLR{}vc3nux=6t5r*EzrybKCs*l&>lrUFbG0s^=bD(V zppj9|n?T1YE)zA;*LQD=No_*m=4|%DJ6lL7{GRR|S%KcpgE@849OzqvCxCF+7g9j4 zJ0EH9LVe_0u3v`=j(6R^)0I^Bg0jR19ra>rqo1ct{uJX%HHy})b_Dbf=t<{$tqcs) z|DIT;hrbe^fRi)S>dlK9j6-QSI#{<6eryM-n@09mj0hg8OZU zYC7eL5O6aR1Gb948Q7OV)gA|gK40GULUA@*zxyl#OMq9mVrbV_6HUgcXhH{Fa3u~% zoI|UGbYO>D77!uhY#{wW2bjk<+RC$=o9n#Lg8kkP%q7gcr{f|*D8RNqy%B*4`-vKx z#K`#u)cGA(b_MBIOa|K68E3FMl6!qlmLNpDFfi|n{(BdDW~QVUg|!#D5huw9LUHx8 zUq#fTSBJ45q9lnRq@2RJ<`X6GLdxs|ooBUtRnRR11R)^41w;5i;wTKS&ISui^eDhM zHv-AGOciU6;#^B})hF;6_UGfeT@2k|>3sou;yf`&E8NfAY@Qd;#rp=X#&PUcxqL_E z$}j~xpJ628gGwjW2p(`e5%BAG2V4B02|YmcUB$LI`_|k5S7-v|sI5Vc_H2&!l|Mn) zJfuc#$A$Hl53XG|Roy0VXBkwQeiYms#b(BFIKs|62lx5@l`w#F^=MPg?F`NIG)u>z z!ulHiIwiF1&!`>uEF*#34RQ3!7l}D`U|;~goGPh2*og2r!ed^tQzE}YmJeTCo5I!@ z5FSKR@jH4{pVQeH{1KAgI-fanzQV%wY9qqv`YQwUsu-1HN- zqz+u9MzePN|7f+W3 zzTI)|)t%)8izkhZ4Ld_4aNY8kn%poWqKF2;ty+9K5ykn^NQ?*bA8Z@RR)Sv{oI3I!z}oiz0NmtR(6DbT z*jPPFSn#Hu6Z-+1oo9t&=_l=4IC*BGK1KH?Gx$lC>3i%qp~Ebo*ca%gV<|ejaQCZM zj@NkL(tWG8U3Q%(JI;MDU?Tx0DR-A}ccD-cnp%VVw3D}c7DAp8OUt}4|Io!MY`pZdw^u$2V>l*^rythyC1@fJ=VY4ACbOv~(vbBZ?J`kt3iC2g} z-;*ZGi96rY^9JevGf#q!S&Iy;{5hk|cc^U)?s)`8mRyI`Z%aP-)JulB$rk3b5 z9Ljx0IaWly8cegPx^k1Z;>$n}zMx0a+Vo9#qSdIQKTQXK00}-CrMWR*$SYNMPR8BJ$@1#vWX;?BQ(< zwu5~Z_{2jlDo6)P3v2_Txz@GPJ%Z|fmYfQ(0`5GMawPlcE?w^qj$>6zH%?{G^`=S` z4N?8lT{FgI^U9c1jDCIgv`_5g?TmK~Z)H2L=Y6@D zv`M?4Fmv|(A9v;KB`ZBI{ODMmplsjpa6UW(QvUH5J3nX6F}P&@XwD+duuMAW#xDDR zVl!v0H`*j`qsJ*4*Lqvx{0~MBwJy$#Mj49XbhH0FHZgKNl2)^5ZbXVL&t!DXTl>fK z8{RjsKltXb#9Cu2$2aO#t7B%okIuj8((N~P0+Qy7=6u!*5!^N!_hX`L^ROGk62j~- z(`Mi9pGP~-Y(vJDZO0muB^NQ}g`R(N(+No9Chvt^6P{FXTQo|QWJ2RnoF9`v2G(zT;!YwX9pQ@V z@&P5Xj~lZ^lI5w1@L4^dsOI*<$=%LOTee5pX|h(nqA;qw%U82p_{YO=6|As0Cp zZAndR!RKv(K-||W8h1y{HSbq#0hGe6gja<9QU#N@N*<^732?BX!c8NOslq>XG_!;r zJ<)H9%_0VGRC4?JQ(Ku-o)Fnfy$I){SC~T1PjLrBN3Zu94`|>(}p&Y2L z#4FvLT4wGYv0hqNAT5Uq;KFKs&>fWXw~zadwY0Ph3``(thxsJg-Z*`BJ_<(w^)(_m zuJg=RKGcWbCh@~>B;vs(6znPbSvb5{L1{ggCoBA$u8V&C;q%{+Ka*2U_}^4L-asY$u1Zp6dI5nZm_A6 z945A<4wVO<93v45kVC4%5u2}peGr8$N1_^3dXdFErb!&+bi!@G;cg2;TA|)*?yalR z^{2iR-9tYPN}8f_*l(GX&m7X|sr+{2r)v9D$W`=d&PbzA>eiXoT-m)Q%J&*@`PN{vJ-px(g7e#&U5Zb-L&zHv!OlA~B4G&+&o67Z~Ey z@8NK;(5IC`dso(8gXU?Ivi&WhLMk5|Nxqcf@RlA5cc>4*JIKue;=yS|&MFU)qynN& zy$Vc4D@t^KWNjutZaWE`wm-?3>VvxnR|DY$n>?2mZuL zRRUds6-?l2ghtc4O4F9ZuMRFASRB&6T)7#5;W)jq>-47V{zADQ^Nr*-E~8wjp*_yJ zw0h7=#SH&lFJrHx5O)ByZ>E0$7!Iead+$Skr~gU!@>YPqOh(QX3I3sSxj8jcQUt*_ zHzmlIR)BucM1P^sOX2{K zH-NJ+nfAtnYqXQGd*}Qfd00f{e}A@LV3Ww&r^pZ#<>WPgXoqCK-L)1Dbu~OIP?OXr z-V*%KK;i0%a(jJ62CDzzt33q-GVdbby|+_1+W3T4A%o6!V{foQAW51&|hr2j{ zda80}6jdkxiZREB`UspJF(E0__@)C^4<6Iuam~bECF-y0m5%f|O74NZK#*scuL*$z zd}YJcRBxiDLe@P@cACH|VqGneybL{ZGlYF08MjkmSzvz|IYb?jG7ul2rL%Io%v}6Z z`;_;Pl-|m_ciArOXQlPrevP8y>srx*tqV>cW}{I)7Dhev zb2##Q?;;Ud_IRN4=m!GQq|^@_y`E0JC_feTS571>rK^EN6GNn8eXNeuVXRhn>Yw82wmd zvI}L;oappH4iX4J&ss(%u%irBcH{#EC$5`p+5LFjZBMGTxu%prqLnP)!G$ZgVEp@q z$dJcJlmrhn`K@`rKB+2nuxahfRVD?M7fpG1n1P^jAM!A~s%i6~9@$vQDm8*E|A^DxmR8JU=pg6c%Z=>%gweN>L50Hv{ zWMB|SIDY7`c&IlpbANj3Me=bv{B$&7$mUJz;Gjd`Bs7i1-T~KKTVyl4at-cX@LUGi z6dF1ER&Zbe1V!FddrTj>=f=Eu&&J1ZsqCt!-%>@uNPFzgF^WMHY9ia6D;cKz`}%p@ zjuERhyyEZYG@ivQ<#g75ji6IlpXkuUsLw2Sd5`Cc&-EE5{P=>fHN!u`ypf7;T=B7doS-i%TT6A??VXmciwD68b&hbM8bWc7c-->lNj< zp2Slw{dp&pM-(^kczxweq0Y;zCW+G{A~FdA7QvM${Fryh0qE$;4{#@l%D$Mp@<8n@ zFr=U-J}d&USM?$;aQ+v-VbKxfos1v$4;1u~u2gp0Z|4 zL!el;iG12QE9um>G2NSZ7LGxn4ddvcdDhW}&lAS5_FkQg1zEe{N>K;Ol#1D!PQC2t zefsUBzwO_FjCNtPu`6cV0rk$2l`o!T$C6%&voIGHO2%GbcSZFf(l#-&nJz$P|LMjA zfljGxUG+j;2u?DHPhWklJEBq^P%#Ff>fXvj3Ej z2fiLV?{QTH2baR3J8jOlNxz7|?Bf@{lD<#coRJB2wDjJ~a_}7=--}hZj!ifX99wX@ zaVv74hurZkYc_6|=W8YMWi;s0X^B@X|&2(#sRs#C3k>P5SZsnlpiUK8V$toRwP=f;lXwJEIUi1KdoeR+T(8z zwHg9jGp=g;(-goZ118b#sefU|Bwle*j3>LfR|wYl5SMdCPjr(6F#JgqS9n1<#_o({ z6yi9#d>Gtf(4j+ZZ;S^(Zxp?Bf`3c*jHltcm zJFg+XO9cxQKpk}oDUBQshYq#2TF?~G3qvd`hwuXUKmDfr+pm&OW&E}<_dES*sC+jp zIMAG9SFE~q%D_BUGp9Z5k?hHF3;lb=McKi}ow>(r+?3C}e|+?0a~#`s-(_0SLMiVp zA+>8EUsy>svb~@?ROlN_f^tOc4+SjdMb=X!<<#Zx%-ofEGJoKA&O6I)*f)A+1YoVk5uan^Rvfq z%8JZeL@@6qB<_#e`)5G0IItqH<97_!{;m!`GZnK>s9kxq8JnMmNSH$Gfwn6w3MdqvDxQ+AQ6*-&R|S&|X*)kysP-gnLhy00hyJ3v!-chd~1s|wNC&3$`cL{u}~ zA?q81&h9s*b7v}`?93bH%t(aMFvbL}(x?eDi5Q=T?pqiHxaR0--KIk>O;Pp7c}h_puwn z1`y>MBjiKk?qA3u=%Oykd>f(* zB_UF7^vUyOdQwc7fyruK%*DujHN>oS^YAX_?)^+5=wS@-$X^Fc)d(FM2i(K}E|{~n z1JIc4ic6_NpAktL5b_)RrJz4i9J;c@-7W!ahzbZ^b%BQIz^Z|6uLhvnUCP7gturo%aYmASOD}um4o8*?8 z-jLeYue|5$Y|OU?G1YOu<%kmO1Btw+nqtZPGF*aUHyrmamu3|{aa)m=UOO0C^L)I* zmrokEa`)4DfyQMqGlOL~&V9+szrsnb z*dK>F$Iq3U@3|~h#m&Qgp+@|nX^+tLgUKn;qBX1E`UcNi@UvNeB91M84-Xb|7?$A( z_|7G!>;Blvam~gun3fs7=h|N#HKCbtjkWy>q4+-4q8OgPPlx&}&ETvzqN+zf{L`^& zbXsy;Sy?#Bg!p7K^N%30Z&)kug}>~*`IzH-7G>`s?*o@7=l*zQI^5&$YL5&K?@)o+ za3S&gaOOli6Uq21zRz0&YEHerJ6wG2?(Q$@!ih0wObWhU))C9HwcJbAxVO`1%;vJG zj+^(fZ>`_qM}IEYD^_5_@AQTBI!U0J4@C^Z}KdJtT39nF+d#%x4Pf%#Di^2*!eMcd(-A zyI8DgUb?05fOW6TGf2o?(!BstXyhyuIek^8^eR7L#@>_l6a=GFtvVKi9mB0=H?cF<`9fLWukbRkj115F#M#Nma>isKkU*+rh+}IXb@OjggzSJE9 zy(tl{8gL|xWJxM?-YRL9b(Q>`<{NUsY#CtOm3l=Be5U?vb)!=(ebYWacnwLk1NKmc z)-scjDQ^{n5V8&9Fx#)6(z;p@wI@`rPAd!8ElkNnPYe>SsdnYvZSiN7j*UhnxFB5( zkw69MtBZ8|M@@9~D+%FlN$Ngy7H< z;(y*wdX2vrnWtlCvivIb+3LQQ!uz=|i;B5QQv2^BoOTK9n_}R^(z(l%lbY;_;qUxD z8Yyi3JBnW?8fqA5oNc88`LP*)l{gL1uJjJX(#GN9=@O(c{Pdq5$jV6=+|I_ z9O*)RbuhdSSx4|L?}kB{6h-jD$Y=~dd<%#t zBrg!+5U-{#(|z#O?jq-Y0MI~`-D;oc*Zxr|7N09G*295fwv?qjM!g3pVi z{pucZh}I~eMtU15d{%E#$xj-z{+4eXN56YFZO*>8tjD<|?XhObmMkU?GZ5mjMDnLj z>)-O7xQvz8rKO^{O|fqYpS(#6in3x$%CSux1R1akHer2e6ygOI@(YDaChhjD^Cu*y zRH8ylrOQ9x`wKwA7KN|@dMbCAK;18xo6fVDi|_xNE4j(Vn#d{Cig0d!rSSnW1yXx>D-5wrVV2m($nNhdu|Ap<9g1L#d*jLtlRkeDA!2L_?A z1Q%FXqA}QKPj1CgtYaM~s!;uLh}gC?#D33>i$5xq1X<|UETJZNj8cv>)(r!{qQ@x0 z#?2ICUud2rl^;LF-ImxU{;|7#dhMp1u|S-jJFG{s_uia>S|@$*!y~hqpQuJ!fRBy5 z9U5HeR_BbYl~Kq798C=i*yZ=I=SvTr++)TMQt}(WO)k*%?&;Vf`U>+5_+=)qJm0^NS6{o1r?==pokF* z3MionD8*0|M1(it-v6!tJ=S8*nVCI%%HH$Mo|7qUhpH`;Rc9lyMQUkJM%>IBlRM9u zX7=YhxOVm+AGUXgjIIM;$>;JOw?e8n`nyAn7J@AVMh_XWx=)SHvheArtYo$(Fw_On z5_j%^En4Fe^};kY`L4n-l|5fha@h(O{mg>Hs6nERmRbC4unndEfZ4-HCPF=n<79bD zG0wsDWpm^9lDg5e#Bf8%(^9_6Ny6%C$|++~&e1s%|I2R_F~cv1Hq1q^(C)-w`G;9? zY69teY9*PkI%l=A-Eww8jPOf^bBwVTlD%DA|6#KW6p_J3j#ix1+NF=g#|Q!L}ZFOd&aU80*oEl(4FU8nvE)-WLJWOY-Mv}@cu4Z zYje2uZRErJZ~cLPA*jMdogtGyq(iYU86p|UStdT{}Xpq>OzmXS?pb#SJGbRwNW>21!52;^$Psr zF2__}Z=PTMEVku=D!y~qD~0$i`c~+frbEv=@5ak*X!$}?@uxi5WoIcKs~i0z>2EMm zGc0VI0*+dRIP|@-p#xeXqOz-ond-`85COMBN1oo#H|kMrUU{Q(h$4J!f(oIwIBWbe z@qXZ)Ec=UvFgt%%c}#lW4Khp*3>Lqbh|JN-xjsk^Z>>JhQ- z&35;I@q~;0UZ}@9&DqMK5fH-CcY5+`gVFCh&d)E>9LJ|$TR@KQdfGL773_4BtnHp+ zq4==v))z8vDWaH^w+wAM0N}P2z8r7;AE0*l3n6v zid56Gs;FpAR}{$|UtMo|6sq8S9-Jfz&E5#!5zy{;OWyH%@)dsQ0~l>5xgH`ixn0oH zNZKhwcPI)>%8K{so622^Z{}tMZP#7#jyE0X;8WEvZ#G^+8akKwh|(@ZZ`-k9cI2Xb zTdxwsSA5HzxRUQ(d>xl(yhW(!!@6XcN3?RklN1lLYTBH)a%hLq=R+}v-(wT@J}JAq(aIV|j2{%d zXk+R?(|uYag?^ZbHx*BI%-g1$87RDULyXaG(Iba#>I6D161=IU&mt|WV+=z@4~$5q zYM<5PT$Fam+>cGV`S`#KKTeNmqyqbp++<9vh%et2<^7(XVnUlUauoen(*B0d}RtU^13hCw$fF#rMBUa^`5TRDuy~DkLB}3&yJuS9exovN z7h{GYVAUk7UVeotN|6){n-q7`g|oiL1pm0Y?r*cSH0G*~Hy! za=+7l8uMG)^dp90>`7`v)bqu-n_tS{B@*TZQfN4{22ZR}vOAW`jEE9O{f77`uPQrDPb{-hi3t~1w}~ZJ zHJvGoIkM3Tpa^+m)vlqyl_{@{~i5o0}V1J0*6^dy~oa?EXU!EzS8SQig= z@d_SUsH#XGei}*n#t|Le(@giBt9*Oc;2q_C;{3sNn484a`lf86^*B<=>8!&lNinlY z?YY}PlUU57Yux92SFT|L$!4~-TRwk4Yo&f3kR!R{9zQX(n~sy->E1wNF5DM|pQUcL zzQlMPqexXO@9NnRv;nny?kjGBZb;WLG0X`3!@|X;zLOuM$!^pgqUl)a+G3&bMXi3w z`RH?^;8YS39%>TG8!y| zh1@HxQNI?okx_z(eu{ST)|xJX@rkt4+Zw$@_ESp_=+ky&Uw}S|4ON{9Fm@Mhu;y?n z=xM)Y5-MESx)DJ)>1c#M30Pl5w2sX+LIK+v75i}apul_LmglBy`Jw5wWhQ2xrkUQ7 zG0j|zS@lNDG(v&(P`H$WLe4mHmV(I>e)R0s@$!ZP(PQIkKVqRPUxbl15h=KDu;V&v zg`M(8O04aH=m8J&d(j)OB2`Dd9vqZ9aagWMFx>X@aJ4{?)|J%0gCM#r_;Yy^%G%LL=3WJadvR zf$Dd-Z|x~rZA-&a<(%{bx=-laTpYoTqgh#BzZ14M>g5N`(mPiS`ugnrkIP=r8Js zuNV7&ulwbGV(X)T>_fv$;m8oAEYs+bQnz>RD04{uHx0#-dMmb)_5GB}1;={(Q!!uT zeA^*<3hnxMrE6%oA&NP3n7n)XWb_IR`n2&G|9Fo}ntc6aFEuAo(f`+M=4Tb(wt+21 z@-{neeOhEX|vl)-;zNup>Z|*SlwlMJtey{00|8J6W=Ud(>MAebL zN=4F8`tJTg))f)dy5Zam#l`3xQAA5Bqp8v22BXU2;c_E#h+K3uspkFGO{MTa>-L;6x8n-hxshx`%uiKqDX4ex-JNhtT7WHd2h=1Zwv0&DdonY@z+wqR` zTr9O>MHHbB{wn4SPFJHKETBEp&&|$l0ESU3JCF*!=^?xmObpg9YeP#1ff@BE@-Rwt zpd1S4@&yiX8ZjRnL|57`_f?j~D`t7;=p(LNq*yaiFF)>`GZOgLd9qfiZ32{mw=@j z9BTaaF?BUh%-LBuYuOiXFFNnklq&$f79!?a-_EkJ`dK1us|Zrz?mSk+vT*D#NV=lo z6f*QgR&e0qvCyE}um_bmgDVg&`ua>!u;xe>HdEfja`gB>11bmkfLs2AL&KpFBJwRx z3+Kbnw|)8XM-_utZYFJ6Fn>VDZON^`s|VLmhd;+D-c=B{XqTPH6JBwFW@cZZFN8f5 zPH0iNeDaT5G7&k>3%ctycpLrmFf5wO2koDg)co#t+aO%b z=FyhT4w1A8MVYp_o@uPRL+Z@J6qEBJsK$kw8{yz7(?*mtFAmy)5IppcJYV4?SGW|4k+fNzSEDzd$YSb*MN;KUi8C z(A=F=&>J^2&%{VJpS(>Y5T0w@q^&!(wdx`X=5#-hTOp9D6HOZ%X~4d8X2;8*xP&`aN#G z&{$<~pO|m%dDHW)t=h=| z__l2{t&nzfiFt9v_+gt!cE3&>}467RtAO zXOT3{eZMo#^}ELOj`-y40JEN^ByyTDwlhW}edw4By+1o99BD2leztQ{=yCcc*1C<} zwP(%=s4sZwYg|WedED7-0w=N-^a~9WU(%%c9rNF|3JsE^mUmq;r3JVuAhpSJ4#(?L zPSoNL-n2ndRcZqUu*d&kAt~uQS6T+TJ}ca9p{*Ww_eeNE0^&{R%6sn z{R^+eLke%o9O_Fh&*^)O(1(H%CnhKg`F~0c_rGj3{F*NzG<7ypE6<(ww(h{oQ2N1! zWzqz7z2o;07etg~^Pi#znHGb_#~gE}Pgp#zm~oPS7$&k@+)6b-I%Hr8*2|)SUl}j+ ze3~k?;Ab-FUpcO`*B>pr*j1F|szb;n^`D{Y7Cs#|URGN%mG*d&SCMofJ(7-U5qPxt zDY67|mp92!NH!Wvbj*(Gg@QBP9mRXvX*N`A#0V39M=JNlxEriyB3C3K^@;V5GX9<~E%EB%|fe6_l&*wYQu| z-W@U(@fU8k_vhk!?@bSWJPW&J`I(YJH&b(g-)_nQ||gi`|&T|Lvht1YCEJf z07K#8Q51(zU;4Q-NKMnJ(WFnk)qTe@l9^_=YKBRA4=PbccgIw*eEYx;>nG=t2efX= zT789N7}>XDC{=wl=T~Wpz$hHyQYnJ06Gx8swmbgvTNQ;0H*Vy=8z{b6*6Ks1aZwGM zeZ+nA&gdKxSC3V-I>8oe!KE6Keu@SA>b2F#t9%Ms{Zb1=pSKc91ut|>M*oqGa4Y1(jGYnPF zK*#9FmMB=5OH)olS-=Uc~F%@cpyO+aJ2q3b04L6kKR`)YCwYSZZ1#Cy>;~R>afS| zDPePD=>1?yf!a|Z^jN{}dnZu!UIOem=A zuv&H^xvTzl{sc{nDsEC699E>B_O_j-#3ET9=vO!I#Fx*|p@P21tC!aIM%6dh3X3U> zx%ZJA+8||BJEib;)Jj{wKE^L2aW9Zyi{&iM7kQgVcAYU0E4|7%Ag8nFee|T)Y@G6-Q4vL)@kR6K2mNJv-|NWzVTMBAUn#?l zv8n5JXV6BLR@C^Lw_ca`-|{qpKYwm^0kN#{bz0!3xLtKPScvia(tc}^XA(0MosXF( zPcFaU8=RnyioZu$k2%`i$@=z+WI)GS5W}@PT=?8__Nb2RR7F}dM1y7t7WY&fH78ml z_A&!sHr8j2xgW-R$PPCO%p52_VTVhD9FCM?BDs+An5CSyFmcwy;wwd|BA7|ZbUN1q zWi;QZo`G%O(GrxmBcy`}eZFMAhFGYcgBhf4q=v|a!;sjA@|_Rv$%c8L#$EVT!>vmY zGI9HHC1S1%3l~ud$8hx&g{Wvgjj#3!H5PX%;+eXw5W)ZtIdb~Cl~fVaQ%ljf+w@%? zIiK$>dE(x&MMWBvNj{%mk*F4LT+Z~5&0kb5K6ue4-Qm^NhD^i+Q+y(@8`|hwjnscY z{Yw;0ADTIjh_79`tW2y4Kb!c0#Me5_V#%`ct2H-lL`)5=|zdUg`ss9@}+i9;X|ll_*inrm4tyQ=K7zb z=v}WjTotn*OU$Wa!=dE87gicE%1xP;)ThGFLTAHi625gvzcI*tQby66<`eDf%`uVv zJMI+H`DQF`vi>kqZdd$C5y8FtbdkGu#Z9W4ObU)?c%0}_$LzZl>Fwm#`7U9@A_vvg zX=i{zobu;V#EP0|PFEg^sUv;P-~4+3)iVU+z+%7mGFjg^?jcJ|RNz^PT$uQ0s%EUt z-DrdEtXT}=bq@y`yN*9L)dc?*l%)w+1kxa%UDb z3E^A1tib={L2jN=h4nc4vIljhNT5GzAbOdI;gGB_o+E#XFacw)jD}3wXf_jva(onP zBQBht3jW!KkNmK$NIBypHEdI~{L^~t6TTZ>%NnNJN8|H%MU!H7OeC`CF-vC+4%vjM zg2jvrH?a?hD`R#;G%V8E11(eBuZ8E?#CQr9%PfgsMIn$f1>gCo$G?u|pT_kPz@Z?7svvpgO zNWGsvS2v%m*{46+6_DR|;^Dpe0ONfgaj<0oz3=eRPp2OpIW=P*NkR?Ll=-1=>ou^) zwA*iK*2Y8p<&qALLvN`3rY<7l5}TafxSPh6+oL=p-x+K$_b13*{B>tj@#DZC^LUY8 z@{yYFr8l@<+TQ2&u}AcQ;8g5fpl09C2X{^x+`6;UlzYIu8t;4#evJ1?ntpcdkZ#rR z$C*jArQ_u^tNe@K^d4>Px(dwiSZib#(iZU}8rPV}aph_)N-PULrSemuO&{)YBp>hT zYD_JwpW@O4^|5tfFsg~>D}$Y(WTa!3J(OZ&aNxWZVI;TFIhC(ohJOq(;4Qg$T&vCg zsj<`qmxS3L3t{L%SNSf;{+vfd4DCK0a-!!=OOHDS(YkqPhOTIR6=4);=ha#scZ(JD z!Fqh?PV#w-U~xEAv}(k1$n&&XioRA)0`2#iBKXlCIw8zH#rhM3Z?|X%_?)B2a?e(2CTlIQhn%cj?-*a3qmg-iJH+AyRzVhoo z_a$T~-i`Gi#Mfy`Cq#^b?O-S|@jtnYS*6k=eXRv$Y=YyH=o<4LuyXb*>eEe&M ztE=~vZ>2j#)r5lYrd_sGhr)^x{Xcwb>X6+6gMDur*L}rRQY76;+Sy6lu9@f6R-Lyw z7J^K@R9whdLf9P|jPyVcxD}>pd0D=%xHjIx@ITWOF?ISKbv=9FbfwQ_n}oSb_rFsx zJz>fKbAx&5C^xqUN%Dt-| z`(^HM+Mgye19!eW{n$5-`m=7ckK1uG)wu1$FZq~=sQZATI1_ebL9|+hDrKL z_b%IshVSI!HSVG{MqX~DlzKTL5Kp3;vY?6 zR-59A9J)vG{9GTSgC_UVQvLo&&kK_5ADYlqoQ*ibx8uSfNq+9^KD?(|6A5}G^oLw@ zAUw1CsCh22|4N41RJ-x8-08H%4(r2TjHxn#7tNp7x=@GSY?=9@@G!+PxN%mmX^t&6 z{QZ=YC)=e~R=DJepL8Fiog^4d(-R6JL<;5P8}dy$JlQJY zDes`2;4rW$Q*L;MBNZ722@;9cG(ODq$J;q*qoabSVv7{#yuVJ8_#6i$XAI?Z2O)mcznRMS5kk+Cf;ZE-qR=VcWmjUx_b&)_khr;Q7_T!}u#Z{S$w(v5WJXNpc2`PplU z;oR%$Cxu_c8fSGD{tyTV(2o`74LpKp={Vy|a*+gq@1wxQ zgQwmYT_qn?ICW^)J@`joTkcr@%jZ!SI{W>V=u}&2X$ivC%?FPTwZ43IC2*al%_b89 z+49U-m?LNGlS252ljd)Uo8P_&^qm!6Ja{?yvT(;2Uy0kv*UbBduY=ifgW$+BR7Z*c zLsCp4!Z?5Or0x~#Yom>l#E_BFP2$&-tf6m%8sKNA+b-7PhKDZ_Fm)~O8WleTAy2d< z?95D~S{b_AAU~FeTY1*|3PY1Y$o%$Z!B-)nC-RPMv?fPyGqpeEmWFGm8K9ka-4|R8 zLq{&x+m0YFoJM$lEP7lY(nu^K<||7#sNPNOhiv*G#nA75qxo*9E?nQ6zNvaJ(YZmmPP3$)2)s<%N>Kpky}q2CuA1IXO~RBTMhWL z!*t5%*RNkU&XeB_oQ^Mfbnd{3qWBEYFh8`CoISZ&Nr4o;jTPC{^&~h}n)Zxi6xP9| zp{R;o9lU6ad>PAwY$43Ro{TYy7Ffr}mH^@;xw(l@j{M_sVd_5Z5aM zwnK``H__Cyt|^HkG}mSMY9qbD1SNQgN^wWy9ZCfh{RIxY{^%&uyzpA7&9N?08#TlM zAA_wG$Kic35jVXTgnpV{6G~g$w(Z#jXFmaXhMDK(JJ7(tBz8Jw>{{}0qpva=frwI< zA8p*f2^~(9 zw@LXYEzAR9G{}KNG1`5dB-(%xG?=0NvK5j!Og_YDxTQ!PsO{eqrH?hqx-{mQmI9V(osZEynp=P&GZ9ecXPX`i4`NpY9%;rKwtm2H;b1%Z<1na|l9mOh$l(3$kmE zO3cT2Pb{5!!A|w&q+f2UA+j#sKv*v>M@5Oxv((wwg$uD(#Qkux1gDLcb~a~d|(Syq>ovKF*`vti#xLcb9(Z+hJ z4EIbGwbFL>f0!&D_&~DLu&=c`9rybay{2|cXcCnPWj5fP9=NIRUtZ^2 z$EU3xTV?+!6}#-@sbl&gK=CwK{T-n$J|iT^mk!Tw>Gigd7GXDi`Wr{BQMoXVX>HuQ z8ZMMO-KzEGnUs`&O?df0*^Mtru$SA(uxr`XO4PDK9q{8}Yyz{v9CB}?$=GRZ2;5Mr zHcP=b61%vK(6LsMl0Pc!Z?Vw63&j}Q50EbLWI6JaY@0`qkc~+J0ix&Cyyu-h!^iHJAX^| zZ&S|0$9@lELcOY`cawV6DqXx9m&QWAKI<0Ug)O}-w$od!l61MaltP_T!R(B6l|M!8 zYi@K9J!zG0)2ZUOVTEPqA00*Jf4Dm2p=5)|Iox!Sx08Vb2odAzwSsorO#?N$SlQX`zG zuoyIJj@f=;W;^HUT~(x6Mkfud{-+M+4i~rj3M0k(g=Q(r!9f?~1UZG5>eW$Q?O}bX z^`c4NtMB$HU=L`$p{4DoKtE?OZ)qk=LFPNQ+w0p&zoqd}-30M}iFk*3j!0A%qGg^F zn))Q|CxstooYsH0917)d2GEe;Mn{L07(K_fZ5z$LS6y`-rQk4-z;-jH;&5qyloDzf zoEG21f7XjGc0agTxzCQ4lNa%{nhg#IC}QyC$^3hQK%Rn>C%AQ{0I_z4!19025ZDgL z{`U-l>U;&{zvP7qQoA4=#F1Mg=lq9!2V+~|I%1&U{|kjQcDnt0w+*=d0{o#*LVS=s z|NqTv2goZGoL8XrU)S6urWa$Wp0Z^S35FmRTn%4QEmHNgIAsxP5o3{W5o?iRam%8_ z;-19|i&qw->iU{ent#<7ES4<(S{Ufpt+&$uu>QS)v*AG#VsYO+3-G{c<)+Gco@KAgk&R%|2-BJpL#aCr&Li|1d9hw=6BB*UcS z;JS~htT)W05-6>=x|e+dHq(XQsKc8WcT40DuNiG6S{hv%`J95myaX7kXW{?NM5ttS z=k7gTd-r+!`0l41IC!WAft*EPLB_C@7TJ{{TxLs^(qf9Hb<@`ua|z6ps$N5NSVtG! z@FLUE%oXSudA7z^bN2m-^Z!UC~{akfH*Pfi2=$hZl-Nj2{(A`#fz>ddUP zUida+56c9R#JI@PKafXYndJ`^48wH<_#S~L1yEZi{+|b~V8t@6yS?CVT6e)R2+x}b zMTi@UAC4P||4IS%W37N{V?nj~I#$`jyxN-SmOw2mkq!~i&{m+K0k3ITnXtws3NEMexUhtHiSO&s(SSE#$V-8PxA7BLpGyHP zCz6dM(q;UaH`02UnN_`be)xzk%Y=CYyb|CdU6ytUO_E!}$H#@uwXrS*Gp;m2_^0j~ zDGE;2J+93{ll8S=bB20#oS!m*g#oo%041RSu5rKO7xE_yrs#b6BL!dM7UO?|3!7Hq z-`Xs3SYB=0FG02heFk{x!kIFZq^e(ri|z1=YV|MtZ}1qe{>APt2_sV4s}{MxVFZB= z?zbs{wM2Gftk%fmZFr4F zAkYOt%~<>}fkC*Zi%?|jx(B;v5@1Pi=^2ncR|vepLb`cK@>(G8l@Gj@Gy~=CwS2)| zPVbeW5YO~>(CEmL7D_KrF_th*me)9Y;Z8=wJ&pZ3x}_{Jph6-*g#B{RMEILMI8Ut9(9wM35qLw*`UfP0EU!bi^{4k246eBxo||_R zWXrf&tBmumGRaW1%~6T#*uzOTp4w z^Bf25z|Xi_x7%ApjW+pjAO-F+W2xn#UKrv(_gbpxpo^}4R6^@3jM#5M%j17Fi& z1Ma*+#!bin0AB8vj*V<7CZcMRjpKO<9Te^%7;R4H+?x|r5Wo;74lK8!?kG5j9MW2enn>Z1$rHO9q97AHX|3_Cp8SU?O2X?h(V06hTj zH!vW3O;hM_W4H1AO|YZ|tAWRmhW)Q1v7Z+F;1&Qx&(j2r#99x(R%2cRU6@uypj2Y#45jm9?L%<`HV;4`bsaOx84M? zks&^@P-YZGAjUmmj(JR1@s*adlKm1DF8VC8TmlRlGNp) z@0th!H50#5h zq!B0-$Zuhd5(6lw{>`sZKp*ho#r3VlVLSA|c%aXIMfTu@g2rz7FWGS)6ilsklS!Ui zW(k*D!FiW?V$9}AJQG}S2Z~Ipp6t-(>H$?V=cOh7Q+D0GE?|&49s!pT;6?&46F{*H zfdi*)pnFo_3Y|4s+QDvmbWpD~wS*fKsk6E;=>8wp((WZT z9|zr?hbnkb4@0(KQdMtzDHlT?+)(n2NCEnV0~xV2@Yw2J;x{yTW&=n<{Dw{MO#+lI z;QybF_b)nmn(>0;eZ&JYm zuzhH}u5AV~lYwO!*hUkCC&Ri?TL6#>mCz{gVMaisy!QYhnZ)IXZ<@0>1v4GSY|#=F zuhrh>j~0vpm@Sy+pW%rFXd9jesG~UGAk2$2Wn)rYX*``N zX#-J;jFCnyggisINx=YM0h%{906YLLtYg7CTb2)(7r=0}HtSfzEKgt*Si^#~4^B4+ z-DY>wZmO0V+1(<4_UU^|vOqnMy#=^1ZLW+Cm#3|zBD_cu#1_m8YWv5}^ODPzrNiZn zwJ34G(2B^yZkmsuub!mw`0ywe%ktpzJ8KkEfFhg78s$;&qCR){us^LK(_5Zbv#b!N zmKUv&wj|7@aM1d)zyc+ z3Gm)%!s?{87tD5`Sr%(!EU*wjGj%l+r2c89e_M5}GLrzRL|Wt(IxM+Jo}>Z7@~`1A zuiY`#{iFS`4#Tm9iU_W0ZP|zbrYVd_&&Mj01;QZ`7J~HPcQCA>s^P7JA;=R92%8D+ z1W&>qhE}^eg3KVdtK06-DFJe(-D8RYgHezNlJKAgy@0|D1OC=E|1(YcH3~1Ddv7gN zlqm?nh-db}&lr{M`!=Mg0qE&v|BwMF)yowi!p`cfjbw@nc*6V|yq9(@mKjXeVCe$E zjOqlj(Qq8YwnIubPMut!QnH1oePAh@77IavbAdSp4>1BdNXWnHjLHroLaJuGLkY?A zVLO$S0B;=N%Ci{A2HKz~y&!dkDr<=;46h|nWE|*}M@TU)bjk>{Yrzg`bS&)5$muk} z|5fL~OD50xhj_5lh{sm)m7xooH?D(`ycfV~FF@E{a6%G3kITa##W+sSIdu z^Hh1m3NHt&%UV7nYlb|}i~(0v@@)LISKQhw&!%CD@``p`8yFp+tkhS!jBQ<{|5FzX zFXDEW%Kr&mYmM%%ee${5^glfL;8F0n8mPCO22+UVU|>7O?$=JHA2qn^0M5%)jc~=Q zhdOKlhBSf;JlknsL5KoqTtFZN2o^w89|)Ri2e)Rvd3^!QINaHC!1ZTaB19MkY)wQR zqmzAfEkvH-_D0!3L?@}l;LQ*h+nzSm4r(z3`)kQiT$myMfknU_sD>*nt>aQKD&t3r zA1N5~gW|t}0IoU=>%jI5)*BV-k`_yV7sL;OfcZ#)&2`v9FbLJE9$|wb{xb&w^AFfw zr(i_pbZ`7fd%;)m;4xZD15$8>T-F-$pJ7x_Cr_LwTshvfg{RyyesaYrU?OA>x-*z} z@vx6KtKmg4Ji0LmKgOPJO))=h836kKI zb7io*^~JtvGtd4v6aE_9+ZiM{UQo9Bg97&7PXk}nM0tl2{_oAWf&cvpA&-N+LvlsN Kj1-O_$o~U+aX%yg delta 31610 zcmY(q2Ut^0(>J~+g(S3ujz|d|6al$W5GkQZ6Db0UiV#E;%dIq}a}FJ(1wknyO;kV; zP;aFZiZqpOM-06wgx=xH{XF0Mf4~1;xvuXguSDCwa6|~FN#t`h%_rYk$h7E+MArD2^lB1DX`GI zI47o3pOEne8$()E-ANIJ#JKeApX?W=T0|1w_4an97(tS7KOxfQ@v%txC;Mw->EuYl zxcs=zxK0_G1#4pH)W`N@7KiO)+jllh%QBQmnO3AN`3<2+oZL=ei6HdaFnVnSy*7$o z#LglyKbw;21ov2oNf1$F!|pGx4W&~x^NAhZ+fz{YDwRE9kRWqP6*9lFOMS9G7mnaP ziC55UImt{D#>X(1Yvbvp%~uD_xKJq=jIer%0w*DZ(In4>2WTJ+$yuMYGP1G-|5J9c zU@y4(7xm!2eKCpwITq|4Ol>iE;n}0QDb1CX0;)xCkiFZ);#? z`9I44WwZGoHZH>2|FZS{|G5Cs_J7&_s}0Rkm+~7U;`p!L|EGifW|p>g`W6<-*80c) z?WfBNJOV)mP>BLJVb|k7c5t)o5cYgqF$9Egr^WDWM?O29~&loNTK58A@-CQygIB@^z!+u zO*$JBPoHM0=O0Eip3^tGNzVbD{-7J3K%y5_<*Oi4tVSU^gNb1=2x^igcFIVzMBVB6AQu$j$tbye6bsa|0Qsxx1N_M+0l8PE4d2-pxN#AN@yM<$7NXtcb zFLdRf>#MoZEOtD~>Wp&aT$y0<&Sde|OAe+4?Oa3lX=9s%%PU_~3Ml%0pnV^#Y z$oyAL!i===6?8(s%zyMHe3D7{o${GWg1=j)2#~vFN+xBQ6|4?+7Fk`V5V&PvrfdeO zo`%jK*O$!8X7KzACF`mG4(tEZ^GSxK{(r<-9ZP6wB`nGop%eOK$$kH-Bw@*6GG+ML zKH1Ji+3p!?K3B~b*-BD%HxjrLq<`7yF-O)$GQy)^$mk`tMZ!cM7S`$7B`Z zc4`?)Q7;2vX2)dzrPKnl>HkBWY;!05Zi^pFktXUx1u1UC8_;A*KT(ZGSw51#Rz*Hi zfXpvn+$}~POA#Vzz+-Z(>J$Db(i4Fw9$`2BNPZe>T%ZVv6d?ooGF(2Myd;Mx{uiVF z#s8rb^8dvIJi_^J3r{}g-x{f~oJ1Cr?>?eQeX^HDFx%C16O812EXriV7qjXLX1i`e zk$g|YSXe|vq&OFp^P#U**zvPz{VM3aC zV-Xtmk_cHag~TH!WGvsIKvhgoHZU(X*FC;$aqGnL2`fvUS4KR0QSf(wBLfJH`qUjy zPUy{Lokz@~TKBRx(~{!8{@oZ&^BxlI`c=cx->lMb(2~b&n_XA#i97q(Lp2_svx+j= zEk%EzZyf&fmB&|CyQ=p^bxf5e&$p_FXK5K^OUWArZU@E0-dP~IdAaHT$ve92$?9z5udd= zJjpnAN#QHE!T^`AB{{+L98~F76y*O-fNhI(Fnpwwe%*0Cm#wZ-(J}2uGV0?U$Kakp zk;{h_2UZ3wJ1tK>>a>4%1kFY>NerW)?c+2565{_VJxsTS*_+?-?U!FA79$kTh54S$ z^2ZKUwCbacr$bzus|N*U8ZwTlZiYY8QC|5o=X+!Sv$sujmz*si;BihJU2dVAEQ>eu}-1yWI5f6XO{p8E$mNLBI2o7Rwl$~4tk z{`U_;8!V5tpMx6_X0$i6jJ=Bkm(~kpmKHspn(~j7@JCn{kathYVX|)HcmwP?c;)vP z#VCe&dzd|s6#LED4}UF}@_qjfNSb8$JP&e5@dp%0ea%RStC;_~oRIAIO(G%Hp(wg- z_=&=(pu%XU!YrrH%oa1aSME^x7}(^tgqz^~0FTg0RN=<*w8-uOIr3q8FXOAxW zDTq5`L3&%s8D&#`rKBk)uy2}_4;IG0ntt}o=CifZP9ZS^li$|@?W%HXRoxQ%eX<`e z$Gx)o6&K#`H$5`UDM;m67|IjZ69CN;YZW_NetFGYV`7_#RUYbz_&Pa0uf1{lma;=? zb-1`TOurWprRRZ*2i*tTn?@;mj%*KFON_YO>^Ejlo_y(h&BWsBTn|6t4K_Q7+i~rd zHzRAJ!L@76-Q%?PH|MU;Q~QGN@W0i$yCV!rhmaFnE1KS8Atg~e73j(pv&T+}rR%9awmUcY`%z{hrfB-aeT?YBsQpquVz=d`xE>1P{s7` zQT}?h@p+en*Zeh!d!S*Y#s~GP1w7W`cr}mc?&D~susdffp>n%2Lg&DPhJ;67nv(6M zjCvf?pUSrA2x}?QU&4N-Lb943jy75^VXsPsk3P24FZ@l8hNVJ~2W&hv=iac9u7UM~ zDHwF1#PFx&xw!Wgz7ywVyegDLr{TL4+i^=p7RrN3U8>XRQU z8cWxl#`>OoAP}M|wr_4eV*Pky!{g!!?VFs6Rz%FcrbmtXaRCY$uH6sJZ~1C|5?~K; zHxFAsW495nB*b*Jtd+zYHUK|OJuW_YL^ddXH#ukFuN`B!t!u5_T84~gGptY$4g;ZC zWYKynD^r@ak}#Jfcli*AZLNw{B7X*cc&244Q1UIE5l0Ucg} z25NzwYC$b(!RBhgjVD7aPlmLdgw0P*{p2T;ce=^UGLTSILZz90{U%&bibSIZAmm|g zd#$FqV%ubQ&&4;B%{!B$E8~g0Y%Mg|lx$u=I&tW&?wah|4>tK867?qVzUI(xtWw?_ z`dz|a$&{k^_0Z8|y&hli8OqwPwp>-Mq82tjHTwyWpui ziN9Ym?a6+)^#dZHNPH6{qxTOGFzMu4Gd-UwP*_ow^rQJePjflh;;+t-pQLiKcaHC| z+fuwTT;+3f9;o486{%;ua=NeXk2(ko2#bMxZTkqBp**5QpVRKzysA@TZY@{PKByWCP*Yta45)L+lH@_^I^bMV_|F zzqo0+X{|tfXEr&gMO+meO{T@{Z=ewN{L0YuM_#JbtNra5`Xymn$=DDfj5E;t>)Yvs zs-9NUePzamPs0+~dMm|<{6NCy+LUt)mB_?0dIE=7UZ}X>(FX5R z_2r1z&-uW=6WW9Q{_N0sj&38o0iNeMXa^!>-Uau(?{T&U0=6h#=kaXySS^B?6FKxES>lC$fEi}W_NAb*c2C67? z%1gpY4Wn(FZa6_9UIT%bC#e|vDq{mdXGg@f zZ+SPprx0WvHKp^)pN6;4aeezk`vNvd`VX?h{}H&^s#tZe#qT1~?iS`&%--y(MdsD? zf;wRcwU!Q4+03dYa*fA4FYH5u)As2oLdq`2@h)4l?HoW_r; z27+QbmCf|T>^uuAPZitl3g;mR=X7hT?lXoFW8$l0qM0$ zd!tze-zB>Sx$YXZz{*&4f96CtpToHSR7ipMtOf7wxUP>BaVe_VyE}J^rWfREWl)pY zwIXmVOhJlbFC`58YLacRyGX;h!LM-TqpkB8Fc%jup$?PLpZ4yx>9+T$ zBN-wMsWEZi4WcI!Nrx3TD0E7>Py@o>uFDD3oxY5{2{9lUyep(0jEwWx&cikw%#YFO zKNPLWm+}=snKsfbk3YQ5KWgK-tm#}ctRevH9NmWMxfARc-5w=d9Gi;|SH3DVd}NnO+zbP|3BWW8IH|b3x7i$`l5|zhwf+g?)Le&Z z9J662+4E}}F%AEM@=nt;`mDzfg8V?GYiJdB-*q)iYiQ=p?YuDZeb4$OJV$E4e0lX3 zBNYQ6g}pTIb>j1j^&!-a=jjzYg`rypEEg(oFK1q~kZe>49VAG)#>+T(TiqGecWe7K zpvv#qr|5IK&z!K-qO%Q2`~di$pM?$A=p$wL{FJ1BaG zoMvsCl5_JlzSdD@3yH95@8qAS;6$;cncG>CvlF0~{iH?%U_YrxNA~*B(XP>V;O|FXsoUW{TfT;*H*6Z9eTO=rr?BT01V72GM%Xx(>Hv(zh_dBkkr}%#RD5nuafK=H!tMt4-WJ|H14>hOBgW zW~6}7g}_N5^kp|*P-w>i-Shr;GY+;sZrAokOuxidszTZHlSRDbB#Kbo6UB{_zaN2b z_BpsLg8Gz=QT*L*0Vs0q$dmz=dm`m#i6McG5h%?&M7y{qq+%PDwM|SMsBL zq`_uv46~-yb?DmY68+DVD;v%) zkQ_--O12D&$gu?e1cN;bW#|rscvC@VAP@H}>gZ; zyuRH!OLt~hRrB}3Z6jdL$V1nol&q0Y0@IT|%q)=6t;SG9Wm6{Oe6;3iM-JKq`?Y@lFD2ivE;F#-uSGe+9^mqq zq+_QKjpp!2a^L%Su8sux9{aAO*@VcB=K}R=LRg(JV7(?aoT1GEG|2(SjSCUg;KYUb z4La73?@OaGej%SvT(fLA5k6w5mj?_2URheJU%Y02JMa#ITz}tOi!uf>f5U@?cktvr-UVl92 zgJ!$>-Qv?fAyk)H?$OdVpm;N$a@<*s65t1_C#Zct?x5^`Z6xG;eIy040<<7eG4M#pN_Vpz^ZT%F2`4>^Uy zqXMm^e@u1yL5@%Z5MP4!b21$x5BV%SG05!Zu4JFqvWUF(9>Nezhp=nuDA9fa*RE-xXGHot zbXJsjMpw)((_@w6i-a-=qM^!n_KF*x{kn;5yXkybf%xmJwFj+^LFwv35!p4Z>f|Un zH5N$2#VMM==VEogLMUzDesNy4y`2%FH(O!B;I((z*TF9u$!|z11E14N4|G7HnFY}i z(Noto-p8=T_;@a3UYpX6Zl(i=+7J&Qx6VW`^9Z`WzO>yMz1of8>VA>odF(@nksLw0 z{MIurady&`9~?rGVYrn$sEJ5$1ij%m$F;mDK8iXz zM)TIFmNsR5k=ryqVXDfQ}c=JVlKLj$3rt;cIw7&H>)RSs@;jpxsbWBMfmHJ z$$jK)H9^-AD(kRNfe!$C4p?-c`F^4&rZ}I?mG|bm53)njuw+<^OxvkHk8IoM5`lor z$r42quo3@tFWzif6{z8R)bM?;UYht`GR)X>KC9{YC!5t+jPs2PR^f=n1*;emdqmRZ zSRhl61L@868F;}X<*|A%R51@<^9PwiQ)Ha4S6qS@Z(mj`_X~G9*x+m+3erif&64Tz z2S@!a3hH=o=xUO7-ND)_2`x^$PJjD*VLW^|g1hUmrY@d*Dc6N|H2)=Btsvtos`r>8 zyiZGWOB3RNlS7$qs4K4D8jYvMW*t_HxG1vi5B-?QTNe5@w@nBBshD!(ftqO!CFBOXFG;_Gt=y}`No1pOtQn^%*}{HK(_ zbM1ZOu}vCoKv>0_X9rMLU8LLDxZSkkUT%pOgEd=Vce~p#cs(pz6)3!_IQkCpIN!CC z3Als%rB++g_sTw~Cfj#NXqS_!wsIxueS}(m{oM+fTAkrYd=Pri=?+-tsGFxRmxZa^ z{A8^=;9@UF*$8M1{n5-|YfXB4PmR#`Hj(PcRhA$sE=u<+1J7)rS}IkYqO}xKtQ9jD z0$x^#4anTQ2rR`#LEELx+`Cp!*$5~4By07IC{rlw)4m%Q6`z_&)FYzZtZlpC)U9np z2xc_kRm?&kr_43di4Q=6#0u~eMn;+WVbGr-Ivum<9dT=c##ZG6g_I1{!an4;8)UZh zeF7~x7zMbDyv@4fyc3hRXOfQIZK2?!QvgjZ&xmavfvS`v{PYkI;IBog-0J2?D&=pz z7CvMt@xnu&xaa8QtZ*wnlbcG-a3#CWbBKNN&v^9EYeD@6FTtMgp^^8xU-E)qpWIQt zavaK*awmR8k=UNqt^qlnpSvC1)ff5vcBl=_7LHb{fL)`2JF9Bk!=q;;j8`n>*6xo6 z`DcBvLxqTI81|FC#0#l8jmH;qG2P>`m9f`HW%jh09;K=Jl3hs0E3w;#FhM@8JS!%8 zEP}m=5sn-p5bjbohd-_16&1)%TYVMd`p!>YLZ-`i9xvEYbNhjzP7hi!$Ot3}WdeNSrOf8^1BR3bP5AQaeD+0(=o$Yup>Db&U!lHvGCWb`K>4O>crIb{0B#23+}ypBer&q|FVAe@PSkS`t+9a6 zQwsz_{@x%6{gD_>CRP|`Rbp)96RB1?$kB~B+Lx^kpiaqAqG*>VkBxka=aW3I6%X4{ z)~%son1O!#4k6sC2@(d&Jm?(Zi)@QjbUPsK1!9Bll)$xjNp&%I4{m|b*41uEONPMf z|BagB?c7tIaSeFQfE3>48-#e)|;Q>qqjo8>FTVqXByGRyiw(0kHyp2Aq9* zTELe4Q9GB>#X9=iG^~z5qRlA=$9oYX7U*z7_zeKAj4|(qf3X0j(i0R>IAmyuE0~II z7-FC%{yw|_(0>a_KIA%N5fy|$D`jDxfjdPejfTv&@aBB9B)-j94KCMbh7-4GsDyjA zV>9X=$qAw|KBqsn*@&Ex-o@bFo9?F##`iy2$A)}BHslexr98FcPjh~?Il`yPf*e9e zKBAp5@p5V{`fnd&2mN51^&WkFiQ?Dd7}9C0V6e-DEcS-hh<_$0=_wqw+6l4#I{`a5 z2(fS1;8r{U$8QGy^cP@qYOo3{tQR619v3;}j%3F+$Lk&r4OlNS9&(IU7lwAzAT{`Cp@#|r(UcM`c z#C7YQnQ559Yum$^0peWcReg+saeMj%uL#(b?a|}UTfyT=)Wb3974#UKL_vp8H;;{dAghB zlu8a=Vm`(wtgjh8%EV;f3^yIryX_JB$vD(t|8t(Km^tThpsmfO&aPc~k$v5(XT}XC z#sSfxZJnUp39LW0(aXzP=uZl9(jn(YC`rpy%ya)BoJEr7vbGf2I+(}o4u9vUH$m3p z$$dNY8q&FL9~H9D?NBrnheJ~L(0evYaA`3TNLT=Xx_KPyBx;{7pYF0C@S-F`-6GkM>+QcO^J{_OYZM`?Eg2yNn|Eu91Qaz zhJ1L3F|m{;KVps*+XbMkmi6<^{zdxYTKHEW0q{Xs!#|mYe~m2jg&q7cego?%F&ib5 z)&{6)xCNlCYisQXGbmYUQXYnF`K5@R#0|*ec&|(QSa0B?YQ1s<60>ZbRCCOt-*_s$ zBrG|)=!|V8nrf8U8Ud?&myL46)v6hz7pAI!z(u1^$U#0r5`j?gR zC|=d?DVS8*uTxKjVrhHU-RXzIHGUQgdK7cceub%_lSZh*o>5DjmC8_srYzN;{n{2c z8^K-NplTN#x>yXn9lg%MuN!jSXv?U?0-Xf<*46OgT*;gb3vqcGp;DIz*= zkV)umPMPztD*7Zdwktw`swT_VPyhoC%;$-%P(UU}N41ryL((|Avdo#H<7*7Ex#h$s zsNM&J3AA?|0EdL-%TLJ;{Zy|F=g z%;y${)m`~Sbs+5I4wn58XdE%9{s?Yx!m5zBe;fM81R0;~kQqU5i-DsBVsp5t4{CdY z{n8+sSjft+ENj z3SPX!i}NIYHHV*S4!@ca;%|i@cLr8YKVV;KL7g{TS0=PSAPMTfG1wn%Qy-}0lGHxQ z9vhv~vfbD6XUCheWX*qfcNszV&~X=9czpj%c*D3Crf2f&>Bu4vWNtMz(thd1X~U0p zII9Ck&Yat0*7itI$Br?WwYv{3GZ3+xkgltlyK?}&eP19c9EghpPt&WL1vQ#rNPc%P z%<``1YLC5;(4vfl8dEpK6OrFO82U!BF`h3{#Y^*(_%j4{Xi%9EMn_}>ZPV#H^Wh^1 z3|x#w>26)C@mK}ek8Tqtb>KQoqM-buDS}f%CwzOIGk+C?(^LxH()M-(Zsn9g9ltoe zD1$~*AXJ}O3%FjCNEsz&3!6LJl8pSu49I8`i#7f8-9b!;gLOl`6-WD4ZE_e zB75WNZ~gP!7)ic-yn!Muq3@meA)$SmPqt64Uk?9TJblLCoynXno1kEQz#|ThoMN(6 ze{`o^$jkh-d)H1rSP32T%|oDj-cjtW{Hh-Md=08rS4ej$=_14BZtu4J=3#$LXpDkB zQTV9{gr8j`TN|H3VEkaf6WNS9ZyP1*lq3Dh37r(@VK!NbXdi@ zzh4D{SUNfH0e)*6{QG1@NbGFre1c9yIrVjxl>)!{G4*^qnPEyDM#b0m8|R9orl~S! zGc^0p!P?66lR~k7#;5%g&CXxYIPdgW=pW}KpG{HB}u!u7Xqp-$!AStQBQ z@hH!QaHyKiAdgxRi0CO_%(OO;;)y-X85QoP)^2HZGTHR3j4*hmpe z*+QIeA2@FFpUkzL|0cL`5#(M$s8Z;zr9Im>v)$sNJt^lbTRBKG5xtRpi3~onL&^cG zEf&ic=yua*Br)OJ5FH8WFROkl-tcL>ZK;sqVcm33CZUy zE-U}Di^5n$zND+2i>ZHv$b0qUg7FrCXM?{o!)Ly9#S?fQ{BN+=!+mS&Gv=jCT1nOu?QZU&DAzyK3;B=$L`Kl0-6jJUy>rg0eo_ zo@wiOd5Miys@lkvTTD4sGDq0-yEoZnC++=Dbtw9uqJjPjeivb(g2z`a`rcl%Ial+1 zMo>6#&A8+1Dl`6!b~|)U_SOf*uIQSR#IfG@Gtn6(kC&Tthq?FqsoF59K?>sPZ|g$8 z(QNP6I<5_vK))-);WpD1rtdMncOFlf5Wk_xUQ~}gPR8}8#2D&G5J~alsFK(F2>ue^ zDX;1yzn+qG&hD8TldF_%G&^>gK_@Zej`Zxu2~Dc-cL>uW+nY*e>}rO6E*cgQqT9 z*|%lq$H^BrebDOFT}XP59;aOHQ4u$c5{0>w4iB0}KMLc%>|@TzQMQe(T{I0c5Ff4= zcfJ#?`nMN@u)@6|AcX&{O*z%&XSt~+oUm7?OnXeb)(&jQM=|c2q>}r?UB0>-H8S8j z!zQRvQSQ-Ef7s1tS2!n!|CtM^V<^b2MZR$R>Q~c|p*%lPeTkR2d*2j9&}&c?%U*T!arTF#KG37hM|Os_K0R6YTaJ z*H={h)>adCH;dly>&6rD-7alX{~}|NY>t!mCuQ3rH3j-|O??gX6dg{v%XtwVc_ZD; zOQ0v!__BHaHdx>(8+1KHk8wMS2?iLO{TgoC-?*ZUN@``fLMge{3j7L(F85gKT*Xd| zTFzOi!uoTw%)cLG#wW2sU8Z~0M^KZ$^X($1Obwqt!3C=^BYsHmv#T0vH`^}LBz4bY zQp~&p)($aD7XlRYr#2KM*VpOd7ue3ul(N-`ii!n?`0?%=He|fHT3I}v#H4WQ8Udv& z``^De7$@ZZQhABt-=yx-Z**_wT-3Mv1V$^w;FOZ^8gIeibTP-Rj69uqZi~&!Pp!;- zpFW*KvK{aydpwuFloF#Mn$}vGcCM&)uJV~jRRNc2KfmoEle<~@eaK@b6_YQFMqwk_ zWN>9r`J3b??YpE`w6<|}Q{fsm6)3LxX#`+1^K7q`z7u&cMERj~)+@o|MmLnOZRc&^ zPAQ{f^U9V^{Ioc2Ezg)tE`R4J{I%nyY0(vrnn+3brsw=hs%30@IppZVS1j|~>J!Q*ORSL{;621RZq` z1L<>4hruIrCA{*6;t`bpT43dx_YzEJ8ydTrisJ=9_7P=vwDIn+hFzb+^jsR_m|A~a>Xcf zZkq0W-}I%4ayk+b;4VE^>~#zsA_%PCGIF6u?*Pea134kSH{LdKndk>+YOco43WmQK za1n3`Hk{AJX~vBJ?ok##Euh8nqV8)mXA9Pch9z;QNXO581eC3R#)j_*9STczLPKQzb5+hVT-$pv&iUCYRNOIh%%s_?q@X|YYA68h(^G8-_ zODQ|JQev!U4T35Fecgk*>~BhK%2Z(Q(-*J-$WSAHg&(*k#NBr*A{`-nQN|`&$!Qe; zQ^>oD;ANL7ypMV)#4>;vrQQbtTKciO42b4_QKVZwBP}}k%3lg;!GdR(l1AQ)nBG1j zsi7zA#Or1IEKg7DdiJEqW8#;rwUVc%Qn=$D?r8!yE!vjc2ZVBux(CuX1c!`wrz2+ah#DKx&OT z=)#geYx8I82ZW`IG>f^E&E($bNE2F!f$^oDAh-f0;1du7wGWX&>!YX6IDk7Zu7+a! zdxT6@9#jLIW}!zNSiv{P`+J?^ls3FaM(x#-PyWb>j6YOi^^OkJ$01nmyU}q79KsVs z(jQ^qqJY2MnPe1Y_d3Z~X!sa!K$P~O9)6yoi6J>Lwe{{Igs+-cU)M=I4er;ka{`0= z&qmi6p{6U^Jf?zI;`Ggnut)r?B5z49n>L@6t04g<6Zcdz@@S1H7>&_TEOpuk1H2eF zaCG`ddhFS)#-|l}Q>-`w5WN!^roE*vJi0A-ai{R+I2%qc5qY3-K12(JRSS+&3*p^s zH@!BLG8!deO=8}EmK7vKMP{j(;gyYYwt5O_7eI$*zWf9_`6>9ThtU1>=@iOU1-N@M zd@fJm50%r&zJrn^0KPfxgFO8T(K-Dj@;~m8!-}6NIVTjL5Heu4@i8BPz_chy2RZ&N z7n>7iYEjd$ATZM#0BeQN_qj&2?k)qUk5+%`S-i;6pG;0tA&?LCQnvF^_@tiz(o+TE z2@-W6lS??bot@XtUjd}U3sm0oL0fY1%(vW^`wSj=9*cPTy;y^Pi^RJ3PZii3$77Ud ziDdZ-u7^Thjm3p3Do0881X>#@oIMdv5jUlwhEJXvQ-D7$69LUv>_gFd2I@r&I%n+G zaD>UZKRu^@M{Esuu>)1w{psD&1_PCr>&dZcXM3`HiP3NjFt=Qf8e*H`a0d zr8gz_SGYELxJ3mka}Qz-MsuGITppK%rkivv&o1}?$^IHL}QI!Qpo%q?8$f|UeTpdB<{*oI!v>aMk0>)Z**RE z&26TtPS@K`>ZwI6=Gbqop*cElm0Z@O$VNvaVi$RmYsMWy9g0}z1b38e@@vH-X>k{M zc{pQ^N%OR0?ruF8gAF{jbAKuFcSN2ncdR>eK=6HJ?|jIuhe0Gzm}jkE=SK#G3PyPn z7$8OY)h$#hNB{PSi&R8-XQSRwb%LbJKH4Ik$) zbjR&F)svP3F(-Wcnh-p7vWqB%{%mV!;(V4u`ZAMt+Ei77VuCys4nQ1eVd^ zACRNUu6K@4bc>%@RtrGTt*`ky&1-A=0n*Se)Jyen`|?`;S3$**fUi}nUdxp}BQ4Rp zrzqMHsEI6Rv4I@~`1ygC4;#c5<9^lOEmd!gM9!{mngY!w5EdQU!d~EVDf=okxGnv) zw$>du-h}JN(>We?w>@^bL{rsV_xeyF?|H zvM+m+$|qp~27SaAM}Ftx3PI4?4i%l~Cw-hB-k?LKl}TrxeL{#Ez)WHRh{q;sT<5;? zqT7+L_{G6YKCzV-*?!;*7wK&|M)Te3PjSlU(}?}M)=a+O?m;CekZz;c8&ZJ^pg^q! zY}578c=qY~KR|MeLRJ|=xOLeQHT?Q{-Y2DCMAk{*vi+yZSqQClr}P%^%1H+(SV9%O zR)+`O>$hz4ddA5d*F8g3vrXhlW(8-G+X~eDEiZ<_kqA~zpFiCq!|tw8hC~A()C*X> zW5t}=^LU?|KMVCdecfAqs_yXDKAjaewJ6Z_1=33eCH<`URsa;*ru5!`VQEF zcjRa=UVntj&KDk{;s>`)VoxCuIjSW6H~51Q0JFHk=X0%@UZWHyPHB$%O}xVAF$ z>upwyZU-0|rsCMP#N~je%WgBiy5RUyD3sBD`ab0s2^fC-%v;*utHBW-_trh-*-cqE zo#}b))xA>__CHT8*vH-rKjb2NX4@3Yb!NZpJ8P>*WFO1{4*d${dPmcH>-aiss;#i% zh+D&au9}pJ@~ji_JmBL}=9uh=drxp3&BdAi_1bcZ&CX;fS2Tb}og z6tUPRe97@WjbSurfiBCpU!VtEmE(kMySu3j(xto#7@KJkU0UO(<@o2aB zXsl5HUVd-qpcI6{N7+h5ASXwcZNNPS9XiootH%$7j}X}MZ4^??@7KuyX&duCN`W5B zI4~1<_MyyK3p=w>^@!cqkk^gE1qz^!+6R?|4~Md32ktPfabu0B2X`}h75IMTNBrGC zZ5gNd^-j$2yT?r)u-g6vwrtC6<)7!ZjS`o2Qn%$y-+s6gvUBH+*R-3XM&`0#NfK|e z%}o)qKuh@a{JAlz^gd-<<4fmQw^MOX@023_6}2?b#U=lw%D+dSkxRUr&S$Z7g6H$v zV_z%lM^*5rHo_i$)$02{_hfp?oUy!;Azx(YZpSUA?RfL4krOd0rrKXA(HVi~8 zq6($&4Fnm*sKED{8}jQ3Y&AC28oA*St~}1oOxZ*2X=zzq1N50i6I2K1Qmj!V8!IR&AZkApNp!Dfvr z_|b?E=N0~X?Ehiq&{CqVJEHcCF?hP^v9qh`P{*|axUcn@@F%yHOSVokiQjx*T+NaR zEE8C|j;)OWV}Gqv!-}11PdRs!y)xdBcR3*450K|JzS&*wuviAS&J_8tr$#>$6UW&+ z1KR$YWpJ|@x+PHa4wmuD9rja&vZ^}e;9;P2o#}ylz2|4gWiIcGkvQ}n6$|ZV^eTV! zAO#$Q_a*S%_Rjc8n6n0==$Ja)Np$L>)OIOBJG*8)>q^2UW-{F>et40m%8pRnHr+=$QNnt zVRl0^L%HB`Spd&(CWE7ePAaAP{Pp!A310I+S-7%t@pyiVhXqaI@ax@srgL!*QQ{bS zpaSarJOkYfRJZ{~C-T=NpzVj|-!SEvrV|Sg-P<6L%*sDdxZFu8Jq*xwfG-eZE2rGc&7X{2+@VyxfJVtqv zEhg`sJ2bd+LpcR^A@_Z0j4%&}ADQ(l9~S`vQTh#57@*DaNf9E+*}=I15Ls0+nt??( zvNm%T-w+H$*AMlHRcM9+nzc$pzqloET*0E}G2yQ<=v%{VEWabX^58HQefcSn5hi{P zqWlTXL{&my2izdn>dQw;7QHxiuKXw0s|d~WAI4^|PDEXuV-^>Wh{_oY?>oX%O|*Z-lH|i!k^+ytk~I@%dTLcm4xBw|y!m*KDePB|JPa?qj2B zmmtaQeBM;3{2jNH>eCas4NdnpjBcr&N@$rfb-INS&)h%7y6ehX&IF)VZtI z#f&b%N8)T=j#<3f{Du1vK7R6Ld5jScyHjjPo(-3!wE4bPHM4qQx4Yl&$$Vgw?kY8b zZH=lT2C_r!_6~>*w=%7x+a6g*=wn8C)}E}2)I=Zu^uz4MzKJV&4_%>{woB?F2~i`V z?GeqcYI`hx?c}ZPYaK#N;=)3ViEHWNB`jap1dbx-tb|%(+@9m#J80g2?qs#3NqB#@ zN{jTRJ0tANSU;NbGRm_>+#S?vcVo6*vd`um1)_DFcTquDa-csQHM3}h+!89y0S^a@ z0iWn`XKf&*KzskqT%bnt$UXi{)s|`F3^8j$)!K%R5o9cU-n5UeNG!ZC9X`u?e1~9$ zXqfH=z|`-2{pXMigO1k~i?h_0QQJO)^_;qcdyMRP5G(@@R#p4>?h!L@;wU{QcwwSq{0qkyg&w(L4+VdUpfE_#A^0n#f`%(k6pa7;O%|4QzGPv_i8w~PkH zDmR5N#x{W=sw}4s7Y-~fqO#5L4}+L|s#oz>Q!3`Y)g`CY${w3TZjlIev8JYK3D zcZh=8&&qzx(G>*t(=h&T|CjU){t%ajB_73)VG_0Fk3@OxNBVaZ;?f7=*max zuW*FJ>H5ckffh;+?eOdwZAC=R@4GO^b_dMc6Pa(IE(?rU;|?KcB% zX8+lTLsczNJ^%iR;m@24FYOR@@Vs*-J(z-des0k#I(AQnq2mz4h-ZH?>pJ9;^)Jo9 zCIr{>XqqAcM*xjQ3c3LSUq|}soPkZgczVU?A4b@=_rvpL=E{NRC45!+c;j+5Q4V>9 zvG)dQIw=E&J8V25{0+Md+)sp_WB_ykcvd|ffxa9OFYW^*h4wv*c2oNjf#6D?Q_k1~ zNY#GOmkw4yl{f~`8gDM-qRTzM>(7(8ge%95;TM(nig#|B0bQlAwK8SR-|C7?a|ed zcDjJcU1XOH;%1#=BZ@;zK$Otc2xo=f1~sAT`@0uNypp|@>eRFIBy>(Qh1_?oAf}lb)ZmkVBOFj%z)`C({+&^C>1hZ1VR*7UZnF;cXx~Zt# zvd9641aRti2_g#hOL zOwojEUkOb`V(^z4aIT-JSt(Uj`C9DEihI@jZxqqzKm0Ta4;c)FS7Wq^q5zoCeEZLx zY@2iHUII#$N_$(eN%H$%@VkE@`h&=#u;nwDNpsE@xH{?O4~&eVAtMi+on~y(Gt{mO zdXm9?yEPG^!C9bCZ-YX37sQugkUN-tsy-Gu_%9rvJs)| zf`FjN5Zs7GmXo~&Tb7`r7VAJotOyD?D7a8j%2H5}0xF6qdnm&)WGLvD;_vr=|L^yG zJP-FKCnqP#$r(wS++4j4QN{EXE_`T-{1~Yo(#7WgIPspEw<|O-`0giDPaj3)7KIqb6>9T8DbnE$CwOs=v59qXN8X)E*-f-U`o=Aw3=U23~N z@Pe!w9T$>EQ{8`HP@)WroIS0H^zcItRXR%Uug_R6MMJ-*!(nCwbwJgku7y+QKq-{wPLb1>=$MZ$zIxwUo3{I+L_BX157Nsb02oWw?(t-AeQL!+5@>7rQpg zM_dYi(WX%lP(xmmnFbxUmO?pe&Cm?X2=a7EwsMx6wzP=Ai!?7*rJsaQhN{zfhf86D zsp7JJeR9b#LGAtBOtLMzxOCNGL_PM?2rfGH@blcv;dJOUl}-=psllGT>`|oGQRdRW zkaFJo{9!HW@Z~nhiEum9&=;Q@B5a&2dbH|FG+j=jqaZov5c7WLG-im<|38~j{C-J?)>Ra|OJGJ`-Q+bmhjmVRI$8NBkiG8nb zXLiCC>x^Dsq;4zA^z(Ga_Pw%BEf2yz$P-T;jXcpOF1huf#Yt!gwY!2@pwjV49&(iV28UqsXV!2AQ-P_%W20v3!#MZlS=&!fp`(OIOI%*FBN>ratSMA76 zYr*78IMQA#+8euk!^S_*FMizr)>Kt*^cMvxRDMVOttv*XbeSgvkiXNckuqV`-WGd{PX3V|ZT(;A z1_n6oO~>}W;nE3F0UruekgILE(pSIHewYYY+IGVO2<9O5v0A{A!>%v4k)LGrD`;)< z%P#}pqo|Lor`gagUt;I_r&WO%)5(nsxo}-Cm4=mR6$v!!)PA}Yh}k&l0k)<$4It#1 zU3({+|MaOU4?%u;p>=L1Xv2+0p$`?#4+L!=YoX>FC8wlI|J*MVG6{+3b{|Pk(LOSo z7Vu|-|3_!fitOsyP4UEI+k1O$tI_bSDh0u^%s+VctLAI(%QO00BE73;Ab9S#ht26F zYUfR;BE^sh6RPS31GwRGj2d?EwuCH{`~o?xnG6N_pHU3nwp-CDH>DwW>V)+&>K&J& z+z6=&9y;Q6efKa;!P+$BXIA#cQzGXAC3U|3dW!m#e$7w{mhtXj$%(Unl!=X5b^7Jc z{j@rEw`%Q!F-r+m>6k&WsR&`hA9v~RfdRWTnvxUX4z}W}@(kqJ$nKk4b{E&&!j0DJ z;Y=g`B&6*KPvG!dXOCt6rdb)Mt^>QdQq=@vZuOlKQYPZRT}Af5 zr5?#e<{d;zZ}zY~4|ioM{W!7S`VgZyLy@Zd^vd1h-u;4QBd?S@5r1S;u)jgiJVhBT zTWnqjnIvv)fHuW$If92~xE__zsg_gWmIXcIz4Lgrk3l!$59g%0^=92Hfykd9COskL zf3d8celPQ7N9`wEDqp#@f+)T-AG+!nkDlOq@-IoDD%oBVmqYR-+d5SHuhS}4Ra1Ry zcR?kVKj8|shCPE_f%yu*6KZ?0u5#GM+-^|RG&6NOI~e-;0NoVxP!JF z_&LYr>!eI56!Dq2o>W&pa0lu`^k}n`-<~4hMr*6(5sw#*I}2o1U(nhg z?63U9(?*Y-Ya`qebN1$DWee>tY$){J93AjL2M8Ce8XA|(JOwpd)1v1 ztTT3@L{Rs>9dBvsC&Q19K?&E*ZJnMmK6ZrOIP9o_fkv-my(yLrzDu_ZSA zLHOG-oO_Hp{&|6)in)DctzjVK;zZsMy}K|KoW>Zn-YzNq>oP)RLS`Nj_K6nl>PNp@ zQO#~%d%3=)>V~Pd2^Ea@L|&`~;Km*tbjp*<$z*c;x) zO!_|c*}PZ5lHzBZLoF1s4K>=zbn`F5f~odFH9FjrMYA@0Q`*D}E;~7=-55RjB%br9 zK;C^q-qQKxcS+C4!!Rm$^E4z{x%`+t{h0b~;M9?WasA)9;aCOVBUt!t^Oa*Qt;3M4 zM39xKQ-0}w9n18l52w1j?OPE@@4kX%{9?VUzUUJj`TMd?wN)>7V5<~RYnsjJ%8!20 z40rdDeTK&Lu;Rh1oo#Fu`0ZM$Xy}ynT#W_#-06+Fb)lwp>m!9@7hyr^VXH(qDTq&~)a_Di$(D!#Y|77d7Y4eJxr_3+?T~*d!tRY+U&KFmjFP{llr5t=a zR&qVk8Eq{v(RcVTIR7Ndr(m8bHhF$}{#ljFFI6;Nxt6?P-uxjQx8dqtygD`J-oWQ{ z#ajvzmanTj9ak;U;ep{*Vu=xva$-lexwKu-K;@~%}nj9l@_UIz~-qQHwNLe>NKT~#4{SdSFRe0-Ka>4Hw zmFYa_SoPVY)S8}rC7V49bkQyX26>KIn-16kOjfZO={%)By^!WFhx^3}= zs9vV^4P~j+_F%S8=RKjOqg8!EQ3+fTYiokQ)X$>uYyG_njSZ*8tbXkhQLve&72oa; zj0^PoK@&E{^bECB57PL@Yxkvp$84z2l3XUDQ6tR|RBZ&^jE_G!KW9pXTnT$JUqja8 z@Ry!O{jYAgPjvLYHDeE6A|8D-*er3W9iFsA2O|q}2?$YBQb=mi6f^C(*&&>!qs?|G*SA=Bkeu*Xz8KLs7j^&^ntwC0R}Vv6nS$ zuz+_V#4epC;d|)TgiIZ)ZMPEy79;5I6|AH9qnl>l3_mn%iiW6KWG zWZoWVef3i`iG>ByHo>I`U#}q>qfO&wg|xjNr9`y|Uz1&B5Knsg8QDcDcy#pH;F|{{ ziDcs;NZCe^`g=h}BlZ+jXSiy;Y|8n!6SHL8W17_f+7@a#_`ri&DRA!cXXF0fq_f8+ z`Ir5%CkBW66^wPgyE>fUwA7paT_nzWHIDBr1jJ=k0RY?W{YcaVwI;Q6`j~>52-A30; zSH6l*T%cKu@H;j0S#ubDu2^gjj3ncajy(T4U1}YOvVJB7%^gZAMw?E4Y?^qDI5qrY zt5WkOFwbwf+bS8H%2!f*yKZ@(h@^nNS!Yd_TaF2)IaT9Ke+YrzeZ?VxkHqhI<-Yiy z2?@+SzL!^So?sNaYCUO+(7UVN@FiIMBEQYL$8pB#w!TokwD@HY$S?!iI<@|OJN!UB zRNP@-f&At{Z{G!!R2x@Z?D15R%Jp#s^6{gdvNM3ID)~*mHOSmJo(M~>>w|Pe(vSGS znc?(xSB@PMywG{9zv?Jd<6`&5&FsX+8-%+|zc*X**tc)@D1U=;cg3IHw?v}_4;RXs zOImL(hADix zg(h0Igb(_Ys&2af#_0@5q3!nW7Sopr7xYbBA|BHo{9s`>CBKuq>er|A#NO!nr+ul3 zfeT_*sW$OdY0`iC(f0ebwyKgvxHn`!+kh?JEkX>-!1<`{EuT&_5In}Zuf5ME#Y{$w zRY@xyL2Jh}4RsiBIvMmm*%m)0qsR24;cCUc&jMBeZ*d zb{mmJ1btL8W=_|#9m;PA^OKVZXu)2zT)q#D80BYal;uE4@u()UeevTb^Fc9xsEag1 zu%zeS-g?7#GPDOxCRGW*LF;-T5@l+j&}xikSX@h={ASbl!ZmA8dBPM$`q-UYNB6SV zZVlhC^}@Dwhgd|e)`7OKg5vU9Bh zCTzXLr{*l(_4NFzClha;ecEUH_;3rgFt)USYqm&09V+nO-}f@!N4$-F<(Da@ptO^+ z!ycT%hb^OQQL5SeF_KJ!_$`(BT|N1ZhD#7(SN3d{W` z8192XU7t$3zRW_snQ=hA)Pt|N6?xQf=xU@|9Ziw+%uXn!hFo)QW5M+lham5-F=vI= z7kyn*cGQvggQpIORN?r{Uph45U{@mK%gvJy|Gg1lJ<{hv-aYk8Qt+7w=eb-+8 zsUHHvLPL&^7d9?LbX4Z$u#fQ{ak5(%)q0QHW z>o-i?TSuKL)}1@5K)&@Ja`#t?Q*-tR;SXwxnor)nIm&d`8`k}v{hix(*ua5lt+kNQ zduZbW2lPeO>X_ah2SroM>F12QP~HaY7gYRB^_-4Ini7jjw%mQ+B`jBE=KunKdZcjn zq=DeoGNU_n{OgQ6<~aB%8oH6bE&zBS)15ZkJ)Vq|hrGg5(_dVnt@nt}`LxYy zvTK$lTbuj*cE_|RhQta=h{t-A^&9PxI9MXTY1b@EU+e0HN?f0zVYo--88HUW6B7hdSaXFgR>^7)hnIDnTBnehEInFXH*lb}-|>?Cf{IwE|k*X$@5V z7I<>lQcx%(^H8Y7f={fUI{GIaU-ylyI?;BrOp`C5mu*Ob7bv(x&iO77f3&XG$ve(| zR4M4E1niRUK_ok?$ku&x+?2dL0QFs~`%XYBGwmZ|u3>j5ykiNuN%uq0g)B(b>(CfZ z9GaV1CTDUQb+tWg6O+MjaeD=1dQLNqV%OX6-)~){DLsLe z&6W|==P^WOCai<@)xb`cg}+QK?xW6}Fu$-ZIS_L5u&{my1zGHkG?jBtR2ah+yE#2h zcqBf$6Y9JQJv&?T?jTGl^5QOhT4&ZKc0Vn2O=4m_q~F;^M%q|C-5=Uczl}g zg_GxdCYbs^h6T5TtvM@h;U+nwib;Tu?eP1}Mu*hoT0IwSII(EW7Ao_FO=qYytvONb zB-wycW)Loy{d-o;a_`=m+E_mmSWMU9pf1%zuQjdNi^0~; z@f`H$gHf+yK^;lEQ^t_&|+!iZoB&wCh-CeW$12+8i$SkJGW)Mk9)wrlX=3I z_EE{qy(J~hKk!4k*q!``uZ1dF4nDn1ddN6*ces7(e#;>TJZ?R zEGWqn)dzV9!r_g(G^(yaaTSp8Mp@rPCzn!XnAGHAyZtJcvd-Vs^$L8u z`_Yw_zJTOYJ&9}J39kb_@$Z8l^t43OQJ#MaH~Z9bUoFD+v+`{APuFKgnbh?nMFJf? z?YF-MMOP*I*&r`na@w_`bFOGyaDCi45{~#HYrwBgOL3OH;1wX6D8;R7xN_rC0ucOx&XyNb$KSq-0;~Db5Y}#1@!1wyUA$Q5ah5khKC@T=&Eaa1zavzoAMXL^e?U zbK24J(eFgk1y*=zCVfI_?L!T7*#xKhpInZzQuIKpXe*r>o>+{3_l@b@|D!h7$KV|H zog?DMUd}k@?Bs)FZRXCI9LAPT{)l|%OWb<#{Jx^g+k>-qsLEtz6d3PozQ5~E_JNp+ zFSo+mdmAgw?uv_~`WwIa(5qQFKPvUcV{&@uNrG#{9NH?8Bz)yriX9p{^yz~X*w3LA z7SM}p`ykC_QPEouZa-yfduODs{Yqx&5{_nvTCCS8(R#ScSMJ^~mHe5(pPqaJHEhxX z39ZCewvQbAB;3xz=~j3AcHK8#Yi>XBn+|_1!PNe3)HUDih(!d|K*o+F{Uq7}# zyXyS}c6xEv+jSXYmUEwLqr&Q%+tnwub_WzC8jZB)h5J4AjS&eq?>^8Oa5|-G;80>N zJWEH-&Q|JE4OD9TuP$f=o+ymGOBV(nXANQIuDbq+?*d1}a1|UG zTNpVLiOZoSxu8CWNkZyXZm8C?4@cH|35iy2+4zPUJG>JJ-zvRpyAt{aXxLR)iB+1S ztXD!fG1Q^+O`_2w(-gt>7svD;&w&pwfQ_!d{Bh~DtrffDJq4G2-#1D%g7eGAna(gi|BAGKinEY7Kcdfgsow!!k<$8~Pu_?iY`$GylbdKoOofcnbKvHtbwT+SHP681mE5JUuEy^ zaqlZTPLoyq3{D)$2v%0b3+z^c_)cMQ2n_$auN{z*y*>3Z?Q28QR*PSFSlqn9+D-8I z0vSKhK;zGAv0XqVv~#Df2?yB-t_xGozo?Z&b%dL{-Abyg`R88Wy&4N2zy1-zZ7awd zY|fjqwOHtPW;YkF)@gnfNZYWXCNdy%h$g8?GLhuZceUOV5>-+7Ww{M~mX>(7Hi&f5 zQTq<>4d36C_H={2&yb?Jndy&BTIRMV^pB~2jC2Yf zRFKbdKRhzZ7B^4LwQ$rZW+;r@^?YPj3na9SErd0QUdpqcXT)7utN8|P+#o4UP3p^I z7nS{jl%7L1$KTm-UR@shLR0z)4P-2uTi!fVGI`c9f7!LqBxz%W$DZTdQ8G3`p?2=8bNd(RZ3 z4-&Mxa%LpN;^Uk6r_ZwNTcp>8Q*~9c?UQXjEM%d|AM^z)A2c#eKi9`;Hac;ql}p^V zOGqKz{L>{1%ta+(NPIyXV&pr7q2XiS!z_%1tQTrEe%-^L=yZFWe%~}rTI2(Ni_w%3 zu8UB?rAWxv(A4wZDPdtvnLIyjKHqpFp{Ra}hai6KB_YL9p+InwtgiJmHR@$ij)8|T zv`{JNe$@k=h>_{d55C}3yVRoLFnC1DCv2>DH<2%bS+L#jvr6hRrm`vOMg3H-p;j71 ztCkR(p%@mVS?5U(_*3OkyaCAm-;~TNsAN;Mx^eqIIKKM({Vw5c+X6aSO{GsRHN2P@ zl8eiTsoSzTLcN=PrI+ojE}I5D25V_JL1@7fo$XH`oq>*IWvuCraEIcUv?L1Z1Nb$D zuyxz1&(Eb`xA=IBVj1C6Gnv+74E0?lfSsg-V7G&e+xd#r?xsmSJ6V3YH_kI9bq%y5 ziF_S3H2bT9uwWH|Uw$sUtOB+k7h&J;tYL51jfRQWITL?=@c)!k=&*5goE%y;-#@lR zf6e%gZ|TZ`c3B%cU#Wc;u;ircWhLi6S?Ia?20CW^xzIt+?gwO7{g%H}6Z`r803t%_ z`%=+~l=@3hamDK1yTn#6JcPC)MSU4`Mx$!p_OX|j2C>>DGw^u zTyu%8tFx4onkeUxSMXg5dh^T=f$2w=*PhxpC19I`s+YD==hGu!ScmsG;^{^kC7-vq z{gHq7&8-cW%R}xYR_md<*Zx2VVYhXWp5XVzCC8m1qoOm zgh>6uK5_$O``?nT8(pNvgctVm2aQr7kI40V&Im-DRLpf)C=6azE$n<`m-=(-7Txij ztH0P06P#Sz*p2}*aq?F5+psY*a_0Iy`3S%&`n^X-n_%2LBq=-C+tnmjVcrW??QHzP z7N2x#`|9uC9-i$%E%aZB;&dFW>UI#ne&7$=TJcTm0u+wyy5jGlPmalOwV0~O(ObJ& z;O4#78y@Q-1wM9vPxj1?G`Z+{!+Y--RRF>{rtWIU;B&<%YM97ko$8{EVyRw2a`S~@ z&!B}|k+4OT=p%&mpL&@Gdy*t46ui8)9563!qKXy1nC;_x6}9_i+`-ISI>$YJt0IHN zhOKN#@GWe-tH-_4cjAji7>YtjJOx_akKoq8RYzt89z35#o&F9<=bazt`zZIIiX<@U zsEj~|bA&H?V3kUaKe<8VQUvW+?GAmpZM&LfvO=nV8HNvAg}^ULf6~#~)Mvi_5t3#SLD5s&$E`u-w-f zmzkUT<~wdpGp;|_-MYXwV*Yx!$?LR6%_v|#z98E`l=4(S{$CQY7S_-zF*51zkIT}4ut!=SQJ$@cQ`*dH@ z)=xQkqyAjPSlnLa^6B=Un;EpDireDUlXD%~4=hts-|;QwiroG)vlpifwMzI?1$yS( zmFX`feYFsX!&bV}|mh7;}Jz2@y-sdnT?FM;Q z-DdGwy@DL^sF&|X`D7fgB<~6Dkn-}o4GzoL4o}fN-fK3l>wZ7u#kXhKRo=w1{;RxS zo6zPQh5c^*alLLb{<#C!vF@?G)NdZozJ~8!lLO0d7$z$phr(OueD)ZE3QP(t8sTay zZCeDwy`8^Ez@vfIhn|qJS;G~#dh#&-T5YtP-4y7@G`fRk{4?C#bd!TYglq?mpXx4* zUnb$#&i0Bu&x4z0dy|gjK@z2O*Y_IjS1WoYyXC#W?L$66zhx20|t&i?FK}*rPns8I;?9@&9OW_TKTQ5_# zpE~=!ZGgQhzVt!~_lnDQ<<3lQUJ9{j*x#^<7C)ZW3&}J}PC1MC=uEKj-Y;n~Q#~=U zr4T#rW8Ysmb(p+5eT}EJVY3(w^XAoPFv(!T(@YjD73g` zQDyPU;+@5q#iYeIbrVfFO<03qDQ~G{xz2#2?`<%tKVi7rC~ft6V+Z4d#xchCj4l|r z8t57?>I)m_8+e+CuI^dAyjsmP%=DURrdg)h{xx^aUYhlroiM#@S*_Ec)2h?4hxgF= ztkb7+%d*V!04bJ~Orn!6ksgr>NY5?1Ee9>9EHIX^C2XZ-1wjM~VycFm)MVny+qtSG zf0&s$UEneaeglM~ia6@BdU@|sP1c8!T7*NStw(klAO(z}Qe!!gh`(Ko+$lkL=>jY1 z2)+v8G7N9+G457nwK20wpq#FXF3thOQWpXDRJf|Kb~CeiKuhMyoUQ^*1gc_fY~ts- zA{~s{TUyfX8il<@eFaB(aQxqreYV_#Z~ulxSt8)-4-OHL({kI$z}&T?%4spNIqh`) zVlI(+yu8au9nseX_j(YTYPmv9!(0Kxhe#hsF6*%r5fxpeVl{F|pCth*Bgo7I*eEvx z6(+X4okXd4izO0i=qER1$gJ0b0w%|9#<#ep}|W zHx@1Dv==P_c)={FLVAD3K>A?D5Cyc4^&2!B1Deg>^oJwLYptbj2}E+!&@O4>Jt>GK zL-w8pRgTEI(j>+aK&**0bdwrK2)U_U0ucblZENI?4T9X)rVAiKIyCgrDZwdZJrOXK zwYIcq%1BVhu8GJZ9mk`^UgpO-bU{EH z5ai{+Azng6*5^`y+ndDUBhd-_nHO`qn7QR$cmZUeE^9UOA_x+ZTe>Xm5}FjZgrA>_ zSZQNiil$s?!pNfT3MvXYt-Dv7CFo|Ng;+6=`{}}JL>3yfY96s>+`X@`c3GPx0dBkT zniJ+o(x;GRZMtYKfs$1|XSC3W@TpbI;TOScv|^6aUJ_5DG?p)L7ZCv>9b8{hf@J(; zLWmqg?18ePHIb#z@bfRTByao#ssLC+q+@c%8$n5~54K|}bSlO}sOX`AX_2T|mrOqBOa}!J?rZK%nTjpOnJM zqVIoIZ}zxLMb|0@=OG@}XY@T(Pz3@elrhMYGrqs_R$u}IkXLX4PZ=nPK12Bt0iC0Z zEa)O?4BJQAGHdl%hznDsyq$R^r)w`$B&VI?g5)rg9x1{Wj7yJ99G&%8?_HRp03n}; zAi9FEp!>fd1PXygV&(&_6Q>I>MG*@<9v(BFXF>ouH3q(t09)MCsU*YHyLXYJb9c*- zqw_qyWl)*JYUNe>+YluBF-~lXiK&QAJU>H1Er}WIAaIR<`Nz`Kr zHsQEpC1jVPpDWDW3rvv^gYjgmuecg*{2w3%`EJHiD?q(6!hil{$yuoSZCT#s`X{Fg zXtguP2-q&gg6utTcaorTP3T&1Zr(0Hp#tDh7N6u-(k;oGv$EW5(O3N$_`uSCu>lXU!TVO_0D1@fT5^I1GSQULZ^4%lmH;Iagftwj1a3b5LL{V&jHf-cYWuk=5_X5v>e zF>&Ap=>kB;0PoSylUIN|KxE030#l*K!5zm7gA`!2b*O)3%M_%R@FBpv$XkQHX36~3 zaNKdA^hL8=J|UVA5s2>!k+nP`M6LuN1nj&Z58a5TI3;fLS^#sP@PvJvyj9Pjnf7*oxFS zT?CjOa7Q1=%fkj);s0c{G-Fi>%nBI+^H#hUm=KGdfKo-(h%$cq%fawDM|0?G{_AlCu2hNzwk^;|p;6Z+?g04ll z^EthZ$Ztkj4FTD0$Qyo;zVa6r&E<4u70rWz7LBL=W}q+v@XsSPtKn#de66++Kou#W zQII5tTdlnB0Pz@^3oa@%XZ03MHJNa*B`6-#Itqu2Mt~VFniZJhaUKX2p4WjU9HHZ2 zc(ax02I-d6gYE)tlmHY&d5NYR^l?`jPc%wifFu=0U9A?Z%owXxFa-8Okmr_w%>Yki zy#*`gb|G@72tgidvqFwe^VF5X)3%++J#(NQW_4Ssx@vCW7KPK#=PccXsz4W8fUCG> zZqt!FIV-t{uZ|02ie`ni_h-!Vvah;*2D!snNs<5reMu~g(`?3U#W;;ehljCny8yX! zeFb9zU_2+WhItsgq|Z&eI3HK6R|hYudHV(;aOWmKWr)|yQUT!!LxmL>WR{Sneq=0SE@daI5WoU zxie=_;8O<|Yz=5hYP+{+T*BfkLs+P8{fg#z^$$6cN>jpP>PwJ86 zq{E2`@o}*+D0WS4U46rgmyJzAu7)0nQ)FaVq>y_U;usXWFCYl-xG(AevNdvFbdbJh zWLUI;*b2ZqZeMi3-k?CNM{w}LeW5}BV2iqj#`+)J7l?TJNAJb*9*BEbG(vR_iwO-B z+Y)wgCAt#BI`2Ce^gmHC$Cz*fga-@tKNKXwLv@WpoMR3i6mi@a`EMAvIW#IJ93Xl} zhei5_1c^9>1|lB8EBQoL2)cy@90&^h8}_5Ou$|Q zFeM-;DoWHlAvD@Q{xADLwPOFRvIr=Fy22B11*Ygq9eu*W5Qlvs*v(O(DA&;FpvcIW z@M!$nLxISDQxSKH=e6{|>3T=|2ORiY2KI0G-f-9=gm-t^ z=CcCw;=!CfHc2?U8alaoJN*aG)meTnRltx?_XkWL9O6SVtGaWJP5=J{LXrv|-P5L!gNM;>M@6G5t4m^2R37(-;Dk9 zn-Yj-!FbrB>>vlGfPZ}qIDXeeoqw>FiE8J;(vy)hYjB$_Kd;75zp@ZyXTxe7Ak<+b_ST zEcD8o=)xIkZzbX>LI@zz88((h54?W`n^rS3Y$d6J!$n2=Nk}wnJzE0 zK~;D|_#&QAj;58PGefYRnk+{;KbOYb=r5#l!Khq1z4@HOP&JVoqj7TQ^{-@?S1rRu zjZ)w<(&t6i7u&m!l*U?i_>8XenY1jZE`%h+wur_AYC7*o^#nW7 zvI*agYs7BkjE08@q|XaP=%*iR7rb3;vrIT0cR_~Fal*eJ(Y|e^nXUgdayV~ZcL9OD z-SMYnRln9s)D9|=ytajpx4MfK=E=R%xMP7C&(RZjF2QFTn2iX0m2>5Xrd{|_2X73* GZvO{pl1$40 diff --git a/.Floppies/A2OSX.BOOT.woz b/.Floppies/A2OSX.BOOT.woz index 26d8bf06af137da864146fffbdc9674363fc0892..22e9daa9aec21782a8a73b32f07362e65b089b50 100644 GIT binary patch delta 1796 zcmXw)VQk{&8OKGE%e3@52cGB*mD95FInI=_t5t^nn%Vi{6t2847 zqV3c~K)~02$mdB&pbG;uO@I<&+@1rTv5mX!Q^Pq_Lb(Wt%_n1lF_uCAfo(jKt&j9R z@BjV1fBAXzTK4!Tkg+n_}gDhy1YO{twTgWYO^jFX9}#%y#kyUk~4dKz?@jkBkG2x%&lpwXBQO*PTn=H?V7 zIv1BRQ7&t4XU$HM3U!RYLc-!qa70MI?o^Bw*dOjU_)APO2`<}<6f_@Stx6}K3UNi6pWSFbG`#BUHDRYkr%iMDKlm6grqKO+7twpi>7*s z9R&lmM*EMxoWe(PsFwX1G%NF70tJu2Rw2L?V#R)^1+EYb#`0V+mCkc)R?_N3z21hB zxIp6>wptPiCepnucLMxlQ`K^#;`!qG?SZouI63?$o$vMKp)q*t zyWbxG`o}J->!%+?k~SB|OZqM-Y&C4@G@P`rMFeXZbvn)E4vF&*@o;o)%PH4YatE2! z%MDbKtnqG=5QSd=r2au9)vcvkYCG+8xBkLbB$wnOr8|d7=Zr;}t*7D+hb`8Eok?do zfz)f-*9z)|9Uu+elOb0_MYavj8GAArNh{lKmQe3l-rJGoG`6GSY+L_v?A?PpnnEm& zr+D4oVkVi4HK;Y)WYqCAD4aw(qCE8u|%5@`Hcq zhW~bSl(dFD@DIDMnILsFs`hil^Utvjp#K^Sg~WWU`12y_JW<6t@%SYZh%dUBC(h!d ziK4{>`Tfdf<^T&Q&%5^6OaXWlD5SG1=|zyVR9&)!T0`Vl;v+FTcOrL1@{p1d!9MDk_xCOO85@(wT&K+} zocM~cSzQWPjU&FldxyqGv}?))G>Q2$6}j<1r(ItEW(I%knRw%tulUx`Z#^u1%QHG` b`fPl6T(>(uusc4uJ3h2Kel0RS{ONxI_4b?f delta 1820 zcmXw2Z*1E585Wl=(&cVbb=^+4R&Azgnx>mjrZy4MawyxrR=H@4D5E6Jt+$Nj$RAyN zfE+@7w-3=i?90T8)o=t&TR?3Ry2UY!fI~lQ(j-KEIs%q$jCCJuW(Xhwwq*=>rtAG= zzt8(V?_<4>e?R-v=T|>{e)Uc3+`U`c*f+<1p5~MPc=OpP{B+^c0`k2pBL+hp#{V;N zs!1)7R8?98^`%fZ~C$Z&M4`#IeN~P8O(Wx#w z?fUwHY&sMo{*N#J-T&?zKZJBMc)NdKxp$oJgd)2qX;M;i^@Br%4~Pvke?*t1dM*_r zk0Yw2cCnL8PZS0vK_fH4+OF2Ch12mE5e-ng%3l7kk`lQ_BUn5;iSAWJobC<+#c1>b z-|d!!L}HhhvBM)S->#gfl@pBR@m>@-c{VQ6&0?=s=h<*{Hzp3^UyI|_mtrOrqjE8YQgA|DDT|{@-1`i8wEh8X#o=g)8da zr>Jp)%)^!X3ZMjWCaeS}W&~AfV&L3tQikxH&B>ULls>v_a};LCtZR|Q9azB=O-`i} zi)OpSY;Ft~B!;>|I9h<_ zR>Edk&lX9vkX>O(1h*$r1U3x{U#E2%+{jD?Arw@P3YbTk;8-*_CyU4$Ewc&KYAi$r zG^ckb6jkSz%+^dcNwgiR=n&LpgvRrbg5~fK6ck7u%yyNlX@Y0PJWW=b4<@22Vc!7s z2s(hULTuOp`-;7ePGhJwF?5RJsM#4paI?#Y;MuUO&zUnCE2@(N7U66m!+NnqG0*fGc=lvHKvchN&mGv!;QUO$nUKH27EVZCL zbh~C*7|SdRXOL}V8}an?%eZG3go-* zQ0}W0ZN2eO+H9|_&HB2He%3p9zqqX^iqEZRV7B4T-L+X5z_nf!*KBL$fxFvdHpLpT zK38|+g)*dcx3-{-I_T~7oAPiYL2$dLfF4#zpo=>@BGy;8ruIG`U&bszT16c zIjZT`;xlbA=Ktr_)t9FLp&uPsZ_g(-<&ahmvX35P(+kIH`b3TgEb(G$$zj)q$IQG1eUw3y>QAWh zeeqG6>dWc=^f3cMp+Uy<`8io4pp>fiXz|bDmZ~Rt`dr*>=TCd-RFBkNl5{zqDx*b< zXJN>(9dS#Et4pr72yd5)7UKT7=$(o`_S_;&x61jvK0;EC$>cG4`YIvjC9fGEY$-F) zqw)nH&YbO~lgBy@9urpE13g_@@&f9N=gYyM%UDEFy~||If>X?1|0Lf_y*H#<`T1WK z-J1-CxYYq5y0A0IMwdZ1a~4h);=zHH-DZOJ^Zw&D_uc%*>f{&YU@O z&Y78O{kqT{_=Wx^@&Lm_D`5r#vN*1NS?*bYBNd$+1x z2xOE;YoW_tiSAKU78T+Zj?N>xCtBmZSLQk(YL$5UQhb~+Hb~W~l4RgXV}tVd?vaH5 zAGC|~*!%6NE{g4CZ}Z>*fn>l9l|{-u?jK}PXubCqp1J4BcD1+g;x`nPym!>)ABEIs zt!~!?Q$GvGkvIw=W$}AM7l(|Ws@bp4xUYlU*C%T8LA7#+jY>9uqJGgEzC}~uPjo;) z)n~1x*F(ddtpWm!AOEFkC%?C)uYGUpj_Z+`SZ(5WeWpHarPdqU)ZOW}=>9_`EkTF= zWl`qRIX&wl4~i^8&;9B~zUKk8sS$paxBXjv(A#=)i<`~(Z*@mZ4{nv-2#-Ig&b@E^ zRt@C9_bGJ;GBqC7O0Ivaw(_r^Qctn|(r>+HY9!CD*H5*U+z43w4M)~jYI;|g#oPRi z+TDaInyZeeclM%#9Pd`H%GV=n{?viYU#pK;)i#BN7hrkB__dn;K9s&1YO1GESbyV> zTWr221V6iuw4S~ZL>+0pdm}961c&0}NKC~Yl*zx-Mx!4sv(}_HWo$gE-g;c!kxE|= zqkj*h1H(J`J;z#S+2{qw)#l^sLlWx|6M7|`?hF^@n2)MEe}k6g(JZA+sbr9v(%7Nm zI6}oa>RRIwH5nJTgH2hbnVtNPK38{Vp6*v$rH3WA$_c;gtB$lDw?%7xBj~p9X36ix z9lRdD5x)Z*zs)Dr(@vCRO1ca{fFhF{t2uVoR_j*&V$ zd)ISKPj;a0RQ0`wp#9dXUB}d1jpCdqXdGVdw)2(ri|JP0#f)Ons*dSBpN6;Hr<$$5 z6Gm?Xqia%|(z=eQX~c^z?lm6l-FQ&D_t4F;y!UPo{YSE0h|(kDJrFwocr}?)`>*bx zUYO#oiA2-#ay40+^t8z))Zsl{0<1R;3b)4T}0@M)gH zt!zno4LzfvU?x7cd_sV0T}@2`)Y`xPX0C3-vmf)C2*rf^e;Hu%nR|n*8?P|)f~jBe%VwTN{~HtlyAE2B&mF=t zp*U-9p=NT{Oyu#0v#sZ!ytavjvx;)&r6I{ZPL|QNnI#P+Y9tyatgJ>dhSyeFwtDTd zvIax>?6kaDS;*)9LsjEeBR|A%n^-ijCG25P_fhyIsu9Ke7lHXWW)k~TUkvVH%v+)zYR}!Q~JhBT=?ARWw4S*a##M}2g^mo z2Vn)c;iFwVe}8v=TYcFQB=Ugn$oP5k+3`ygZ{EX8E%D;BLq)`srO7Comyg5}2N`6f zeE5GIDH*ijR7oOt7P2EHQ$P`n)CgqZO8n*?Uf8$*zFp|7W`b5tE^9#IKu1p*S|kf{ za+aBuoi;0PCXxs5zq^NbXg8Ix|MU6$?pyHvWTD_i8uL~Nf-ye+j2x%73PmoJ6^qGa zS-(P%jZdr)#AENBdRMjxdq2$+;ee-k!T7?u=h*7PwRM7hb;7)Cb}7Pz2Vi+S5FO`@ zpE>|5UJopN_zMCfE8V)Q2ZZ~t9&lOrD_eR0Q(dm#EMsf$FI0#GJUs1NmoU8Qo+u?C zIYp~2(NrLr7`16~^V1C#)k{W~HI!>A@SXq{&Hmq&qSk4nwpv|#q@**bY+u2cPeimgGUk&J?Jr}?QjssUd;b?pMYTNd3x#>p&rUyAR5J72%tvQU z*q>P;Iz~lC{E!#>1KN7#|M$Pv(c?qcJ-%GD|MBH+H{ap+L`Uo|@Nu_|7WF%+fp3xnyuv+|lyxDpe?@V`Bd6iGG z@ad_?I#M?bd_!m8Z`0j1@hg~e`uK^)esljfN4meUez84$N{csr@3`ed6S5}1GjV+L z!D9NiV=XsLu~ysuqzv~jib0+l@BPDXxo2#a%JXad2FlQKt^O}V~HKe%R`D+Il9=XH#c{tCObDT3n@0^AE1FJwiBI@RQ&+{Cu2s8)U2^z-X>H zIKJ`V__YVeKYy_JMat%qL&u*i4^X=ZC+_Su94vM>rkxz$$Vl+=E^!&vh>vxNPl7)f zg17GxkD>1G|9+1+DLm*z$iRj-`ZjLsd%CYzsV|YJI%dfA~?#}^Xao^8vXP5eY8toqjX9I)s#-} zI@9PnMIEw}F8!fZKQUeyXA;m>+;>78=D77={{pIasL#kWt0mr#fB+>_#n_<6(b z#>tF&($3zRVPo45y|u%}*MBh4#vGx=u~%( zE$2|0CDWM5Tu)^ByK~g~L!+&NqXkUA>3%ypWt_@voW^{W$XFst+2#I2qitG%U!TfY z-Qh2K)V-E-jY3*=ujM;aYI3m&#Z6@-0%&&lGE}quZ(|~}(>#?qB(a?Ru4@_tu)Egn zN^=1-%PKVEKHGnbfxH&+Zgk2zV*~En@Oq!Jn69|cA~=+4O*7vfR$Fte*0H+_7%LyD z??}Fu$Q(M@^4d-#?lZpLXTCmcOk&JJ#yEyCyD-MF_>FJGD)%bJlxnPG=rw=hH~%FZ zt(kks%`!9Rktt6+@q{so*-<`-2CS2MJO=2rKf7ufTjbiQmoKl)?V$#Y+CFm)j`$YD zGm23HH*ILZlmV9RylrbGv$cxppupX-K{cDJNdjGf|64`+v5YpM-IYx9rhMz4#%YXM z+h0UHw6B3vwef*L|YMK+5%V^!9DxG}Uq-hDtAr5N)ru;*5tX}biyr*zgf%J^!&X2KblVri%$+)-A%c1rm1nJ$;rjrvvamj&Y?g4`R>}uch_cH zt{Ztn8~8)?g)5fxoz(l;?P#c%H}qOIc)*v}xATVD(do<9-%0W3fUN7}FyZ!_Vbf4k zu1TOgY;&vncDUvDi@BCtO*tL1?wr-l!<%yYx8y4I)?1_%KhN{W;!d;;4Q*pFb$E2A zwh5T^($t;J2VJedthah_0goqhSn@kz#UyFrnXI5{!JxgMKi)f(Rnr)VI!?9S9zNV` z{f)l#X9vGK)#wB2o@x}3zuUZ+gD%$JOq9`;G2a+A`ZH#|ZP*yV5CuGIOX^hh-X3lj z3_%yUTBBgdw9@E1)XD4Z7;XA53hw=1bh^B*b z-W=}wg~-q{K)-x`_r>Anp4%X>X2~5O`-^O=tJyaE#bld=^tKN`T{gDzt`4f_@^D+^ z5X2znpN5TL?10TQ3x?FTT$?S=tR6Dn8aBra87~f-qlUVohe#JmO6bGf(q|KQ@;f3R zs)UY!9>Co?F-xgGcco=_F{?=yPeMs|4hVRQ_4i_0a?!HLn!+&$!y3YtG#~V{{?^kv z43(N8!u-`_h0!tdhQ$0KP%xg+onggqN-f^1WsfBBmU*?U2=axkK8W)0EwByfF;llZ_y&b5|_inIngc@r-%YkWs_X3xCS{ z@It3gukTR%sbMl0c99XSiyCS_F??7LVV(KXaPqa$44D60!`riggzb}o0wsYPM>7sV zSmuzftzwv7);#*TpRQ|}#!R*M^*9FRDk$t}uz^XJ`yV?f>Imx2kpx&oPp9k}w#gdZ zz%DW?amkB(-NZGV8?Da&$N@WotZ<16&CizxlDjamjn{{PnGOo<$K_t1!M3Zzy#a%5SB87CQQM7Sq8;(f;bzGN5Z8ujOflGs z9&{=hX3h?)3u&H2o{2@={d!+q0VAPyY{)03Ek_SiYtZVkJD(|6R?sar%QWC-5U9I$ zxgSc1<+*ux<0xXDpnBqf6>5Sh1tP58T?u_)QLXNWC3j3&$;I$^deVG`xTANpHvs*%`3$kEG->THpI@1H zorvi3XY?1BEFS}QOAl$;tLszS+UMiKA+dtB_Jj{XKajc}7_pw;A_*{|oj`=mjRo8` z%_@e%ZD??tWKao4iP%%Y(4n&}u4-@K*&3N#Y^H}KfoDY)*9kCiK8+%C=+Fc*ZoPsb zcHrQfh~`_)A|F#0+X>YR!Kkm6Fry(cHDsMm)a~q{v(_AX{$)!VdGg+o zBOb-a!IgEtizV z#$0CO6b9rEVwMjE`wm(Q==h(F3Cz|&W@`{*x=-d^^A*c9QXyclDZ4jtkQ>}bY1`$a z35-^BG243M;^fBvK@FG>qqKB;(4aYpX_pV01DST;!Cv3N2TiQO4#)xKeS?#z&dmk1$#x;md=7f(G4<$%OWt?{XZ3$?zuDSPc?du7v*XcbAXZT0R0waO+QOj8DZ;*5nKtt^fP6^vp!c)dOY zn^G_LxBfm=tdC+YUrvT4eFBL7w0xk2Z@qZ9c}p&3{on2=rbjlQW{iQ1Ih9Gi7D#@z zcpu_fJj`j#pznZ{pZDC=9@hbzD|kend6ugkZ`=Jp02*VN9?^h0)h473*DMtTnXesL z8jGw&1(*Wncf~S?txrJ*Q^aYEbwQ8kKvQ;)cp$IgDrw#b&0oD{iG^Bc`L;;tcZ$g5 z8f{%jzkT(v?GUZKX7L8p+q2ue2DVRb^B!o40|)skf&Xq!YyBZFSR=V+IZ4_<7flRKeVGeQ{Y3+9qJb$>==oP$Qs^nT_`F!F|NW{Z8qh#-e}5;h$7i5D zjOm@kwD}Ccif&VGpVE{E=+so%)TU|0gf$@b4xlMMB(@1nQ)st7P@E~SmVp3|q%tjD z9f-bg)e>=iH29U;9UVOKq=03}dfWDT_mrmWLsWnF6sybFS4lcA7pMLt4&&AEam?qT zp?YC0D5rRZJ^2!mnT5RSxXepkX<1zz64pbxvVqU00_V<{qe&|*t;?<`t1Q*bMv5m1 ze6@kVXH{1~pjukC3i&?;St5u*2{cnTyQVUKWmS9vNo1{tZYr0ot*)u7DycNom6ep@ z8=r>?^-pu@B=IwRw$oSH9MiM*9Mf}Lj!CTNw`uZni)I=M@{zKUPq1gt$jaKF$(nA6 z$Q+ZTjgLWI24|)0Y$SOep3;k)rJ@%&RB>zgF^1}j#g&G|E0-=UtHaF}uVA+(t}tgU z?y`7Ic3a0irZi*5;*~u0MShG$V9HA_hUo!eVdoTj_BD%`(+&yI4(UKKTaZHvyto3p z+JIjM?jv}F2&(_EWEy~8_t zg$y)5EV5P-_6>o;8^Jq^W_EN%6N?S{50RsI zy)}9Rw7w4oR@D|ammQrh06%?g*eU_WZi7SD!*an(F5aR(OPmQ3)SlPd=zd!c{j059 zP1zz_qDf!!foqc(h$D^ZOpjvVS}J3#VvHG#7$QrVDKE~HpZDJz=1N9f1>NTzzd<6+ zYxH#+*e8Bos|~oaoS}cdLCb8l=PWnP8O#*h{~!-Qe|lS;YCQ+$a(jN$ldYk{@e(SC6(=HYeF8IL3#c5enZRZXYYDiW8eyhuN09g6Zrn&J%cV2uJ zGfkh#SikJIx<6rcE-k8palv~R3oAO(D7Ym|`q3e9$r~rt}yM2}jFP;-~ z;Vs|_EPYjZIXe@{Res0UzEi#Y8?WIF4=)4VI~<>IYUO= zZ9oazK#&y5Cz+vw-S1ox&mc3?b%3~(QH+vU=Sd70=w~aL_CRKRF3%?DzihqS8^{d8 zdgfvkfX)7;n4WpFH-u@E5A=o+I}SAgd<~$q>?ZsTn$;e{w75Vkr~^gUi%q?WB^0EG!mzxRvf3N5$=I6l4Y*2u0VPJOzV3$EVIO*voY!m_d{ zXE*TQCK*@{28~FW`lYZLblpDeQ`lk8-;}rBrs}u;>KJpPxj_3g>sof?Pai0ZAS3Vn z@W>zihv6n;O=v2F^-XD>#K5TQ*cP4t#!PhKOz*0ehQS1>7xQPgj#Uzyw03^5GFY>28#c2z@f~XUC;XCbM-GL(l$0l#|T$H=Q9`tQ;7g$+Rg4`Y*3nQ`RfyB8IHv zPy>{f_^M~`!0$wdqdAGmZRGsSn_x{^Tz8g7uTK``(7wL{pUy|vY43_^4V@#05p53{ z0Mpp+PBg#bg2jVm>zdd+dM@j6q#jC5w$3rxU=+8inXNG-^aA$bZ-^GbI6=5$gve1g zX!K=rAkZ_)z&{7G<;s*pED362_dK9|hqPz^uo_YrkVQ*vKFGJ&LP<&sdW|%{)|X4i zgJVLH=pEvZ_YZ4@K-KMGe9YkGt3Pl)Z^wC(MI8@`Y9;;V4;C5Zu=^oNVttNK0%-$* zsSuVwh*eLy*=UzL7AY(h5E(&M+!bQ>{>zQGi|N!m@C->)EtvSm+XPKI2Rn_1L~5JW zWkViGz(-r%F0;5VD~osM0S-OnX7b3SIc1eV>ZlhDkz5U=Vuqkw$io9=76D1vLXP() zWY`HyKZBQMDzyAayscELt0_OuGzGE&Fee}zW`l&g z+P2f5&8eQXT4CY~nJyQGD9ag=eN>BTSJFB5hP7n!flao@Z=Xb zcyc`l(j%hYUBjOAP@_xlTf?5ALlCFZL*==2FlaPnYh;9)d z(zf^lXG)VN?b!!&TCAVVSnKj~@0#KJi9G3DQ={=QhOWPBS+M&t=BwF^ZFZ+CBu(1q zGyae=af7@`dnp4)c$%Tida)n!X4~Ld@_X}!$CysnjgK>sW^2k0E@f;V^p@Hab3U~B zu0>3!7j-=jl?S!kig(Xu`sqD)E&AUG{3blwe4!uG$p!fS7@Z~@c@)#n+_jt_^c>wi zhv|m|4Yc`;H2D~wolUwQG?5e&RxXitzmj&g-SZfmOSARz?zybre!Aa!7eH1wcy2>O zdUyooDTZN$!OVkAh8fKI9C*qB;g&aLmp4tebU{{)CC6HyLAlI?zf&-?gT`DZhf(N1^AsiZ7xs^s<*X%^>}#5%0E-) z_F1DZOts#+41W&OEC2ML+t)U?4u$<_W=hqxmwFuZzv(<#^$1O)`NwG;on|xh}W{WtBNsKw${$~)pI?zUMPU99e9=I z8t|?A&9(41Jo4$=cP%tLH5EWXQ$GFppB<=^-<`i{O273Fhy@D3k5m?Kl`vbS+|sxM zb`xNp(lAeha%sra!}%}~q{2KUOruK=%vC7^b5+7LTfZ#^6%^np?|MZkb`8hTLpDjH z2x`QI4%u9K1VeeHc-^~RGYYQXv$}V@u_m*FS(8b>5V8RNBkor3o>+GWFst#@dIkk7D1)deX0yE>TNZ!+dLaP$tZnk3I3r`@^! z+y38*ej9)8(X(x5-@B&$ZRq;^o3n3ycuVy^(f^LzR{hrZr{&N0e@p+3LWqYxixRi0 z7LM9~bBC9=YwNBmKR%kg9*^(#%I3Yq$0gmNxh@;{F(}K3Pjq|5eM)_?x$m|{b&U;>Wad4u$R{#2l-ev#hSJhNER8*B!ZnAeQ+v)(7J3!)g zJH`y17I|*t9uB%>BiS3=Z(WiuS(lNhO$6pTMxgh5(@x}ynRO*gmK#YI^R!x|>~z43 z%9b?L)H#@zzs2E8Ois*5(k3M(B_{#bZ$IEVa68*DV`W`kS#<-8bd8;EzjtujQK^D@ z7tcK^)lxg~i$|q-UM2@MqHGku6Q4gSjiYv9$=6c#zAo=sRn&1jJ5Um*tT77uO!th! zzU`jIEq&&nB5kq8`;4h(-Rpsp{jUc~m%hh~*^M8CW|SG1eJzdAcX5=C%|IS|xSud6 zJ{EpV#bU@ZHMH8P^6use$7#nUjY~$J?{SYrcpiK0MHxu^9|xJB5sLR&9%q(S)h4Y< zdfAR>%s!6u^0Fn%i&j=)uZyy%fc+eXWNmU1@;vZBOnyDM;G#^S{J>tGoSc!OO-V{g zPRU5qqJ$6evlnGr@kb9D1oh$%F3JLfKIR&vC8Z^&f#mxh)CfF?Z(fuIXg=X;q-)cY z(v#COGPD^<8Nk=49GGad{4?%%Mn8p%F3AFT zNBH>2e?pb~QAhdM^Zn3pchT1z&Lk^NzamQ%9RoaLkApo})a@0Dw_TC>Kp572MK*5w zNv@*w8$Q>?M*F}@zvTdlPQWP+kmLZ!2iT#{&d`A+VP|>DSR@&I=dz4PvX8_%=zz?W zaY*u={W*a=!vVkV?aztiIUPxd?9W=QPODAHMAFj^1S}v6NzXViuz+kN{lS5P0Mf=H z=~*X^1g&11HV#S8Igunl73k(iClUh4K+^M0Bm@BcUT`8w1OW8=lM@F4K))BAH~^5Y zL()r590ZVvyf5?H^o09^Lai=62}yr;q5$^N#~|qyCkg@p(*Nf~K>$GcRXc#lLzkX{ zq}QA{2p|nfuRC!N0PuFhi30%obYKoPQn<0yCO{Qn?xqt70RVHqIFS$lFn7y|1OOR; z^|lj7A^-r#uTC5U062bg;vfLP@jCznWbog)DpTme_-b{TAeB2@JrGJlCb0a66OR@E z!17%u9s&TC|Fi=@ssI3%@7V!FC<&QB+^`b|0RYF069)kRwf7y02t)t`$VGw&LP^L3 zaw$6i%C!kuFgiRt03HDVr1I^6L>2&~3Y<6qlm(;;oj3>pNOf`G&;$DkSwO3+6A1xi zAa4-|0C^;40i|wE6j}fPq3#Y8zzJWo4-$Rja35lO{P90UNG=Oxf{ z+`?!A1eo)7A|U`^PU1w8003Z4>O?{Sz?_dAK;)5_4a~`$I0yiE^L65Y(awf(kUMb* z2xP6mcdbCaPoQ}1k=#y-I}7_3#h!&#yiJjVbA@vb4$g( zJim`Hb)m>tiR8WiP%W$vk55&EQU~$ERE2`~3583RxybbLPdOGJ7RzVAtMqe1>P(ge zII5X|yuYAe`)L($G_$I94ibI|mBjx?u^Uz45lfdMVLw3J4^eP-vZ5N!U>_!BaAfka zLeid`n)LWDN0~;4#9t9nJ_hH@qee#|k0Ve(&W|S`;ZeXM`kHEMSY3idR`@Pig*=Wy zIh+tD<;S7i`vj%YaVIoM&+gw)nnbo!(YI7vMK$b|og%{U(|Ziq5ho(yAVK^cAY28A zzbA-+q9KmRa4iy^hH~FCBL)a`Y+6|r^85jCuxg-%wc{bFz}z}FQYx`P-;aPse4dkw zo)gRk0J;A}X_8nPeJ}o1FwY~8OV9^7vpNRJE)$Fnx#@`9#6R2hj+NCFJ4;DO_&>tZ zRlBUN5!T@l`E|R@ZcuG{R%lSsMku&RX~tld`(Nzb-*R#du4(&>R z2e@6iVPtj4_s$5Rk(2W!4M_M0^yn?Q%XMJa895wPoDNziy*CmJLh~NxdUxm+1C4#| zkLaG&Kp!;X6fxH`1rTzCpPbpBQC3}21@nmy*C4c;Ys+g99RF^sEiXadLhkIp9X(I( zg3rCHl!b|0p}Z2bK}5cxz8jCTIquwn;&2cAb%r8L?`c1qn}YnrJl0r@I3l0XunMWX zpavXY)}%D$*BF*SKvK4c<$d7Lu|hV2l_GL# zI=}2G;Qg7zN$b^1SB36=P%j}4yA*DZ5`-j0320%^!Kpx)nwT~j! zGlvq%3I!Da+A+AhWu_cL;6g_Q z9rBsM(~JdMTH>U}qXVq!vw0AC>Ybl?XP%_mcCH4-f#-zCU*p}pq3v?fv~udEscEaKtD zK_5RKQL%kqmT(FYx)_hoQP7!7fKJd}vUm{-z+M{gD|;|xtQvC~?6>Tn2`wjt`sG$Q z=zOdx4QA~U$VO;1NdANaoNI_UC|LRe%xL7d+yQ*7DZ8$w3hoM()PcdPgozbUwK-Se z?^pc~bj8>5@TTpaGMtgCQ1VvraGyn?49cFBpMm6c|4`qz0dLAx$Z}SK`u_E%m*`1O z4)UiST!w&KQUuvcNWKc_`>VC_TfOdTu44byTt8)3T-%5lK`xKg)PfC5LmsaaGQf!G zkm%o>z?JP-d&kdj;x^8Hva6Zm4ZzPCpv76MDjEu^vkJ12r~{^7^LVU=2KEto8XCZeDE}Qt!%w*56dsYJT~k{^a*KwFdIRavFbVm-h^JFZ*|?YNJZu=jgO}0CLoTHB zHa=kBWsZT^7W{8Y856q+Xkl+aIo0G9fkRDNIr>~)1tw7NYuH99Ra7zd<|zY5m5|$u z+|91+f*G2;f`URMT5LarwuH+mX-jb-4@9vPH}aGU-ZDOxd_2=Hs~j#)LaYaoS$08f zS@pcMxkz5|FgoJmAlVaqf|}f?(xj}1Na}ecT5iW&iEjgDeYF!+VMT43reI|QlGPAY z^@ayckrEE<{gc-e1ZVeHczUjZH8xORqDd};MmX<#+V2W`L_!PVt|cQ$l(Ue#wj1p%lEpZS99KJKu}})iJfbWA_(^;i z^M@8BcJ)9a4M(PGG%%0}<28c~(;ci3mklPhUjmj2l?u6z>vsfZza&0c9(@D+#t?CG zap!Ds^1!7h{(|2)J{#ti%aZ@rR+;k8qvrhxz*(;@53YM6<)b48iVaih%QE1SuWUSE zw7U^@k@`*KdXvj0{jImyH0$LL~NaG+TSP;74TVSO;kv} zOOS9nDBsu_9R2v%M@m0DNu*RNWRO1wXVt-Y99W#t8!Gzo_$xSJP9WPcx!MKXIj+pG;u&u?$7wm8#k8M!RzWThuCl}z6 zPsc;#LHD9J`4A7lcPAlq6;i;C6)_KFF50C6gBtKQ$cng~EEGTGt`tk(fg9@&Rwxhx zHfZJkvwU~LrUSS9k9{els+7!D`7S3c=_L}&5}<6i6PWl?IjcJgvlFCS2d=}6{IqGX zSJB1CMyb+|M)Ez5@>ifghYJCFROIajG2QqP52c#yNO5l(Ktcb(H6F@9{rgUFdF*o* zN)9|E3MA^`F5P>40N;z37{bRQ`ooc2gNBe5g=iVOYRyAFz2u5Ko78f=aNuM6aQE4f z9fa>_f(@)K>@(!=??H9Uhke0he$T|ICNv zBI}vK%Q#?1=5K9a4~8TwJz(p_zR>#IaI8Fb+(-s{EUcFc6RId{reW$6vuCZwu;XnbJ1WQ3Lx@%+IJ7TG9yP!Wd4 z=g)_#&VjmO*coA2BX1fJF(4`u!`*jik{?A}ya$E=rbJeLCKB;DKh&2ma7_Iq$JF;1 z;002p(og6t8ZonjT<`{|GBDBAQ8;p1i#VimH-Xa@Ip!Y!S>$yzyiorA80C6b}g&HYr%a!rp{kuz|jR@6bNsMX*0XWbWhl z5Ec-eLdm(q55h-c;za%e&g=#_>U%i6Wo4iMzqxRN+(Q%u4mU{IFIa#Re3ZWKA{FO7 z`_p)WOsUKZb+l!hB2gGegDBiid4!#ENHIlnL^v0pD6V@^H1{2-#&61$0n!)&yH<%} zIj|}YxA-c9@_ge34?NfGjLMq&GVtS|E;s@5(Id<1%F0M4+)XR6FI@@tfJDcQSzIDc z@>RwrjDbdM(lOVd8#5Nk#yXxsTiNN0gySIa5hdFRNg;#;>mLD_?9q`O!h|kD^6^}J z<5UNtd%_7&*=HhDw&&|Oys}9TbiqEq0#g6doUHe3j!2x&iI{!Aj$1~)gR4xAD`6IN z5tjWxE1=(IhoTYWv-06@#$?a%Ft{6cP%_r#?X9>|K;+7v3~Z zcmeqWe8yAh7cMDyc;uypL`+LKi%pykzvHKJz=u;G}C z3_q?=dOSXdNcL|YwP-FGX=pRgPR?T-IbM&mG_w)t^C);e`;?o#kre=WXVHTgU9}K@ zqEH40F9Jc32m`Eb2{#N`5SY)*B96pj{HH?cuP+tYjVS9c!<#q2Q$W%(XY6T@pO*qA z;=v>%k8-<66Op3AKDPGWIafR2iT|(RRaQRG4mP=yQH9_5e5Q~eS0%tJT$M81<)`!; zT|;aeu?;LqBi_X1TPt8+;4_j``HKCw{gld%q|Mwc6k)s6ws2AlY-8n=o%@iS3Q3|$ z@j6aDpp*w~9T|1EJ2{7_Td@tVfExZCoMjNbX~*5k;SPTbzi~hr7WuZlO;kMO+PB*! z1krbC}-yz^~?X^8hfv3qnERfsZh246TfhoI*$jCMY^6qjVONk<@_8w=6d$$0b z9O4>^-V?Bkq5s|ySoQyLb}ZV*We9xt!}x%+IJ0I}ZEYEB|9~A49)LOI-b0caYzE^4 zJ4+vOEct!JimR}$qI%?xtFjkH?jLq7ygs(W({qLJ9v9!fb$5D{@bQOF0DJ=B6SV(M zPq1evg|KkGiWcmTmMXA%zG@;lm-Ak<+}n9B2R}7mwLwO?peLdgZtzAM_p0t_om&G! zSh0X@C{e6?WPu7kA@HH$6AGU&_=LkJ0zQ%O83msx_(a1;4WAhJ#KI>IKJoC;z-RRS zM;2%&#(1%NQF_?oDyu+B1AcCu)^Ex4u*Ic(fozr%6`WbOd`?|OLs=brq0f5_w;dLd zuyiSz)lC$;s(7rm@BG0o<2qfdq1g(qVOc6_7iyhi{HMD+SDY;&k8g>D#05hX=#NVXe?5+IzhRab2pM6bjir~ zRTf&1osmCk=1T;a2>Z9MA-JfxnUrj1L6FAAh>H}q;5hd%xOazlpU{rezs^BD{td5z z%A7TA+C1dB6@Eg15dm*2le8nwwc1GTIO-5w3}>Hp_GjNW;5oON+aX%d)sc4q401{w z(9y6HfYlk*4fbcBx7dc$JWJ}AZy@awkm7Be5Ga%TZHJQdyn?0mIq4M*_03$N{2g4o zmgg&d7ifcnAEF%`+0iDPdRiOiQdwGuLU-azC$;q4U5NDoN6-LsTCp3kt`D3!n+9*1 zD_LAw7Pkt?%#OMa9+0x@Zxv$`psHdIUi6JtPFe8EZ?vIvySe_o-$NrXqQJfO$K1Tk z8S6EfX$JCxJ?Q55AA8Yc7*8meT*!j`-?xL|s_9Drf9G4Ri=MsJN>0ZG?sJrawzBx< z!Vj+Den(d`rjv$-+!@okf&=z~8EMnl0{CVN0(%}5%w>^5$y~1J1A9?^M&8u*#RMJs z#g%<%FPllqND=wL6@6qc%5W5sA6!wdy{ITFFM(}EesDz}<2Q%2zRB!^>>zT8h!qP& zO43h=D%wSt2WfKgrvNC-F@RgHMe5Jk5uZ>&hFtVHQHMe#{StBV+(;S%4)6ctZ~&BG z@anf?NY4foQHKbMQ7MPH#y(#ma?cm!{ffP{ zg+x{kh3q(T42+YD6LuVAz*r5ud+xI z0ST<9*X&qsAcv|svDi2)ES%7yxQRQ?!rc3XYhS&jayb&+vbX;gIrP!l{x_~Y3uoK^ z&b7b8wQpeC|6yRW2x?p81jPqGg;F%rD2b*ag$53Oq4xL5#cT2@V{$9J?*j#Zr}c zQ`~|OstR|*_sA;7buEafzRHW zKZ>fuKP=M4_|+qg?iGl~UaTu?SXoyMM@ve}P;>*Pi*-?fE4e;>o+Oq4UQ?P;kUw)h z@wUc1h4r`RC&6Ar z7VBaJYe|8ocYYWzv>A8TC(H0xqZfGc{9nXxEY>MqUP3XNgw1$k@BC=jC?h=RHe=gw z^J$)DBlcRNi>F%f_$9jFh)sZ|uA!otyulBNUf~E4x57X=-&yb~es+n@FZwkn3{*(E z8J=K?1;LP)89Z&X8dTvMidaox0(59^ zF;p8%&`gtY)#4t|Fk=pEY2VcZXwl^Pj%q!A>>D{{D|F=DoIp*<3f;Q_N&&k*v@EGC zT?emWggCYWUQVGw0YXgu8tm`mLiBHyp{lZC)f%L7CED^s*&=^ogoyk+dpkJ9SLg<> zrW3g%Za2aM9%4Q5);e8`Sj;JJT4Ej=?S-FNx=7>YjoL~|AyF2gO+xV!>|L*m%axL* zCCJOiSsmOWvCLUhi+p^!A|L~1STz(&6wp6$$vM-3Li`9lvC9?(xWij+*yuVm0B@_; z(Nqxbs|QsMCMcf9k|CAfI}JKsKt;{UdIP-Uqo%H2gJM-=)32sVgHUqY?eqeila6fcx8_QM!_@tj@|?~bPy zk6^!T0+i)^8gtRTO7BHkJJ{Ql= zSr|u6#V_V8jG*%Hw&jb$sC@j%@(#oV?d%G^OXxG^C{$p`bck{hTgvT0PfpSxx=wdZIm& zhKB_rvadRUPofwD7gHKC%bu)QQf8P|QeCpF40QP^&a}m?!rwjzi{WZKFl}L^Obkno zeJYGWW1dA2`r^W$RHMVaV6h=7?6jmj67A{{7wtAe$0Qe&fC8S!8}vGV*c~ics|t~> zA*u`RR1^0dw-#?&tBMH@Tn7zE8cNp$I#9i&oV>Fc&O}tyBXu+GTd^q0W%PQop5hxT z7O8o$FX5Gqx){ocI~sLK6E;F)P&qik`Jgd7iIM1KG6`ytw1u6L@R}WNb|cXy#2(8C zZ^crBE-d_2fA>K2A_z^%j#cDl{PHDL1ch-+Gkk5q9R^)6EJYt1bP@ir6tRW? zqEhx7LQ;~1Np8n~8g%2_ws9y_Z{Q!MFAQ=Qb#Tw>H*v}Hx@cHtHZ?3#!!q+>!=h1e z)~aN^Dv_so7fW7%wR8ub_<}AR4p-e+58dv<>t2ABemCxV0o28ekGufAcX2|O?0MK6 zL|Cx<8fe;$HEVQH()aAN?d58R{|Dc^q6!=J{=q~i28`wh zxMYJWIw;^n$LNlbcXdsJ2F3MaS(7f-_v62*XhI*J(WKKt{PY*q9{nk%uc|cEXSjfT zeU6{IstVM9$(bUsykpjYlQq$h#KuTuloywjg2h0hLtsqnE0GAoA|h+ye_@Kqz9QQA zG`t+Tp29 zJQ)jO>>UL#+rlp3(apM0IfOoBPtxNe3=26wrbEG(5k5@Ofz;2~(5wp%ggA$LCq3j^ zNQ}d7szoHGA=Opf0~L7J5l-dNfqJD4X%e9ySRou8v4N5IO>UaQ5hX43Ec^w8ExyI+ zTX>Wq3JGrm#Qj%fKPT@EF%2;b_WGW`L!Y&PG!assckJ4egxv4KPcZp0Nc1NwH315_ zhj2HKhDkEa$uXV5TVK?rQTOqg7j>~dh|8`msVZ%ND~c$QqHy4PU4k0~F+>Xz_}H*V zHQGla;OgZh>c%C3XAmO92luE{QkRin9SRfS8+*W-yJ4wC6(w@Q578b*oY&3a&m& zhynR4@d_dB=cWswSjek*`XAK_ymo`mHzSa%xvZw96i%511XHXD15^GNi{z!ExH=oz z4dW2*-boxy#Tf9O_f%2tqEHT$9EQD&x^ceY5YMp-1`8ZxM&S?_I$R#b zN#*HUm{yQ!Bb%tW(;T1{zj+D0&7@V@_zx&$f_ z>-Vb0#3cbAV9J5|`qkA)Jl1~yAtDLx3XF3~iIl~q;In&GvD72@hASOQjmLo^dK9=B zi~gh11Wu&v&Jvt+6i*s)i9(%%EH?BRS8r!*0(?)lY=+C zuNq6`;$3cZ0=N*T-RMMVp1t$xQg(b*`8d>_4)!V-(UGbU=K;)Zx?Ku0@a|2zu!15x zOVy?1n!=jZW%bB+773dR40#1}vSyGISrgza`D_Q7B~fe0{eikAkWZh4yylReA$Oku zZ#75sJbYuHN+tGqjAW4EoI*bA)Zm;~z_nU{6ZWeTq`q(wwGOF@@vc{N z!7e52g(sXgDM_J6UW+-rpi1e<$h#Dthy%q5URVZc=D?*CT()t#TDkSJ;lg$)yNmC& zY-Ho$3ZiZ>C>x293FrRdjNJU$g=sT$kmw1}0%GHqbN91is;IK+Qk>bNilnOXG%-Co zs|JvP?Ey-%Gm-ZSPHR9|ONgniBRTL@NZde_K0EVOvZnZ~Ii2 zBsJoe4^)Yi0l(`-$578>@oPFia6+zl(eV)GD!pkHwHA-_rjw|3xYC;rqh7=>!&l^b zz&%2HUjmX7b&0RCQ*8q`d!`t%bh9p(+K8urq>@9lyJ9n}$(!)Tk5mDI=vJ5`Eu(@X zsaNsPW?f*wYd|>rf9e$<Y zBhnF3h4kY#Us@G&h$BF9_~BwH+=(NkuB@*E6$Tb`NANjcIw2VD!Lic_mOteEHCJf~ zvvGn8 zyNS7)JaETX= zhQkbiv4z)XLPrzepA6~qryu1BdH9|`oyc?H;e-G>f^x-OUjlU^{MDB#tsC4FBWk2^ z$L0V!-rIwmpQ5bwKYm*` z2^RB&|El6b;OZ4|n>noo!HD7Fl_ME~l9TZDh4eUT3^r`n#ZhDNx&NwY$Rm8cT^A9X zVn6&3`QtTQb2e2B+jHJ<1B*!2J{1BV#nZn6do}@|Swx59m%mcYgGK%EVmfLf+^Hg+ z*(-{(csYqlNhz-qH&+ICs{W#)UXwXRU@M`~xfuRE6b&^6-zWy#ITcsEt4pM&;Wyva zr9k4Kv4oEEfjd-Wf`VbHtZ#s|XY_RZaS61Ufv=T-^)14Cj;dlJXWB<>mSe;S53{-M zVQnK8F>DS#vO^cDm`g5qty)`6?hb;|KuE1Y3G=XNF|CDs!gq`5*ig7NMa~XM7Vv7S zD=SEPX9Fw$g`E5+EW!p8xSPeeaktJNpEBvZAg^%Iq>BrOyHcDv(dy8IQtt8tn>v{R zuZx|WzH({WTpYU-f`~HQVg(Da6h945e&y^xsI(S3f)MJ-lFF4p)3^#AF8)C$$47R8 zQaypM?*xUY#HwAo7-bd58EnGV$C6#(%&gfUnxGn7yh|5I)pB%wbxbAUjj6-$?$RYG z>p||YSc2SBverPv&IW-gEA3r~c0>I0B#zw;zTqmo>9{Hk(h0zvhWZyy*rW5spY7I- z@_za~p9N9CXD|5s&Yio-;(Nb8 z_=KH1y_`AoJ>NN#`?k$y>L&GG;6^K{_H7%J3wvn6y`F-kRY-)r3k6rW)3J|a&4x4_j&TdxA5`k>RB>pHtuS)<0jo!zV4$VxBXXE>Dx(p*On@4J9&#e z>MlN!%PYAz-*vpTz8&{ku@*Sxr(HT16#-0u>~8LKFg@^7K%n=r@!M!z&cg};@O=i8mxxBXY90SDww zQuyr`OO_7FaR&{2(4&UkX-JK`WPK)<(}e7rLf{ii9>i$hOLsiz(L!;{i_7OZI4QNe z*R(zQcaIzvQ!>pDf9spT2ScCp+;&NX1|XCgVQtLYZ4K_}ZGsH*l>8 zyGq<5#Nq;`cO7t20~SJHJ3jF44eZeW(Lo{SXhD(^9uk4}y`z9cX3 zfV_r9eQ91aw>HlKUTw$JUF z+dR)#kFa;mbKp$7H;c4?f)fv5MO;&DYHRJ`C{S)3Ugu6B+?hePQ+~RK{Seg$D&+Sfg)% zs=uNVvo<>Lv|z>$|C+X$wW`Q7;%CG+x__by4~SHo4qT!|clTh*w9ad&?`g#D*wEgi zcZ^dS5QnN%R^!D({w|(=`#S|wH#*5&&C060yZDmV7c9dX!p$vRN3COLIF7~hxIEak+o|EEHMxbCH&{w=AZDaC1K`sjMK z(3DP}#A}%q{Dv35edu1_x5Mc>Y&b4_aXHr7doT;l2Av+b9j>(VMp<%<>nXW(N)Fh! z`!P=t1tn@*aU4GWoh|v`(}`L_|9Ee?RIQa4mg_$7jU&JQR_5x1r<1kM`t$p4+1d>J z$;r`*@#p3jX2nu>j+SA@1$a93E4J`qMM_k9=sqE|7cYcdSSUsBm*%$C^Z)8dA{HFi zlu~b@q+Bfp`_ADa#T9ugpg*V%)mjBBTL(bJDR~djx?GKUZ^sv$jPS)lsFflZa(g_>pGwxNfD3fz%aLY>PizT75q5*2o=!*0QMwJ&eJsKQ#$0k zUXLSLo;HBrX9Qpw`m7}SVJoz~H3*}mK}u%CVYCVWiJlqE5KwTQhi4eg2E;qer8)Wm z@#dDudj}~gvh5|B<^PF+ zW7;!BQ3Fp1BbDP5>h@@A*hvw?I1;I+=mC#rAO0^9%Fp<%EzMH+r(%HkCW1W!2Z!*_ zE{cJWPyp3@G3Cu}!TOi9FSwOOiTcui_rGiy7im(`SG1{ANi?0NJ4%(LFu>P* z$c$=$r1Ng6lF|JwtN>kgVn_tjBKRyHxncPNx;ZDhFz~$8UOyXr9OXNK_4g?tYxRlX zYX-1weke4Wg-Q?j9x1tekTQO_IO%${g?2J6(iH*JTOh5yrn#V>q^0ehdb-S?MY>+; zu#Wybr^H;60u-En#YmWbqr@^Lu_*X=slE+5F<(HE;}4@W(Hk4UN8Nz=siR!&ix=`2 zM=zkRFij@>NwsB4yeygM$}%NSmQ8eTnUXGp!1G?2;)w_lNBgF>x;b+iIyxj*e-qvR zy%I~>FeO^Hm}vAcMU?|h)H+N_h>IE^&hXBn^-%2fsqT~#2Li6@zA!5`-V&?>FOnrh zzyi)Nld}kHmxb%;!(mEloE3#}#4y}I4I%_;;Ebe~^R-+#+C<~al`J_HbuCw%a-50o zDOb|1@dDwo01M}umMxJs6=+GdFtiz}l|?biwTp~%9MB3YfGP3`<&$BR)8N)%RZ}b0 zbQ55qwvMJw?6t7d81r(gm6`}FK$OO*5(?nA%66uj3dT>Q#>6d{iD^=qKWrCmLkH8l zqm(GqEc$ws;xg4x;%Frs$LtAm@ z-9B1jICURKQk=R&sv)N4QFgWB2y7JNoYh2^Rx6n}X|JqSvSR_DbKonq*`yofL@^R; zfh5M>)Ji{9D}|;ua*a`JIB<^}qokV_(b6#(uXeg?j4~*(!)L50bgfxh*U{6`R^K$Y zsi7X*XD8iUrZLlEDj2I2hA#2z2+6&aR*XekyXgM0kTc8Z*jOdYw48qDfmcxOI3+zA z06S#MdL2b?*PpfSkhH64{x}rAg7%KX7TQgZj8l?v7XM(JQUqP%!E!Ac(0bN*WgD}5lh)f zwh4+YWD_6P3Z@JBvMn@ff-)4i{H6&?LFhKWsz~1LbZmmc@^**;uOr8s;ya)PP{{aLsEN(n?OCo1vwJ%0%gxlRC_0!l2oo}NJJ z&>Ij7W|?ZO3T*nq8)<(P7S3MUJ6cO}gx@5Z*4NmOeg1i*-Av0TDXF3R{o7J-3*9$K z$q)RSXiM^~^vxv2VZ9A2h=ZvIMAOo5C;Mb2t^5xE7KPm@7J6@cVH?o3SojTeOP0F@ z?i|8R16;S&L%sLX)sqz#3abDI6-49m@2A%%E8cV%1h{3{Z-GWUc+qI_HJkZ&%9sKv z_Yh5(0)^~hS~*3@hYEIZic(;Dl+NOh^PuRZjwLO1?F*#n$4$!{+F{E9sJ2NL?YdeE zLjXsFOP-`@mny}V!w!I5VtrFyoAGLq6!LU$&0*>edDg$}QHL>C(D%Bg@9O}|b9x?9 z$n$*(3#8N+=-W$`l++i6P>Ounw7h8{SdNRo z!5{PWpr0ZADerP62S$o> zW3}|??@=lJ=uuTvt{*+%E=v0mQc&}lexllOn%ndX{pWJ*Yrm3f1}5xpR5JrJ^>?Bf z*m?e-mu6t+yg;XCKs5eINi&rK88(VbXDZ3Xuu+J^OI1a_pZv4KRKev6;5r7zsFlabuDH)ml|93H= z76JT;Vx)pVnYw2wUi$zJDZDRDpo`wiy^r&Q8`m-sFpdF@qvgL@|c=au;9<2dDD-ReAI+ zr8Hfp2kVroH#&>kFhY3bYF>n1tqMlZ|DdF*J$$AMjA3q5b3=MZj~mzunmq?v zOG3VU9Y0~j!Z7pnrJ5Z|=7~!+)l{n&wJ|J;rb7BjDtY$MKCQs+BU8KAff{!wt%XX%M2*Y0LMD9Y&e^ zzQ>c!2ZcDi^(+Gb&_Z|rsMxYw zg+ZsjjSpc{n-m9VT3=htRn#X#fJJ02r0;)JGD8>PJ69-}tFS^!?Vy~WpnG@Hgr5L? zET-+0X z4W{6F_;!P$yMboZXc?xBw6;bo8@S15`;-bd(_1xK!9dtq#Bo~6*-BBh$a@;r}so-O7xeDf?7pSKJ*wRaM?kamh*vq0b4KZ8r3Yq3=`KDLFKDMW4za~Bk zf(I6;6%Oe7q!|}wZ-^c*c#{sTu;;_K$>F(LM%Xc)u2**FzD0T6_8d5_pV5u--=XpI z0PMX>Pj%a40cP!+hwc1*3SNnB{D535Vch?altxtXBigzWX20Wf|4Mr*xDxvsv7MhF zOAlx?Cuv}lmQ#F6)KJ&oqCZ8ixwUe=*ThktSMf7mMToM0`z8gVNcmsT9X&9aeMu*J zVC_E*Ryw*6x%Cm}%2myIT%2 z(=%()5y7;*1*<899%#|h;z$@xe;QB9W%&GgDdH6gIb=-}dm!0Z(hE_D|_+Nqf*ftjYBQt~r4 za@4e|r@n^UHJ~jNZSn_8dzk=hsPtZ3JJ?L7yKACl$5wja6gHx5bmP4>tRHCb$b-(l zq;bO99|#J{*a>7Bk_u)9D!s497QTyfb^XfGuBEp7YTWUq1lqsu8SXFKgVl8QW#o4q z{c&H77gp-(SFp|QrIoK}1*2~gP_H4DJp6zk25SMA3-vXNJ8-A|XjrjqCPt&mw7C~RVu7y}ZpcjXjf~vK39a7S>WO)OXJw&N*Xin2%TJ!~u zCC|~#UjQcj2OWGvv$=ib16P((Kcqjtgp%^n?ju@S;BgU{af0%WXz8|3(5F~6!6)^E6}p0JIVJG= z5&xpjBieA#+nze2#e&{;>MJFUx=XDw11w*OF-bm6iAOa@BRAa+dZV#pZpE-Z%qiSM-mn?m;8`P6M|t~cf#uI2Lm!U7CmS0;YLDDVR>E5K_G!GDNhhOEWgM1bjg zkJe6!zBs1kx=rFdYwSOm$Fev-Tg?0~l#@%012iiDuh!nrL=(;^HV)P=Is+{;koLU= zb!q?|ehZeQAUgS$mRkU1o+ph5GB2uv7kY4#Z0^x|1O+i7%!Jx^G<5pLoJmfriKcv` zrQhH9c&-zsV04x{8`@t5JM&F*g%4~VpSw+ReD8VEUK zu=S*50QUd>R#6KxcoGakruZA2e&J92o?lF|V5e&9*G;gClFMT{xHq6nFF+8!j3&V}19NY&kj(LtW6X|K5 zW)gk75n9h=O4?+1hF;1$6G)C;Xy#=!eUm*SWSXd;u8(=A)Amhv76L$D-yC@JqGrW@vK_ z^yFqRDd*A`o9*d9??boPqX#u22?zX}c#(a>J)i$HZ0s@3d_I7Wq)Qz^+Q3d!3@m>O zl(ts7VT+wbv>_w(j6u)9_sE5G>?18AZjmuP+Qsy+bO26-%i*j}3fT(1cQF-iMRk@^ z?N+;|Ul+>lXs7PuF!v^3Ne^wcJ0d}X@6)ccIdb7$L0@eJp}m`I+n_J5q$%6%*|r{| zpjF~;@ao<+cqG8`H*K>Qgs&5M^@^dK_4EbOY8x&pLm+%t8_Q#(SRO#&ePX5xSJAgn z<#wE5wo&tTdpxM|>$ls}tvg^^;Jd+2{&%62eGNUk-JX>RtX)@^z>w!&c47guW8V=L z2tb-X+s&vwWcoxK8Fd{lxacf(v9~qhzFGPWv~UNCyOC%IP6B)BsU5gLu#ZmcK;bvj zFZdR?-zfAJ%GhboQvRkFy1t{a%_xA&`+D6#=#44->zr7z7AfK;HCif7t0+2thL-JtcvU|I)4(1&^?rSjomf;KFpRP5Azp8 zx)=?+U-YqWm$d*<*Cp_S#(4c5h&{hL#r5^O>{-d+(qk|0<0;_Io>BNgQTUL@ z=&Mt}M4uqrE?fh9l8SfX`1usg+GS4-d`9G)^DJ%Ng_(bd4*yGwfHv{^E_4sV1Pd;vw)Jh3Am#aR`@nY)z<1t(@8Umv zp9AkM;60=t_)i4rtF%Chd7mzP3QEui2#@#>`FeD+Ut>+(9FAaHKl*E~$Msyn_4`== z53)aj|LEC!qyZ;k;}%{zPl;}h{Fh1Bh2iq93gFGi*12tx{ZoOv&&BzRBRZ>_+bI61 z5|rqaVmTbHAGeDN3w!UP;@afrlzS~k;tQH~Ee@7n($Z_~YRGBPW63F1E~)TqI&iJs zW$6Ly3m#U!p#}fevXZ{#eYhMq5f?Q|q33vXos`NUAMbfe+YMRpAG&>sr!{ezR-%| ze$!XPhPhx8^A)kAt$A@vL#7n_JJo)n#aRCkqgh{5x45gRUdp;a8@>Q)@h1iEu_qQv z0toOa{1$-ZTpk1i6z9TGuYb7tqDYt&#R1gsOX%zUXy}*F+xk=Um(YDJbidz{$sd))cz)j24qfWAX&SRX0nHiBxkIO`S|V zXSI~*DZB}wmFOE3AZGm(GnJmix5#M%@#*6NrcIaWnN4c4?7W;}&uO{oGeoVxow>M5 z!LxW$y;EG0y@s04X|{e@b$H!nCtbc-O>qPPW#&EPER+HOG~-U67$wek!x-U#6xzR8 zjhX_~S-%N2577YyL-zG+#KR9#L=$HuU$iw0nBV)V#{y%vHjBw99$n&stD>o0(pt!Q z9{tlsb>}s=j3-ZOwy1ghwUg~z)Lgj(S8KMY$uXUx(T{ zd!V(T;q&2QJLu`}QK_AD{(CK5xkk*?kX_<3hfeL4EFj) z{~cNOD7yIvEjsUdG2WcSg)!jotLVBR@MCVA6r5m*bMU!H$-Rjl*rDb?3Hf-3njyRP z)1V)&)4*SW54}RJU$qLL#fyK% zG<%)y_!Vt`gO2~I6(zrk&Vw7~@FSv6`<9mFs2HHqx9GLq>JZo4Vm;LB@}7^zJ3>@j z-WAw#$a|QVI__SEw=dzFtccVsAjPP0dfLckTD0tj9ZJbpZ?G5H&Qf!V z(8p$$i7RO5r>RO{mcV`n=g`9V+Cd@CUQEO*F&8bnglQ%Z-Fpce9H1qn@ zn3*m|PZm&h0Luq$uK98hrHkmP0OsNM#{*b`sf2?1u|&}3tTP}ChS1=CEX7$WdcYvv zOCduAOjgZ?72&;7IIH3JMGWF{dIfFk$I?KN+c!h?gjI>win%7K!|Buv)sBrPu0P9x zJu`2nS_J0X%KoVH7}`4%IPh5divJo%NfwrhEhcA{nqiti%@!n>NY_~yKMy}ROU(+L zBKqOd%jh=?n_`+u69QQtOq4x=ECwdZ>jGI}#N|f!3u-?!qWBs7dxS;51`xu-vzt0r(~&wgN@h#wdIy}M#Vqy9yq6^_g>?Dnowl6L zIBHYnD;|BdsI`tx=4=!{(1gw88p!NX5y0aA!u-wIObH<@J7SB- zw{HoK-9}~g>X>X`@sN2w!reT+ufZt|M_xk#$@{?$o@+QV?1p9Y>v~l&T}wd?n1{P5 zr$LQViMu}m_O(Z#v6kz^AX^MasgrM@Sq{Fg60T{o`|0C*b#>d1_fC9H2=d2aJ4hVXs&! zyPaz1sYBtfX8$~_ggfb5D=UWE8f&AP-0yBgueyhd8`VneV=Eigq5+os1j3trKRww9 z5&ZyN2xm!E0N}+5shsJyZ(T!-1N<(w8O^m8>>u#(np)@KainPA@kVs7yH}x1f0XJX zu#6AV!Y1s4kJGj$2#_b}bp*;)PtoxvH8&1Nf(F5q&>vgEnFhBqK~;O2N+Mat&}aDw zvwZ!yu0w4hhs0bK2v#Vk^NX2W?&*=jp2r|V3iB&94b3iTJZ_cGS99oSBumE8`S^V3 ztuIqz6eQOxRI)%#GQCENqL>5DX;6%}Xq&!FxgY-$5X2U!#juT@ibDS#qr_;4w6{rZ zhVXlbTB0FB-lZF(nHR>;Cz{nf>}{u;)qGe%)fP;SkEpB#V(B^`Az*{Q`B@aq2TjujAh3g=LcaTN>Ynyw6fko0>qk$FX1>MDJ=-vr_=H^S1hK z5Fv}OAB33ok#%f8(l2f3p`R#bA-1MpXnZ`=(t)q@6IvfrgaK*)ul2f$_AEq0f9JiH zAQxPqlkqG+tI;t-t^d2Y!-*^_ zEZo01Z={LNwPTc{C@+cG*; zUnzZ88o)GHXYC@RXKW2euqQWImc>%4r zErGPir~B7=O4UJ_b-QG+8(^acxg&3%*NJfA<Z$a)#DqaQ&HG!rrQ!^qa z@oH*Cy3Elran}^um(22F0G{(@sJoF7Rn)-#m_{EiQXU0jsuxR&22)}=rW)zgX;rUEO!IfWIm zd163>y4WZs12peV**6%g=Cz^6>+0doO&U3$eqOGQfR<5qg<1_QW9t=azS=6FZws(; z-R`(hjJr<_buFTEsZfsE$)3j20|AtC@F=a5#-~BaT1*SBR1;lG1OU}1ACi{(k4bw2 zZdrDUVdHxFGCFvrstsK(Qu#^>ze1F(s|0+CNnuy|#{fMQsC(}L9(a4!RhYfqRC5&s z)=IkSDm4|f`1{gXY8v2n-r>egO=-w#zs~XN)-SFC10Z894bEWcIQvdtp%x~u7ya`WihW6ymc-DJC@chgf}Bey`HA7ghp`#EnBJPMC`p7Tm~wB6Fs&PEd#EOXxTUa zwW}>U#14b;Ef;-}68}b-Js>vRDkM(M0UFbT)57hvtVdO%?&SRGuJtW-3mSU5xT*nc z{Vuw<2V2uU^kEN3828eJ9yJ!X`C?Y78G*pbxq-y?0F79sCWQhX$8ug*-_{B{eZoVy zmdN7!J#5O7(jK9GIhYs+>4{YsoX6H zZTcCyW3}pvKP1}FOF6b27WR(Z=je}ImJJI=h%I4KAFd|B0dsT98)=Fa@jEMmQg)U zpwuv!Vc;0en9BtiY=E-Q4F+ zCF1-=aX7ux`rSow8sR}(WLx?Jl}%QMn0};{lQElqqI)J|g8xD%CS&sdN|_ooq~ED* z3Ur4*sBMax6L-Pry+4h=fTMXYrkUt94HHGC^BU7+Ft9^!R2{PO5*o!=ZbX1U2l{$3 zxgTw0(AoO?5Qa*o>GtiIKzf$3Xe+R2jyqX`1k9EX)4gocfKc%< z5k%^(d)0W^D$`wip{j+`uU;(M2ujR{tc#@Te3m{n3V;P}H7*2AriVM8!L>b{5~2n6 z9T%hj-B8~EhgU6dDAMCu01Xs2msk;jza!3Rr^bHA-D&E?Q)fKAmJiJWFNq&}6T5j5 zyyd)!rDCJ$1uRomWSVhAwM77l=I0~h-nLsx1}eSf2=I$!naT>3xU>);(qh}IEXbEE zX##-(Rl1rXKxdn{GXYE=0gRX>ll>?Tmf2KS$Q*JG$~dZKgaDN0T%+WaVO5gqq$dlp zN?dfjkfq8VnLa$KrdTxrfA}VOCZob4mH{lfu865}flSknsqRwX(0utUX|BgSbFcMj zu+haj9>1Q`A9ycz=xkI=i7`@x#9)jYOy3u=v76WSLsp`5l9v-r#kqnt`nPHa`L6=2xnxjy!VCKV5-qs6QU(87QcmR&yT zFS%Psi)!?`nX&@XzNn(1WBg-C?y=NW!d&Wj9?d|TgD3DGy6sw%0ww}mE}kUQ%D2@* zd9qATysawTQ$*`R0Cx*fR0*$g)~P(y?+%6)@HY?Wt&L^60li(0bov>9r;C^&8$;$S zuP&cBdD3Pne5M$T-s{y8@NmqP@&f%-ZL`#fZi=i0xOI_hJ-3upN6!voiQT}*^&?2l zoHn?B4Jl0VC4K{8~}`5iU2w;UTZOsLy)1H4D2$!4o~vT=TjLT z`rv1DfiHZy{<=;x{{rW-VDPlc^ZM*DR?xKdoj^d5C}<%H3R;BJ+xmY^VxVLBh0NI?33ohOc6Jh~cktuH19*RfmA!lq|Iz7P872JSJ+OuCLYA$s>v)-UvW zy`$Dh0XM({PSTC~2MsU(mFpnCzQx^SEbM(o7vB8eHA&o0vxc&S?prVn5WPaD^te`E zS~+pj$Z=z(AXou(!!l;dZK#wnX$~NiPdASga63jV0zC4+&KwvB{Bx9o?m~bt8U@{r z|ME#xAO+lmz~r`S z?$1&Rc!)=Sm_IVktJNFX@`y3J9yL1Da?t3S#}Hus%W95$oc5Nn*l|yw5`5LcdF7M7 zanXl12$l)G8w#c1r~Qne1D@d}^IbL&MhW3Pzz&W$tPz*xA281bzGg)8AlmC9 zpJW^lq>wj^XdWId7{-fUaceuue+Y5AM(bHfTi;bf28X}JO?|xMGLSXDa2jS9G&0IO z`fqN};3qTwgt~9_zK1SP`KL@jy{kI->*-wjB07q+eIQfjdul>((1*Rzvyan>a%hCJ8?+!SBeIqSKvU(dQL_VNcVC|5W3{z7{#a zNe_3XmvV-NR6^nYmS$J7Qh-E_@2eT}LH()dZ8b)Ablq>%GG?J~Y6qhf{M z(fLYNVEUditC$k@gVAUYm@v2=^Ao8bz~q+k3$3bR>2be_ky_l^)QMu(R7{#QiMymp z|D9HUpr*zBfzSN*#RcQNY(0 z)zu@p1I#q?L)Gbu2SNTXaYi)pI8tPP!LsjIShEBv+uE}|Qf?s0BXDR7qP!8%W(M+N zBB^-0x5kOt@;_3R4 zP-GM6m60rMU?NfrY2&fcZzBOrE0jBm;W5(d1J`C6VSyFeo_z1$P*Ol)okgOF(Kp_B^ zGB!-IR*C>mxu=3vGCY@3B?7!IuiNVZsWf=F2q;?i-8ui~it`KDOn+W3YCk zMj@ha7FEFWQ+wO2Wm4p5zfeIDkmB%Id2dXA4olovzYqQ_sK{}CAI9na4yBm!v}i1g z&YIx&iNF2}*PU?N8a~M{cpUDPNKvqrjb)M5Q~W+~TuF+!)GtH_Q>2KgenH|n)QD+* zK~*mFn87K>Z8wTm$liZOZDS`hZ{QZu==`m;89(K1W}0tvc;xM7y2<7! z1n}mX0>OR_&78vGVXW)IFL}3_rYnvVnas3O0izt0)=OD7uFT|KilgrJX0q5FN%9S5 zO1C?he509W+8s`LFT}mwQ2=Mq*2|b%-e;zt?T$P%-i6<-I@JE1O)VgB@28tCL#=KR zwHgLH-DRu{b~@Ws=7_q@jH?R#dWq<4jDGF`GnpKY?C#sSCp=IEeG|ochZ(GC|A0Gr z0KD1xVuamg<_`M|A98D@(7XBX#$KOt_n39}7#+U&)_dVoj^B6p1%%&c#;-Z8o$zkg zZA{Pmji6rlcGd^Xh~byY`k=YBZ7%rczHF?2hyOvuF&xvamBJn}!zS40sW1FttPX(W2F|%+B<|{VzadTr`^ITtZW1pl$ z(^w4Nm7filgxFwxWmzAc&hG68F4TRsEIBb?JiKdJCg|>|q~M9Tv!eU{h2&40L?>%m zY89veoEk4QoS@720}R*!S4ol6B+yN{O5tt zIi(V(emqdaRl*kBg~2Rqlrk33Xv>T=+0#thW@F25p~JJWmRjljY>19FI+BKKDVYn& zGY8ttBD#DIwzPJT8fHYxkQsaD=rW@_aE21O1lBkx2i0D!z{5T1J0)iq?Mqj3;)9k8 zvv+cVlzD|w8P^84*VRMc2F{3g#_8|Q=*BxV;j+4>PAHu%9c7Yb1@A(BvNrPVZUz>It>{rl^oKCGj6>!BL2-`#+|x|);*bkZgY%TyAuxED2`C0nUBXhxPi zbUQuMz)Hhmkmm!?+YPpzbTkt#j&_l0;0zV3JM2fhSIz-jb1s(i9=dYi42S7@+Ba~9 zLf_A2(ZJM$=Apax(%^Y0@+O)w59-U!@R>g&2bYT<8y~l6D8hH-h$ZCyi-DmG7q3Ml|XkS{O1TNp{^wk2PXs?x!yi zr}6>OBaN-~&9GTXak$W?E2(@AEN%xov2!x+IxUbQU}A@9VT11ehhKlflZB6ni(W9N z*EF%T;Kz*7L1l2YcxMyz`zPp76DHVG^j;IhImF(0tX0zd2B2~xsms1Cg~eyUhn~KeKNX8P z<};pE6%$@s`Bdjsk&-{B!v~;E=YByuTd>1?Nzb;h=!nyLWbOd1i=PT5&({)JSFkAh zqXp*bGZJmh9uO^Od_zkkuzoYXC3TT2f?8TxWc*ptU0it8w>C%?!%1H9dFk4FvA=u= z)<*e%q-)=Gl*!*q6l-#5rIsH=9V36#Um?9nj__Are6@&zvpYWWjSX`a7; z+E`M+Z~P)DnLZ1Sp$FSoRNn8ROYwda*b|)0f~#_T4n)FokAdFM0`Kmi4B!)l1zvpl zp^fD@xUHVUTSaRjL~^i1Ad5II1mn@jfhMw-Ifiq4ep8uaNdFK74uvuQKK$qxh96_Cu>Z3$ayXvF z9OlRvfSYpq<3U3rskEI9hV}lcc9t&3nCOjR4wXB&J2%XcZi=Jx?SQKjOjJ_t$TlU> z&<Vh@-X%p9>NZFrD6q@I_RuPgD5eRE+3;wX=*6{F zmnKz$Xz)nWbpo!y@yaqvB(dNs8y6C(V@x>EJCftaa_i5s4IDhd zop{|*JB%fmP~%8l3RyOR#w}$mf1=)F8}Pyfe|{7ssro+4%fLyZ|H?cgq=A!rO;%Fz z6ncCqSQD3;$T|Yr-Bil#!UCN}m*S5+-9-C&=;icy7t2k9ah_K;1N*)HDBw(Ad#ivi z&JvZ+uc3j8AUI@b@=pwuu&ubmR@}foH>ct^C?idOdAhhH2hlqBrqH zo{PJdBOO`tJdENfM*>a|uH`Jt+H`TLuKBcJIRt7m-LRbH%Pl54J<5@R?fm!UEGYqo zc)t0Uxks$!!>R8H{JK@%BCMs+xJl4%qUEC<@v~ry=kp23(A+wGHZImXS+eTpc;f?~ z+E!TOdt)*lfGcgi0bU-k%r77x0XbLDM_0hR&Xp!osvQZHu)On&pS-Mk4OozMgqfT6)A1&0K{wo99UHzZ&a!)EaP4@*E>@9Qfo)Hn#sdgr%$p?ZxGA z^xJ?RgRZ8NFQ_(JeiaTy8)?r~tTb?w$OwMmPhN%I+d^S0FtfK(<_hK>xD6{$+(Sy+ zL339?-MEG}uVBNVEF4+EMtXOf3=>2vh92M}@#?~*@SJ8T_Bt_{aM!15J>Im@%`J79 zo7dBrZp_Ua=!$L@FW-pzdmOe@Sm^Oa)rJgDrZn&-v7RzC{C}T5<=1DhOi9^KA9gca zB24mJf+7eHE84jCy)$bWxUdnxz)b%We!dF8lIYc3AS#sz=VZPU!=X%aWbzYpS{$ZjWUPrwAB0Qve z9hsH@*zKj#mreA6*D+Xr)kLxR`1=}4EpaFoJFc)n2yGyDu_HDi&bY|NrD9dN2kKf* z*DBuQ#c$I6Yk(MnM2<@AUJK6KQC^1>`50z!fn%iUEn2Y_r@gm94J&Y@Sps0)mj=CS zqQ?sy#isWtWF0G!-#3xF&`~0P05`0KxN`gvJ-dz#0-x{qb+{4zG0!^=v_9mEM_P+N zF;Pwt&YUM{`+BB^pAsSt^P*=NPRX8snP^Xu!zF)aqF0O1qJQfxvIXEVy3dia7+vc4 zf|hJxs^v?QSXkuR$l~!P9A@uVqOj9?VP+}+Yl^%Y`tlhXbv4Vhe4{6*^U~2GM?Af{ zfknuLXX&o1!4^BG7h?~GQJ?o4pQdr&@sW!K3ovsd8w&hZZ^sWt)>S{!zKyIh_^00b zOF2K&`HfJif5G)(=7R5&v769!f0!t7utSsMFNh5OG&&+vlIi3omJVaSZ@NnZW&9r+ zt-dnMec@#Rl(QLi=tnJ^S*)eMtT)`t8(t(^kk1CxGmuVg#_2tXe%}n>b0B4JVOgoc zeVGglk@*r_uP?z+{r`G9E3CA93oC~;|Cuc;DL6t0^Yt%UB-NBUJkSpkwz9ZT*zR#n z2VZiEG9_0Wm2ZVSiRYz8(T1%o6kzLbrH*(g3eiIyDiGGfp;(u8s>PpRwXY&s4svh9 zf=Q;!w=qvNEb`DKH)LRyT2ciTKOA?%l&3(vO{cH6VNGRF=5{Q!OsdD`7&KI26-a)4#H$Sh$5o!bc!J5r|2FM<;>3V!bJ7x&`pu3@$u7~Hw6Zm-YBz7k?s z+uQ34_^+|PZ-w}kHD0Fdm(+}M-Q4c8TZF>iuBUI9%eSu3Ny4astxVVZCgUfL2EkMC z7xy8PQ@l)aTq@JE`Wp{o)0YUd=u4wLfe~Ro=}pR2L0bbimT;RwITcS9hp zrNg_KJ9wSQy}h1EaU1B*-4GpDQ!D{2+(=^xyW1w3PdNB*rmG2pWGg*J%$2`QbVYl; zcdc;32*bsK26!8Z*ugs@U%z&^K{#)*z~;>lhuOQxwg;!{-86C!3Wgb*cQLY%hF(Xe z>#-~D-2*vrJ-xIC(7+A!>mKF`yiphv)xFg7ItYIIX!3Q4b2IM1qH6o;hU-8&{2M)g z9WuPtmtnqyXU&meY})O--CaGpx{`|?=d1;oqq+84&s72qW1W2`m0r)X0%3yYX3N~W z>9IH9Ajxwt?Yo}2|jCMos=gi@E zK*wNG-=&FrSxVr0kZ$w-i74>uq?Xa4y*L)WPoM5(Ljpe#`Pe?BteaR;zmFg($CpECQ~fFWkh`%%Ib}7zh_E;4?lR&VOec_YKY3 z$6{l?Mc;Glp(X{vCTx%)yx-Ba`xuM=52_vdJxu?AkB7O|4lAD|1;7j}75+${?qdaY zKmS*ij7rD;($^Vf&CTN*@iOPQxiH=Q))y`UTcv>Ckz>>!qH~hnZtohm+v)9riB-8k z^KWKJGc1yL7bHlAsZ(6Q4S-`cX}~3B&~x~qG#;+hcr$oA{mOIVVlNEEQY>u3x=?Yg zT?!zx$Ky2$u$XDV%`7p^5-0%ugaP{dCVpA0^y7&XO&jj_hYi3f^Yn zF57@)t)0}|*4dNN3R(e7&Kw5FNP>&Fct>hDf1X80%oI_r7&{&M8;c$V!!w_bTd~xG7)-EOm;I^+61p70{0PDIyjZ4Sxqztm($`Y-a zMlo5UnEY%Sb1U=q%Q0t3skyY_R;c7oy7yLaDf7%i$8b^TZ8-Y7Y0zyrSHl6^@6P~& z)Xe01Rvizd<(J!7aiCXZ7ne_i4q(S9peYBKJF5@{$H1^`4DZ@`4V^t5ybF@0;1YAS zH@}F#oQemk2GIjZ)(<9S$v%YMJiwI5QqlSCc<%-rouT-WT1KYZQNLl7b~{V%2fMPA zTtVY*XO0|LkcF^Bj#2>3$5I4L#{5LdrMdvxq<|3!PZ&viZ-*W{iXKBIxW{n(b{vMs z(66^cj~ZvDMTb?poG^h#-2v5UqM2?#3x^V`-BevJPDukX3)$#v3t&>&3B>}HT1xpIG)tf zdv`M1z&a##&E`ofJi9*&&XnhvNqt^b`v=tHOKt;A`YbpHHx^cY7M!KbGwZvTE_-~r zTN*jv0&ZfP%(P!mI3Ed97SQ?6f|FC5MPKP1>-R>gQwo4xRmyAS1y>%pi`_?O6YUA| z12PRvf&;<_WhzdxXUKn->E@i84EZ6jeUt3A&_{ebi3|AjqcT02WcSFAL1oOXam$a( zG%L3zJxpgsb>MM3ue(BWJ|)vMI~-y@O+C}R+43_W)FP7Eb4aEM&Kj5LFa=Kcx^}qTNsFq zjz6DX^cMY$pK))CpJ5<4`Msxnf-Jb4s+& zRg;B#ZVP95qr!rZ3zY#(ez%l=0-fTjVIVt=oaxOA$KJ+gnodsRZ@`zFqKz}X#bf>@ zh^kibowxw#Sh|o~0Kpf*R>v9Pk)S$(Z@sQd_p4l|-+zqxLY~vyUf+<&tgl4MP;7C0O^K*d$(jFA{o%8`8Sq7i>PHuz6*V+0;Zis@I=<|U-;iDf;63TK z4c-CV&R{(AxXn|;-&}ofmN&f+dm(RT9e!OYXsN%_CY)T5z)f2WUXyb0kK z_=G5gGXqhcU-o&vs&8<69Wt%DA)%PJ%N~PWem$$tMiknLc}3mgqM9RBUI5yea9NN5v;q%M$L_ zr1yc%VC-yswjix06{f=1X{J3t7h9O90{WqzziDd0PR8$MTH(c%PxqE3^>g*?^Rmpl zv+(e3HmblWzT7*Z4K7UwW!V!WauFE=Kc{2K+y(sXm*j*(k_FM`GSh}Ed%o;8)1fRo z<9pkWS&&N_?Rd_cCNndQ&bB8H0Cft$hGd6#)2?j0Z9su&H!Fg-(`>uP4W^W+Cchbl z`C%C(YH7iC#yf`7qx43%^EOM7Lut|T-Uxe{-(;xvIYAg^&^|INFvp5&(pBti`rI?z z*@j|o6NTw6b25gTsXE7==D^nGA1!R8FB<$c!Fv%KZ3e1UNI%NEv`wnPWMF;K2$xIQ`ZY#w`YbgxW2KzU9y6{#2e7-GS&+2 zlE|BXhnNAa{-YPXiFj!C=NG&Rm;$jcdQ;&NZ^(<@v*U}5v?&8PdsF)UmT4~dP|q6N!YU9nsC096tElx z47dU%ix&W|6j>#wfJ7B`m0vD!rWCLOarwiuM_%$KOukuT*>7B7!R3T`Mr~>ETuSF(->x_Z)ZlIwrd)d^hc}nhD1kN}y6bYMncSESP zb{gFc=?0neo)Bl=47B8t1a-$~(-o!ev+GYoOJ*>;-MR5YZ=$Wb_UkgUXi;>M`Y%}LlE@!hyjDTQArA{f6>UF~|m71?{Ck&9>Da86|z_J{~6 z^oEOy^@7nf*KOC5Z$dDaY!Fqrz$aO6)=vaIjWu(@>p|`%x0vZEx4p>vw~Nx}+-9bJ z9z1YvIUwY?cDtDhJn%Gq2Uu7hNU1xqn|SaP_g&aUJa#4Y9?19n!Xka^WA~ZqgvaiI z!^#+v;^8?f!?^=hFq#ftJ1DP41uZ0)%PDY&yd1&~IPFq{?`S?tR0XuDomv zr~X{|THtnY7%Du%)JyJH%(T#J&&zyO)B+PupIGST(%1Y3(ND`Ld()d4_WDI#5A(+I zH@!u$X*~RfB)}>=N8&i!#=+#i{dZogQMP}nA5yiJPX1_p_r!- z{~2FX<}q)2>>1IzkZ(lm^q7+CteMUi+MVI&L|^FgQe#rydGmp{ydk@HB?b)i*KVk! z$etMbohW^TR^Wvq|2=(t%$rPC7uh4^AHXmy!qWcHOmFbNKjCzV6tIzOKMAeuS7Q$F z;pII0{NL#5lU_9q-i$ezi@SfFjdgfvy9p1Z{4V19roQ(An1;o6ZRnq(pM+$Gi!$kw z|D}Zrjtp*`hL|qVds|et=>Pb752z-(wrhCONT>--I)o-gga9I;C7~!F2&gEi2mw)1 zks>HXU=nI5QbY|ZUF?M-x1b<|4uTXLs0g7K3!!)T2ECu>{onO}>%+B#naP|X;goCd zYwznIkjx7Mdg}KF^|-LmzSvuj+-eDsLm`=3Mo@irE+o^=2pXjrB=fuxUJK5RWM&%S zWnFlYPz$<$KU+WIR&)o=aX3EYpJnF1U5Fp~r^{gkw!T9&GZuO{G-CeT3XlZvcqQ&_$Uh;dh8Pn+p|?X&{O|MrGw10^FkL3} zc0G`9N5k&_&_hEhnY0N#JX{*dG&X^%HOesiC-mf*@h13fa5*G1&jfF%j{jdxKqG9M z{Qu<>1k@Ce%ncK~%1))NUs~^PRhezuw+{3PTXWTaOM6059P%&Kp^9W`li;k_Ilb7q z-LZ4}v2(=OIfK|a!`L~a`*X%zVw!sn&>>#p_g^jUA&0{d#)hpp;1r|1Kc2BylU(!fPl{Zr#(F+^X;m!9C}Nj;SoZ=xn_*#p8iXPD$Fp| z){Nyr2p|0?tlt6UHU8_olKBIb{>J;z1?{N))^_y&*s>t7^q;w!00=K_(Ut!z)_K|7$Jx-+?p4*RHsmfhb9KzbvXE!4}%;`khSEm18&P{2yBgS$nylH zZ(#oh;3|K-Xn(jwXo=nm7$9&2V32Mp6*ZJ?t{X!+&YpE0)t9m+J+PN}6W(JytbYeU4YEv-h5P}F4KukP zM&i-5fQ&3qhuG@Y+K}x+7xJ_Viv4?H%ZCAN;sjZtk$==#QWDHFaim)>aC}h7uE71L zE;v9XGzAl2w@8N^kDAhtIY7tIA0%;0h7nBPA|4^yk^kyn0R!|tp!b*zW$Q7J#$&63 zF(&n2Wl36@e<>*i2JO|Le1C=nm)T=!WxdbFcE6py!-0c`91kCHIpOL?b@w>wdFu2f z<^elZG3K^;(uH&wpW970NHT_-BVF41Pn@Y1Z{l`;frP*RoWx~!I#|o^qA#TE*M$C^ z@`j`=C>S&x8~|Z7?9d)t9Zd&Qn?H6Ah*B5CK$jpF$Di}izybugp%V^P^uLxYHAvoO z`kf$e3bg~J2zmdugW>zDWD((qj!h5I6`%(9?@@?$G_?e_3H)tv>unRF&7iSif6x*T z$1+K!Br$2+--~}3>g?R{xTGv5D?Jb(gd@YFG)SH``gGo zFBQmz2zc}-X0bAv0J~N;s7y9NfR3ovHZDBA6A-87D&PCcIXjr&a_UjhFuOPn#luCk zx=4#jZAN&@!EprIDNW5o-jUMpQ?J3jjO(=y@aDhO)^W7GEvy;+{`$mGf41asow=h@ zC0kRTSRuVbbLXC7Nk>Fe!-Fe92|YD8#A-`*xpe)NdXIF})c9&~As*P@0%^U|U3kJv-~_YOH{!S?ytU{5bUS(?G8Hq}`5ks-Yl9>-GCS1+-|{HCR(*NiX6)X_RZ+ z((>dzQ3vdHQPgy4sa$ZbkYT=j7P3zSd8IHyG0V(<^dWBw+-5T!^D<18@0g!{JQ3Mh zo=7v8$4l3yM~ARUxP_<*@S%Av4OMSaS=!6&vO-5^J!ar8)N~-e$7c=7jCAdz6FC_4 zXBWf7eC#Ysni##Niq;B>rj15n%nAl7u4jA}vdb<!iEV^yV~*f6w|M7j&WBG^Gz6yF~QS8{v(=AQg;)xwa4$@73dtj z`@Hjcfo$jff`~p}62SMdgN0G5dA~cOKyCrp(a}Vk-2RFa2-nveQMQzY#~e}apGW9ax_)9cY@_BHUJtg>cOLzW>_AI^*bLvkyr;`0oPhhESF|jI*JUrif65Z6ht=8 zyqSUui$?S}vUac8BFZY7fj1SedtThfK8#vg0#%J{3^iVOak}1RQtZx1wi;du@tv?- zpTOq4-xD)zd*E>E+vbn~zWq*qYo?k|H!~VYy?5YppDUHO)AVe|XfEZ1d--;6#(P&9 z#SLWW4zpl-q89LRi#@#w^&LZ0eX)E=vW}^CSDD!9bBX2Qe8~)7Be=~T$L^Nc8S68n z7aBJp%g`aG?Kp=og5_`SX5*88+pd-|w?{Zy$q%#4^bqT+0^#dtqv7QIsEhjhFU})n zw?%giE196^+j%MizrQnP$YkmVtUg3*F+f_qHR@jO=ix zJ}j^ZyTXc82|IIbezRgLd$CvLvnlgtJ2-&evtibiH^bOOh3O*6NNo|vPrwQAO#*fq zkz=1kU+dv{`sx!`vaO(N7@{3$&rbd@g<+jIU%t_KGa|UPgSI>*m!~-4NqnP`B6crr zaJk+&3E#2e-Y1Py`^g8wu&pfA`bjN3qRalQ`{K^ZF-D;Q} zpp=KfP=>n)eg>Rqo%WR8*f_@nUPq*0c`;Z{QRRoX=Mr#l_mZA-RDyAj0|>VkXp>0N zwLH%}MBeZ~DX*vZ)jJeN4LR0ST2b>!!n}llAK?yv>}*w|*+js@a-V2Gx~X+-*w^Z4>21nfjHV7&Ao`kT1Y}^<{MQ zWiHbzzw^I-eRbW{P~_fFF3)lC_BWO$xdDb5Nw+R}pEQ(ODd`y-rg$D#xz<{;v!{#0 zzPCW#D8)=RkUd~{6{Crzb#OHpE~u1gs+MkLA#}?*@}SzyeN;FXv~h^XSs$&FpdZZ` z^$pS)<8!HxIyt~s@%)yed&Pq|wPB31I+4cpj17aM6;!Rf<@D)sk3VmR+waEvAFFNF zlNTWM>5QSz;C)lwn)eN>%!n-WN=Mlo>w=&6d%t(-cHXJcQ^P9zL~BbXnva_ng#I(p zRQ%eO{Z!(3l_7#~QeS9)dy=s<$a9%PmA&L~S+1J(hr6)? zvb$oh#Shg3;@Nm)gRqiN$qXR*%-9(tgFEs}!!6A|AMDlyHAYz@PB32A7-B(SR@5J;Da2&I7+9 zRV@ebyMhK})+m5*E1a0FJ)vVJhXH6}DYcZN@UX_t?f#=XHgRZuSZyZ9jGH^^d2d;+ zO+8JkeE+Gs)YrNF+hCpMI&K+eU4*p&hL(Fsi05-k`mhM{=HLW=AgDdG?;{+ zt>ICEY<9qpSu@{N1W;X2w)umEhYMS2^Plv?YiepaT=azqZoHP2cA2&dyd8F*LxO`l zi~_LGLO&whH!>Qa#4VOT_f?X^i%nmB> zn8!Oy#!-AEW}hHZ#|2jX#QNw95zbESF}B>3_3!8LSZf$;of}O7e*typpd<0 zGFcTN6!F^oZii9mML@p>fNfx4)h&6xGhXif+uipBv&1}C@@VP0rC`V!q^H>>7E8Mw z*2Cqy6m?Di`r>?QxHO3m^TkshI58YoP^gZ?oE!bI=T+7Pt+Xfd{V+mUZR;=L*x_+?D?S;%4 z--m~*K*V|yh;G+HMId~7WP_})e{2XSB2uceFkHQGLJfi0!U zICRH`RUR^u^nUnx{q19BcoH{viD;AH@8_ou!_@be`VTUuDX5#D|~GV5uG93*TQ4|9_Tt}OK+eCt^?=l<@=@{BjyKI zahGqt2akGp4W!>Wcj;B;`JMNcA8wS!EULzJsF=EkO-g)d#F2R-?-%a3uCuwlH{q(( z=^O%l4yV<5^2aDYi~j8csW7iqpbH7GtT(fJR4dEX^z$yKS~VCYlQe+5hQ^C z$4|tfSv)v+uh1^jIh8iy5jNgU3#gk&-Mc1&S}MY1ovO3nMOpMD$vFb@erM~(SQyuB zdz0PJz=DI^F8C~-CvufV`wnaNh2!z3&R+Bd0=}kE1KHx9-j~!gPR8UGcSnwg%Q&TDoPSVflkv!=o^nri=a^URw~T+T4>jCSQT^6@{lzfFVjd74K}3&V zAf7_#sqo0Wp(;NO%Q!#hJ_}`?_vGD>@A7&6yii20L{20hyiM=B_*R{S$NkV~>{Xid zOTFClnp}9)_~wa1H`BY*Z{Hy8O*HTLML0_<%Y;_CoGYX0ksphJdr;pp(Re(NPd!xx z;h3|WXV705cQHoA{Si!DNz=;K%RA817g|>1!QWvf^jcIYEQ)wM9gTI*u-3*Dsw4L1Uoe5Kl$I#J$`T8-`ZSo!4agme zz*wSrV?5cOiQr|`v#n2}ucYg&$ogIwnwcd^2Lw$3`7iyWz8voW^i zuBxc#?&aEd;>%77_pbL(v5*c15f;Rv*^FH(_Q#bg5E+M+f-5 z>f|wz`+3!cTUuv7LEp;6f%o|9i=Sm8C8x)H9T8(3KXAvZHF55DY?g6%o%mzTZ%to; zblFiPa*=RuI-p7)UUz`syc03|QI~u6qZ-$giRmP3SXZFdtS|${7z{UvTW9c@u-PZV zN!L)V6Zr4oWnfvHPjh0C;?VIL)uQ~1CBGAKjQ!XX>DMgyTv|(Hx66kkn7oXXuP|bq z@Q1rDpoGz{u3TOxdDV~S^a4xtE(%;dar(K~8Ksc^D>OPjIjB1d@OgOMNu^!sxow;| zjrT=2Nh=E>3@%AE)zC^-2zaptM50L`c1Jit4lnfs7PP{uFJl7sRx`dDm2A{_?4`|k zKCxQE*Y2-pj@Jw-{=Ph86Z2iQ=CNW$YfS$jQ{J8|0am~d@XxD zFx>HE?jaY1we)U;4#bAtC^@vqy1X}nTH3@d;H$2v7yL?9v7uRItUh^)3%18^i71u~ zL{|Et-}Yp>Xp*cR3u$iO+fk-_^~Q^@L7_j9!*IVhfyw3G*3t843!i( zskrJIAhyaoYBHXD7?!l~CFmWwmwL$)mHw?0SE(etYkwtF32uNQ{eg2#0)!ub6Lu7K z^mr9o*wxS9dgErwu2pSq@e2O%k4Afy59LT?r^-;0?A3&=l&!Fs6BaWP8jL+Vu(`)~ z2(Kg*yw@@%M($T&+GL{Y0_9=8PB&*~ zE|qiA4XG3L9o=wc{wCuq_MqZJelE^0_K^1NHBaEQz7!?qOaA~(Hk8MCwiA|H*I~Bz zq)b5O8{X?(OVOK)eA0n^7a@$UgyGN_aV$o4e1wc^NqnetOOC~>oU-8MQ z+95%BUH04Mrpt>3rQmNk=kdCc_IifnB_fsLRd7Ha!H5=SRwTN^=1!`@}VG! z#FErsQ}pbtqTL@VWXZPh@sv&a!k~|CZT~*t$kb1L|2tlWWi2qvmS*|k5kj%>PYS70 zK)D@W%|!}CI!^0|J5zOj)9%*L_H6LL)yBuiXDIN0h}yccVHp}nH&_wkfSy7K0b2my z2v&)wrM_k;4Z0B@_ysmSDT?-(_@N=o({!e8U}ia-l;c}AG<)azUgTmGCe>gMKoN@u zWDWMPcR}^novwQ$O!sP+$sQ#8@!rRi;bqAu$T577WggfM+|)trFLO9YX2L=Ie?be- z`8A)@Qd~5M6UV5j(^6r|_nxug2re{n3$*CbeI@E-pgw|b2ylO_f{Pu_fE>~1I9}n z8J9GkR;~U+M)Bu7Eg|4#o?d#o;AH?pG#4?aZGK%B$|u4rUJPI7a*?P-yJF3OX^@Ta zR%}cBMcrT_K5^J4N1ffjx2r6e{Y5x8D*qy!iA5b>Lws2=5bBJW#CO;L)2Sj&Sunp) zj9gF@-K}tzvbSquo&|H^i!^Q{dK_QICrgY6qTL>5Zq@u4AIk zeqikRArJmUOw%F+Kveh!2PZc?%wwsddp)D}_p$?}`)$e^0CbN~Vm8&`r+-I8 zgaphiQ^eCdzn%JhS6?2)VVz1-_nCkPqxm@$0E`6A54Rm#))f!>?R>zMfciqRQm?<2 zb+>yU7ih_?+=cuw%F+evrPE3u6tWPr#4vH*yM4gxnSUCyZ^Ih7>u(*%oG8Op41hJ2 z1*%dbc!cj|7&=%@%(J0eFoGi1Li6pP#k=l>(Y6g~JvW{A!}6lgOU}aIYFVcJ8E~)P zOmHFkwn-S~?9XO@sVk42>v~uL+*dMiJC9-l814~rBCx43!IduY#2VIBnN1d|Wt4Aj zx?BK%Am26e*6(_fk9nZjHCliH2u5?auvz4jh(MJrU{wLzc4L{L-%JTjLJydR;Pi32 zUG?`yk+Kd5)u~_C5C8BCric_1rsoH*bQGj>hYSI&QCAn|I}PV43rY)}Tn{w<18zX0 z?Te*Y%Ul`9?w8>eZNJav-`x?UUZlAyE+lNRBU!OG^X=nE$J<~4@%JBelYt$w!)x$+ zc*A=*1264t;Wj(9<=7~??S9urOX2?qydh>(?CW?OHFGhXVPs>iQR?+dY4rUYO^Cg- z2J^|!1ga>7VFc*BclN4_j-;2yWf#ODr&xa%ubEEil9% z&;h7XeZPtaq}>bbWDk3KdB+fspUf?8<|wObXin5vEX0Uqy1b0jKgUW(9k!MBJD7NY=NcDDHt9kG()S!ocw_*+9IRdTkbdI? zJwij!ur-Q0w)48Z{aLth)FE)O6q5`rQI}CwI=hq zgDKl!h5A^E%>zD9DMy7Db)EF#n#sk~JZYC?vJ|h9;OCv=MyAVO3;Hd281mrgfWS3l zPx+^u!&XWU2Ro2XIf!;dXUL4-N#8YeOJam2A7Z|%vC&8A&TV3)I4M?1r3R(#Xjb6b z)&ErRQ}$*L-(uOLxTgVJL7yt>7$O{Y2;TDOK+&PxUq5$^8!uTKT)0{Vpx-X_Q~`aR zNK3n)X%QVcrxiD!JP6N;z!`(R$4OzTE>ffq+eT8*igzrvI!rsJ^P3h;Kg7kP>JOYk zos?I|uh&WQyxXDM(n!PMpzR?L>cj53jfjWB`#ML}Ix{p(#VuCL~*|Aq)eO_FQ? z-_k{TTuSm-FlP(eNabO8ts=bOGVi`N_g+fU^oc%W)X}u>z;vgG9axfF1#M5ppxu)V zcsi4$W0+BXia7ftIlev6~ z-&dfmVs&-Rf$S+Ka)A7f5|sH*3Ii_PkTKG0SM85ZNqS9wpba6M2l{8kh7z)(?M=jd z4%79&((C#MYjWXSWoH7(YH;ub)T^K(z|T%muNpdf<-@daft?!rF7U{!S-9lB#S*O! z9rc#mO^>r`z{8U+b0{dBsOd6#PDuFh{wKS8zs-i(f~xh}KH2{yTBql;@-Q4NqgztWlhMRI_l#TQx+&Qon&s(uO%m3+U+=Zp)!yPoqcL#{xOqKIXz*GGtN12+|UHDLa*(`nhVRB>ozl7 zhsIl$olE(bEg*!&YhoCf=W3OeEqO_S%IU&oOj8fI#^HE7M~|TMC~HI;p&q`c0%r7{ z)9UjXmC>S}@^;Q4M8`%4m3N1p2XH#~RY_VOuQMCqfSv1jK#TxpfP>V5LHEUFz*SQI ze4S}7a}uJ}VqX3lguakT#zo3;+g-j#h%4XJG{6eY@ZUAv-A+khC&y}uu8m-Q6Pro!aHqfd;T;dpHZg^?N z$nv=%VTt06Bn&z#j!m=JVy`h<>~$G$2-yGyDxg|Np^PDr`?ZrQo?pzlH>iAe`>97Q z!$lX_a4w=3BMqkDTk7F@ARN``LnX9NOnpT41A^7 z#`2RjRIe2H#iISV4Lgf>6~24Gx-~r(EhuTOzC@FDzLtVMH9gPK9Cm!QGUh7|e_y^@ zPkSRO!q@N11D_m#eYlffDQ^f@Le_dRH(l2781p-=EchE~ATE-mgvb{9^$O7jL{hbX zMcysVP{S=*2|z&{><~AxvC{{Ope8f@Uug_~vT@YRX-YD~T zy6!`$XeGc}6Kg=)(tD{q6K?_GiVw9wGj^)^QF}9oc6fkLV6bE#7FM6()P|AWWkp&i zA}n88V&N22#kV$A$c$R@!}Dcw6h+#=)5GGn4v*_ACV|vjc`g@a*`tRYgbF%TWL7F2 z&=J8YBO<^y83kjrUWKO@;8Yd!2pG+G>Ajx>W`;g zE-|L@eo3Wye0>q_AQvarfj)c2b?vM1;ea!CXLM7l4cB2{wDR;GY>s10%s}*kgZkks zSV#BxCo0%@p%D&s_uJ6K8xp(cX93qpp0$m0Xh?Ayvum=_Z)8AhkZ+j))totOBqQS( zq)@C2F7*h&z(bZdQ%almu03@5Btg8CAlekDpG8;BFYx=3gFJY2^@D%)Rlz+r70;o4 zl0LDtyv7*vY29}eKRKTnv51tlMJnRYyr-c!mqUnf%C%2yMIj}hl@eKw<%4FcE4*-9 z-OjZ1+h0?0l}8QMKO&g*6c44SLMz1OiE&~F_&nk1Gf;d2)N@5>C-z_WdtIJnJxz@_4i z-vi4L0;kh;Sn4zF64)h43a2Wrr^PMeIJEGPuB{AVB@7j+KWO%<dPX{@fZpk`{8m&)#d@NzM+?+ra?(CM%j@l@^3guMSit}CQW7FnNq$c)tBRw z3pYF!a}SbNJZc$5@ShDV>ijy4{428vWCjIGS^!A}MEZ8j+wBwIEs-Y#FYWnG@wCx? zt44gB|4*Wh*3x2pEc~psvv_nJ;jxF1>!sPdCrV!zf7?gTYp*mT>ia#-L;#^BxQtj) z(GUzMV9pv`jy~UB?5E;#zyg|9!-k80@he?9cW#!gT%ed3BrSP8BVuc!eMMM=CR%?; z#UK_1n=g{@I1Z$4r{F82eB!wZhDK-;BT=t*aj1F&^SRRQw-{@g5PGS(Xwz61mZ}wl zOC8(3vtHHiSML+e-v@jYZm0wyZdSS(f~bpPesf;a@zNLNxS_>4Lisk7gi}A&{}_}l{I&< z&`6@%A+9Vfq_O@8PoB10R#dx7)_l_(s}Ps`>-+fA<@YAl_hViw{1~U#TYkpSLbJlw zu1UMadi0;*(%|^*O_o!#Uu0K-B51ybjlBYWdyiVbV~wqR432x1m32G7D5RoN9C1^U z@m`<`O+EQw|Mz&bm~PqC%7V*&MiE}(S(wT1-J`h&j*h4OIvU_1IyyQ_5de3=QFL+3 z#-H?D%f>N2*WA(H+D&h+RRNs0bT>jLh%;4R&`9r*etxSAY2VCz2xV}40;na zTTfa_CIZc$tP3Zq&pr=l&3ze(~lf`6i}Ky!fo+g`cWs z%Zp3fG)V#n$kZKml%ZUYwMhSl&-*Df6@nH682hC7l~~P1j5LYHJn5lau@mT}fd56|Wl$HQ>=mpTE>{D}a@tLyTZ zU_6F*Uy=H>l(E$Tsd`!(_SSpL9LLIVrIBa8 zX+EQxN>Bqdj@KOwgqMRNTt(xk2)v8VXD;2-Xp`5l6F_d+!vt~(ABf~?{i7yebG}kL z9~Gq;Kq3O5r5Klxj}ljVW|*o#*d4>T=PgI1x&ySAYO#m!;N)NSn4Us{2Xh`iNUKn+ zveLMI3qLd1qJLxNnCIJ;JLwr#n!+fHjxNQ1mCyFQF@qzl(#x9Xd5`7KkdHD>>xhqP ztMl3173n|P>rn=ZAsaOX&o>_v6}yy_byAu0u3Q(!&t12FZ>&$9zPr-7t3MB2CS2h+ zH}5u^x)s&dI(lXa&jsq9xmEG5p)+}0+3RrUtGsIS_V^1pLzPgma6VF^=h)k$7s__J ze^!iC+K&Sf`@)^T2JBU>QklpeUBg_8=ztameqWf{Q^CUrw#_S35;P-&ZDQ93GPZIm zV`QzU$f`a*%o{d~=E{doO5{w+ZzG97*HL$Au|@cER>yFR7($=*l_LJ{}$9pfJv$wQEi zao0WEa~K?AnY!R_AuhCVH67s>Uv%^KD!F&n@26-@z7k!;k{+SjA&Te{@!2&;^Zd?A zSE|WYOmON3j39!mmd{{Kf$S)LQ|jKy%R{b7&9 zCALf&SVEM}cuV!L+TG!Ds$FiL>OO@=^+D-w@ZfAfL5evHcX_8~+PVCYro_lB532y4 zMX-Z;S)|73)q@szY`A8#azh51DkEAan8~lu3wNsp_(jM}0G6(ctCwNDfB$6r1B}fV zMpQ5>vr;5*lfh-K-f>}vK-#WDZWKiN)%D+ag=>+skJUgNa$y>f{-?n77;QpU?hYQD zo5o=uuWS36<@aWL>?>}H%&L(LLWYU+AF)46&+Ir4WOjsZJ{0>W=TaR0s&?LuUtbp{ zrm035p_Fgg9GH-MtCOyOTQ7&g|F-EoEqLq)V5(|;xjZ=kTH}qeu^EqZ9VZTPEIRs* zAkW(K!84ZAV^bqIOVJB5#tK7HZ!;<(8Jd?Byl}tDUN(y05 z^a^>N)Es>8p|<<#PTlTpIx}qfr)S40bYm!(L>6oPLmw~ei5_`Me}Qi1Cb-Z7c&zlO z{)Ao1oV|Du&%5~0a2>NPBAZLi9xArazei=G4PI5i>txxIN*>y7iF~>k=XY~e>CG^@ z0$8TXMx&R2?wK0D^-gTN=pe{`V}-N%NDm&jcSgIRlupkO8fr~{^ss`iSYd}?gZQ1g zmT9ngN+w>*LVm>Ev>?V3`9?%ASMSM7&TL7aF-F{Kk&|r*`##`qaDF}3gKxDSo)V4v z)$Ar$Yhm3W@|l#J*!^jzi5HLWN^^t3IuMo?kC^ORCTOOPCDUh%LGh3ttypr_FQ|a=9D>Y!!YglzPtSb)o ziiqjEH(fdCUgv!s)f%SrN-a5lrU()28@hQY8vw6IUJkJm(Qku2mRN4|=6EQH=q~=V z?q@-qtt++~obm^2{4=-RS~@M)Oy6t^lg5zWtal|^r}eEj-0NGL#>pykV+Mcu=SgQh zKemSClk3-lcD3RFcdCE#EsdK*R{Y8%n5kB6@Nre)5i3%a4#~;g_96#2C8PNH57?gE zqnQ5YZFIopS09s;7xxK%`TA>dm%vrN4yhmCh^T-Xqw3)U;0F`RD&r@2WYU4~SK-d^ zq7VW9pYpW353WgPex-MI;B*_2-fQ<`k$O&7nx68vk^7Bz4GzE}UpKm@BBjdpJG=ze z7UJ#$K@@V%T{zi1b90>Khgu#NE!4M?YM_NOusU#T-1cDo!>&i*maRbP#E9M=-JeRr za+IiRTnAjW5ybmp8eD#d5;!7m4h6rFaDevgZ?1-uThv0m2W4w$kBS#|sK=zHt^DND z@M6-k$TG;x10+{wUKUvd+DPnW7Wpk2+NoS$)}@pgmrXtbo$Jdclkj(*EK*E4za|bE zKK-$JP?l5@q)(2%ewvjbIoKoyNFnLy^SZ@X`5^}RSwmL0n^RVqtwZ;*+KCM&MMEaPvp|=aF_$I(Uqe@9pH;ix{F43oEVk z>kn3~afQFNL}ikFP}A4de`lyIWcQtG^09ZeV&0@h=bi$;hD%frUmdKqYS%FAGskMC zHPA#?cmHkt?svx7+xeq-q!Zi6keNicT8gfYzXWk@ z4FC^t`F+K=S$~lGw6SM!V6a(P(-8K959zuOhW7iaV5u)HR5|O-O?-_wVJ7DRpNxy5 zLrY(gQm7y1q+yX^z&wDiN$ZC!Y#25^*W;JboXwG83~tG~0H-Uq#R@QNo0Um+m(6rExGyfO75GRudX zwxGkiX^i$DR`&tCMMB9Q{3S-u%13?9-<79byVFg+1X!HUJvqX%e1CblSop~U?1J`i_|Qm`BP&4)Q>>cdIsxSV#4gy_ zW)-&LuRV%$}}l^5%2{SEu4#X7DM9=D7QumzGAJ^N22B+R(mtHO5X$w_Nn*PVI` z)PzUuB>T`(l#vkGKPl=V%sKwe9rd%q_KbRmqRzu5?<3XCu z;P_i)9Wh=a5gFWY{?&!#pJ43NdupXj-wIs2MCyd`N8T^Bguvh)%u&qBK=@i7#z?q# z`6+DbvV$@kDZ$ziJiU~GXosyf^m;}F87Pfx6iHolZ}~i&d!~^EB%c{QJ{X=Mn6x&| zRk9rxXnub-pkq=boYJ0BDPNox+c9}NWycP%<*6T9jy#+qq z1IC}rw>i79fYDqhv2}yoL)GV>5YOq(&mqZ$xh&NkW#}t5y_m{!c(3d^6cvo3k+mS9 zfhHLR^LLi%dk@ZZa;*<;I~#T!veHo0nl@1Jr)i3pm)5a)1}>T%tC-JDm2(_VNpK+{#CF# z=374tA$JTe#KtURmqtLP67x20t4=YyP!zRGp8#OpR@|fdn6*)R({ggng3n=5`IP07hT=Res7Un(Q6~p1=Kx@>R)I zbvQQ=8pgn!b-osN(7urs>9!Xznn)gYdcgbP*%xL3u#Dp!0FOo+UXQRq{+hufr)*vN zED%G~oxL_MynEm@2E3dN#{+ofoqHg=9_^l~eLdHshE8*&)@m~5=Rq$HHC;02`(Fl2RJiK<{of+Y9ujSUn(lJovJrX+jVJ2?cwO8R3X`=7u!2m{0yYp z8q?ix%Hj>4Ym=7V&~Y))h9hEqqQk>6uiTrTJNZqt<_J2`;?oX=`c3POJC|AO+j%FH z^=B03*_hqX;ZWM&82TPN6uEXpF>qGYx8cF=u&;s%m6f2f&FS`mw@+)uZg8D=yP)n@ zLcCZtyic%1y}RJ*9jn5Ib5p1Fck;^Ub&z%-f%$0V??8eKTJ zm*4!d)BC*7&h5|IUH{F&mElyGSTneoo*DKgOHy~F@6tq8&@H+9p5g2#M;_l8?wyNu zd42kQoP$_}0}g|&NfnSewis1zBVv)?MJc=ag!};y+9AG~ZzYLNQtaA z5!_FDo~5Nd`#OOUhpNTLsr1@IG0|6N<<_G*7OdT6Dx*N(e;qAB{0Y^wcR*>EBAcEZ;2orRYNnVn_7jp!u{<;d!3kkDApL8?| zIvy@-8fICi?0|cQIHL(cT|iwnLwY|rM-j5jN3PK9v*}kbnO)ftaO`@gpWJUcdcA

4N%rZ&%P`&b37*!xYdhOO-9DJ} zoUOq3?R~7v4yMzyRMkA2WydgKv*$^z^J9}k!@ndGyGA&jp#6*m%=L5R z(emJq!i|J;W4{Bh03u&qxuQNaCqK!rsd^R?O;$oJ&ThktpbV;B-_#BDxUT<=u}FC4p)?U?Ekwury{EVscef zUeg1YUkONlYNBfN^>3IBa#HP{S%oRtDbQID%$^bXW@wYBgSSKqOcez2mkNsY{Vp}X ziue1Dp&YLpf|OS6Rj;HfsH%!-R-Q8%4;pKNE4_iVFWstw#Aznh_p}O_BZ(pgcf!mg zuNy6#Y#5}KPE+8w^7q!AVbGQy@`Z^YbG?};WvnZ3lb|NtGGZld%oc8C88cKQE@#^b z&1l=>kOhWI6Wul6YQ4mGWBMBTEDSUGGsBE!O4eDvvZ#qTTmPRwe1^p z{xF!>vfpNIVn+G9+7(ITO7NrQW^V*(-gJCu)0^Z-$~v2}irp;VWTq^o22#pic95xP za2fe6bZc-?b34C^D|7Ch&3`m_s<>sCm{!C_U4(PRG{d%AdH$P$-C1?g+X`r|w-)HZ zTUcoeC$F#H-*{hk*7v1$=>wTb$)V?`AMOchb~U>=PdwsM3IEEk@}*jny8DOEk}1R~ z?=b(P!)v%uDa~uOL=Xt?I}O6}h7xQV*XJnypMcT~Y-p$GBt;jtM1x;DU5Eob)C~On zSmzY2lur!<#GUva+{}(OZ#2J(jFE0#AI-_i((=$fQId~gn^=X7_F@8%dP9V7?Arjv z*aa}=%7l?!0J!f#o6Y1>?8Ic9o0o5+8 z7xM2W1}U<;;TaqUQN_Drc3zN9u%UGx4_QF@qQUuGxd^=M3H<7E^N>r$#}2|6IRRBf*mvF$zh zWRkYN6wIe4kd5e9G2PC;)3fB$-8z-srQ-p_&&OI9Vby8al{9S5ZnN4&GZDv`m0ehA zD(h2X+&bk|m^fEYxBR}l+aNzCY8-!bZuueB4A4j3>yl_1vqCK)NVWhsOZ~ygJVDF9 zvMw(zi(Q}yUAv)7>&W9I7XwT1QPXXyc9yl*quWbA3JxAyHX`;nG7cQl^Z(4*^!bPBmWMIJwU1a2$R{k!3v zH$%pS_-g$ltaT7C&W$gul+@qlFMYnr?eLZrWp6ZZaPXc2uSh@ZW^QnXBkQXL%!`O? z7gF33f%wlZyOtEN^@;=Y6t1h&1G8*_=dzV9RRWMJljMaOrHI2WDH{Hb4m^mWS>HE`(LR0 zINAVtGX+2p4rN|_-FT6Zq)}D<%h3Z05>`zeU%I}4oreuFY*#6l;Bx-EvMuPP{r&&T zoB5)$(S2;nfK}_gTdI9E2-0(pZ0WhrV%u2zM~CkJpQ`RO9_lvg1Nh9C8A}>_sqAEl zA}V{v5@SiUa)<0o>!38^ZU*DBMwYT9B%+O$yQCz9BwJEilzmCt*rM(W&*^?X&x_~9 z_x1nPxvrV>|DE%<9xCtDzi_G2%XQ`(EJ!U$>o!!JaIBYX=B`kp#HU-R zoK4^=+Y9cjXIYkR%Lw%!+#IumpX9|dSiJ-<+DlI@UR;+_m0~3Y zpv#`KY4wq$fb^+HZ-4h8SeXdO2Jg@Dv*L zOE8baJ|K~OJ907O%N(K@1TdA z8v##xmLD>fdQ*yspX(1^bF=xFx|0!mTd3=8+@--0w$ux(|ZE=UDyruv>GM8w!>ix zQx88nmhMw+FWsk^R8QYuy)~LRVkP_0$X8P)@chKVx!KL`e{UZr>OB2tRh;y$D>8Yj z2RI=Qyg2N$PFm8-b!wR={YuOX9d#J1ZWF%PSs`8bxckc_Pk4E@3@t8IfUwmoPIX>M zEP-6)WylJPB4%qy3AGyTO^O}8;dofb-?i-RQ3IV2BiW0`Y@SYk@)YmliZ4kJa_6(j zy=%!|OUN@LWb8-Tq((6*rMQhzd;@6n))_GqA9?wXk=sw-2nhXW7~3 z*x6rgv47BF-|Xlvo|pA1FKaR{hnbvnCpqU;a?WIOE|dGdi~D|>`(Y#ZgHz^*kjxJm znICRve(22mFqPMPH}7Zi=or`kbEf}9p8uC*|F2x7Z<$Kp^OSxhD@}2WrZbCX@``4Y zi+*z1gL9dKzw!ouClCJ1^~Rar3whp)$v$yv6xP4=^a3u)(I>?vgK8(aae0PZ_a2)3 zc)V@R8eXE|BZI?6Bm91nhmFJJrqfv=>v^9GsFC^`e=@J^%$`2@J4*N4!Dg5}s(OIM8cczNCe#7Yv8G*^tWe_AfMBlSQPm&&o!-DlAM~UH~1hT#A8(M$n%-Rg2=fMJ4YjM!uC==9j;E>Ij-1f9olQW``x`gPcK!{~bd zjYqo!K4y1#-q!ZdFpcjB>TBW{wj7Ty6VjX*XAk!%ddDjd%p`hwyyxL_tHA5~(@!q*E~H7Z@;pqq(ZYU1*gd8( z>Lg=mXX@qXq}sTNo87pvar=YmOrwLxn%a#E<}|_*`Gvi+_8XVp6MHi|_migIS2sDY zz|ZC=@R>1$H+QdI5ET*Jt2A#HyszF_B40cqk+&n2{!H@4mlgZgytLvUm-W7ANy^z9 zF}%CA7-efe5dc?h6 zF@dQ!oz7DG(yKFGlx9n{Z|@Wo{Jb{#gXv7$le&0${VNxfR!y5tui|M1$VRG9{!s6r z&loDcs3RR46n!!%rD!z#!&+1Ja+6s8(Z5R$t&qgoq&j0uDI((ws8h6L_;~MPfq&;UXGDvq@27qyDHV^ z`_9a21y<@0U*=dfG{0zjv2A6$;_=Czx2 z;#ZvutsL5>^&D}%iPKD7i<84QBq}}$vFFqd^vb$0b?I|_^9F0G`C#$GOUt+&tFPyO zrFiZ%j{Vz2_Jqvgly-)VWO%TPRHb0!pXQprAj?3fFD7O=%V6E?ypCM7O>R_He6#~g zXF~^-Y{B!~1)-}?!W5SkDGM*hcZ9PjoVr!TFALv&Uo}gJ%&)e*q%opEp9qj*yV>^C z|LYg9)wn3w!a!@l)j<2>qpHa5VcKbBITG$)h7Yc@>N)spbyAjU%RZ5d#GMaJWkgTD zii$HekTHr`6kk>b!>1Q%an!7^eLG~xJAW1m(jMk-;R=;HOc_etF%pg)2`+2!)JYH> zX$@;0k!7q^;#8+QC787OZ&}l-YR+anYOxWAw%#S~+r!Pz#Rc0{98!9lOp1(>Q~aK$ zF+Y;bxx>E3*^aJOdB=>bl8+f(NRA%2-`IUumZhUD$WPN=u<5V8W8|+N<2k=3yXlnn zCXc{soq0N6L$lizxm_KRisBAe8*V4n(w={Pur)8bCG7aLt@75>`p;Bb^VfW4(<|(j zHIRD5nm&0-G}W2}O1ZS@MrWPj&`DqFwMH|ZCYFdO5iCZg13mT&ON`vopk;2#c)-+^ zmaRNB|3IfFiBpkCoMg(Wh{Uy+mzWgGOMR+YO;jAXRDD+3>^bqWiJO@e+B6Waf39_7 zp-o-g&v^qO-siUYGV84esd8_~?6^_7iHY>Cr?sjk2Be5jbWsx*{U=18lQi>idHaE- zWy}|Z+*O{zHCRFUd$nT6ZjykBqVnO$Op4KO`}E5EXbDz|&UcxW-^PZHOVBPZtj$q2 zUszDpaPfPUc|-4~)2Ux{W5J$mrXsm(Rg|>{Y}fzIsdgjzx{7pDhUwc>*m>)<1^Ftt zoF6I!&)bZJt~R74DcWg_#>O6W4>x>tt6C;&)ZiPOW*@P&`|2YW8$woN1&7RsI!6lr>ov6X&q_c8#LXN(VD_S>yA1 z<6n-R_vMiz6_y`VlR5Hwtn5_MqD9R0W+%)L`HNp(#b%fxom;g{gCd{A_v*P*+mxTU}k|dn>o=P(qV>-Ei9Fcbdjt^CJH+#J)TWXl%I&zLjq+}~Hqqk~)zEN;G;La~Mcv0cn`v^gpTZYC`=kA- zxL|Gn;h`vpE0&zXD<=$s*;Dk=XU#!67ZfaYrhFQ-S7u|n2EDKOxMXcYbC7ZH)UwN? z1Y6bbm;W3tLrrA4GBJbprVM!*ey6^&s%AHRI8$cnRzBg~ALAbyUu0E2ONwMwP7L;4 z+n8z-4c!AdVS%P&TavxsZj~GkI)A-wUv7ouCsE=_&F9~gGq@4x@%3g=Z@n- z{xpKs2ggK7V;)&f>bY%cf&Fj(jEcquVo=Zfg;T!{R7BD#_v~7o3(oT23T+@+USrMq zyb4}>O1mcNhZZ40fxY{$1ahFnbfKX3eWJ&s_J!Ave>>5%9$ll7X2!){Jc_xbOtp5` zkUpg@bbQiz={M7K)5>CQN0)i5nCU~)y&lP8M!yae8sb`*fwi#AI!e2jZ0Os}bCTdW zk+YW6GwSMoaG5hRvQMH55BzMlNJ|K(NZ-0Cy6y6tKlkc#Uv@~Cc4(;2hM*0;Az_?s zzW0-41r-G)0%RA6o;OFOeM^Mb7AliO6}4iE$Z8q}u-y;7*(7+mFT5?PpQ9v@_fF;l zW53eZN5w8@zdL3ddropklfS0x-?wWw7!*r2xTfb3*ngKl=V|x<)&e=a_D~bQQe*8$ z?+_=UmLYa{A)n)5?;YmEfbSjk-n|s%_4i?3dUmF( zZMo)=Nqmcn2Dkq#a8T6dr>@~KVakYd6gG{uGiE$>CPjD1Qz>jJ`76(JC(m@)L_Wv$k-QXv0qr}dP?Fx(j{Qbm8pQHQCNblH-s`k)= z|Emr5pW^U;yY*!A^!ls|+FLwyumYbqeNl8t$c8I1!BqM(&_C|dR2asfaTbMtu?UCG z9~alP3a-(s%Q$tU?#@WZAn~F4ipGny>)i)ZeFY!is*ox->Ja8ts(Hv|O0>~USX*44 z>yE|ca;A6!7kY{sY1Ksj*kDz`v986s;V1ii}CczJ$S@w%}>>pX$@`ni!XB9ijl2E!(yu3 zGm#ISmYX?4T{%Hn?Nx3DMYd&3 zZ&U=+e5p7_c5r)){zJ)S^U&)uirMQ?^j~SMt}!4wt)7toMG%TjD}KxB-`!#)KQk}EQKNSz z43+J1{YdrNB1J8C?wsQZZb)5AIP)E`P7Ew1n#kECiBIV*TC*FUXIG2z%P%liE=7tK zk9wGICsvONRL^rN)pY0#euT{srXy^Qum!@F2wNe%4Pk49Z4hQ4Y>TiR!jQ^!KzKXC zjtK8S*a=~0gm)sm3*p@e??HGk!ut?*LD&`HzYyM!up7ee2zwxW0AWvry%6?B*au-> zg#8fqM>qiCK!k%3K8WxkgbyQp1mU9yA48ala4^Cl2!|qk9N`lPhar3tVHU#S2%kbY z0^vx6|3>&U!fb>&2uC3tjc^RYT!dp0MhM3t9FK4U!ifkcA)Jix8H7_1PDS`E!f6Pn zBb!UYHyB76zqB7`p^T#RrDf+Qd=MYs&% zs|a61_&UNj5Wb0UIl>hP-$M8{!gmn9i|{`P-$VF5!j%X=K)4FwhX_AHxEkTd2-hI| z1mRkQ>kzI-_$k5-2sa|!gzz(jn-PAFa0|jO5N<`d4dHf#I}q+f_$9(!2){zO8{yXo zzd^VM;kO9CL-;+y9}whVVGTpAnuw_zS{c z5&nkocZ7c+%tLq*;VFct5uQPK7U7==&mlaI@GpdaBm6JI7~utk7ZF}c#KaU#1SG%* z$bbT`1*C$rAPuC043G)Vf%6~>WP==#3od{>a1rE# z0#FDpfg*4j6oV3Q1(bp^a1~qw*TD^N6O@Ara0}c9cfein54Z>JgG%rKRDp-!5vT@_ zK@E5UYC#>S2Twr*Xar5*8E6L2K?`^RT0t9V2OXdjyaZj~73c=9!5h#6-hy}FJ@^26 z!AI~3^nrdb00zMj7zQI?6pVp!@EJ^iFW@Wq2EKzIfCnbQ6qp7xU>5uYb6_6)0>8n( P0D}dvNG!)oMAH8No)XlB delta 81910 zcmaHU3qVvw_xRl11(wIcF3V$iEti+Dfa`KWg-Yc85&_?4J{AE5 zBc+Cx<{FDjFQhNR>Z=d^%(l{k!qPG`^RcFxSzLc-?!By7{r`U7viF{ubLPyMbIzPO zGqW}RdB>v>K9&m-Nl%o#P&#pRQlJ3&kq~l({Nw!^I!e^*Ab1mU!yu;qY}+WG*5ZJ zw=@s_Mfv+zy}zyWN=TR;%irJF_ZQT?;=Z>2U9W9Ba3vyRyT&B$dS7$QN^Lam&~&HS zq6Ur@w*?-3%%aMrb9!4Mjz}#IZf|KC#cn+sQzJZ;w?D5r;$c0x!`WthUegiXyM3GD zYS{RGP3|4zDGjg#-(P4tkg4&QPJZQ-#wxz@g(lDX%YgN=sgb-pUHM{L@m2q&CwaF1 zr=edBwRo6MYFtdHqP6O{=3pN>!uS0XzRHQQHGk+p<`bHaKhfBHEFyo)eZ~_S`s)z- zQi!RZMxg_Z-|et@nGig79p85PY9Mud+wH5NNuTi~J|9a--$9xD_S$Ilon_AYwC429 zA8U4gs_96fuY}USh0+0G9pYZ=wmCL>(We^or<$X3>&GUvHI3dICe1N_tl4`LFw3Jj zPMcH6AT_6QL&f(9>2ox-#^V|?F3v}qGfOk}ir@J_)17hpzZ$FJnEZM<>F>s>-&sH`ZgcYWxoEuYZFD(`qyp@zaf9Y0i{KZ zcSY#?vT9;hJ(mtp>+&4JBT)1X{KR$Y$!&5sm;E=W7CgLiiXR?WB~jxn#x*iltDR8R zP%Q`4bAlL6i?Mus6HEH9J?0ZprOuu8 ztdXcR54m21)=KJ|v`NVMXHk1Y@tP(iyCiBat}caU0Z`*O*{uH$*7tNWK^I$5HkMOdLs?8{FDAph;OS-Za zDes8dOUu@_EH7(lDxaI0KPMA;A~7d+p=#_}w3U$XhD@`(Qabi$PfaF8D;3$j{GJ++JT+f~0QH92q~Em>a)T_w9#7Da9UQZm5WO zGPUWm9xOmI#V8wOq?F>nj+7T*@Tw&Du@`b9rSyd&7^yMD!u;@_L!!{h0b)VuoMwVn zWtTM|S>R|(={lqgviB?_Gb?pY{%qu}?zw$PbhMMw^!#U`xcfR4)$?$XRef|R4ACbN|FU=>sCo7wA4x7s&mNC z;$|9LbXb__@FRy|^6QE6SK<#xVH++TcIdfu*m1+J+yvJ1NSD*8 zy66^)YpqV+*!ZqA96$WVyfA#&-!Y=+HHDmRp?9 zXI6;l8tX@y=HsXE!)Y!tPEJv#?etHdVRM>`+I?l7MNCiov?FEH;3;}39!hi3>RQ=y z`m@g)2h0PfKJGqc{cvAeUYjTV=Vz9Gn~*ipc>L7<-+)w)3*dQ83yM^whT zJ9G9dQ`T;N&&ur18S3zE+lohjXXOjfYR| zYVG;GUgmn=@&P_dICqMcpM<#Jr_X5JNfIO={Zo|9J*Q1Vhn_6=hd&nga*d-y^1;5Q zBU1Xu&!Y6^bElw+V~#&P<)l#5ctj5h(0HWp$s>L1kMy-1(YqM+Cm+D94(E5t${aDP z(kJS-QEs2$eTQTdDb(}zAz4D0=wk5JJ^DMS?i3Ocs8*$Df)945oYsw4s|LDL za^TaPTHc(}S2SV^_$rf|Z4UIoZ!Ny=i^W?brpVX8U<_9(&Ndlszi9ocXG&lm*yr>pqov z89T@7V8;En|LK9fHd!b7(mHb!?%(u$ze-Q9I@cySnqo~gyRsTul z@*y;6o!aXIryBD<9ZWaCDy4eW0tD?UN5}DcGrJP4g1z11CV@H{cam zP!wz_fQHuL;4fvqlkdN7@iS+$HgSI6cjk*DvY$t+E~eaA)3jJqcA|b?R?fcc9QvJ~ zZntFLZppG-F^YyaiHGT*aMhPGwc)3Wmj3`!*Uuw_-Af~;;pSYEM0L#OTy=S*?YHx} zmg~(q9m?*UwJ(lr$r;#@tFm6F0ZE+i`JH|*+Kq;Hqth3xzje5Fr?dyK8x<)BTaP$d zf7xht<<}`;>@oRo-6=;UCV894WCi620)2xK_c)-cDmAdMTKKZvl|9yKy-BlwbcnlC zj2h6;6k`DS+aAFlakSnvQARaub_9{LW+&Dd#}bt}V@uep?sH{#Nrs_`T%A!eY+7yf z8s01F>lxVDZ49@yqQNvjM2j&3d>|;AiF7uZO)2ZpG|rbb>+?oj5uQH zuJ0`Y`5Q0tV(4;VIZ2F+Y-`K#GQ^Uum^G|y2@5WNyMv$z2xt=%~w*B#d1^t9@{Wr;P3?-Pt>Fjvxg#MgSW_uvRrYJ~;iuO=&uidi%) z6Ay#_h>RgDCv{VberGnDxHFxlcmL3)H)pVy)7ds>tNi0kFfgn0iA-<=rWuKPgE508 zO#lh2!^h%b+s|E~W~VQCNm&;^i#10zs4evVets*}#E{z>QfP<0eUSj%6Nc+A1R) z=hH1eT*+n|Gc+Do(pj)Y(B0GEz!EMDEI1*WO@cCR-<5s%nyk*ATyxoMs+hEp4 z2hy1i3jD)`KA)j>G27=g)K0OzS*YESB?^-Pb|OCq;@VWo>N`u>Ui4*RRVjPG>S)#( z`oTv6fOxmg=lf%qv2yCbrZS?WIbdW%R@crlwp>*~x7jSyp*KUo+~o@cP(nP*wcDGg z5cdPMOS4U)<}7m=djPzeO`f1v5 zEkY-(9$j($;2o_l$K-ZO`^Ss7|{px z$3I%$1?rYwg4oCOYizsf!R~&AH|SW$orA#S$Iy%e@T($D(kdB%$BimO1#SI)huq(U z4gyJ=^G4{Z^@eGV9!htkq22N!6*w#q8mPz7Gi^>94-kSiB2jOqhvflhq!y-=olgXRMfgITs_8>hMdz_Ye8$5^hW$#iBb)a6*POqVX3r8f@8!&7$ffrKyG#c9c z0BdzUAbWry{R!`hRtNBq*Hv8)upotl(6Ysi2u{xTOutccrXslQN0r{FXEztKAa#(g z-%yZh$XZCp{AA2wcQWkGc-C~sm_3K3H(s<%CpEl=nzQ=6hxkFgpT2V8L=LNyp3kyg zJ)hn9Gt>Y((9yg6hs^QpF25l&!|qZI^{IvuFQ*Xlms8m`5ip*UsPE{ZzLDLb3<&k2 zVVjr^_^B72=Ds0u6r0V;Jpox3&)Qsooy5yI#O(DhZPF_YnQG%%XJoxbn10812vpxn zweBEcmVR>%n?o4&9r`$jEpJst{D9o3s`v67J(AZyJ*QY&taju z&4=_e(VW8q1=5F^FrivZIPbA2#LS(8~R2?q}v$}?RHLKdwqvU_lNw4Y)QTT zL;mglL(mse0Lnj;wYig?srh%~Oty0dt8*ZIb0r}EAs1sI;U9)YWuC#xf2Du8Xt3CJ z&R|VQPpWg3jB{AkTCXAFEVkEs2;AaqaL3JQV8J;cD6muWEMoDq!Q##PexiT5c(5FR zZPZ46b5;v+0(z6k`iV&tOR!AC(lsPS1`#r7*dh|6G^f);-&n*^Dt#1aWAe7k(|ird z?1c-7FsaW5(VzAnY!h40A8Xx_OId&JOlEtPgBsSzu;zJe;$?qQm@mX&FQG5%`N}%rH+?{5DtLGG`3eds7bLo&`)2sR1jib05feS-rBs{C`~{=#7BB`?4hlYMl|=BB0$osmUqIx|r^~bj)^? zo_N{f0i^e3wYv}Q%Wn4=Y>U-H5rO|^p1GbUo=BuzCx~=#R>54yHWXxgQiK59Tls;K%dWHunxhU%F%ozmf&< zqV7P4h`jm0^kcnYd%iob`B{>7%PrJqFxr`rFT(P3G70|bK!Oj|gs&Y)2y=WA^mF_= z>~BhlrPkwYQ$lobGoRv_KXaZoy}Ybs<*e0JNZKMM*(DUdL5%l&5EA0#&jQvdU8JT;%gCfv;^M@Hy2Lu(T~LTZ8 z*VI)NS2krGr3Sk5td16mDL+x)oK19fZyx>7Ws8g-NjVuw#h{)m$RP#poyl7Ze5hoc zb6yq=8p|&p?rJrt_!z|oJ8XPqZ=OjmgXIT={Hd2MA*TLDFM#jOF}dG`x|$5oViKnS z_LYx}-f^YP#Z<(_>S>8&bj?#)+l{@!+yZ6bSc&|1ljA``rBA|wzPBE;-h|MX_=bb+ zPJot7SR?(+VUQ}JeP$vnejok*JtqZJl43LH7;Of zuu4;!@?%W}`KPX$*Rito(0u;zRkCWkOy9DBqY&rsvO%XT-_X~u(m}S`vzBY-1#F(} zXIMo;aG*)Co&|5Uub|nGx392y8mU@-e%kqICl;{q|10yz|EHuVI;_e*PN4XXgi~_vvm9E)0tvQodp2qg5 z93WJlLl0jC;dU4t6QKu}A7r#6uk}r0+r0<-l88fvngG5GP&()u z`~}V0HHmF=1T3fnMOR=_(lvM2)Yg$w&Z-23l4q@G0S%O;70IPM9Qwe6t#k`3&Wnr4ST_s{EasCfc4kW zF(;Y}tWUSLa3g>Ea8WoJd5^Ip-`aTMABLNZHQ}kq05grsJeM6Ec6$ErQF{95FP3y- zVPfb5JWnQlUIvRdGO%ug zIRvxaZ4l<;96)ZD4RVMQ_rdeI`;*=2$nvc~5B?BB3ZPO!fd}lB4mwK-i+ZxG_Xn1@ z-I?Vlf-nEs0R{*_L?#raItUxA<;_{;&Dk4a)37_mnqXWGj?D;uwKrh!<7fNZyumlY zD*eiJOB(&+byCMBg4i824c1FvnwPUS==II(mTHHVex}y7W57c@-qaiX}~*v zqkvV0Mawl3W4PN2P%S&;9z zbP>`B^uy;!S5$*WHQVbqXbfieB4Q3SdjWzUXBd!OkbtpX>U9`&fqzDb%Sg^f27#F? zmnHEh=z)V8Lwn#Lq(UGnwK|Zf6xIVBR1V14Q8lg{1fVY$f)>500J=-uvgKEfpP&y1wou$f<4~skn9z8r+}%$3uJS^m`~4z45w8E8?YS`a4HurK(Y7W zvDPCZ%dh$Keqdq0=<+n?7$j7Es62%azR767;x^>HEA0QA;+gWhPk06K20A;G7y z8iFljEphpI#wxbDnl;z3vRc*}WUgitf1;q=B(lg(RIzd?=uj0wfa*jXZiB{h78KZ6 z!R}ni?yO|#6~9Y!68ZMZS)$#l!I710yJ~RY!bS~cy=Y#+P3E1cph-@HB$~W*>n_jG z@7zW{5WEInrp@VKdDO;4X$~FwEA-R;3Y*vvV28}}hHzz9@E~~0T`t5TR-LoBl7w0_ zmz~b#!j6=qDT&s3CL4_6&J=cMDp?!R8!y0LSkHmeg7t_I)^@%_#uzpSR$|6z2%y28 zso8Q^?SYyI;RoP%D0)wfXbcA-1-B)o^@!MFqe=P+T8%J2*Ply|hv!TOhqs&!dmi6XB+K# z%i;ku$P{+qLhqd~cHJ4Y-qh3ix1ewr8rFf|Z@fW>r17xRXjrPTSsgc(keqgu)%gNP z`2sAgN+2}(GSN^~0(K9L$swWBhlWW81rj8~&?uy~N%~Ae5~oMAtk)oEP5Sc_#A&7? z%XcK+O0hbb3Sv!puvQ0yfE<+#a@dxugn{>=fp^iDJJzBv3H@D=SmWb0jqRWxm-IYi zwW`d4foxY0mj>L*bL4!6bg>!IpZV`%AESGS;r$UedbrWC@9hz{kYQLV(!=GsbmOP_ zKV9rVkl4|QJ8}PB#K}4Xcr!!PcOO5TFzG;2J;yqp@Y-l~Fcpvm0%WSWEHPxz%ULsI z&?`7|wjE7rb8K8rWNlo*?seF`0@g>jO`<8F&sShKX2tI(T3L&G7t}6?J%FEJzis2i z98h{!_G6MTJ%QL0cSb;EA#HlF9M*LHb~~ z|B$}zFl6;|$g(;O^7*j9ge)wVQi_M19_$s28-_&<;n@h;7s!bQLfY^s1(`&vsOm_>%ppy8t69JQC*uCbWvq;quu(!dSz9twJv|j zZ8LnY$RBsx)M#AA(ofyCEb3gv9Th$y>0o7@bGbGH9G+55vcY)sn!SYHkg-&o3jWvUBC?| zsW!Qu@PD2lY6xO@XDw@UY_$e<)^O4K=>h9)09l>ky&Vn18|aN5Mi9m^ACmkP?8Y2; z%UJ;8&y80$2e<;C9K zd&S)aTk;01zeCht2oa-7zcZ5E8O2X_2YOvuI88;vX{x|n8uH|Dm`V!SC$~tp(Xkh7 zGl~VX{eMymLAE=J_kjuIaCTczxW@ z@}IxH5qtBCKmPm22RDm)UOnKR>}04bD=uxSS&5`O_>&g0=f&K@`&sVz#S6mmi=F)O z3)wDlyEZ>}*6gOj0;Jk4ChP93nVFfJw3#!S!ZRi&=*CAQ_ZRJzva*o81K!eR*-NE+ zcv7)@#nDaG6*%h$**NEycv#NMc=ZpmY?pofYtnu(9=3!`c6vn|jj|7jv43|+rpP45 z#oZyfjt2pl`6_K^+h-1B=VkwiAzEPVqt4XKRB_Nqa9KD0Q9g$=qRX48gcK0>B##PNPu5Tb2 zhB-iZJ&%B7uS3@eZlW$7xxFFaLZAKLZWB_(&-UvI6Q_iWv{rZrn;e`s;qL0z=`thQBe6P zNcL}`rF2Gz+}`0|jyPr^Wgq{{Bru6gdb}0uk|tC-9a0?` zh0iK0X{f2&L<-*O@|aUa{eOXwFiMoji6B8$YDKY$OKJz}Y&_)D{gMihMvi%uvs)caEWdeox5zMW`tPdEROK z9-&21Vh^k-^8893h0v}jrSmNW(AT5xciy0!;n|~{q5Lp9A}n>*bTEATKgXzEpQGQ-MBabP?E2xur;DjO}=M>LxqAoE3xqWfB)4pE{L)lQbHodxm8)6t4 z-$9{%Vq$udE-4`?F)2M&hvJ5C#wQA$?5n#30>8#hpC|$h!#qN2LTX|v$o2Hy8Uf$% zGJ$g_WeqbpO&T>D-*0)WG+kOkT4Gvyx-LB-9VC5*2NT=#KFdF+r)TIg5;77q(ld2% z9FPZsf%$&VJq9#oCS)dNrf2E060#Dr(vkEWZ{LwWi1ErdLLwZ`gOdpV5f`6VhB;n< z=YXH^#`8-5u#4b)N~ZY> z1_7iY1(NVS5j-3K(vgDV00B+9cn$*Xii9dU0O?XQ(f&(HAA>?HKp74SjZ5s5pjsM( z6b=Fad=>!Wk%uD>IO3pV(h`uu$xZ>fm^Kk9q;?7f0PH*4DG&g#?;-$n2IwJ^mV^|p zb|M6jiWF{kA_M?El-Y?80QAs(ln8W?NrMi0*l7>|2v%;VK>*M+GLv>=PML{V3nLuEn3|$ z3rPH&hZ%kJ<_Qz7A~VXFQl{KlX<^_PIG|yxthY z?#!~Pnup7f>zkA|DQP=*pzkf7=inav%2Xe~@F9CG*ss7S3;d&Amd1jk9qIc((m z8I;3uXj1+;lzW_{v`l=u=n;q75u*n6-1^>3}JeuKV}6Xkz7xT?4X za6z$QR2k&;`xv2-BjUvk$l*4$=ttvByiqex4pK!7D*jM?X~5_Fh}s`;RW&{ zZzp{A6_qknDuwb&&<1Dn4fS0_yuWef57mab;ZM?iLJczEd}=ESV4Yg*C`X3*t=j}`C&AykIg9=Jye&pybH)5$s||Kh%_@GltZ7==+T08jA0i?SMu~khebjNGfW)0K)*)G# zaMm~SF|gopp-V}~bsUj693qZGevu-qNbynPqIe&PA!-yi4tZ`+dx7~hKgZTaO;<|z~<$FZ2r{(Fe%mnkH}^swr@k`&)^Z0l6j% z{e##n1-NFmmypXML^^J%0#9-ANS-btw%Rm3p3$bJL(rT7Wt?wIK=LfWix==72fXZ2 zJSfX07dY@R2DAHp3uK0c}b3x#6hLWls@kqasCfTW9v$*o1w#pJsfc`XrYKA74}>g1PIBY(XJ zHx7CFdP<80yIjgEL`VsKAjgN!C-7T*I?iBe`d6TFzTDT zVwBGX&8c9uC9qo8YLWNKQE+Zk_z}snbzsrRw{jG?pgF6qrV1{_6xV^ns{+IFuil>P z}lk^7Wn(CxAA>+!=+rGo=dsDs;=1Q$5;fpB~?=kJ}ecvzChRj zC&nP@PF~=u-B@?a*Ejh^-hXmayiW(v=N-_}Ot@Q6Se;pzg`|7H{3=V3%U=6!tCx5t znU`_%E#Ek5KUVzit5m$glN!BD5OM&2ean}o4&pP5r+87Xa+PvB@4a#0-SroaSHn0c z4+*Bo-BU=NnGH7;EcfEkui>iSeS-~e`~$l8n|$2`MWY4}^N{yj|4>ue^Vgb4(L1(n za!2Crd%NZE@3-x1bhORY?bo;d@Rf-D-r3iJe zGbDR|%-u8j2ZD#$6VRU5i|fi?oTDjHdKso>A{l{=rpCtvvT$pNgWJAYv(5IX>=b;aZvM zvr$;aR{nBc)9k`&nFUDtIgb=_l2cv2Y@^+^^Vh4$lqK*U8SHaFC*E{kod ztf{F*4v$OPk?x7-jH(G#<8ytg5bcu^m<)**4$IUQ6!PSx>m==526nxKk4u_)?gCq| z8>I?}-e6}>{S-XHJ)f}f1l{^tNPbo~G*#3$krtb#BCm~j2BlI?-X!$FIHnKoo9%tb zh3wWdcRSF=cOYg9et}X&$7}^&xLZJWHQ6Q^Rg-6V{v4l!PN1Oe*hZ<;R5A7tsRG6= zCD-)$+f7-8Gqw4Jg+)kOBBYc{`Fx133>S$&6w7g=NaZ6c7i0Omvwbrw;D#Wq^I%n$ zRajeA{b1^RA$tQX*ExlNqA$Ql_jox8Y#zHA5^O&amv{S_} z=J!lU-0Xp*6L>b&I_N+KjMq$Vi9XsD60(6&`!ZPJpz`sK=i41a*>|FtOpjjvzLSVJ z`8DTU2=XALC-H)BqL^FamMfF~hO4^opGVE(et>gPUA{IKwkjSNGf>TO)IRCZsl}@lp=U#~(SWLer<;+au!Zlm&m|Kw2c`f;H(3 z@?DG^W`gofn#J>vKYd5#i>FFeDxWz}Ojbj4$@g01Fb}H5%*VA(s%UBfe!)pKE@>fu z1QTvQ6Ryc75b|DhuOK+B^;kT%BJy5>Z#$_XoeY0%)47RnQ?m~1rK%`6UP_vo(F{4{ zznbQTu@pI5=S1QShfK##AAIvqjjfElH*SX;$Z+hGuL=5DkU4)guwRggl*Yfw%VD#4 z0}6izr#q{{3~&xrxMU7JUQehKhk>MUB9+KeE*wg=U$x#Q<`N51IFw3+>G>SoVZO(0 zI%DV@H@OO%ZPIprP$Qq`Ooy;G=34p>&MKwh1)fK6u4MDgei_|kx7}z7Pp+`%Hah#j zh8sDH>$!&)#NF%N(!F9>4?y7k5@B==@_8AMz&#LK6r=)!>c0=d_*wm>GY~nV!+;=c38sGWO++~O0yjp|8zO0 zPCl?ZC*U$0Aexw2kUBjR1soD%qeA6NBX8KAlrGZ$@yh0XoV6b!9*e|-bqGD)l zMTn|hzamv28K6S}UxT>#r94at2GD`H0!WpRa#nv1b*fLf+f$8=(w- zH^J*$L5K^A((_@VPBLUt*f%0t?SGaG2k{3${$A>^al}o7K>eIR-35Ncfg|twLk}xc zxrhws|AF+6JZaYpK!DFb$}Wl_*T@BHz|PPAfO8pi0CJbESA<3rk*95RG7{KE%4>K1 z(X7nb+6PmS!SxqF;Pq5~;~v2x>95cZ{2GGmP5372lz^nL{X?>t91g3tvv%f*db>#M?rGHi zP>?JB3Sx98*qR}>j+2!tAC(Vm!*H{~U2g_HKF|Zlc&Y*@InMS}X~`}N>7dfg7blm6k^e6mM54?4A%Q`>G{4&Qs;(B8ANoB5c19JVmKe<%f>qa;Qie#`7Qz7dVd)IESp$ zIGzc6=c4%Lr5gS_AR6ycs{9o(5^nmE#`0kGc--ct3e5M?O75dPRsgSs%4 zdF#fO)s>Zzgr2Em|bA@3>tlE})+CRZS+ zL)tw2sJCkT_-SNyLq00khvpN>{w>IsK1fCya25#cJjAo( zzL4XYTa-SDf);UaxmlaX#$7^$uU>-R^HBu_=|K==(E;9eDL)LE@S8QWGf8Ywg8%SQ z`5DS2f)nLJX!t=2h!l`wnSD7bEUA|RC9=Q%lL<=!KwB9goEc>$*!R(S{R8oLnRZSOfO#C=}GtDuJ8 z9^NxZ_X@OM;%SGyj9)yg3XRw&;6#px^!t86QlNYXh@|-RyGg>Q-)nnS61RiGut2)M z6Fc{+0+P%`WMt<8c^n!gmJ~^5ZHv9c2 ze8S)p4xb44jDt@ke4^l^floAiV&D@CpYia~!e>IyeT#I-(T4i63Z!Zz+h8zCv+GvQ ztE*@ztJBV^coYQH1lwP#C&?x%(M5ad_&BgmP_)Ra@w>Ii1(d!3m zk|`Wz)V=rCW?f2x{1G2CBQnkdB=gjwo~VhQJw@;99;W0i3IHb%@S{r~i41h<`Qihe zpNGL~Gn$*8rY+1$FPQx_ITRQ-87ZIPU^5E~XLIKS;oO_DjRU1kPc7m=a70bHg#*n_ z%b&)B7^K|FfwJtN1mv^Lj+mPmkMR4r!IW*TRJ#9M&n~bE}5$8{%F^+W! z)lfU|yPxYO8`^oO>+`5xn=xnl^aqjK3-AcbiExN77pcsMi$jW1r%i_+S}R^!Sr!u)3+uoxyb3U=LwLg}U5LuUx8>1| z##BVUufb2N=)97V@^t~84P%#)+LT>{yx$N&a31t&0)ubl`{pPxqj1(n4w_N8{|lX? z0p{|zgtFY3GdKkJM&3OFaAxXs4hY}K_wZfde2xl0=Of=<0bG!tKaB&E2ju&<0G>Sx zCJ)H>-vT(DfR_Y5QsTR2t-PXQOqg+X(@{Nf;>gzj&F2Pl2Q~i$QP8M zm?7>daT$_+#W&_Yj9^6Vyq@OU0ubNSH$qccSxIRq>RYa>_f^!bg0-B0{~dy1w&Q=# z;{%AtKWE1$ef@#MzrU^+?jfJ&@jWgO{Q36I{$wXGpQ9kVC{Tc7ZAkMoM_>XFfLZR6 zK;a4^8fB+&m8U>p90ea6UUe31<{Iukql?n~B4FJ>MB(gMzw%fF#$(;&v3}!P#K~uM zj+$En>TN`H(vJEEk4j)X>YqI72!}eQq@)tgY_kF`qKM+!aVd(^OakL@-9-Q(nh8hp zpub`~{f*>^`{IyP0&vhv4@ZK}*zuk0_zaIPy$9c!!{7g%ZhiRZX>f8T(@9cNQ`b;h zQD4g+2hYmP%2cvXG`qaLO+W$5W-a`j>^{s4`sK zyD-8`yfRvV@sCpc$XCea{_%=OPgV}4R=jWyu7R=sA0-%WxW5bPw3DhIF@!#;Z1_x;u zO$uJiyJxO3B!86m-%G1kE?wKepvcE?+&c@EIHj0TQvV{)k^YY(F4E!z3gnUW3BJJ; z1Kv{1OqDgFXs{~Ss0Ax&!l#RwNXe7rM?8}!EQ)rBSx+7-CoCE#ifqP9mNMh04Y<2L zQHhUFSmYMq*9yg4J|e!E7fMq@@k-d5pRf@hS;}asP53I{NQ{KanZAV@C-pNZS{uI| zAL(D{YjAG^cwIw9EBOsNNSX4C$+m*R+V2l+BVr-f2N+&q(y}ts$o+w5?Ug{S6wkpM zOqiop?Gt-8Cg|W(=58h1Uo*kr=fNkfU|L*rH2$^(26+c|EoCN7Z66~hQXfg57Y619 z#EUm>tKe2WcM1?@6hiO9hEmX!-T1{)COZ5@;D+=6&9%j)$g9KtT31$IR`)O*`H9_w zi+^7jL+!=J-xq4Am+%Z`k#f6#kjnXGzE!_{_>nT^DTuhFZ!Kf|Tqd7bJQ_h$0XmTcVyl7)GJd3%A-{qQ zPrIRf@yzVe@~+r_B%XB}`7>y*9;!_uWELy=YO!~DfY}_O+gg7eYFsp0tsVcO4TgbL9;oH9j9raKryvwnXbLL+!<- zkOvFbC7|){SW(Z!W_lnlRpBnTSBGForm)x4Ax}@f2*`uARztCek~g_}vTquP)LvM# zd`Xa#Hz8Hqg5z!l`Qzowm#Ca#R0Q==EFV^f?QdX2VieM&8%WV~$+6GRJeRCxSy>dw?m5bk7xg?&-!_|*6QPec7%U$d*iqFTdKguwQ>Gx8YT!`0HE}29X;XNZk z5rH!hw`-xC3FZu0UQW_HTjOTqJGqM^s5w|swIqm|i$l~wq0~J5$yv28H6LGojG5s4 zAczeXUZD$c@OSDE>LHx^ojRIYh|j8n5~xLZ4|!XRQ-g!Zlym1hbs$VRFIEG`#dzxX z>L8eM&Qvc6hbhM|e{r~TDGUZ|0gW%ihrUcTa#DY{2dYW}N54M7?0i8Lpd% zCayuS#Kc8Es3(NE!@NV1m#GQ)WK{|C4oOY1YDuKygk~}`;;XBcXhbnBxTz6rr4@HJG6~dE z_$*Wm-N;!PQalZM%Kc1B6Z8$PY)LmEE(03283#2nq0}=trHS!#h6#n_$0D}iXMR+N z!w-(OwZhjn+}XqgQP1LIO-#5S%oUaOKw-P^jd_(x+<~2*WF|Wci$C@A_}dwa16`yq z@FX=man+Ncjl1xch9w&C^hchA$*=<#Z&b&Nw0m*jI%Yih@w|0R7_|>y-3ZO@$6imX z$5F4~JI#y_wytBO)B(KUX>~aK5&$PYIjqgNoUdTa;jd!+v^vbG6F6E^+=AbGT1|UO z%>tiYJW|*peC?t-be!d0iPUS6w40ZS%j-m{MEoId;0JzI)6|=|c#}FR(EqK`;c5oW zs4gidKhIoU)1XDMhjDN-6XVtUH}#5p8!v8VbjpAKRV^p<;XTbT#lMTKlo}ZeULfyls6Y>}m0GcYq}hQo_OpTxSzhXjob~ zo&m3@9JmKW)}UmSL-OF#V|+)Y{}aaMI6sBduf^>x3?2S4Q5raPdxHCJsjaDPifgJY zd$_E!sb-mAaQN&?az9bnXZThNsL$t^UbHCEL5t{<=(%aMT679weWyB96!!&wdIO`Q z2Jt%^z#V>t&uw5d)G&5$Wqf_UA#x@ISXx%xP+nEP984qhTYP^j6XFGn6wX4Ss(n#rRfS;tdlH_UNE_hsz*M*%e-|!Xld@k;7GhxY&?zy4>U1J@wsSQBqP1 z1|JVu!Rvy0Bp?@9lo00;jifhu83+G{o1S9SaO;e-u(aRtfv1>Mr`z0MwqW@ob%ZA@ zM~IIosVZ%NYi=n1PlU4%spDKm_>qod5q?5UYdz(6_=-94%;W@^VS^Dqa!9RKz>_bT46_yoc^1$3z1jzF z-NbmMd+{}w*VL54`7D1Qit|H2i`$F8>#f~=DZb8TZeLZ!-`0rrqoSMeq1V)rE>eFU zlo){hjm%`PKv*_$9vw-8_>y=vE;BL=6^w%&=`e4am&&8HU^}7Y4F6gb7KW`xCe$O` zUIbDM9fzsSAg)M!+L6{k_%MAl_^W9A@Mb2Cip7T4)e~dKLoXoh4!qZ|twyp5!o7j; z1PF6d?! z(CYp8_A}6f2k`hdm|K#u?oD+ZH5EVI2A(1nZ+TNaiAuxQ+JLbPeA=0gS7Zu}SC?|5 ztIozDE_9H4&X|VOxi}wS&Uu0irs2a|n9#y}p-a`J_HL>%T*8<6@zJl~ZLT&Z5bUmfBi zk=**ZaM`ystD>%+-_v$~h;JR#EiDmwEP^)@9r45Cuox1x0ZYg=7JDosP~bMi-SuOU zgO=jtZA_q|1lWbuqqLO2;}pFNr}U~daCC0EjGmBL4k+M`puMaNqaP?(%C}Aybds`h(J&Lb9%S8J<230E8w7@!vlksRR zEv#jqgZcFdyyQ7XO&RbL&q0XLh$}tlQ0hti417gE-g~TSuZKRwGx5)IR@lrNR$dDZ z+Ro&{5_ZNrYHtWJ9^Vc+y%BGIN9`|)+Juj6XCg&L3h%tU&@Vu-8G3S0$ngv>(WEUn z2s4QgT+n@LKT*W9col{K_clJhotSX;Z0B`NMPeJ=q&tvLcwLi5J+Z1%9 z$1dO$lm+-p$UreK;&GmIwEG^yZ$ieiWbZg)FJA0PhbvwJ(ZfzY(L6FfzAxkcchx?b z`-oUe1T9(LP+nF|o=H&j3O^X02ZV7gd!%;Rnp)UpmYal81gBV8yc`7{#9w>T0UmJU zjd#@Rhh~w-0AHyD5-s3jm}7W?HytO33v5KidDf8bV_fS^PoPfV z4sSZa`4fIPXe&PLO$Sq-;Sp~-5@HSg`|1Sh6#irF8LX| zzsN*TmoW7qEN`yh;16M0bQS-pqW|6VjvxIVMY-Z@dzdJd8-E%b_I2uG$mUK)=3EHa zbTS;bmzhAhh z&<PCx>l zaU6WXB>c@1ItS4V!)Y(FBgLg%g2XKsG}p`k`M{DWpF`=A12uQ@+jn+hZ%#omCzzQbbtx* zf$KsrakNyETW#P;DoV<$YjZ;lbOvoIbntZOPrn<6%Bsw;6GM~rGe9C;R zE}jlqqW<0oF7$vSY~kEm)5FD;tAXI;r8w?tybu2VAVadc<33euMKNVK;Z-o0 zWq8S}j89OxsIH-o(B2BCtM0{)sUVd7@bOR8a?!+unAtoYlD)L-sAO7^r_0=_6q-!Quy4JSfzLRRr11)5* zId{2qD4txpi| z&mUqmo?Gl1LguWZs)I|NioMe^4P)O zWD`OY_jc$c7q=v2B%w(!;0g;f5$4kuEwCEfg^ya8|D)@>(j z_nbMiVdMS&KKv1O=FBPYdCz;^^StFa^Kbs2N`rRES4mNbM+)4>yClc0v}}Q@hS>gT zuJ_xh<{_;2+v)0uFxR_j-$NcDY115O4tznW$c%WF%EuGV^Zi6G39_=bcA{V z-K*>At%7PFP&GLb=7KYxc(;8;;Ct+2$t&@I6Tf%xueU3DE>`BZU&9mCJY z#s=fHF?GkSi`zRIQlX;z+ll-HRkU_2_gCTjly=H`@u1JpSX~QvyTu^X{z&-YeC~hN z)cY*z3#-`b45IKhQop2dtDchkl@%Y?A(ykLz~x$p@OS?+Q31MGbHYl$WI0BM*pQZm z!+#^crQKG&I^Rsc5`EH0jZ*1>uZ!Vtk|$-JvKj}nDHD0VCbYY|pC0Gv>@i5=ltL1a zv81X7SG0vCac@^d@pAAyE`?l4daNgvd;CH}O%tBKQHt=0J+J+St8mlBmR!TitG!Zz z!%FY$&~jsf5s71*DQy{|qh*;^djAHkM9#9(V;8DwFqne}WF=)&TC$#)m&+?&(bLwe z$4BJBe;JUI*&!REa*SQHfR4*Sk8FS36H1{e`eu6n`?QQbdsFn}0diplCApM>J>ePp zivwt0iBd($1$qJkjaY$RMrTTtMA}fG=frR+(nl!3d4`6SDv6_aaS*p&N`@ipe@c>8r^ptCwE2QH4XknS+g?q}aWlEvtK{`^V zXqJcROqt@e{F~H4N<2`|Tb;U|0uZ!M1zi4#Q6%l5wS$yI*W+TGbL+aGdPvbv8mJ>T zOd0wJIvVzrWOU9rU9!DYTBPgFefX-eeuGg)vOQx$l=}r<7I8olwAqZk2u9UsDRr=t z8x5ZizO5ORL2GqN%JVdHu#$$%Rp@dIT|HO{lk;Ds1ecyy^pa$xVo!IiA2$uz)wl(r z2NxTT>L~}l@pnvg&_P<{(#tG|=w6pzoB}BH|1;>)Be=~+ID zA-&?!RWDpQ_;>s`+1A=3MSUzf1O8~hrT~>X3TSCS34R1SPNRk??x;@$Up2JDe9Jit zAnH}a6b_=^HB3p31c=JNGuzAkf)40=lXm9Mq*(^NNHal`{hHI_Ihi|G;IlpH_M4Z{_+B>L?C z0O{H}w90GR%qIf!#J$c)t5@IN+6p_6Q_A=WcC%lHgKdAN6xf(7zfu|g1anjsMycfA zX->J4Wchl?eeB$vfP51dsJNFSlZ$+CsU zj!-n&YN56fN=jlsacBias!G;F?KN1|DW&wMHN|>z6g)e);%~EwGod@gLa&Zcimai4 zGb}IIV`OJIoi5f3=uO%u}i&PN{=ba+T<1vSy*3 zl}fJcu+WQ@N_t4TXulxCLO)ibe_0mNsuV|TwxE*GGhk$Z*K>}AW>hI@v3Y12{;2cn z=VFHPJ>1%mnNL?$DH)bRdaz3I1{Vo%%;lz&RZ0;IsA<)pzfL2oQHD`VHRh(6HdHIR z#Yaz7E2XIbMnx!Ab(IuRD%$RG{AS(B+Zz;V3ZKDEe)bqQK;uJX*O&P4NLHyYYLT&0kNi1(-zCvqj zl>CH7R1ZU8#5{{(sS(XcY(70#gGp|ppK6p6OEbB~L0&JQ3FDM(cnmEYhbd~IyT>Vm zQ(FBDP~y6LSzT98YkNcUyyix%b#EITE!P>2m%i~zNkm5gAtd)AS~DJF?W70BLl|_? z(eX+ij+?*phfAn%f|4BvWEBZ)HW*MUz*1a<+l8Y5Etr7Dm($J(*zH!(qZ5>L0ITm# zP)ZX3tnw3jJ->{D=e+FUI-TUcgz_e$*41>;L?tJ2O+YsS|(c!Ursw3)p zF*K7PXfu3AU>#XE(8?)FX2gwwWAWWY_fJuZg8>3_=1RYrzMG;r?7Og{ID&hN7+UtN zq)t_`D*hQbqR88Xkm?=p?P3V%oELt2fQ7jWe)#Udr`|j1im3{V03v*TaK-0g&bx^t1q7~ zjWl_$2nzR>wjq%jZ=W5a8^-E7FkvuFS_v@W^AnNyss(V>GRUuM0(22H6^W!~hVJ1P zm9J6l3@qx`X>g5Rgw&laHF|#9o1!DOx5VGDxA}T7js_lS*gJH3hB6e0a?wmB&57h@ zeo@)dg3T@F{XP@z<}=Nco^gyZ<3k!gPEU{c2;(!9)9T_9Deq&tZzg2pF}i7-UT8T^ zFOSnx27eMzZ4)lw_6s~9qjtd295?JGNciWX$*%S;j8Of8F1`>O&zH3NLTn*l(c1C4 zGaR|i%q@s)y@K^o+Fxto#-d}?<{PdKhud>5S71B>cx2XD^0st$te8| zRU5~S>dN8(@u&VS_yBMg4*i$cw=Y5Rj3pgCi`#qLo)W-qf6&7hD@6%^;&UYO5R0H! zBV>QkFBdDiEXm}Yt>old0Nwl_jYJ?*8RrYph~%@%)ID4As{K$L!!;{4H+JD_>6C^A z$@Io-Wk`B|0ezW*=<)`*uJP=Rtwy0u%2r`h#dh&(8aj!)6cSh$Q8SXpe~f96hYixAjfyxn+LVyw>d;xHwe zCQQ|n0qQTDs;gnZ^f{OFY6vPx8-V&KtY{SM3O+uumU)a8i~YY zoP+(lkDfy6G~MGxhO&UiV5}I}00OrqA2>Tq;r*-j7(2}{BrAKUP8kx0JZ1j=IMeCq zG_Z9B{WVR`V3}rr;8Rf{`U5AuzTL_0)2=i)hc1||4;qr&=P~b2Uf=HU{m%xIf&r}; z$ThqPqg$#|re97&l_;XZ3v|`urtuf(8uZML3-p9=7!gcKS|MdHT69ivMA^IsN-o)o zMUE`5k6yk2N<}ICbpfbeM#(ck;z2ZShORkaQwUISd8API5RB3QDO@_%<51caO&$eaIMHBgW;|A<(UiaWv*aJ>4>%+Af6HoIsn-Dk|Lj?mvs= zJBdCzt7OWPWxB6i$+D(Rk&P1#6wEmn>GAS(teRpyK3cL}ASi4@J~A$Z)eC9!kBVZy zh<6kRiCN-t&TKk7UC%`Jm0J4dM~t_QV$Uh1@Z+0s4yso@r*nEd(uiT>+Q0=9Qoq4R zsb>Wa>Wy^IIVCM>p2!o_&_1uZrLnnPN}NyoFGg4Ln&|8~B{!lOMCJ+vbCpy|nG31l zC+OZSH0dYk?yc1QlcHw=7Un4=Oh&f>8s__CSV!-ad!+nD^!88C0y}x-3D60Xe>QZ& z>Yt&@E}`W=gEmX)uAh~hxMiHDO2tXnwp<|G1uN+G**HP0q*rI_G4Krke70T+txu^1 zhjqit9q0eGw6a#ufi?ZMTD^QAj0zk8^i)VCm(%H5-8T?M1@lS4deZ0U`EeU~OXXEt z42r^hzzOZUk}m!g`oUFn&m6Gg)pTqQ5TDJI{F{;z7P{3O5?oTRA!nVQt6Xb>+}9Zv zB*bTdTzt=AF6789CffWPK%ML9-a4@64mwz;=LI7@8K+OdP4rito*%T6GbIgwK~JXz zE7d4?=B-`{hm~ElZLS_00r21CaU{^q_$M7&38UL>bY>-NW4F`qbM++q9q1JI2iz_G zX5UG}R;gKV)f->0yAVayT@RMNn;xjwbCG}S%qo>d+}m4g)d(r=eoAT3QxhNLd|zEHps=hb zX+oo(X?cn^t%mJ)FYRi?96dv)SF65Ar0_=kRWKoP0v*iI+8nPaS?qCjB_bM6rp;l^Huja-M^rO}8a$T@rX1JB^UazLh5mq{~9`)O; z^cRZCQC7;^pk~O?R+_j$O_gJ;)V@J2kmIbhbA#%Rh&R*WWVni@v;-@CxIs-BkSH+p z?Gv?pIoV2u6SXoq#Y)W+wM;qHN?Rvt>2P*y>(Ct`0M5A!Qh{crV-vMJIo(PFC*iXU zE0s>t8f5_Hw@lKQC5yH!(u%RRMmvSSlHcpTfrW_sqokab(==zX>MmaU||s53c#8#RBTqmWSxU&y_sa!-oIpMr7GXpefegzfI@hN5tQVM@3&u zV66Bt3i$#X!X6s_1(brvk+WZMXmDB<{pH54b-mj(66_*O%PD0(NwtMts%l>bj)V{h}RHwtH}7x@<`sbnV4x zr04)e9)fgvmKJ>p4tb7l`BKqUxH$7uoIw89&2Ja_gTG_Q=5yeXo)q^YXN3`->kQBp zF3!e2`U;&nq!$+)l0<(28XEvA&iR1yI}lb7c|=UDUy|g$O6$H-a_p}e)(Rw2RAVKfZ!m@&SEwSkDUnP&`Gk z*iMK^P5*{+PAHBTAnpOY$@j{l?`ZZ3knbdII)Ss{X}a$O(7rSD?g`BH5A^E^C{1T6 z=Nlz284k)ggl|~V(az)P;Mq`Dzqq-*4KVsSYW)Vl*Uz-=8zo`vFJjW#TN+GmhQ~7B zT5N#TxugmI9c*D}9KI?zvm#j1q%>|k`HTNB&(lsI>Sw=E3f!_d#hQB+mWNfGnt7b0 zQDKmIY-T>VSgHdoH0fI@yU{GK-u}1g^BC(MEd_zx= zofaB;3WxC`n)s%kF1sys<0%|+bkTJi6GL&p!}7=}MRoZ2upvLsFJ8kFxz{v02nhQ| zwG79fnvHO!9BlRg$hslRhEmT)H8o-w#%UaZO1!!>w4CnSs1Ctt=bMe#LMuqSLgfz1 zg>UPr6RX6ubJMsKR_%u=fOABS^uGb33Sk;C>O3aW)GXOX<0sV1@G)jFzC5Jjv9$jR zv{6H^zO5H=T%Df)3`0AraF3_Rcc6Ptr1E#5drqQ7@8Adsc%9?fSYs$Lh4x;la_6d( zSE^3LG^JjpIwLONGy>vcG?6<4u_kIxIQ)-$wJq;OwDl^LrO)zr8H0(P%_&)0ZR|w; z9t=U6mUs2Rqvwc^O_As3CX>iI@v-TBAjQn>TSn()>I2I(NuCC3xf--=q?@mX<~EO> zx*96_d^+%+o(&*9Vv`y-xEY^7%y6INz&TyWf12j*_*UN43Xj3-X8YKn%G#)I6BM*| zx^a`rh5=0HbTa)M@IGdd*&EZi!#7G>r^ygqf+1{+fe0cUYu*wH-wcI!DV1zivw@}0 z*{piB73c&%BCIt3t}_2#BL1eYrcEE{ju_zQ=QZto4m^0f>FdoZcU4Z?0#&<*iarEx zcd6O-W#Vtx<*3FlXG+%7&0ADo)CN&kub3&=NC)t(enp=)1a5bwxkj!MYa|@_xDaV2 z)rbk+Ov*=k7W9&qt!k2G8`XWJXWM~(8#@3%Z=Tsc|2leRtD2V!xZ60*Z8z)-QUdY6 zb;8a7pwe%l8MA}F{YW1jdjp~x4FBVKEj;mIGa`wyZ=#NEI6m#9MIYnjcQZY`4Zh;H z&_~fL`25n~v!P>AVP0f-_m#b!xKZX>xvoW5r&YeVv*a z{EVo%U_Y(>M9+^s(07_#V8f*6X#XdAV)lQ`TAvrS#=T%MTv3o9sTvT%=(c(7Rm-J} z|8DM2gBm535s)Fefm;pKXLIlbT0 z&d;IgpQVeot4Y@3KUygAcZBu@eF5O)96hvMEs_WQM73YQ@8V~=;R`*j|1Y4>pkHYp zfAkx*l3H30I2mhdBR9ff?er{dZ&}jXm@6gxA^Ozof@6BzMFLmmBx>A zXGhAkW`~*?0v~T~j4F)+Qny3(Wk-v8{48sW5eNLRSR8+aYk)2l$H^4BUSl&7c>r{B0FS$J&qSthm=6X#1c&&p}$Sy}m?(o&vE*(ZSEXf)}B9^W76uT+#yZ6|bR zeuliG5z~>L0Z;S@fWKVr2fY^qcMb#YD^3A;my<2isr6c>EhqqwvJpq% zq7r)dXI=3L*ABl3ww0n%u9lZ^VpUWdYI)RP{-e@mXhl#IMo8I1=#_8vtoWe-Qj9}e zX=N$5Z5aMm1;feqot`h3%QWE%tsulULQro=1ugszK0uXp*LQk`TrJb#E3|BQ44nH8 z>uNN`odgathQ^(QoUWl}{0fG%IM?j-@pRWo06r7w{gc43C(-XGv0f%~hw22&6dH31 zc;hr`Ii+XBP3K)jkdd+90Iubyp3IrF8}Fho6a+l)P?vQPeRv9R*etT12GDXbxlcnQ zs_g|=V z@V|p$2M(T2$=)dDjju=)L&yriUal@e^hGzp?X~ZA5z>q#_?aS|>H?E#7Ya5MSI=2E9;9DEtG?H>*)C(AhOoe$scsD3g{M_j8t-#rvPiHt#U2gg_Twf&{uw4VN{Rgoh(WC7&=SN`faPX#W zd1@@}{85i9+94)|`$vJ$HekHGqKF$sMZ#y+xORd|mBO9$;5MxQ%0o#%{~1pop}(%x z(kzcbThI!^aY=*_Bk;k_e4J)nr{RqABwyLCn5X>EdT&sF($kdsi=G&_mye_rm@Acq=nlxU#4+`1J6Voby!gP{F1XB5z{v8D7~^>8|r#ZEQW?!z7y~{ zdR>Tc+ZzH-4u4ZD4s;l|+2y}YrG#F*V{|fxR*)7I4B(efU%`9y=Wlv`Rxa{4)XRfOFaBwB>p% zh%dCfvmtvmiJ@ujhgOydp#jiVZHF8ey53Mug$PVj2ib$!D}aq|a&Vh-gP zU)NN4rd5m>Cj|p3HvD;gr|=f5r=b(UFI|o9%Xrw;+!Z}GSeCd$^5A~bp3pz_;}%QY zp6~wB9ddNMK!(o84QD0Nf`mDlNENa*VUEX=Of56CLWDJ)NtomIq?yQ*aQMbuc>+1< zrAnk4SfB~;DeQJ2qJ!2Z&PlhV)3}+SYz7@ooRfy2r_+gZbU6=k!d8}R$)PhdH6=J# zfIdU=sUvC5V4haQJd$`NRzxfMF&!4k`}(mV!5#seWa{+6g<1w8gDn?<*u_*6#ERki z)^d?ng0QBigO~@F$WuX#TOvdIvlK)F+h=JpmLW8xKg)0qH9b4wAr60ZDSViK=W5u9 zQruEl9*CSt*%h>IxOg0W&3}!jR2$30?o=>a%fa!w#fA?i(GDAPVt0CIww4z>RnTGjbo$N4rXfGb zq+nJA_p_d077zEc9l@+5`a+ZZ!jm4_RMJKKee?h$j3Q|k{Ta*>ktie~g!w{jwW3CM z9kqop7mSL#LeOdh9SmU^I0~OZAsmHI&C%lFOjaJs^vRJ6!~p%aZu`Q&&39Bwp91JX zM5`c}a50s_+x*n5aF$m|l;abcUUmq_7@QP@xpMpPF|xl4ib zji`2$dPG;^oKn=Xzq2Z0c5iUZ(Uq0r#j?)Dye27pRbZQ0tLa+@_<0RIH5a_sO~caX zxUm~8N}r?Q96Y!l+ktBGw0V4*B#DX~P*&^i>Mq=pQD+YNYflNXwYx z2)_zF#yAZXs9+Om;VeIT^WS?tek+wXXyfv?!8v$^d8F-ZoX>Z`N+W8dOd5L&DUoap&ch2L*$@Eod6$}SMb8iOuaTGO7G7nloP;4jbjI^TFhI)9-dx#_vdK($f3ii{jPy z(VQq&#htdAv@+~dPc> z-XN_7g6mD{iNo@Liyn+)UYIDKY|)C4=i@|+R*X1YtrfHW0hPBxq2N$2 z@G&~V-yNq@t(ptQNVN@Y{uzyH!>`Y2O&dP{f{rJ!*kHJ$bKQ943HmF6Rp))f*X~N5 zGlYl6H1VwzNVowV!{b~4Hx(u2lKT`*Y)56Msi$2_rl%5FnB{xAyIsrA_(7}@e{>D1 zh&syZ~CMlaJ;y1oP5{*BWhS@!)wza_EaNWk7=9|h4R zr(~hzMOr~5@^PGhnh)>s3l?d)mVR`9GE-n-Je-UgfN$?xq&YdheR7fJKs@l!6js7v z?XjI&iX3L4=1!RT!Y#D5Q;V13GXAel%@=78tlA65@zb4{ix`@b%F<+a94${}g+bWL z<1MtbOGCD*R5oKk8E|V%DwU-%JvJ&uoQu!98+id@Pgtz!xLe52!ic`H0n6rRi+rHi zH!Rk2BYZoERR%Siz&R`mlY&e8p@Bsd zdx@6K^IC8iC98|7F3~dKe!cJ#EhT!%-)ARvDcyh<0E+iqqUpl{6!Vez>x|-nF@Uw+ z8I~ef1(txzB!`fNY0o8jS5w|<2y&#kSdF#YO{-U<>9uq$n`PQfZ)>i7!?-5-E~R%@ zW3n!%$Tc|at*5CuEE}0EF3Djf=^MomqW~83=Fcw?8=zutJj}kD-p+wSwTb@BVa4)h z3wIC9lNr)zbz>cFrR}*O@-_5$E_miT+TX3YHDJSH-BgZX$k1VAvaD-c;Rht(ti$q{ z*Sp(gdNxP9&<}nqf>l^b}LG;aiK~|@fwTs@%gHCqK_I#%1-}-m@+6=51 z*3R4dypd9Fr?L4gIrt8-xC`#2_I&W{U36nUQ)2Jt?!C)5v?3Q}&vL${I;FyU=tw@q z_I>nwK1;`rs1~pU9NbbUIxwzxN3L=3R8z7wFh%DtjPZOP( zmjBX9CvzkMA~vAym(0JwiTQ8sAU)~?-4D@QPBeI!EJe%#&mBuEe36b)QLE~R0Q_r8 z3Z#$DdxPe*s=0}8n)SS8{sqR%>qEfT^F>UvzbB@*9;84>mX!ZK{Zz#AqCXH_1f8aq zkKifd5c?IeTvZJxVj+zX1(SV@hAvmLqK=zO&oIcKaMmYuqYF&%DIIWuET7T-<*>ng zP7!X1&@V_`q3Qr|SGZYv@YiC(OTVEz+^od@E!yR0k0{bB<^UP)f1@-#+pxCJ~73d-!W- z0vqtJiCiyDC}lY~5-%@>6fL0}N{zGdp;8u~J;(s8H{j6Q!^zjx)6Qd-2AlIWL`=zq zp%hxi#&;vxhatcHJc~Pn4JP&@%eHcX(b-4zDcEFOAk4YlUog2+G}{})3ZSp6s~J8w zlC8>YyIQoJFtQgqr+2v5_b5>JHJs^3lQxLuR~Qbwz3Zq+ve$?{^=8kp0}Jlc>xl7z zB_#I*+A@f_G$ak_-TA^M^B;zp7dNp_0k~c^Ri?GCBQ$!NOi#Y9Dc#e>P{RQg3!zno zj9K=X{AoatfgR8>f6&_-+eIckH4EPvejfb0=!<1@wwx6;6_ckv{WlUxVYQrL zDtyMdvfDOC&?lx2piiIVVs0sQuArF-3~e;@`&tA#EX6Jn0pM9YK&WSj6aiG3lgC6a z!hk6YV9a`R&7T2u&;!L5ru_|kT%;LhO7OsLIvxj7B%1jz#vqJ^!OcZ3S^xU!|R4YX`1 zOO4#&e)A6O(wY$PX&_%-Gw=d26!H@ zQy7eLH;O>83&j_fv(S44wRlbU;=i0{U>Lp+pU2BizH1I_sR?6Kq#)Zv% ztmzq*2ts^WEONX6dks8j7W1IkAyH2{4x3Uq5PvQU%00YT*sJ`N;bQ2L(vI>ULK0`w3s}mD0YTmnvDRf&2qQ;zw-6A$~1r>pVe!RI)-ig6CJU zq{MGUMaTlfGZ$oh=kL`zxMFFE^6*o%q6#|wX?miH5{^~ z=as;JmwTW~B|ng@8rbfSG`yNAk>|`BJ2trvudhJN}?| zt67%wPgKwSjs7zKN^qdY?^ug*C+B*E&_nSW(&H|4d!;fsRF7n(fMw>7L~B8`Ya~m8 zGCedJ3Tg@+8_lx9kzj?3Uo0dKa;wnU4{$D5sd5Y} z3en8FD+?U7dJK3ZgZ?#!mB!#&V-cOwYeFl^qH|-IGudsXd07a}9eN1B>$bBa=STwKg!7!hU;CkJHyv$@2JayJdEVZ-x1 zqGje{Zl1a(LKnYFTqW)jj}*$p&tk6xwQyMt%SkR4Pr!;1KJnj5SEaksBMm8~Cu`W? z{4%qE$Aub^00q|+^Rc;f{DUMNZ2n|Y?hw+(v7D5l;t4K7h#1!Ut;gk&^2)8W{y03| z(<*55IF>rOQoJrX$z>5G^ zC@BV)6Zd{G!AKn^#ZL-&hFT`tM9N59cDHLhc9N+9uldE`QY@}6?yY2etbnH&lkqTS zcECgQy=p?W6jK}U3SeG{#nxH=wl)cr;)xJ8OQ_09571f4sdu!a^-z5dN;|b5Kt8~!Oi7X9> z+v$lwDmuwNiKQa8t!NV9<;65%5{sL;gr|!#a%*4hET7Wjm@;!pPu1kfg&8h~q%7@y z$*+pp$S?KEEA*F_Y|F$#jae>6SXn-`99Kpe_j%Q^1(8MRglbS`dC?4lR7GASayMhQI>XV>SHRw(yHcN~GXR%~9sV=VCI; zlP|YY>lZjfufs*=UjQ&)Z>0lYXhqfy)+RbLovE~H3IP6%bj1|F=T{)C=Sv{6SJE$2 z0485euBnXm+k_Ujr#alAw#{N_#apbz(i}|QYNZ8f4v)OeN;juDcy8K}(^x^ubyji8 zFfJH#7jNJ(iap$sK`Pu%TTlX)tf!|T-1T}ZtyLWGv8IIS5UMv&<#dR|8)?CG%+F19 z#dP2>JL#F}FooVsr>3(K#Mk9qz}#@`yzm0%vfgUlv0Za$bpHjcKQ1+S^aAD$xlQzO z#O+qPLURn4@37Jv@2UF2+Ln#cEIg*ZJ@#imS&A#CDEB%ShQ72UQJpKvIBL~gpgUq z!1G^ez~Z`;&NKj+y^K;CvBuWXcOkR9!0#6|!eL?~4GTrruB17kv-0HOSJQiqY*^GL z(MIpM(l*npxk%x&l`I2s7uGh4Jg0i)f@|rY^RR}mqr>xn_->~&^RRe{vgc#yJ80&7 zv~~k6pAYmO`7`H32JEDR^I6V_UFS(Wv9oc!5s@X?ZCZf;hjEu57~i?xK$HS*fz?ZhE5$M8AjnH8YR>UQiMT zgr>HJ7Fe&O#QSOA0v4AhML%Ge;x`(JZFo!%?l0r!Y6S6RKTNBdSymV<(Z)dqeQ`cY z_cyb`cz&$~4wUF4>&(%_sb zB|c4y7O;dU1cR9qT#4%|;9&3!-M9cmJixoB$KfIiZam>P^>Lr6AlRlQ*Yh-BAqMsW z`4+N4IWG!V06W6C40j^W-0=@_$or$1Xx|_8hT*(TgGn#h4nr4b=r0ZtWR&y0sE|!Xgh+u{H+=aP14(R z(mpF8ChA>2_$pt3_3k}VqGlCEzAu?`a1DnA;y*y*@mXkYE>uPCVj9W8! zERv*tB)T$sg0s@&tn6Gm0e6fpzs#@%p@?MrSU5o7hCajXDIOtXUcH)K=kJuzsnP-S z@8(eJXGE>6AQws80+_*nyPEw=BmwoFHEt4o=^Yw#XGt zooy^85oUDG2V4#{K*6(pC%Tb-5|{KaNB>jsh^RPC|82u@<_v|jGw;yvMTwXn__c|B zCCflq)csAz{`f!dXGm%1XmvXjmY?aycIGNVpcx;gvveIC6}VRj0?atK#2~;7mc)&) z95uq|k5>>IxC!Iec2*$&B~eZXq>qJ0bznit)ZT#_t+WY$qJw(9-TMn~cjo}w+rbL^ z+hBGY9ZaV?;3E=3rHk0G{-Jm@d?2mi{}03e$A{B%i`Y0o{q|0l7aVCuFxaWGlMUes z+wK_Q$dY3$bZCS_lVdG(dW0iej5LgLurHTly;Q!0#lTT*`Vuy>s5eKOOUE7KhS^X`gk7G` zSnn+aa0GAcL};OB5FK0s4jfE>E`fvBP)bsvU`P?g+YO zDa$FU5O!A^!pOMj;1Og3AHt!{h6pn57s*4#xXn3pjD;*C9qF*ghb?1ph6lk$Itt|R z7HS>o;3+Y#SjJKkCkhIcdqznEC-vI0q_WAhZy7uqrdY^63M$<+axRCEpH3Iyk9>iJ zZskvB(7xrYFbnp1-i;g}7979{yU;(DYG8%1)bnl?&m#K@=8Z<2n77m`;>0?T!)|b` zg%*x>6vg%6PK)_%JUA!2PV@tPT1O9#cBII25$1?8ay{Y;M?3Q5229QvN3z@qjvwR5 z=bI(G{K3+I62*8>u$+#qV)^n4 z3n?{@xG$_5=EkKM56n-BP1Hg*{FdrNrnz_wc05N&J38qDpsP099p3&WN6*<>1_dqie3}|}RW?HxgYR6XEwuX(6w^`_Fw_{{+ z(?i+J-{Qo9{t2gAZTuHf^KJJG8v5WrfW{L7G@E!6v9Ju>} zho>4}=Kd3YL>@;reBTzWMaes8>sl5s@3zo*9U|pUGlL-F#al|(<+!~Cr3J;P_b&RF zm;M(o9cN9t8~zNsBLPwAt{$jw_tCf>2-ydywFkaE4}pCcgx&Ce(~%xPdXG@VrEEC- zv?pGQLVKv=Qs_%h(C$lNiGGq^zLdH8KZPis!l$=ihIO=;hHqfFieMcJjTpG^e8*kS zSg6kHaLNa;^1Y5E`8f;S=XK-`2!i!q8umQBe;FGJn|;#dY^eMqR^cFrBC9Xas>_*@ z44b`QQdL)Ypn4T7zm(If>=i@{7dw1%=0P-`5GMA0K6okfP;W;D%7?+7KF4VJh=msT z92xSf@V4?fvTQ*|(e~iiz*{~?nfyA^Ve-FkTF71E7$m=ibf6`UV)-2l?Z$7U3bSm0 zOX_=QDjr(v`@Df9`2!0TlsZb}4=psW)S*UwWGpT|Sv@Oo?Dc$Xq3cT>F8R2HUM_VE zkv}oUmevnqkn{_Z$Y7AfQ+$G8w<$kO&MPr*XUzJ(H|n!i|6rk!gB_LOXM6i8 z6(CS+$6!dGa~67jFr?AX7CJW=bo$joDMJu=lk}Te$?u|)+&|!UHpG#g`KQ6V8~I!e zgh`*rZ5ccbvp(M;`15j^+*h;VNmdRCi`~XQhnE@e`_WZ+F9*qFxs1j2lal+xObs5A zi*TXkCV-y77?l;f`#cWXs>#JViD-vV|61$zsEMc&9{f zBweLBTbL(KGb}F~bFfrx4gtT9gwbAkTB`zpdvXg_AzUH0GM_a|&LjKHESgqrWrZ@r zt+c&be77w}e3XzY^WFS{y5_~e@>{z$aEhnH|2n_#^YZo3Gz{tm6~K59RVXZ}uxPk_ z>o`%vi)38g2A@l#P=w2m&9CFL8|x8v3iF`Vav2TZ#)4+(W+7b^^7bjzbQvF9m=AW& zYm6vu*sn_bHUufC6uq#OnKrjUVlX*_Vf%qbPap5qv;naEOGQIv8veXiC=Y`o_`Fss zBS5R@8tgvcvE$DpdSe7_xdxkfg-i!-XGt{c1uZ7iRw=4Wt}#;Tyq|;TjmtaY{nobf+Ox?^oTWmz_Z8ZE&B^&JE+^mwnO{SHvz@+85md0Pt z++nb5i>AHO@a=T<^;qOY2d@W0fTT9pGZ(j7b2Ux7fqD+Yss1LKxdS@@(1?RL(%wwB z?0~qrg@?GKHW#6k49#i|dySTqx-nYyNJT zvl^{=Mau}W!H~^+PpHAJt(iLR; z^7_iX*YVo_w<`~k`$iUxoL?*c)bbDz)^Q`&-J^8ljm+(W8Cuj_f;+B62g0AgGr?dm zTZX~H+}mFE)Aaj|I2!JyF@Irho}pnk0U$a+7v02?GM*Jxp(pS>4*QRv=_;!v8%)L= zHOc%h?YN0$guleQTY)rNSd`9J=#`sT;V4*!1t)otmkOp~zT*8S0UNBthOz93kSIz8*!(xBXD6En*ZQw^vZ29m zi7L|GrlOl!s`nkp!lZYxe>ByJyWkerb#h@P;GS66gZ*#;?rZQ&S`14{;s?}oGfU|I zAwG@!h<4q~VkaE?KguP+RLn=gC!}IYCxZ4CPns%Fq)&|vODZ!B#sMXa0zH(ti)r0) zFdzFXFz$;Gai3qBl)}pX+V7MTP=nb<;t4SU{LNHjOy-NwZ!k~Xo{0k@49kXz{1hH> zUjdKgg)tc}OY18t3h2gNEI$cWWGUhYcnScH9^qOyqGE~^bQaG_exzf&m~YZg=lj@q zkTJiUr^&>ox~@h5q(Q&p%aq^5(oc7-TZX-}$K!Q+T`o9Dfg6kL;4FlGTJv2!s(@UR&KMW9S*q*cH(s9?OE*ARsoaM%ulMO%uB!L=I1C&5#| z3W^J0WsN&u9=2>L6gPsHz8yB$u#GR1@jMNA%&u7NO;%!Iv*tNmIA%75V`dp`@HI#? z4E9ovLKFVUvO_iA>kcWy0i*WuMwZkqroe`KL3kF9pbXR)lG#g~EJ2*C>;U4-o43)g zX7-)@SmZ$aU%KH25rgwUhCEcxFAU*yvmrAYhTAQL7MkP4LDgxFs|W?dT%00?6e^Ym zB2k(-HpvEiH%A5HVdv%@5l7F7O)diqlx(nf8=fv+qwkkVFn9CyP~Otw=c#pmR4u-w z`~R#XzO?USx2QTEcJaU#J?3MW#{(bhW=CKqHw4%aLB#l>MnC)_Wh1U2-mrW;q$Vup ze8oGt-jG->Xvlk9>48ZUH?$iPAbbQ~Ah|DY30=;lpbAhZ6t;9YWvpKghecS?rPOLG zxw^f{Q81Vr4UZBH7mv2m!Mz&mKgNoCXU5Wm+gOHNW2J(9T3$bijk6l6ojl%3*YDHv z6XoF!htu@}_QhvSX3MmoBY_ancmwN@WhPxSRCP+arX-n!X`o|qi zNxE2YQD*}O>w{pymNIL3CFKbI{46YbAhg&9L+_U|=FoR{u=D}2R7>e|t#r?RO&bXl zwb=%+@1O>}if*(TV1aQ~5Q|Hpg@Bf!A-7s-(E;RAfOclT6K9wXD!3EJ`A(X6r(t47p&Y9;X$kGPlTAdj zpA#sQwG16dTFyIAfh@=VZG>mcp8}VlqLs#*Qe(t4&>7#ijYI}@mrZ->S18-)O zv3j16X_B+n1>i!t(CdnTCc!C>Z#)PCMXH($y~T0+#MTmUhkW5Pay<>c!IKjwMRON5 zY&-#P-3RC^ROWbAuE(v)oo?d>&amfj9UOXMIL8M4N8TU}LFD;g&e}op3-We{nlJxX zra}kqG=iTR7dfRb(V>gHCBg7c)`@5ENMsJ-lrp6&09eE5Fa9sGR@oFNVb8za5?+}(Q_Sa?fNd_`zeqjtD zHsdSNOVa^Cib6a(ACdVRLaFeCOrtYF&~Ic~nyF@$d@H^v;%!RdP$+nh#H9yeC&fF^ z1FpZPl^In zkz)E`&*J8?$_Z1P6_7oP8>Q?ZD;>>Nq0{#l5>gvLH`IC6*kCKVT<$`bR~oa1`6&pY zBX!>VcqkHln!=$=n2V&`CFO^ceZMzzk7cg+uZst81G1DENrU%$Gh(1N@Nt~al9YpN zs7G^<>?)dW-0v+YiV<6*EtY%H0ZoSvVJ5fnNs-VZ3}$FuDk@F1(w5@d^eku-=5y|t z(R&MJSc(wdm`PnKNuyy0yuL!k-vdyhf#bY3RXFU~p;>TNZe7|imA-MT$bEewZ7 zA*3bvAuW?WI^a!>%0gMpaedd)c?)q#gOr+0Vb6L~aASDhvtFN!9IE^Ckh3hGu7B2B zC>L01PDyPhuEF{4S#L%#lmvdRP>U$(Ij<7p5<_MlE8UW>dK#b=2pSn#B34K?ujrty zSkQ#KycxsoG~7^Pu+{(FBPka9yrGBk>8xSk^m)6T0oJ%sxZ7|o6i zoi}61ImS6}uE}|Pa|h@7Ka;|+wev%9dIm1>aLuC=FL;ZRoBo$LLCqLk(E=-F6d~_a zit4=_U_UWrORrCy6HX3=P@z|#O!7*u8FS+FEyH`ZDxgl? zpwcCxXQ8V_&v;nF8dMSt6~UNdZ;#nvhRbt#T^n8cqBq-qDX)enJwXmo%vkc}^yG`) zq=I#UwU_d7uQ%slL%_H@;g3;t#rBuHPWX=$z2tSsS6k^;m+G6c38nl}6e%{gi0VWV z5y`%l|3qA_KhsL&Hu2sJ9+jf55e3c%lNMZSC3mSBN58)0jfuRjPwn3Al=iZhr4yd= zEfDew(Ug*XhsZ$PR67sOQW)Ey8?E%TTP=;esn6#HH`BhCz4;-#gfQ1{v69b&^wzh+ z&&{JI%m1{}1`jrh+pP4UM^z&3fRryTDK&OF25+*DJgNt-Cx>3~dX&4Zh7VIyEzp3L zHYxlb-cmg_z+wd1r`<KEl*|pb7&oR{* zw2vRGXwxBYx;^3<|9l7mwx2#e1VH6g2V}@4||IS#l9l$lk~529CjeH5At5a zz5kGC+rM##9u}hj6X(5Zr8|q&9Qi1AK>qhNEB#iCgqd&9@grUq^QPE#&hv`rEh{bb zsV?~)BntPb-pF_R^sDH7y7N`9Gx`JnKuqc7{?JP4C2CRRM}3Ny9V7QqZ)yA|eA;oX z2VaeXrO^GHPpRjqH#^}oF^cfdMX!yLlIu$=uDn#8QD2F%8S+eLQqI=~FK0!Z5Dkse zeO_qH-_qb?-t^tCdBbC&`WZfH0KgmT>j0e~TQR&XOCh{YTImq};$rlp$B=~J44pcL zbKv*>`NX8~n1bRTDCM|UqxofOOw?KN($AFMAK~*+rs~D#1ObGYMSA?7#9nBmI}+|c zu<(Tc9N5XyU#)bmO!djXS*d6car(}|JtDrJp)#1+Z*mj* z(Vs)q?C>N$IIl$?Qly1Sj3rAH+7x0ep-^KV5x=YF`98nj|KIC1x%YGL zIp>~x&%NjKet(uD#)m%Kf89i*0kNrnwxP2C*_pq9{C}juKfcI-1(YfBH`QEj?tg^< zG3WuWm0v4kDd0T*O|`IG{J%$k|KFpiC1{?IU;f`ZwR<6_rt|)>f6HRXP@-z+OuHS> zGN2Cjfa)s8c!^3<0+9cct$CHepgkzA8wEW}zzxAUzeIJ#LeKBNQd>}*fhDTSwc`dd za3Lh;@O4!c5e)wyu2yY|Ltdt;u2GTyQ=B(IdV1vkZF~0afLc_Z;hbKkDzWDN0V{v) z4-h(lCdU7dl7JGxuK*Z`m0Z)aF%T2EHV`ELB_r3|VIc$qy|JPYBKXTvL4i{c3HeuH z{Ttx8Mn0~ET0u`3A|L;e{}bQ{`}+p4)()W{bO2@H{)gB?LD&EgMXhOS&@2uGn+g5% zsz5v|3Jo2S!mPbu|3x*g>CkJdBCG{9{(a}5PX0qSt_7$;%#J7`79fZr^bG&b`2WU2 z25h9Pl@muC{eKHWR{{}{01mgtkgm0%{x{YU|NpBUC9!t7lK*gR;!^)pme$&Z@WcPO zRvG9dJ=rxTkn;t4Fa;}AQiT@L>@)1zQ<~OOl9}isk=lO;aviclf{Pr7#e|y`gwsS= ze@D4TTdH)@)Q0R!kHe*0S{a=CMUx7Iwq4BT4;I9A*09p61U@h9j016qz zY5pVNqR7xO3TYI%n`- zC2InxbB6y_0(B3f%Op1aSIL?H>fYvm1yBJ<0CjK6e|4-0p!aGk@~?BFq7g?ghIZXb z0!p$$+v{}DoPEgAF~AQRs(+nwg4t^HF)yB zo-;aU{Io#mnF_GAeq%QH<|=TJKn4d+L0@GCjl=Akc1b+ zK|dWSc!9Juyr3ESImsXkF3Tbdamt76@fvY3`Zz^z&~C_(qhp5TGWN3x$UlP zYEe$+)_|-yB!fbI*1AKEve;qIcGzPL$YD8Uk7)!Y+&>5Zo)6hTpn$1J%e7@WA#Mf# zzfR^%f3X;bGEoUT>6wTr03W8R5ctC}B%Rh!`+AyX9@A zIAh5OFGzRToq|w={58WRZ5hzsOG#UK37k<`JE+uR-_c0AuFbC{ZQ+bc+8W}*_49c7 z19oou&~+UnZRg0RB9u5*QnnkF0(Hw|T5uhb`IC*kX}$RqYyammNI=zE)0d=dS0Pur zOVYMMkn{ay8p4`mCu6$_%D4~8*s4Ir${ZQnJ=oKmNkclta8iLznIdWZHCbCdvGqn} zWF|><12{-p&oPm;ZNQgrN!iOJm22lsUfblbVv<4 z#W3h^F?nsrgtLcWt0o8ETKtN_eAtc0k;=D}t;1u=yD8s=>?Ao!1Y08HXn&7jyS7Lx zk6=r{oZbqZCkK^WCD?9425c>c+?;3#S&p5YEl$`?zf80kWRk);cGnP!9G09d8cH}8 zD`#6s+G$*Nz9f%yKc7T;s#SI(W_s=JF-hBv%MOzs8Ii7YwsH^$gg2<$`55O-SI={< z$lGpFccGMFw#`P*mQR3d2iA%RN^(i}@BFP~5~`${Ga(O+xO$GRf~~?P=oIK~%RzTr z8pN+{ii5&ye}r0ad^jaV4yvFSIQ6&H8PW!IaDtS}2~n_Bf^Pja1zQVAsBLI0uU+fx zT3Zv)bDYRPC`$b>;ltPZ1q~P!bM5|;c2>wK+P*>-yrn7K!HdDK@o6tAUQEzDVM6AH z-u}*d7380^j}KU(w7Etj(y_Y9SJ-1IUr< z=C5O(%s-afGFbL-xHz?$Cql9D1EW!Uz2eTO!0}}8m?p>lsX6wf%?@$iNBon9Ezh0o zVx`oPuWL8w8~ZqIX*weAm^R}#D8;#7SN`q8VTn90Rp~jZGmj8s;qqOiW8T5zCa4a-~3o5xJ>d?uIephpENSI2wVTg!gnM? zG$N0)s_dc5&oPis9|_!crtQWbo=6hS&$1%vN&f29{r z)sU8skn!@Ks*R~qlC{qkLXQ0*GxXn!SH5qw`U9#cqVyGE2(K8Xy+{!fO4%JLZw6e+~zvmE-rvF{66!Hx1>SC+7j( zSqm^pW@k6)EkE)}Xn|Bnvx2+b(*|_cQ+w($)~BHWKlUAB_!c=0#Er{oup>6wKtWzW ztWh=~bm~Meen({9S#ulu1Yjp#yZghgfc{L0hSQBZFN-9|?AKc@()UN2@%(jih4+M3 zikmJw!dp+{M)e*vBO3xmPw70NP|ipmJX^H#HRVEFE_(QfpCAPojlxgkVQ#9x!c>_! zt40c#9G(XB&)FAjB;Wf%TEVKk#=h%8U3)`RQtGinj`)^5t?y~Qc#8hsCY+Dd)fe(e z$fE7HY{xi%OphHD_7jj5j=5~pNxB)l2eo zBX2DUq9>J0FvCMV=?N|u9W94pXMg2H5M7Y!Wcnu%;TyUMLu+7-ftNa|7u?bDw|`Ej z5%+V`k(0j-{r5_u-LUqo3&6K2ysV`8u3$g67nwr?Hf90nNcOKm(%$-py;#;L>e@%Dk%g~6=+iV9chQ}u;sk36uM8KU-Ef-rZfMv2eO_HXEYQ$+~K zGS2L)%Dv~eg_3a$gq<1dNa2V?l>SJ}#XYzJ!zD@DQ%laL=IP&Gh;Aj@+@s{^E~fPn zD6`t+F;zhZP*Zhm7zp7nTvJKw>Sc#^U{^&f3GF4w#lVYrT(VbnxE28yN2<@J5i7RZ zyQPyx7x+%gIZ878GH_K?^o^ZY5jSR!!7RuH$*N8x?wdwotPhwAD2o;gv}H>@MYj3# z%}rpDGo9Tp<(|^${@qZC=e|`@d^Ef*`rYUbpRX7TA_h@gyO?3{K?oh$fKryv64SJ6 z3%+Tm*({g5-tLv!+js3=Wkt?9qS1A~OfVZ&m%OAxD!<29j%SLGjGcJ@&ingRN4~x? zu&1r@{CDF~1nc^T2G6F#P4XT6?=PRAt0C0BP_G?HJ@=;{E8=z>H_o_R+B3n>Kq6?_ z$z7`zpsM7K2I9Mun8@z^q$@9M&2r#E07=N>tOR1)%r%=A(Kh0L?O;dI5{>u z>PwyPfP(8noYYRCw9U;QMN2p7tD1B?km0z(zg$xPQs~ME{LVRTe)Zl^(I%lyz8bwh znrgZCSVFMYn{8a3;NdiW&y!He&0~kG)blk(_MHDY5ILS)7-BUw2#7i0;oic}_mocq ziW{lUEQ~UK|0Uh5tSHzJQ@+ut^!R=OS$2;ODc};7p&XD9XP|C(4DXf!<-)K*jTL2_ zP&JAMo|nPeE7F{iqp$FKS2SvthY@wamdR;85cCAZB5I#|}i!jZRS724JfGNeCfmVe_OVR|P5KUItM z(;PorV^e7t(jtaxXk)acKXP!AnHKri52;0Mxtq1zT;X?Fcz7J&$7Fu-w$UGO?>j&y zLbrdn5ChjVMAA++f%kMUmX?)7^?nV*6s;?|0F+NS<`KDm-{>;?Wf|=AEnt<%LVgEZ zqC2suoA5GH`vbEld_%F*7ukf8=oKEW5hkF>y14Q!2RwmQ6lss&&u^OkLCZyIFTPo? z0Kn<#9E;Vfu;E3=+itJfY?>3?O&8O@$fTbnvBj+4zxv4|Icy^RHpKbChrc(q$HkdZ zcXB_NMEbNpb5@FV4C(=^XIWynMRzw78OQbaq-;NcW*SKJtcJ4r>SF{he7DN==;a;M z=atJjVmp3+FOMU>Ofid_8AuMgn}g7a;CJAY%!?KFt>XQ*vx~;3o2i{Y-(450YDQ$I zH-N|9OuAE7mXVtm_E0WW<4fEpc#PluODRuQhBtY0%W`vZ^FHDi?N};7xJcOf-xNY6 zc!^quC`^QVHr0G)7%u?cS|UP=44~&DU}=CBI3s%c9K*rbgxrZJSLTj+2GIn;)K6xw zF!i%w!8Ul)QLgVbcYRYh>Ygh0wwU3-44-)Jwn|oNT$Pe&_RR_41^qg4Rim~;Wb}%C z4Y+Tyf!>Bl6J@n9jQswj5cDH~MJue0g9(hviVw<&45p$smS)z5;EfoYIver@IYdW< z))Y%gdg(e5kJ1%0Gy6=OnbfFr8wM+XeZR1%i@mK6kcAjen(%HBAbVLFIr%NBFVuXo z3{iYzsy#iS@aV3O3VUDf^Z4})rb@v-pd<(Q>l6sH_V}C|YLOTOMfF5+Ll;3^A~jId zz5YzVx3V3_YQYt*^VZ-Iv}b^ExD8-DO(I$VGShf;%_VT_vK_3uc^V)*Qoo&;RP;N8 zZtuoLpY+*rjNADw{bt042PYI-Bi!1ON44DY;==g>vV{A$Z_(Q#=f`wUO@GJ?x}E1$ z^z|w0jQfcJ!*#4w}M3{#1_ebrqZT~05#2@+nn2nm@>k|^qHC`lDdKF;7x z=WYzoK&s<8??B|~FT*@>iLHjkiZ|9f)LXGehgZxwD$|II{8bLg+6jo1O!pF7+8`GyU~^+@kZ)!N)9Doir&M!kHth}~@S zz8$10p(OSQ(TEaB&v;V5+N8emNN)N-)1>20MLWVESM5AUcexYsHD&RFBT(^_wOk2; zd{}cFoJ2Zy($Bja@YiOh$_4N|&zz6WKYTRjVRMJVI$gbUQMr_^=$7_|Z+ss=u6q=k zaw17YjtcXP-c??F=jEiRK51Kh)w%(o?E6nYpJyQ!)Q@kE=tS+`7fU4CQ5O$T!!lj; z=!&v7s=STgco7)Kysr5AKKu;J7n?@)4E4Kv*IdT@j!tfsfXWMTAll0hy>VSAOpgt$ z(v$NQp=6#pKDgsq!yw;%*6^o56~~NoO6)eNf+;PYZXabopcUxD+C;Js^eM3&us98g zPy5D1{P;5x)%G`~maJcOxb+0f(YpAS zjwL$}N@9BSn}%;!D_vF<4z7&(AE$DT;m!RcWRU!bfd;Y>% zWMxK-W30S=c#67haAI>>whQXWQGxQe>fRXn$zZvlzS!W2BYJkvdN7PbmNVvs=h7y5 zN!mS_w*lFgi>;d&cWPN$-v@Q}OyRYy(<6eC^tm40dy_SpsTUyr1*110U^v@=URuhMt`tjHkZQ#`BDdQ7q2Mg9T5z159`rva!h1LC&k5 z`y#&tdaiFin5w9^oMOCJ({S9wF3&sRB>TDf5Aaau`^#lR_^w#f&>R(`NcQe_9+zeBEd=|PFUT#r`?J?NmUHPcRyV8+CH*)5fe)6&Ist4MD24C7l{Yrxnk?*5! z9hApDA8KQ8=O-6h95Gs%HYOs!z<8$dvJ$y2=k(#b*I|l-m`}?%>8;d9`!Tn(PdtVT zH%YzEK3pEulg(ntKCswb^x@P`&r`$73YUZ)pigLoWQT!l(&ZCfk-)j4D+l!3(kjc9 zm6=Dfp2e>O;Ph7!!H}jv-;RW@aD7iaU=Mj0Achean0l+ua@VGL!rzIzz9nY@FY#|{ zrc@)A${%E$tGdPyo)3BvvX1-n3iIQ{omO`LAWuHwBAz9-n-8Ar7lMfgJ4?0pfFMf} zOS$u?k&%_vBYvVPICl6qOMq?e4*v!nCm6gu)xucMUqU@7M28oDlL1W=L)DEc^vdBrVsTyez3y z?X>;Wl%Jz@Vv0k1ymFryJb}me(N}_@f_b>B4=I&O;i*mTmIJ}h#A?_9F?QA|# zk)fI%{tW#&KIQFQGxpBX6xjG8K)^KvA!X<~jF;5>o>FHRf)z{{&D%ZB<2pb(VAh2i z7a`r5wEmBpi@6Su;EQ(-)u(4!=nKO{m+_d>Bh9p;^f^bl_OqPuM}Hd8`$-4QSTA9s zb>^F_dg?$aS7}%)9d$cp-Se?l{Duud+`-dL*Y>dT@3hir>Jo`bUH$BC1D#BPoq%y3 zZa~f3MY>9&ss>0kdzPz8m(bW}N*u;?(rv1S2Zc8$9MKElh<8MphEhuqIekHsW8BGD zB~0T=y0`HACYX&Qm54(ps{$;eTV>zB5B{n{+F^UQQ%N2}Mj3B>jHifY(`9;{$zG!^ zASQghJ#kY=7K$zhfH~2_;Ccgx6tLl`!#a+~;fHs=g1P&Qd@?He?2di}4Q-?7^J{Qm zma7-MB#?g}*Lx!RvPZMbrRC#4rOqMlDJ}CvzjIY*2gna4k$RilF09%mEO>)*HZHdH+wAWP)&0qDT_14Oe;dRjiX4#_1q|3wkt>28 z%s_?4a5qgH#lwXv(xmCj(pC}x$T5py zxu+n;uS~R}L63eH&LaFp9XTW>P~6eY&uxJ~#~?;d=RORPzm5W?@ZdtJVmS3PDx2eD z6a0rz!~#aQzwEYRS?diOuB_))^G^C0S5l%cc$7JoR_@=DISOb7iU%G>I32HQu8R6@ zQMvyJCGpheSlJ5{SWi_rA#sCs6Xv+?+I(1F6UpAgx+sQVtG98rf=AN$gj)NHt^W5( zl5anvv`s>k4D5uT8?QsO#{mXZ21c-cY-ejTaPILGlN10u__!=I6py|H9>dsYI=k_> z2W^UAOB)A)@jNHfu#HtYqB8(&As%=sBO}Jsi#j&{m}dQj z9ose7>!ZMr{Z*T%0CD5oUy=d7J7EeA=}DoFHH#+o4(R=b=|=^a?yO zs35tRFBjC{qSczPdxMCd1Z$h2_AHsOkOElO)TrsdfQ5Mx9s*O#DL7)FoCw8grEj|? z-$x7XUl9f5QkAf`T$gu^<;l)7aJIk&%L=|l@HSe6kQU!Z*JPE7Jc})L0c0Bb`%_~~ z^v%RBO(rY1bh=It+Ly{3$f`Cx^4YJUFk62&>xTKu^>5YYQfdS&n1D-1!|T1PkP!QT zQcq8PZRamSbCTlxzaYhMxoG#%Zwzj>4ov?V(tEi~fGXbL(HoLb$D#;^p8`u=m)Bkrs-4thj4ErevK|CyH`PQVFMz|_(XbUL^< zK{Zv=1wX#@7~4&%+Kf~OypI*Ff~MuQMJN9-HR8^Xo)L0A2Y8K4k>n-K7#f`uOiPCr zb+Bh%q)|l)^>ygX-hyno#!w!qXyl(|ewl-Yf{VRur zy}(s`4QE$70$b-8_%_ojUD)Je5$&?&6VzwSOPBNskQyMwb5lVqbKR(LehMk59sH#m z;Tnh(-M^ixCO1$!%G0<^T=i6!2&PEnq|O5_t^w_IGB}D-Soi&8Hek8T;!;ReR}iVK z^9*|jA=t8)5|fhVvWgW)VL|#a{($Te(wz z`x5#qhPgln|3G|4+`VTq{`5flbc`SuF|*YB{3IDQzI=0dHA{_=_;KnR06&BQ+;Kpo2H;>2)Uh z;UMMc`DXs&I;{w)?5m9l9Wz;YkKOoU!sC!7m~zO>++E-HEI4t=DYfvxR+06O4P1R^ z_oG+l*qUNLB)9mp4q0Jcm0hNruC@-6CM3;EZ35pX=M2RJhw!ONTG2b;eZ<5AmzBPb z0}h-h(Qmvb7p$;9&WTPj<3G8W#+vBlhKAYTGigQG?$h<7+;0QpA6t36dB??^s%B-d z+`2syOTQ+q6tEjvMG^(NZpJb=TV+$uIwt%90^hepcKu?ht~d%lA0%C_Js0a`kzgsfV;&aa z_ybw~dN|``bY@dTbpf%qjp(-j7ZxHZ*~4t*6EOq3uzmHra5PE#gzg$ZTzkkvfCytq zDD{f5QZN3gZnIu>GZOk$gCT}5%?lhWgDw&HF2QD^ZrwAALpU zq_B*P>XpQG#Oqo16p+E7BOxr48r3d`&Dphq@pNyt9p>F|TvfbwB1uOU#|z@DC+vs` zKgg(WY4NWLPRwsvQz%e*^vy8r$YkERAFLz@9G)<3Z`!$gszW+7U%AREUta>Y)68t| zYjSJRt5@1hg{R-{Zf#CDI8Bl;W56%u_}qF^D4uh?YQVpu1n#nXnmIJfTufn{|9WL> zm1#LaG`deqr5u-_l|7PU`u4DO0}TGRI@h7yT`>&3GY zYjhYlCmDl;;4wyxFAvm!W}Wlr&HIWmRu zmj;w;n1Cmp=QB`v8S^jb0PK64T|ryLK0oqxh}fNc?0LwwjhglwumY+owi64UAM4)M z7vlY;>t5I0wfVQQWFp#<-0=`oY7(vdi9KsM1h<#rBncD8S?7=Dw$^biAf7`RPn1Le z>dz7jwCi+p>~C-%#!|283E3Y`|3V}RuZOM1LSLN$H|#179qXGM8?}KOJ;3V^5d@pJ znNX?Z?AR#r`UKY!VcP-j0u!hF>1V|;Hael^%kU-O4=I5y7k*;kajN3W=X1fM-H6(i zcIm^IvSk(%_Z2vz`3bz7F_mZFDM>JJAMJY4GS_wQP6sm92&^6R@c%8JWo5CD-I+f9 zFrcYWA;mNP<3REuO`OZrsDiyUHKWj8yS{+Jf< z9HXshYRVzsU?soH-(6I|tk&=N#qX#L-%PgwP%N$rPeqVnG)4YR1@*dDE;Nm4z-Y+Yl2-Uz=|_o0s=>GTb*b}RIctvHm!=Yv&B+;^KxS|)8^2aR|m zf;dXTQHhj>Guzmd{>PN!Ct_ia&3bxDi7{h>j%r<9eX;m&H1^n)mU^*-ZH9$W(akJx zL&q>FoTfF_CMo86(_8ioFBDs-epS4$02X`7(`obc-ga=RBOyj0UF2WT(PP4Bx}G0Za|kjv*k96Xs@d~CR^#gC^( zNrl0^?>7r+c>T5Q&2HBx>nqs+aSi*Bvr@}f9EK4R0m=q*6r&4*L0up4rUV?s9{DxYXpRkfZt3C;3SE%CvLm`)g~@s<4C*#gJln zCV1rT)Xc6Fr5VOX4bj(ur?id9KHMAXHtueCM55&Go;xlV!bP9S==Fv4Hv*5Js061F za&v2MS@lVyJ~kjK9JT~U1R`yOue9i|ga{b;SO*S>3Z=mIcTSO%%V>T}Jn1HG1SzB$ zZwIR?v+zq7vQQC*)otdpKrOwW5|0T=RcbY_fD!4;jXm%K+J+WHQgno?2HYLE(Ha?d zBo5N*@)}Gf7w@4nVi3fi!~|}!J<(acc#P@R$AF9O#t9R?vctUeJ>ZCWlH~W-M`6}S zs7~2Ikr65C+5(2Ob!22uVT-7Z)Ro1%dA!=!b^!~)UX+auRF-~m;5TD zD|4a240+fe)=8NcVN{Y#aFRB*PrVO@jO}YD!RIF!2Hpp&OK`1`qmhpv z-EG~PjB@$XlixOU@PwPM{*SzuXKv5*JC;?E6Lhx!x@kTlX0U}hFs0^_B_K|Q(<{q;)LG@DM-Qi3@I4X2O$NcKUMSw z>kb;5YEd}{DHxK6bgZ!DCtiq#o?uhBU5N7=M?1NZE!l9&)O zK8c;Z6ChMrr-MI#z6?~C)HwIMoXEGXX|`b{!0iYhG?*jRQAm9uEbIIl`6;(xo@`@s zvcJtYoBGEIGVEEMVbsLNy$s_${>c&)5M2Ri%GY1kIQ*eZ{}l*L`LY5vl{kmUkATU^ zSaddE!4G6YlqT^t`VA~3MacyC7x-S74x3m= zq3I?za(&?j(iaW^b2L>bH0POmBV*|uYCrhInnmq&4M)5M`p44#46x+zCnJ+5g--}x zS4So1rwq@QQ?ssFr_bC@Qq6vk<@4AMMn?r0Rwtl$#I$1}%JIk)Pv21R|<>_^K|SjW6m(X7|^+O@qhiq8Mp@S`)RKFcDv{2Uh#H~ipl|%%h6hbF?f8}uD4lg()r`uAcI@MZ^O7F=MCgH z5A`+%{1|%gpPdRzt^yaxcxA^CJ%VTz1y>K_^Pb8tIS4!Ql%#}As zSNl27$E2A(IT*s1d?)cL{jylM9sRF~F9UKVI5)&++UpA;#+jB`PhliG&^$yaUs8NW zQ!DI!E86cnk9%tWT#gd^dfalRTw-mlUu$E1c#3{BK4nbPALDr0F7w{{^^^*5PoCeo zZ>1`4*{m~nl%}>nSD#mlS=mE)=$nD^7#@Xu26{)U&kB=Ab>`9GMSI+Z&t%RG^UE-h zuR@lEim~D&eO2kNgNu$V8b+AM1!-c)&V2`x*=`|~()*bz`oQq4BP|o|!Fn$QA>Vyz zb4@D3@G&2lDKR}Dn5q42S&5LSgJgYX`Jz~_h31^db52UNZIzmebB^w%4%W3ppq1-j zluAR1w1r1@({?W<_t`O;II_OlRm}L?p!PRjXh_$sr2gBMJ+G^VJvN{mGfLmqj_NtC zb5SWk1xE#W{@Qk;BXx4QVWT_2$WGjX#tTR9mY+soF>Hj}WjN zmG%-ATx}1^m0xGcG(VQ<=pLmU? z3v@Q@TqhaNNR6e7SlZ_p#j&L$mnjN5J6e7*m#1*m8b6nKmMX7=$b28ipM^%)pPXa6 z=qjurl_wTckTDauIn3O4F$%9g%8aRw5<5BM+(7S(ux)>B&3bMAN1JB9J`?`6pU1t` zKazQH#mhYW*Gg^dV$KL*deh4Z`ZY|O+{2SjXD75Q7TNTl1kL5ai*HxO5w$r-vAZcl zsB}k?aQlk}AiyUt%v#R7mW!FktChOLCRomwUI)iK7AkhT-Q{%9BcxTch5fq4i{IqB37je7g>UxoA6H>K^=V2jdG0c#cXrLf4~M@i5ve-fE-Ag8HAT`m zcjtJuuF!5{KKI_k(lho;mYobik^VW@(*j!c{UrW)qlBTWrmcXiCzndnif`K+)@OAT zmkSt%U?fB8kL2uaXguBZU?rIFgCWSENCXR}hL!;7_&Z%}$!)`{(#m=H+QB3oM#z!F zvP15c?X7gx=Y`Q!9QxlP-Bvb{qK6cdLc?AcngzeglcK#i&*w%MHjFse#N>VdHMs1k zME?G3nNc}ekC1;PmG#o>j~TCm>_9IUW1)mv|2hNkdELyij^b2`jxhkXm^{Si-bcx4 zC!`3eZHM;yN+snJrYah-X5fX7WamBtM$UmrV< z0okhP+q(lT*XOic-sG25d(@(`Q*yJ>yyB9GrBj7R&BLpo{S`<8LihwR(9M)y3v62K zp-%P%WW(LS!qhNzJ?o^DgX^cqT6S?8c*lLV23)&*YfIOSGC2${Udl?P?j6S!C%8RT zpiujHW8|g>NZ66W0l=y5^j5Mv%lvv)Ly^R`4hP}0HKgNWYkXnL8y>H$tCmfulEaJE zf>UX#cc*(#y|OYU$L6sI`DIy9n(Fs3%lHuV3743MDE{Nhral++t=&Nfr9`;MAhJ#I zqVrahiO%Feg`sU%^-jk!ABan6U~)|fgGp14REh0F4mLu&dWF^Oop}q$EDqxfQiIEsddARem^uK z&&@T4vsd@8S!0g;gp^+t-N?jdmMnqxc=uiQ?z+rSiWgbZGV{QT6_=i}JmIfz^qi$P zeGfF((=7{xb-GAdQWUm56=q?uMYoqL0kP{DF{Gce*Y+LULWyu~vr=qCjttx>TxJ-` z9|$|<91x#-<2RsG<^9VSCVho)%hy%_uCIs#M((SLd`8<$g|oh(3**2G2fimp$Pm8G zNbb8#-TV{tzET~UBg(^Ui`(Wah1(WNf3w4mEI9kcy_c7F{aSi1TNd#ww(y?+SI{cM ze_va#zY!IW@O#(=;FMl6G3$hBT{x>f&hM%+v+Q;q9KU-JbA9PG_mcJixL3u{r*i>( zhPW6kwq*f*>Mm=3h8nTDUPuqR8$@Re;u{#B)quWNm$B}m7W}TZw=8Mh^>d6>JB38b^ZPMJ=`mNhH{oJUjmhSa# z+AQEGT@S9%m=%SQ>Kr5G*h(%r6Gi{T*`I1tB!zR+P;F>ZUi|^I6vDy{>4BaGYC=Kx zF~*}=zQNA048Z4uij4nM2`#MRYC!yoOs!K#G^hdZaWFw2c8ZDG2 zqqJ$(aXa|wZLjL+v8rG^IrY~7H30FVuFn6!l30WMLL5p3BifTvO^=F8&($uupiC&e zdnmL+x_>S;!T&d~s4j{A_;Q+gM73QR!5a10ILfTS$hHL+n&Ant;F2WqviQsZ*K;Pp z|4j#snkU;am9lp##dQ$T%GhQ!U9yqAhAA@9UBSG=VWb14_WKJ zHx1>?i}1VDm{Gf9R>Xv=Nr>NyRgRZ0cR5(?SyhPmqL7=>m|(p=>?&qt(!W?)`R5h& zM^AcwdMV4xXHm}fXrcVegIKKQJJ-Ts3lhCjD7ic0AupZbWg%Ge2~~G%2VLWkdBp83 zGY2_|N7FZCRqwv&Q^!8=U0LkWyG)YPVj9kZq_4HrVT_>B$7W>2bJ+49If>s&v8&q= zOt&odp_$pa;4<89*a*X%|LyIYj|Z-T*Qa^9&qFTlwp$WLHg%A`v9HJ+aL?RJlSNRp zUj<6Lm+f(e0U-E*^TVC4fY9|4;-Ed~%JLbzX%`>*WH&MLIPWnLQ=b6TaM~hBDI4LK zdC*^@vx!%Xh%U1n>{0rDXqm)gN9i;Y=iUO;?Bw@7CMDxbdx*61Abq1K{5uJ>V-WF2 zfOQt9{wUoR?$^MT%@CAZuD}Unm2=dX4kZldcBka};>eRiHJ|<&M#{>6|fV&+KU)F_{6Rv0j6) z>9J{01;4fp%$^L{P1xc~njW-R?8J2*<-hBUjY(N~jk|(4SkL3y<5b|I90)5&L(zj* zkI^+HN3#8MdH8pVoq*w~u%n6*)M3t>WAsDoswI&HQg+riMekp)IcRrsh>OeQl5FPE zqKUGwcA#>E84~kwgkyJ{t_W-8cpay!L(5(!9jB{dbr;8Q$=f}#_k5Sq2}Yc%<8&)l zm!h3@ocI+o#>nO9y=uZ`_fHv;Zp+S|=-UcI7@zo@tKVxDf$)t|FWCJ9Jc)H3VLqh; zfS-%X0MGQ@Ljx|+XaF8ui`#c=)zRuFpGou<(R_7&l1rmVB`h^WhHu;Oi+iFs&*xzM zJkL$u;=%Wb?30rtC4s|pQSl*On4Ln9V`b;f6`MX?jikZ)`@`hv?@G?ZL$D#(Bp00g z$4{^2Q^-J%w*|nTHwH^ zlE)_7e5M%EO%Y(sy4wXm;UP41KRtsLL#1w@_s_sV)A! z%Ey&$LxV&0GHiK+s;_w%)Gomauh34=(-y;<^}3tzc^2 zUsip(4CuwWJQNwmWuLf#9Ar%Kl@pFSr~%>29q34qnm0bp0@fdhNf%sKof&5w^0KGt zAvgW_HE+>$`R1^GHr#z*WP3eVS(M<}e?%l<`ly2zY~yVeC5J1Ue!T{2L#wGpupb%X zI%S2a;17YoF>9eAn{u1_0q#%|ysU&;X!y-Rr@(v#WU0|wFk3|gX)%o#Zy`EiyreIF z7KV#21z}k~W1PQ}UG;ulfXRIoe~@(w^@pvOtOyfTRdI2s$y7yqhGie&ZXg^pr2>%@ z)L9}<3JBrSyc#nYp9`T= z4y;JRI&3zE<8c*i+OnMR9FOakf)Cw%q1-fajD?A-r(|Aapx;Ja_>er?vg(=qiaq6X zF&NYOv4dG4W&QNQ+3VM4Qxt^$P!(4rj<~wQdJ*^3XYpW}=w**}m8SczAaW~X4c%E7 z#0|Df_Ut&@{_EQk-VOOB3%E)*o+&NUAMNpOK~i52sKG&JsPK5W)?i1pRB|oDgw`_(*P$pD=UIHAPO;(K93!O;&bFUn!)xniw5 zzBBOafn7CtL?;k;F%EGmFqDC&TjSg~;LM5dTscaWF4Fe9#@9nS5~9imp`kWHTJ0!c zOCWCtS7a7B{o4lJNB+&kq4U`=)*oG1&oqz(*t}>4s6MEQA@K0GR)(g<_eX(R(C0fP zg}m}IH_>~C_I~W`ccw8~zh1!X1$+^Y7b_XUh~h6PxtY&SnAc2P_;#tU17*XD@DfZUJV-xi8QAcGSt!#KId=%m4&BCL?Sgrm4{rch zuWj^pg3mHl_5#4~407CAnbALhza-TW?0j&2)dm^N3P;>vma3CHb&AkmK5V&1$flnH z(aRX5Rh8-7$+zFfzszo#A!CE=zi*TvIsrCwk}9qqe5NkXMKQP%M^K3X1(8S<3w<3% zG-ZpAA(f1j8UO*7n;200ZAll4e1*tnA>fp1v#csO(#baYh=e4sYv%y3NZp$Sh+Xi) zoxoT(Gyb^1TE;;=w-2BIB-X@Xo)7PI1F4hnP7d z15Dq#IvGz51)bRt14IySQi^u~~?}r(d zI~%T;?76rV^<|ccv=9EdE!5Y{3Lt!Lw0QAQ$heg27gr$_asp~wy+bF17;Jq5x8`k$Z`iS)k zqe4j0b_(CQJ)hlXZ}FDVfZ;?*y)YU!*wp-wnPn7sv~_#gmO$$$|K^#vOzX&U zt=moOca=>XG^J?-jmH+5I=^^H)jglID4$_y5E97u!#Z6qIpSqqF7s;`xi|6a+6-k7 z7HqJ`)F7}ZH8o-;y~ec!4V0plSpu>1zyRGtMT0ur;AuSkb0&9Up?q{VQcc)ZCq@aH z^qYM`f`5E~%k8Dc-dF8%*k2iFMKrR3g_$uIYY`E1IbwSo_WLnk^Oo{Kma{zb;S!obb&+A*DDJoc^70N2L_3quud)&~2RLuO9C z$v$|16IXmzzW3n142$WU)@QD->@}@r4}6ZduS$3_Hr|?XZ{__)@9b1&xUK*gTx|+g*#*c6lJJPTa!9K}&WHY< z1g+iHBf4eu{qGp&S?_@8oR&3;a{y>mbyV!r0L~n( zg8>|FERYB(B+j_Wf1;gkY>&RpBraLQ{L7cfm@}BEt1Zx@=fooea2B(JQa}{<9}$ z06Kgq*&!Qt&nT9BTuLLjQs@Lkx#T{>#v2EEl8N(S9>@KTvnKsR7^G85wKZA@XB_Vg zz68DRd>EHNj|6@ld4jpC)6>-oq^rmxo9DZih38X-J~Jmg{#p(Or| z{3wsK`U(wO(PD%u)k0fCer>yk8S5ShG~lnTH%Pn*V(FWzV!lZlQ4M|L{zc zO=~Og3$q6c^8CJLHBNO9SM8DSlsQ+4TYB|WY=H&rr_LZMEGk{FuQ4>5E=pRPrIQ@twXf6TWnjOneV5w^F#p0d_u=Tb zo~vCxrm7(RQG1GiM$Of(#cf;s=bxK_B4_pAtBws@@9Xr*S{)R{_+wZNDnsUdbYR!? z@w`?{c$IRrK_SV%zV?&9$uuIIs=Q-M#ZMqNzoI9S&ibO_1B};L0_+o|Nhv0OD2Q%` zef2v+2D%o^%=&@q{5DLP{n+HA+t*)hG00EZ_1h-g%HEL8Ews2#v6}{ep!Qss&NEk& zAf3-EH}aXYd6v3H*B^d01R`nG4~i9EkhIiOZ9Jzl3L9&Km(KvHT_3e1w!C&Zaw~)w z?j_9{7-FEX3XZ?JI4TM7_pbnvM*fzhSG9*)ee7fagmbsnnQ+Z^9*6)t>7fzpDej@}75{=ARyOe2aYAQ)`<5$1`H?QyK77QKQd*nsT?<88IpAU6yx+6m zJ_vn1HDF^Hfs6L~%b``?FO6iMP!WQ4RkX3Vo2b4!g1^+$vTOtHYa-rtp+Zx{xED!4 z-t~J8fLwyTOC}i?_fGiWTCTDbcTDidm8}T7QKjE=g)e~zDVHx7?$lwr+S(EB*zR6P z0Ippnh>d?4V64Le%EFm2x(fh5>0!H?)^$eUDBkU(CroMBJJ|V#u^Evo9f{qm!15a5 zp?h&KOl;DYcR2R2Wuw%0B;#zXoF-a~Bq}K-%YRGV)3)$Rd*(Y63RSD;>fP2s+?IT7 zv<$AfPq(~>uwgPEKDr8=Gr-!{$ivSElSNWH(+gc$1OODX${T2D6_QKacSXWN&yY|A z#$K=zGyb7&p1oV9q}nNQnALoI)$?R)P!E9ib+f#8yQ{xYAE;HuV)e*8`H!E+`3xKq zm^h!nnEUea!w(z(XSXg42z@*DN{{SOW`^>*sF3hd1AgRgC$5=YU(0>*;-ao->0oV5 zTSP-v%7K9DfY<|t@j&ork)tE96ju#cI%k|w8SW%6JkFr*nO8$bvVI<~`ACBQn4jh1 z^`j%h)Br_W?1R&#DtPrxt_Dsg-7W4$_j|xCygv+OELa=fr|)x}JB=`F_s)H-Fsc%(>6~ zyRN&qayLwC^*NRZEU>8AQ9%ppYsAWg?`PepXZmY!3LMj-cJe1x)7)=96Mdw9erS|% zB8)Q|)+Mhj8hDvFe|ZveiRP$#rjbU};giS=-M?YyE}IlS4ba?RY(Q{}e0qW3G#DELYH)xuVC-B6?0S z^@LQ2JS{@AOoGSa@=M~f!jl38-*(wLxp@wmDJ8iXUk>w4j0===gSry~jqOuRLC2K@ zW`e{3*9qxf6G?CTZkTW2u2km?(vg4uBTQ@m*a|pT<%G#kp<{aCB>u2vTQcSOKxLh9 zh_kHFBZ4}+nbA($RdP&1J4lMuqZ`!0-$GO5|Gw1E?-!IWx~Alu$Wp#=cPec`MBw6o zOOu4=*NwDeGBczh)`^|ODjsG{$l7W~o#@{V>A)a5H=FtYt;t)kHOb8+bU8*{U7)M2 zqz;Tm?At(^Q*=COFzF&y@xq{a(nY7zHbRdsp6(z$P!)Rcv)*sAYy^#S;ec2iVVYXb zovINSC-#&Oyql+DD&3a6ymKE9X}E7HosVN+n#_Cg{Oiuq#^xCpK`NCaZ-j8xlb;N$ zSBjfU-do8_m^QR_w|BQ4(M~pBg4t_X>e=mg4MPjRyeZ^&@vun!|MBY80%pDQ=$Lj@ zjmJ*OwxvsA2h!?ZUs^{zE7VeDF*=mFaVWP#Gxc7v=C;bz20gPAy9m}F>MxIKe?kaZ$$t`TJy??n$ zu2%M6C=n(O48E=-E9L(35Xcwd!$;D4;I4j0E|0p4qdmNu76qq~`fMk8*&NQuaMP}R zk0TWybjCSm*(ADp?|C0~?eW>;td#ikoT5unS7ijFx<^X_cgJnAZ8 zlc2Qre%3|)CswU}&ZgYE+k=A1x7oSY9LGbYoGedwjznz>H!lrRBo(PY%&b}}S|vXF z;BaC-HOJ@NdjFMDH)0-~T} zCE9o~V2TB&;rX396{)4sT((jlNoS zz05u=j~cq#wZ}@;^?vDj>zs;O6NSEecbkbXUC5F>R!L_V1bUDb$(p~k*vS3Do#mv{ zBjk9#M{QLmd_{Rit3JKg-EAZ*C_5$R^)8Y@cDK7*6&~9YZ%#K7wTb!Yw^H)yS_k>2 z;Vb_x?&ni}yFbudRB(IYuJ}|kJ5_%mPE-KS}cdYyL$&AARr+mm#ec-r-ZmLSnbg5tDJh6UA0Hc;)vzH zzC&*lsO!$@znon+=KsvdyZM{>zD~_AmE_ z5l0NAU#)dfmU6o_e(rIAU2es#UxZ{Q9xi8==opou%JsoXDp%ZTy(qjD$y`lJNQ)CdDyv(lK4 z6PdbCVwg|qPPu7Ld5KQXW1I@;8w=Am7A0RaT0p7feGKK^ei!`)E~abxt#R?>Br1%on13SEn^ek3_4X_x zMEuU?G^KRj8Ft!J+rk=MCOE@^z=1v(iSTSeb3&9Hbh( z1<_dFfE^!|Pujh)&0PJ<&HTiv1S(^TmUqH6^6Tce8H|ze=!8h6n_glwR9jwpZ$LnR z$NMvCw&wL)Z^tZYNPJtfA@}W>XA<9c`*(0_Q{q<>je_?$l)G$UFS@GbQM`8$e)pRh z3iFBb70CRIpD$(A=c;UB$vtV(8Fr|haCWrbbS`gO=fUhbt0}3VEkysQPm~bCQP+Kw zgv~yQKNSU~GGy|z#8+A0*7u;EOdibaz0xGTT64%x`o>aXC`agP@r^a(SB*sS0dr>-o5ZtXlQPB9)rmUyA%`PDJgZ1< z|EKa}>a_CHw>?OS(FGPY}Pk;=6F+M2#Iz=k5MuGjZ8-BvR?kEGJ; zPk1a`U1-vkZA$VP&Rg$I@(L^CEt_uI)p}}8+pp@%cCWoc7W(|+>`J!8&nKq@bQGrw z2c!+&4ku~qT&;}K{~L9fdZ%YDV4LmCYp)nDpP9C>#MmiKhoq4BLHFnLZTow|m<)@VA%=8aHr z;D-#Euot>=T?W~HJ)RbY1!i|!gvI*x9K&q-4ICS9S-2b&)76Skk}h#`6Y#e*wd_zL z5d)7n@JJ47S&@&~?mMnyyJwnH!Qq$4>PRW8?UmDqd?%>!umq)bN_f}Gf!K#82Hl|& zUg1A{(w61?eWnWrQy2mt1g72V-f~hu2Ja0xUHqkRpX+)?qo^*V2kAowkRfCQ8AB$J zDYPFlgAPFEkOf464nmfY6=V$^f(}DRpreotWD6aG>>zu{0XhzyfE*zw$Qe2boq}8- zSLif!26BVWLgyfN$OAeLU4T3xFX$rV4f#Nqpv#aieaBP$UR zWEJw?Z>+{|YY;I+9FaiQB9e#{B8|u(vWOhA4v|L`koCw0WFxW(QACuG&4@Cx1=)(I zAgYKOvJFv3G?4Ac4rC{yiD)6($S!0zqJ!)~_9FWbT|^JjM+^`{#0W7)Ob}CKKVpU) zK+F*fgn}GIEDWZ7$P~gxrjZ%sC-MuKMgB$Rka=VQ VSwxnQW#l*Vhgb>!enidj{{g?rNdN!< diff --git a/.Floppies/A2OSX.TEST.po b/.Floppies/A2OSX.TEST.po index 3eb77ccdd33ce765edd755dc03710958e690a76c..27f23779e41705d8b007c9f245f95a43f53721ea 100644 GIT binary patch delta 59876 zcmaGo30xD`)4Q9KI|#}R%Oyk*@Q$bu?r@{v)mn{;6a+Jh~b&tFakT4>EJ9<^mE?35e<$45WRv8bS>*XhHwW zHFF_NqvudLW<*BD48b%o#s(P-${|Lrs0x&lxsuL1Q$ZJDSdA)kww8-&yog#Z`7EN9 z7wC!(luMsGDqTch2ub{z-?Ws}k=Y7HsbP8L6D6&R^@_vCCpaDmIVP=f%vniDYaMcg zg!G<6PI{(H-xxh{=DL0YX3!E9Hob9rQ}n`^rkE)+r=9UPtVSIjo`$(RMY5m#zyD-< z8ugk_tX4Ad7d6s?`-+#i@x8L$@{W!I-%6^?B{R&}!g__GPo~`(kh%=RS14`-@Fh+h zejb{ZeP49yK0ZEkr?LH*_L>w$AG5$$Ntep*<2AL;3Ox-$k6wIywQ?TZi3^cY@X+c! zZd%=a+%NNQV>kbmL>#~hE2I_oZ=braxL)8Jl3{>Q*VGg_AAet+(JgUN zC=0#HL~;;qR9Tu__^Qw=4}k$5k{LDJ%<`A&w6tnY`z#rnA`(`oB@T>#u1;H*nLKOR+LgJ z%B~fisx9z85+EwBO*>i3X^$Z#+h3a|hVg829ABH3km+kuv}EEvQQQ5pl>`ohmiuv{ zbN9i`d5E;-{_VE=$`LZmT;>Tkz%97_J;`dky{1C=?10h;R%|4jobqon$5@OAFjFa3RGi}S;J;)afGL}-Qd-n-72lSbsVbK! z^|V>TWac4k3f}lcXck5($LZxl!;ocYvM+x8iI5S(wmF8!W~Nz;MHQLl78dkFpJYtD zHnY)U9agEzoNH-6dag=a6hyGSkCnx%L|ISE5>%q!o=R{LkI10mN1n<|^n=LJqehvY zeo&^w(oPUbWFrqx@szwuADO>s9ML(Bs5r%A3o6|e)H{(x1-K1)C<-NZhZDEP5i&>e zURy<_yV3*ZJ3|SDHhkbCKW8)vX}I<0j7JhKhc7`~LkSiY(5l)1O!;#6IO3Qzl&ECM z8Xpyh6EN4OC@_^q5;GMvskmHulMm8m=$$|wDrTgK%hP@os#Ayid@4>Ll#V+>+uC*nm!fqdk8){zrh~PeU?g}}f@C3e z5v#wXrbCCKRR{a5) z053!8l{9ir7q59CGnCAB4JJIa0Tk!7N!&6lc{uVcu3}F_GAGD@YgCTUw=R3D%Aqy@i(n5CwL!90 zWZaOCmF1~&?8wP{Y_~R1)~(8MBCn*aD$%G0u#s$%h(@H*iR8efAW|9U&NdYUOuGs% zYL;1qrF3Y_Q2a)vwnVEcqgP`IzBEHnuI$%rIH{SGN9YbC$nb^$?N$}{kcO1i;pxpM zT2(2fZ^3FQtX`1)dZ22p!mSYS*@}Kipd(K!QD3MJ1KG##rx7s z6#%8k4HWMr3hUft^~gSc?MrPnt1I>WN0Z^x7}a? zE41#oL7tIJ=kP_N36g~rYf#W`QyP>niy=NAcVt}WLtI0U56l~ix>Zt2gQ!OZ^xuGC z2|Th@Ns;)wN&-220nTex&d5U`%PA`rincsMP%f}S)TJVo6oE;bI%JQejt#(0IPQ`mEowq#vCca%#yrzVwBP^V*$&z2J?iAP__-2m%RA$$62x zT5t(9nJ1eqAXzK)NRh+vt2%$gQpwpFrbq&G&81m!zwu*1$%sk6eJeIkPxpDGw zmC{J41vz`;c zo1Pz%OA6QrIY88@!siQ~=b?~m+RBF2XT4IDnb&7^s7eEotS%K9M5BqS6jlq^D=nPh zLtZIG9&%un6)ET>{&@15%$_HHD|d|~SZGmN1epeTunOxHIwvBCIMZc#hEf&|%G85! zuiGl%4wQ63v4!IA@|5kwKBO(l!70eP75RyqNelXN1X4m24J7re-D z06ED#X$GJG327IEP#6@CDTnN<(5_xm>`dn7MDdaCQ^->!u8#5Se1JGIF$l*)z29`x5Q^uGEej6raN^Kjak!~xa zHmLmu-=t|CXp(oeDZgJ(3JvFEzI3n_%xjbRkRfJJ#M2u|9K*do=OFcDf{TN1umEf$ z1%Wvu>I;H$OzKM^0L|;A&J8&h^{~)$hSkGD&oKhwBr`x3lFVbIezzl`&?(Y$R8xa% zj)DdR-=BoXR*5}h%mKr_i=;~S_mfSi3Ek~SGu&?AL; zg`&4}GSSI_tG^YxX0y&_1Yp1sSCKqRO(5v-r*%3GG(?WAwl>g zv>Ha_MVM|duNO@vN-gRk6HbE+?+R<(7owI2W`or!ok}kAX|T*CkMNF;V{tH-fu{14 z19t>zfCavi2Il(YfLQ-*gK~(kK(e?=_QPwR$SBUHd}oM7Cn66k8&GLo8cKQ$jUwG3+@ zWnSz;R4LX0gAhF*Lh)UxZe4O!npY&Y5U}^Xmbm~_BSq;5d_$NLhO=Tme&kh^ zvJ&@rEi(YhCIx|6`gH|CSq60(u23|;B5!<<`eZt@t=w3zW%o!#;PFGzDPC)EHBZ4k zrkEx{Zv+Fb8M7{nSr-z5&wG_I8IF6a*&cBpUdfz+3f!%z$j3^D)#ca`W!^;AusYc9 zm8JzD$zec^jV=jI4(F4afvCMegt#XK<5ZJ@OfVa6shJGG`x4e=$bh<<7 z=~0x2kyj(`xM%8&vY9;VwM-9afC?;91;aYN1kN`3JREN5Yi{)G289IFNtmkyEn@bqIkWj?WK~u=JqJhuV7TYniR2TxbkL@_7>dud_N50q~iuHpJio zLl58GnV~I-Qdhga98yiGGogH&Z=*g5R8+A(O6bStlT^xHtHAFmRf_jeBa*A-SQevB zI*edb8ub(y8_m+HV`EC1)Rj=k8lk?1+2i^2uGAa!}PJzR)z z1Uw*uD#4j3Dt0DQ3pfO+Q|P5CoYMgN3*1mxAJC!~<8ZwfE zxk@6!ZgxqR6M8FveOOHwc{+NHcPe4MP{J~mpp@(h-rj{9DHEDx-BLdyMEMeqs`!<+ zY_6gS)^R~ZvLK`&GC7n4jcW~U4ZY(>z`vbb@?V8krw;+K9gK$D2_m2+lB|^a5_*1w zGFWj$c56t~CkLwIUaJyO(15Ixa*AhqBUmN@C%{!cbA3T*a)2gmgjvo!AQZ>LYr4R= zc>+2IGrz)7BnQ}UaU3DsKPlU`PRcTgLp?Fge-Wo}2K>;mV!vjKbU8x;!euSl**$~12x0d3pun<*V_0*fK+Z6j%^(Hf&hy#XB{ z&xCOl=J?iEu*CBa$arO}x;-JetU5@An4-s&4?fDH!udz-M)Dw}_95wBtvXYF9tMqx z2(mj7Nlr29$Qs6pFeTH1HvySp%W@*ef=CU<1WIZ}o1(4Ef~bc~N>@-JTlDbpnC>zg zB5QbEnH`xy02HQQ!z^yp4WAG~IW|NF9k2ifZguh{*fDZh@%zIK_v(&gV+yJyLczry z-pHm54X9>_CE0UQX9rvWVZ%_y<{=d!iqC0~xknLrB9tj)I8l`b_|H8VBY61DF5Ir0 zTs1HYs}eumBkRDaZ-B^^w^~AV9vcjt)?g?*j_u*y2!@2Vhg^P;q*5VAk@iJzMjo1^GsgicU&x08;DM z;iF)`2n%^d;z275c8QEiKB>Dm=~>-69*LQyTL&8uPimQ|?=iv(Fbn~F844+_lv$_6 zB!%IV2U>IdN~Vv8IeH~?o`NJx2@P3jVrX)=i9R9X3LnrLa+w3TU>~+1Y1j%z=tH?x8mL*?L)8r9Ja}vMZrBcvdDOFH@ zKJI|iGX7HwsfKBth(VN^)rpuyK0-#k7SRS9x)#_x2f7Z5SEaN%ZTJ&GtwHuF^q|;y zt8NXLljxRpY(bV~9US?g>{8IkvI~QSiSod{bkjJ{UBHj)--!8=2vC(wv9fn+lF|Ly z`~|soo&h=ao~~YXu-e||QS)Je!%Bt=XkNflee4b0K!qu^ZTjmYxIMhCDn*XT-i7&p zBz==xsBV%KhU_5M1P~OERRW1@D$A;vSWzs znFK!TBa_ED0jUr8O()3UHLX*{5Lu>m6>X_(RM8=gCdfS*upxxW+NiNtBeS}&@g{khPvn5LKXf~V39z~uBz#Q-i2N=jvPTC5xP~^L2US2$kaT=ClaG-GCR?eXr-hL{ zVrPX`o0@o=DgQ(mNrbZ^j9g9+6)b3Alpzgd#{fD44Zs#U#UPoCO12oGE7=V_U{b?& zsK+ExvS(yn#Z@pQ5w4ODIIY5Jfu4*q40^({E>eIiz~B<{@W2M-A<2L=fTl2GE039} z+klMhssloCPfu0Xp#uBARg$aLO*)Cx*t75 zz0{SJ+zh&KDQRp`G?7osjfV3;p9B*?z<0t^i&-D*3^_Ygy0FI0x-3E_tw= z^wj{a(74hY*`RO~LAL=~d6v)>t3(CRDN|q>cSx2xFw@^SYk~9vh6jas2h`Q5Wu2A~ zQd3+}93K{1C7z*I>5*X!N|{P@aouuQ(0a27#j3F2J}G?v5N6mX5sJPc@YQ{??@oL} z+?quwXXP{C;GQ#&FoR>e7M$I4Vu>n&2P*|`Dq1U`Ig<+$tPd$^-w^qX-QN<>%1I8i ziY1ii%VPVRKf`copG=PwpIQ7Z0M~ou@=wenD)5tiviIb4H(V)=fe*=~1->sKkI7D; z4jB3=9I%s&k3hY0mm#jo+yhi7bOsUc=}PKw9bt$&;6+!9)k=Z z1b+bk4N1D;iW=C1&`vidPWQ<(W+!V}XWaW=kaWiJ)$j#;a@Q z1o(tY3jVfFhD#HOLNA3^D;2ELFNL3~zM6W)V zr4ypppYy4I_~jU>suaCAJcu5ql35o63A5rn;>00BdN6Z_XS|Eg-t043^%`2-TBbAbC|ctRKM<`Wea77*hT7E1E^0ihuG zDeA}iUA_H?i-Gb&^mGO7CoydsYSRCr!Brag9jVh& z)A%Cqr|~=D3}7*SQNdB9PVh%RjOZg%%`{-bL9mek7Ue_AqWPnrLa4}dni@=Fw&|dP zhTMSEBqaS0fGei{FLFUMgZqgASSi9pKIjc02h_w1C!q(yQ)~Apq0D(#DH>>3+!c4j zy~Nb8KaC<%4+7qcal;;ZZ`1)3_#VxRI=I#<8~ zv4jO;8jSNwcRIofq3M>eg%sU$Y*-s5?6|Do+3+ak-T^J8=y!JBs8X@mFd4NUEoTRR z5j|joMDYEPorg$-RUWL)2W&WvK4ga|dZ2eI5t7%8&WGZK$*B3F`x?n^vGL<}G6U&- zwzdRWma^hD8#ZpaMt_9{^v^sX@`HX!!7LdD5ceGe2+am_`(hB^XTLaiaqXZPhP=E$F9|< zvxY6LVOO#gwj>TC8!6;BYTIvv9O#&S&OV!}sgGWSM_UC`h!m*;bnyrE0^M1g^ z_HkOr_WHK^DzH{RK68EE^wKN#Szpi;#RusfcK%y0RMI)SMzaeFl7~ zLJMUmopSci63xXql+HMJ{=&twOXV=}5&ct+TI#CPN-qLwMGMjj!^x2s6BFe>xNs5r z63Q%<((0~ab5y%ne zh=3R}^A3R0tAN~o4Y@A^SqivD&yAil=_}V;w-E-S(!35uzPzQTD@R5IM??>OA&OoL zT&0@3gL{A+8A(!$pY-*0ty}kjoSBZA$`Epn@D|w6m$m2(AY8BJm98PWI>iKpff@rU zAoQmImfWwypye`AY+d0U6r)_edQIb&8kCM*{|m+hTKEXYl{YoxXDF3&>&{&_U?j#05Ejq35Ax)_EDEYRkEy*suR0V}{Cxfdx( zhfe^9Go9>xW(@2NYQxf-!QtK-hm$Xg;Us($GAu0otKDHlZ3Su`8ft^PXcJ-_8XOh| zw*>>h^cMhUr|~%XLRmjKdq1C{ubm+zu*FY0uNSb%ZAJrUQ^>Y~r{r)x3gd!zh3@`|@XD!bmDj*dYW7=3-hbCHZ zj7oj^y0Z(eKBI*rF(yUX^G1xessrsy3F?7;(ZhQCG{9VpihdH)l(bKfUzqy?Fdea0 zJl0(ph*?u~^z_B3B~?|p1k=)D4Kp$xKElM*EEB~(6vkslf+i#owF^_1Q-c!ItxyK? z@IS6`42vEtWk^`M14==D{#QbQ_zH`T3>>5dU?V|$lmB?oDBOR-kRSv&CxE-8fg2JK zM&SWN;{l;N16ZpDY)BXm4-fSZp7E6}oef}sNG^>bVdxMZIV0dJ6e6IzfD#KeN(>1I zyYPR!tclRwz$B<9?+g*n39?Ca%-6u7PXKD${u72y5z&4#V}b|6g3bZ3??|Qs4}+oM z8WkKd5L={>^eHG6S+7~>Lxqd_m%qG$oT-NE5aI0pv5Qm6SXFoxV_;rf_4G}QM)mRY4}go$h1D1XlHc#>NKHB(bO)UW>Y8ZO9kS}2 z$o&pBvjI4I80ZnC(PN15?ERv710du#eL5`8jVyHp3<(rFHPm481GsNd1EkSh4L4vG zu!?g)%sZoL(Ol19Q^=*9o2x5CLyV5;;x=de?h?^ z>S%e4F{q*85s~T;d5&pOqh>_Mh|wYlm@dpn|C@Q=&0oM@xM*?glJA$s0Z>mNgc!`BVVX=Zf5 z3?Kim6^aDF{QeW`MzeXa^$iVTDWp5A|71nG*?t2I)!+1!5X34?5D$rU*u%pk2YG@H ze*o8w8m>cq8CKApv0T_ccaHw)6`Soz4GQ6OLfH@FB^VehIj? z{D-UK%!q%On0^()$$|_g2;w2q^@km2%tVdK^uIt?1C6c&VF&BFA8f{8blwK8ftsy; z2$*_FM9&@|hR|z(>+=5<#M>z-MkNntVw8)1F5F4cqaKE;UmZxlLLe0#J1$0N(Nu*8 zDLS-b*{RcK;MS+Y;}EWA{}iLkQKGN}#^*1>G*NgJ;;^h-ep!uPNzuZtToa=yG@`JU z!l2!#sI0oF#{Qe4Lxsvyp$2;aT&ipD!B|z;O`)N`i_!NK^EvHZ1%f z2?n7ygAYyqT_v7Dv6E1~QZStdP|W__@c7Bo#wIa(h4K!n3th6hc>CvP3e8kZn>e)Z z!GwBM%gH4?)Hl*+hQC{Xg->q<|A%P&|0SWn)N4UU&y3*(%oywD6RZKGw}XifXiWUM zVGztE%qPMxASil}+G_y&R+F4G)W`!$3+n983z{(iL`GdV?AP;#srCOP931QonTP2H`Zi z0@zp$9GwARoBP4C2f)OJD3)8ufs^C&7vN2zkcOd!Q&DPR4FxOog@fX&&Mvi=jbJ_m zr8A)>eEaVGhh8zNr1XMkv`NprFPwoIW1m!m6yi`SN{APhqNAZatU*yRI*t0J@FzIP zU{tY>GbFQVRJ8DCpigINsp-S@pIcEHRZKIcny9;GV%(UjX`7LopW3M6DC7QL<&djT zJ*AB~kjF{Q0#tue^)U)^RaDgU(zxu|LO*L0{R0lD|3t>vF`d~IN#*87%zxDvZ zbh|+eFa)Xkpy|XX2QUYxj4}I|f0kR+J@B)negDd%VilWe(;q*h`s3%TKvARqZPDS_ zupu2z(lAt05NOQ5?UMUHF~_SdIq*R>2h3sr#(ecZFpYi2yA7?Vsg{5_PqVFkmDbbc z-xe)d=t8|PqF+7V|ElLZL_M6es4d3sF9Qbn^*LjD$cPaGq1N#o2`0`RimAS5i#Y{G z#EcD$9Q;KZeIiCjmTI;)Qb;ue@v9-2W_}u;PT@W?g2UzxV&0sEF_3-#YRQN_{r;_< zcp*#;{_3t@j8W?Tj;|X?qcQYyjETrJZR4-DIynUMT719ibKGKP2S>-a^`CJ1)`J*H zgRPv+aU@uY%8zPu?%zxe2TM*3PpS|cPOc4NfIu zMloUI2Ev8>rX~Shi+|A-=K`ksiNaURlIfqRp6H)cn%T%nm-{z1VSl1A#ti>)4gs=R zKo)9iQo%tQ;;2#o7RVSI?&BXmw2wvo9WWgUd{X0F00SG4q74BviJB1^7#ucOoKX(~SpO>2Bwh*`r=Bh}O_CYR zDB^`M)t_Gn?O_xZ`VR+E3xJxBhUr(#lLMHI11T4@f+RCUT7-HOFdzNPk|in^*eAu< zXquHcb~MdVoHm-~FRmR;8!c|wNiz|fd%z8w(KPcyHyWlD8Rj0l>Sw*h3F3g!G#l|G z51NB`z6WiD){@0>@i_TgOL6s1nmJ=teEb@KDoORAtwH}BOS927;*Es~+|A8BYE`^{ zWHj#18(T7F1oaO3rxy(y7V!fG;^`kbh$;bbE&nC1G3EeT4UIeMame7K{d? zmxsr|A$N#DsKvlw+P^bZ?f~Xsu)%&4{=ejI9T78g*6cZRzxnnb zC)H(8P%YTMfodjZZJ=s>{h&Y#Sd0cS=80KB41F>6K7B#S^Kix^T>%}zwO21%_LF;R@-aHlYEuydoqg@OxHZV6#e^4*`{faQz z4EOqTU0|yG?L>n`uy(gtGg%*%a3wdK7MraSnDp~qw=lhIB>C3PwnRo6+njlFlga0atFQ&XuCd@bG zI$pSYMR;P~d|g^bRa0|}AaTnY&$oWJkCyK1dYh+(j5_R^LE|jtn0zlY>aAY!#7kGl zosQ+vHwU97xkB#54;#NtZx(*knlR}iX7s6d%%rr;4Sfnju@q6}Ejp=<-ae9haGqYJ z6Wex7%AL4$xeXJR?=NJhRA7&IRxqSKZ z1FUiN=?xn{UW~$LU&r=t@Vv~nEIrF>so;+58$Wjfhx>PT3$}RW$LW@d)}Kw5obAEt zCv-JVKAS#bq|1T6QyshPj~>bMw;Fzn^VBL#Ic|om-FDxUU5?DfCwB=wx)*Ki7Mi^{ zxG$+&k<_uE!mlxQ=gejIlh>yUydsRVcHQayv4gwpgs0@&t7lVOlb5wN>K?eaR=+lP zNtJj)Pg9iLgR>_O(HuG!A1YCBGun&KnRcZg5suzhxyAlTtqX`X<=Ub8$nHDn)g%26N74O}nX;skQd*`5h-+kQ+Za{JtxlMmhJB zwLWt~W8=AdWv9(Y8B0sQt?*laz)-wDiD51n88$6toxvxW$A|6P?s&!Mh^vI(uWz}? zacOVdFfB!JJB`T0yE>2z?aOy(CXTQStE4$USm~+AS(!S)_d(WsX zUUS|n@5ZFZJAN?xJ4wg?;eMp~lHaTATGoH}tf%z4b&5|VGpxT+j(g)bhZPcl#*Ptt zPd`JsyCZRDgw@F1i(0Q=U1Q5!j~fi9xgA<_KKY5RHpA%T#J>(YF(_LVlUA?Ix&6uf zbH!bEw~H6g_jKp8L&G*J5bKAUo zy9`>a46x}P_(iV2<6ZHh9gMkRhh2;qEa|>@<1U5=y0_%`F2*#9Acl7H79GbG@K!^f zW5FZkvS;~~OC++E##4J}+H?+Yl`uJ@g0kEOV|fUdxVc3y-)32pu@{S*dd+>hPJY#x zu`yx48M!6Z>}#L9veCTds$Y!5#YQ(<&IL;sx6uKo%ws5jT|c^MU25IsUrnx@9Y-Ix z(xGA2-OHEb-RYF0ky!#^Lw9w+3%!z;Emx(>=FgvM#}M)Y*S6CQltQmodRtJ?;)3B(1|x^ly3d}qS)Vt|Gv79c`ox&J>Eu@X z9N(3#MLOwN=$B09pPQX^ze$+1i$hhM`$ahU9d3CgGigJk7wi4@c0rNf6(Q}~w2NmO z#ML}TQqHjy){4t_DUWyMJhOUU9g@gVG8qr%dH#}b@J4RQN&4)}a9@`sY~9ZEJ<@bx z-+>3iB681BMbGfzhsyit=E{WGYkEDLg0>Gs*N^!L=}Oy0cz8|Jo<9*|p3-fX>&{}} zQvItwvv(m!L(Y142(JpB=rgNp+vP&9Z1b`&b(E6_sw2nRAFjP7TwiAld&mq=xAtn< zGp^h756C>PQD#~%xq=Fm{`lni-&7ep-O}U3FjOgfTIciS@n6?X{-#_S8TlOdNcrU> z?=s!4anzgDt5?q!H!+cm{$|529LZ=6?nXV)3K=$9mcP| zgJ0wNJSzJ}>tc&;`;-@A!T0M{K6m@e;_xrIW7-N2>nmCh7b}X7SSt#TY<&{%h3MY9 zD`z@fu9%;)PUe3sp9&EtNz@Z8AdDK+zj+y#P^aV>Im!f=1A z%|DI+PbK1!TVr^(Epp!p6qU377S*yO%sYSks!8Fi-chYbBvrRKOrs@^ z)V{Fh@$IQ8R@swQe=1_QrwD}Cf@uYL4^ADYo_B{J| zZB80~w{PJSOBVZ;t^hT-DW|CxyW^DV$Yl#ZjNVdVB1QZK)uxxW@SU!nQ3^L_U4PTW zJ+~R9c}{G4wfei8-LaMgAsiNEdt|*Ac2K?c z9*R9gIn>g0Rws73=yz_6tBt&CkE~*`$2&9q1dreKcD%WC!?%5P(Yc?JYz?SrW8>#r zrX3W@W4$UXw+;=a0Xh*ySE3o)UR%0hw z{hWC5vEKRfBGr6P1TU~dRs?t$2>&(Nu!&b?z7uZ^yar5s)0dRnVC760z1V8O=+_~818;X%DTV1}e7?aQ|*-Bq6t?k7P zC3&IOgzo3Umt4&_pZ9bGs_+}T!1a*aKCvP4U`*b@&bzr5>o$5&xf>f6K2|vO%osBX z7Lt+lGfp#XY+U{Fjc@!Xr2GitGG+A^e=|l~<_&JN^%~mcHUYlni9Rjey9W^+e)v*| zo%5Qq+T-fl7oQW$PNVm7kdxN_9Y|$zwSK^F+fL)Ph0|6m$Lc!$^wZP1Ix4wM8bz7l zy1uM&=amYS(B>X#s;&w%`LMaj?!xmR0zrQ4$@Bg4EBgFn)S(4ciHTk@*aBDP(~o@b zWq6`)V8*i#2`qtAR>l@HMk%`Lh{u~}cG`Zs=9ur~{$%#%I3=S^|5Jj=lf?I1=ghv7 z9H!NAt>ztW5R9TKEe2Qc8oBz^IH+}@U|6KvZf|GGu&w8pAHF+f<0@p*WdtRdXnEzK z_@20hPe(2cST8q;?K>pQ9CvoZ=kbWyc#|E%-!|9L<9oO76-?Uq;a$cSOD|noZ4ZB5 z-&4b*g+d2(vXS$+99gT$7S^PF$&}4UkQJSByz2?}>c9o-r-!hQ9{O%yvalWx?ZlO4 z8*2=X3)jza_P!#|eKG>m|138OT65tuex&+kqhI7Ozm&f#X56s8K{*iGAW!_E!Y|KH zcf-^uMtNRPYwQ-mC4FjK7lF8)YzQj3=CK+R4cqnbb!s_l=k1D>J{z3ryB9QJO-jCv zb>elc7hI?G7jAD@@hX1Vx}IKlJ9;a9BWK@5`SvPgiM4zEwxd(*uOJ0`l5Owq>GC=q z=BQ$75iQ%hZZxh#FDvZdD=-5~pRL-I`o=t`_$edb`5T-S2(6+X?$~@WdW!k-q6ey~ zW8y7oT3V-v|7F-&yksUiD*WuqXDQuW+Wz}CHyY$~CUQDP+}wNa$E#6$Z!X{Y4!fNA zSHz8=apG%2%0VjHf};y;kxjjIl=y3Ms}t!sx@qj>1Ls1zj#1t_8>ZZ)! ze^^_Z{p09@k43~;LGlOZop+sl7H;k^tG&VU*4|clGCcTd=x>3kB8%@2dSGu^?ux}P zTlM5R@{}8o-nO_G!G?uot%{Z?QVy36kMNy{&$K;Q83MhklNUYZ_m#-F#i| z1>fOpOvKYCiLv{iE{mAdoo^fyQT|G<*XSQMzxvU|u%z9$(syzNe^;fH^zd0}z6(MS z!-KOkg>KZkkl3GkbG&-DKVgPjmRF2zCb;oWYSl#UU;(HO0NhZ{bIL zX?mq$Vo_w$r3#l?k6;fS<+`?3c@5WB+4kaHBkXU&m|$iCH(4I{ob%XT;Dsm?jQuN2 z*RKy8Hs0s0(=8*x^G1AuJ94!>Bk;2^tyjj(S#mXUJoiJamrV>}o3QNa3psQCh``+o z7|8o5oAKBiTzlImx$rSs5s%^cl4Yynk=2j(@YghsWc3qsDcS>RI=ashNw$uB^Owr8>!{?XwzKF4tU1ZL)7KFK`xff0=cAI1)`o)2z z9MKc6wMH_oE8zomYJ=aAbTjMCZpShLqT;T!o%Ax`So{5zx#K|3)6({qroRtb>|ox> z{a|5sZtqX)&RsO!qI+R0lY5bw%qu(myPQ>Vbz)F1eSP17iwqAd&>f*FR`m$-1?;4e);;X zkIMX2tG#MXciXizuU=QWWd0=Y8~YEQw=7YfeWCn=w#?T2@Gvvp>FLk1TAHJnOh%)S zGOF&%(lkbt?LONF(Fcv0HIM9i9FAT^XEO=Lh7~6bcWit_xBByt;EAU=G}G;8U)G3> z&x&RB`z}mMW7jk9tU0(_67(1M@WsR86iMhcZh`ga7jakqKJdrB=Ig&YIsaX;?@SBV z{~coVoRZYGg0qA&!AaYyjPH12^MN1VE_`E)J`6bYt8Mj9#l#Ie6p&_ z3unD_z0u&%k&y9o-FfembIqpi|L)`hpTpf%Wz@)NZoemNonz@}wejM-A4-C=82%_~ zAm05OV;{p|LsXV>-hDCqcg7~c+YgJ@mtIT?M8=6;UcZ&1Y^*~fCKdC$CTE47)JshH zZic1)QXRAFH@5d7K~}#_j#XlhCp0%Eyti;&)Nmu=)Ri$WZQtqiZOn^!{e*g_Vc9o2 z68&Sly3fUH za_--}CGSQy_EqkY$M2&a7-lQHwPc@%sjiA|Kx16lvBT>5ooB629t=-g*-?D&&l~IC zT5l;bU?Io%kK8C<`x3cox}@ch?}hk=4I}*WvZLFb40kE5&AnpLw~U-=eqEuA=H#}n ziP=*#Oj+Gyesg)=yeVON+9I-?5HQJlVoB_>H9~JXSyANSk7UYZ1*hN(!Z+P>O48Up zlD(v9R9Gb|BmXpJ>#*F$bJ0TNealUqoUwS2>rv~9BNz5p zy3?kP_(f|`g^uMmdBxd@qo?Y8Kf0(?-!15uJh$(3#M>H`7r%GEIeOfz?(1m{!IOsP zr!%mp?sW8}Ly%)jL(b;;`k3Hxy1^Rir;vTZNn4+sU!P~-^8;EIn=<}KhZu_I%>;wF z$FIvbTzpF#(~c0?%+1|$7&|i#+qCjSdSh30LGM z&|cNNv{}ENIc7TFJ1y~jr{3+E`UjJd=El)=di5^Y_`9dSvzkctJG%Lg{aHKq&WN%5 zL+nE-Vh>A5<$ugSPx+bFmFBrtEZB`KJLp5mz1B5~^QUp^PWeZ#yEbRS@5i&J-O`?E zqc#04->Y(zcO&*~un?7vJ3)U;Pj}W?vgVTeL7x!erq(iM`uJOwX=7toxFtSDPSyDf zH;$0qz(ld0R(|Q1g|@d(qz8s=PNEjH;JIAHN%_p$V}re}4_7*Q3~jbi&~|}vw&lyT z*;`)e#u*72oAc9$-7+zrz18%{xyhCG)5Zx;E?X&t|6Rqy%*2uIm1$Q;g>62f>+~GA zxE`EpKc5;D+I3T|d!Rj6Uy56Cs)}8$5)9UJDI(sp%Qq@y)bUA;C*2pP>@gB=H7@zl zB3Z=C@GneXgrr|#4LkoNw}P9rN-*>0D>hWuGEzVxs z%zSw?_Xpv#z&qjV%|o;y)4%EY95uY*WJs;PiOYkFUaRPfJ|g&nR9jzf2j3$b`R_iS9qX+S<{z^8PQcag zQ!>-$_hckt_Vz2n$*XtFS=AS(lPcUFkPH96pk{8uZ!XQqv<)jRbEECqYcLvpk9f?r9mBV`_%*HBAGZ?By)Jp`vFpbVDI2VYuZ<}aMkF($|JHrKc_ZriPDx_s3BWk=NH4K{06ewHAs zmu-yIx3tZ>KYwc}tLMuV*2*8yE?R;9mMDgxC=vZ`4K=VK8e3iX@$6lN9Lrs_?SyT> zH-72O@~km0D}&Rbw=etsll46d7oPW8Iv1*RYeJX${(YX?)6}DOlTW?cv|!Kc*(K4m zTOYXYi=r*~FM4E7lMbSKTa0y=ed#vru()M0oc~1d2z~5f;~jU`YZH@5)2ZWa;clP1 zTJT>kZRPVbz)^205+1HzMp zVrn8Lk9R~q?lqtzZ!(SHKeopMO@GW|v0j9((~ddjCLTXfJeA>|6<6>HF(C}`N;oVdBd zy=8lOBKqb%GT$04FPUz!$j?GPTb1W^!wgMNP1G$5r(_-OduzSdm-`}EuWVG};ZbH~ zZ;L{^j%*?Hu?As4|M~RMdztbS`Tr{16Q|&$R`7&jMaL@p=#!GMiTMRzQUp~sdh`Rg zuVt>X`-Qi*37_;5ewpmx8Ryrzx_6Gj>fbL5XC6AYp2Pa_vi#yXOUiTkp*b#|ajbVN z*XOjly%fWf=Ambte_7!c6Hs6nan~%Nv;8tYDy3wy-S|7xO&)#gKcicjVW5YYeiM;B z6-Qv-}U6m42bJEf`w}pZoT>9IuYd%T@HcPiB zE--L)9KK;8bH8qrp6#uLyfv$>+aCn)9P@pd<+YA&oa_%>DM7V}rPnt96Hm17Ex3p! zd@7>n_92V5r!30Q?@KU@JkwZl|8V(_6sz?dlMxEGE-`-m>K5*%t%jzvYF=;jqrKQ6 zEc9+U{({Th`kvCMZK>5nzcm2bD*U18t6R#%lROtnAiujT!NpLiQS z;zEw0;S0AHk@Qv57B8i7r;OAsPT93Nj9R-hRk(7^5I%V=2&f-+G|iF zH(3<%V)}^lZ`XNNoHW1CXlz)0^b4Qbwa6#sc#E95{UigkKJG7&(d|@1@0yvfn+SnI zo53GxR%?^Z&5O{TUVuI^#an$-dL9GcYqjid}7y>)8Xsq&XY&+_&#)W=%Va#Fbp-O_d_! zZc&P&4_HL)^cb1fX=8!5)M!_)GfHn%OdU_z`D=B#w4OVvFm`Bi1ZhPP>&gGioXRfq`6g ze>W(Y=!ks1jgWqf>DU8_3%y`TPt1E#mAKXu)5wGL6@Sc4D}&1|xkkvzJipoRpzIiw+|3_wAhIo~n}U|0I28-P7!-@5d>eQG4VYh|`9KZ%%_gPae4H z&KwKgT~?vEBpzO!FfWT@gcu`ZsXBi`tVGpjX_Q3Ah|c}y4OQmjUw4khd=FWl5xBR= z^Y;@!gKnN--#3xh(rJ#gk9>m#*SA;;*eTxzZbeAiWAo&Dl+c&R=d`f-FoO>V6Ls_+ zUd$y!7u8iq=wxc#e@ANGcfu2LRDS{ISe>E*xK8a9t4GYWUGY@)tu8Lj!;7wz2!cqr zcCe-6v>?ij|01Np5C@^=bN4vaNIc+5DEtDi^rVOCyBO4am+=7L1UpaK@aobg5#D7Q zC#Inp(35r1^C$I8@XAr)L0)UQwf)VYT~tsf3L_`H3myFuYO-$V+b!Uh?DZ|)7@ z+<4lFZ6-tCoAXyrvdOB?67@6TSAP;DE5C@BR{QKpGd=~s_ZUh&TcG%SX|ffUHqn>H zP#IQDTxk=j$N)UH3=P@fcCB~aK*83V z>}*nKrPb!9`GaXZG*4R``*}TMulQC!PmJl(Pt7w`H!RSy$FVwK zpoWujT&#wLOe_NYVjsEg6>d_i$H`NnTcLAK+2LuIKyC_(q<06r21^H#z{0bbO9MaS z%3khWJ$acLfJK6Q8P4#3lcXgpnt|h@lKJ{TN@UTJi8N5pw@O~@bm8b&s=U-)l*z0#!}MrZ>X(J{(oIB%#6 zl{HbbT|Y!E!zFhF5lfLgWiAANXV@6v`i%>@Zf0xqx^VZYc6#|feZH9Ow2eC<(s{$f=4mJ+t4;&=;wugXRwERJ#w*E|ujHPHGR5>TY2A6(r*Px!T7QAp+HS zlH`q3F-EZ`r=5(psfH!>7uX;jR@lT)Sud`=8&=|0*s_zUD9QlBKT3%Cy$B)b51aqB zQ^*P0eFDq=7Oe$tF;QTC<|G4o)Ckgp$$hRULVm8ACU_I@8Go|WgS zieTks8=gdb&=Uu!(y(rwjF#s}Sx~~SlM`pulG{X*Oz1doTpSar&0g9HOy&kM#@sha zVSK=76CfE#5Z@q(XUHnah{`JC0%u^04VS5+g)ke{m78O#QW})%8+oYH969G)BpuP&^^<=Z=^LVW6_#0M^!RhgaTagG*?5n-%QU|y< zy8(^&zNAuHwKBo_y|**p;(Pyxm7e5i$u~vZ0zKi_NIf}&W%1Q!1kX^j>4$3X%s#vX z>~cqI)AE4&{VA@h9QWX6#pGb<*3g+fUs4lT>p)-%GPLZSYkW&BtdbReR%J;jz8v4| zrjLxJNd^}kd9?q;^3*O^4t|m~e^H$qP*%jx>QTDt8Wy6MSjn}>c{T{rXq@*9V~e^T z12)6nZIZVvJiH~bQR7r0O8yHjrTc4HJ4EsO`CgM!-fu#(uIp#h!LRHK(G}ZpgRPUCRknFq zb;MPc<>rR&%E{DhRz?Fse#u8qKg-)wsOuO{kW%ErB|)LsF_xMLHg_yfSY4}KN>bWZ z*|fE-y*Mah%fW;*=4@C*ZIP@;v9MpQt3VyubpZ!kN~Jx#)+mpiPT(G0F?FrOn_*I* zDvJX=BNL!V*)QG}3_q;k-bNsC|Mj|R?7}^|(^5a`b@RZ^$w)(ene%f3YdF5sPWEn} z-z=Kk3#k~5gC7|$*i-Kxc1;-_J1!n#k(On>bBeE~(?u5wA(cOEKRJoW<}gEaP~$$3 zbMDaba|ZeiCUEw2f;PJ!@FgHFd_XLcZUiwGNt`e#ePcpp(-k<^A`JS&NT3{hs1?Es zG1POFArT}n$E^j&#GwG?Blj=Kd^KU-m~EeX*|i%K*}io9^jGOIp*rQcBQm4E34x3U z-qY^gqaGM{iXetBrY%BdcQA(O73xd_pTSn{E~*C>k@!N?!>mMG#MbtNI2-Q8RNp6n z|2B#|{}zfDy?w8{`~MpPvydDXuYi1^oCAbhWP z!YQ2sFC^83!NV>ePoeTNlfOfVF+v{;UBvX}2l9BGWRyN#IpqKHkS{v%+{G87m*j&+ zZ&PW6q=3N);6}+EC&l;jFRWrrsl2ZS4b`$?Lg0o(M-%nsA9aH08Z6$xAG1GH9Z!(> z1qt}WXsyfuY%G?%RqB|CndqClv3#P^oOUW_1Nw``fXt(u6;|uP|d^&n*#kpJD7w@dYvSW z&;eyrn^IC+s)sfKO}P8CJE)J$b8^uq{L^j0OzfX0moPT+YXxdHhe93B=h?f!j!7Pd zY3dWW@4mEOXWTj(Mtn+CEk7YJs@}vJ^`iF`zKX_*N!b}^R?}wkONHSjnAAJM^9gpf0Xl?Q|Xq! zSeNBNrm}EZJ8Mw*pE1FgV)Iid&rwz5HCa!srwinh&n5sDJORqu1Tcqz4P$<2ShwRZ zfZ)9V-CBvS55aLSFd16CXIB{>eL4rWPkKL)|EsR{;83ZKuDmQe1>4vJ0?`fEB7Vji zIYJWFz6HE98YbWLM5GV(W9#IF4xXq}f*fLzBtNpxh(XWRhn$9-KHGp4a;5kj+qsu~ z@UMmju9iRalipDU`&_Y{6e&`Ijk1u1f&~V3&TL6cg??l|ChzQip+9j&AJmMA;U{D> zEVB?C;0)sJbeDQFAiJ#|bkMVZ3BR!e^TvB8L02}IK=0Kd#?_brF2yU7Z7H*@eS=U1 z{to@yHgYBWTfxlTtv9AR-?+Fz3l6*<^tJ z+HZmZH#8hEe7~&E6Q&MNs@E+R8W#|ugSk%Nh zlQn-+3!A7%cHDWO%A5eKB@*-vQA0~QBva+|4kHW)9Fh%E#}q+#0u^JaDK&KYF}Grh zf5)@(NRQcHD$+b1ms(g$o1uoe-j(Ca5APg>uQ#AlbdCTd(MUjA=Lqv4CK7PEa}8#E zRHHKCHL_U%yiSRgKUdvI@6^j+J`jR0eZs4lrDt8RELd7?Rn!x7y^axFWQ(A0$d<{ia|0YbzO!kFIzre{tYT`p+Jt9uB!({V zC#L0l%h!Xi>$m*aK0ZOrD&4JNsv1gBF*k*q*+fDd=$VR$c_6oZv{cY!aPtb#aE23S zM7!7ws^T7YQ=azM53wNjJ{uN9*BnKwkb z6d_XCS1cD)gYyg?frbmH-&0O(hiS>n1UHWhWLUv7)(N+n}Ys=cxkU=mG`lz=j zdSB(3{n$Q zU-`ute`uJqvBVBO7M)$T6RxH&4%Iyplpb#LN~sf;fA8yZi;-ih(1GC+Fs;jfhiliE z6ZU(!8)7trVPTYt+aOpdS7m1>vI++0m{d+=>}Er)E9-la8Bmb${~$>~|MvoRS4H_4 z7Kc*aM@@k!JbKQA!nlyce?-zDT1?sG|Ml`U44*$MSp1;}H6e)J5L8s+^L{ey-B#%GH zCQGbuX2ScVUb^aRD7L0n3Vx7Ld2V|$z3_euEbn3R13Uc!E=*z=2iX(x0e_u%$H}+(fwb3PqkvyvmwQehY zdc~J~H9_EQ{-N}Afm;9vSMo(&w4A>qSU`l*;y7rt=)UiHsG({S%=!R3m^c0IAW^=7Tu7{T{Isl}n0WUFmd#O06lBfn(8 zIoKjK3=JYeIX7(JCnk8z6}9eUHvitVB@K2bZvnvIBq?flAN0beZ9&KXhg~8OVuvQ7 z$%Y9gNy~Xy7m+ceWC-8(Xc$egaJ9`)qXR%=}tF8#85}&n^bJz`YEpb_Y%>e zR~QpIEsci}KN%p=l*QP+Bccu}HlN&odV(&GK=5#+bDNy4S~ z4_)|Os4W9xF;1^jjvIg{Bl$ThG8hhAo#;KYsf7#p?QF-fHhnX+*w=bLyKs<|2Xy7t z9fW_JVrYS_lButY%NVd_VhE16a0IAXdeffs0MgFgdfzTOHzla6Jm4?ip8mVZ2Jg@FI{K&O$@Y4CB#EsMqfda4qtIK?v&d zT&Iu3?WfKyUm1Sfw==N^PNV$j?qPUg$ilS1p8;Ha6C+V-mx1V-;#*m)LKEx?T*L4G zZZ*7N>Mxo`_0s{tNbW8s!{|KBUoji_D~sv9yGhsXBn2lRS;j$FZLHQn>!T^Sv@J|& zVg8QeFV8@daE1Ef>e%hRqIB+{aiAw6aecL4ca^jzzt+!Htnz>GCQxth`fH4No|MDj z+tAwH-S)%Ij&{SYp%%nJNOY#95ku=9lcyGcxIm>xIikfv@!3H*cjm1r|5m0 znhcfoSWC*h(P=T$x#7>RUlQ-O7lLY4T*-qdF9i`HDmJelA64KP{e28^NTF$5VSS=xnu(xa{=>!x0m*XSAO5+97#77CAFUg+<~M$kTPuy z$+DQwQ^G;^RZBl@qUq;)O1`8^l92?jyug>`h%7z=e@U(UGZV&e6ZDl_J7;t2gEv@VYIwr6GFqmq{ww(v{c}8&hA&f z^~xtPrG8uipKDv_!fa*`!TdC<+&*u9?%<66#xb4ii46dZFwze&v|; zxBIL(G&c;Z4-TI-3{i5CF#Nb@G8rlN&|Iz0xNotbW8L^;OjL?C>jL7ujBG)xW~ygl zpH^2p6^q3*0kC}&?pp1z5~1V$Q_6ig@XJ1}u4Z@s=I#5>mOk(c!PI*!{d_6u8sFOwQ%PiD}mkEH!dml8B}GpjBT zmwyFy>jVi{8k#{BO8}cvv{3bHRw>_Lmg=ols%5mHm3S!5&=V_l>jJ+wy0`Z4-z{4s zPrR_5(K}K=)|+HHRI)8|a@e}j2br8uV^plcL7a-UFNu!FWk=c=h~99dX@94+jE*(s zajNpCFGyu5c4AH{=^fmlpT8u>-0h(}& zO+sKrj5m=hfviXzP=<;k;Ou)jj~vgTl$3S zJttSmRxS;50^=`#+~ae`1{QAT4rStt1W0li`j%Ci9Buyds}30|dSNZFU@#uUv;#Z+ zIuqY}1wKm4M<*nG?sX2jNzuT>Su%S7o4H7~bB)3B_D`Kc=99LFmV#gTO3@~?uNU{NX4XZDc*MvQtKd_h|;_U3se{8!|W9Cj*3;*$RU%?Fvmy62^=A4uLCuN-8 zdHGJrjG)-33EwBR9~tTk=X?$>4!)-@rc`J%9@%FHL~owr(oa-G;ZC$$1k(3vx!1K{ zWkpY?M^TpYj{RuWd?BCasrPqvZ~D#YX;zO4af7Xswd184CC%pshs5LB4N=I57$(*1 z-{$8(l&msv2&sbrWf47794ioz_r0HtE2v;U8dJEu_rjB|iE>{il#A#^Pld>Ozx>Y% zw02Y`EX*PWB0zu2J){2DxxT>Q&clE?#<%!NbnkrBK!PW%+5JWJ@MlyRv8&VCVSh|x zMkEJKuT`I{5~&d#!fA$MqP#BhT^u`B^}I47oS7T}0juSD85C*VeRb%02KCni$Yp}7 zP@&zip7dy>fVkc|&oF)!p&rNLa zzX9G_jN@Dul6jI+tk3_WsI?>}QihZf3uKZMN86fJTP4OA!IAp#hPbAF8Jfefj&IJ= z2gf7zR#w!d^`SiZ7%|cBEq?(lz8uInEQI3`sR^{xP>cvqk>lPVNtYp!P4C7PinyCY zMNay7PVR;Iv->HhP=TW-r<;8dff{NeAfi8|vNT+*2*ShoB$8j{^N#^P6%!gFc>WtC z#1@a{pYzy%g(JmFVk)Q5pHim^f)a0LLkRp0%F(&yFmIST2s=9DpXbq@W;O}=m4pUT%gY?8Vfz*u;68Q$# z&_cBzn}JTuLg$nBoouQjOT9>E!zLu8HPfjVC4JDsaGMA-e`}6`k`T2+y^NqG<)o6U zm3WdIl~wA9v$B2KTFY_t-OqRNm1a&k+6or+DN6mRvqgplCQk|jd!%IbO@?JlugC0> zQQg`w-=L9g1vnR3l61fj3&3xP5rhKDQm7n=nrk$cdh4Y=mG@f;)#LlCP+NSAXdm+O zCD*O*`i_2=tS@OLH|lOfz(|F~BbZ!=s3=yX-AV1xKNtu1*k_8ESV4}mdAK_`{;t^J z)n&jnoM&t23i3W65q0oq9c7XwI>xuD4p<8~>PbmC1jtrsfg4}Z5YXQIUh?aXqgy2| zpT&qb<3u|AwXYd7c|zNy@1%sM|nhK`I9n{x++RT4jM=mPYN4 zEApW#F=~%eCs$tTH%{`oqrt~gtR`sAjSe<;w*YXdt)~>5PpY%~T_+^IP;Y_*;)bDQ zx$V@Lbu6128Z_|F`cnr%1Z$6(RKN7yI*l}H46mF7n#1;Bm09k_r#|VHfKT{}>@=1n z&wqO-{odnAZ}X)QSc!0K`GM3ohi|CKUhPz&mN*;HM(y2G+TABKC7JGrCG?X1-sgSv z-h@?yrM`}t0#KptRKKp%=B;`ew?OgVf*Wb(bX9X}v!^ zG_Q75C(QondNFMz3&RINeuHF!ZvT|3C~qAR(*j{LqyF1Djbt0H@aPKYQ?Pkc%Buj^ z$oShS|cs`=|l|o^A)Q(s< zkfZYI`u}I743g!aOt1hlTQ8&OM7VVm_AogZ2F?iNxt>3L_u`cYhF31X+B^Pm#3vc& zA;{MPZ=~wl!XOC08*3#P~O1UgkZ?@4|ok; zD7%NWQL(&X!-YiW3PS+iaRC$i4VkrxCB{9V?f{c12Yr2X`J@SG&3A&yau=C7nj^@Y z&wwukTRv=orZ_b8vK~++k{kB`a)u5+|s%B?e=do)gpM`8j)Zv>og}B z$#Y2uwH|(Atk`zs%A%hpE$wCC58`PZ%qC)exzDBa@X&2mU1UzbN82On&>&=b9M;ae&OIAyc4>V$0DiCp@XL<G|Nnu;F{Bru1?O2(}b-q_%{q^%@m~vXze;q<3 zm*{`5IPd>SX}H9B$I0;T=9~^e0eCK7pi1^;-^hdNUWj_cF$q8Enu76P_Al@MJ^}yV zJMNzm5GZa2Boq;8dr{qcXMdQ(&k5W-@`L1QsnM-Wd|L1(UQ=yjJvJJ8`Is{LKWQbGh)`>zaz8X6@d1+JcQV8NbrseB9Oq0Qju%%n0AdhdZ&CF}X&XR5#LZphwM41nFM zbJIn<<3&~&OBsMKv+uF$@$pOky1g=Sg)tO^4%1-5alP2jX>+8d-r4H1uI@Q&Zs2Jad}j8p(y~?P7{?G5#VsDi*!%fV=uRb$py^=E{!5 zHVc;vo6>Luy+D<$M4cT7kf&abD{zK5~mTc#)0Xd6?R8r0r;iYH&gEj!}9OZIBV z3Zo30H2-6!bn}Bj<6|(YM)ubXt=0SsiW;09vXv(37VR;5j!T72Ihur*w^?U42qXF0 zc8;P)j-EdDjxqh`Q(#PWcJ>25y`b7U9PFMr{R6rINj_hE;zuk}RI4(vuILs;FU$*< zjr#dxa4OI4^i1mfX+IB>LZq04L4GF*BQ}9aeVGfW*%R$YaKe{=r1H5 zq;>pOufM2GJ+`DS+h~FkT`o6uGvPY8BdpXI(28@Y(#29c;|UrD#E(ZeM0&Fx?nDSZ_qqp0YIZ*zqIi8lu7K#%%9^S9&4 zR&WTP%eN06KQ*#+tp!tUqisdgj$;+wKSMA6^QjsKVfYe$?DZ?#({5?;pZztuoV8Dc z2kxtU681iw#vY*cm4rgSwV+iCX8q{ZcmCxDpm>j$?^~46x3H6FrKW;4CmsftiGj2F&6F^2xH>H*O+40&i|b4>_eBz2q_eJRz^U-$XoEp`U!vkl8S4Yq4IIkxN;~% zO2TZ72Wvkoa}a-04ELhpuBs};1_nabYn5r18f4UlysUiO6$NXC+>z&*p~lG$iSoAn z&FPEzxV}si-KEO}wyU*BQ|%n4E3vP`FAb?aCmV~A{Z!7job-oQfx=wnGl(#Pi{=+D zt&2#58pt^yuTo?l`H~NWbM^dZjAgRFllz~Pr|NL*us~M@Hm(4HQ+}?SBCCElivH;T z&VPBU9PRiJEA#fN@dY?|GPk5SwN|ddLgmhV!qQlm_T8m3p50v!(=#npg%D#wHoBZ>e78JyWn`beeuq6E~%?kI%ADc=YtJMe2>*3)H%FzxG{Qf6|PCmt%i* zexvF8*y~tb#bD7;KErs=>F(3lD-XDTkqehUfdyg5L!H1jOrqLrsqn8_x_KlKmKqBB zScv?!mWL1QT~#2(sfGtyMsKk){|VaDMrsk^4I_N0dM1PF%7;uy<iN}qN~v!wHq!m^}FMBt6Dh;2u4+CM%&%JLvf1X>BuV|hZQe| zt|s3)_&J#(eK$D(K|C^YhJSK0A7*%lyQSoaBRI}5b|Kt{UGL)RIK_`!cf02G@=+pX zPNb2&3QD}SyKAH$kP4=)j+~;!PJ${w!zJNrGaLk?H z$z{KyWK#(C)&bSjD+NIv@!{DX7JfYIU|+^9;U>N6;x1@(sA{J|TPBh$CDJ00#V{eA^L0bFW>FFhpD}Cqe*=Btsr{I@u_@J4a>Cwq`i1!l94EM4sJx8ZX@cy*uCQ&0G zB7AI#LBgxRt=0$xZyxg9jXa)`M|I8|lSp;MRy9Tx$6vG1O+MIW6rM+qQ-cfCY+8?`4ahfCSU4?y!DQQYZ;HWcwX znRlfajqf#wm#)W9?){aopFq|En`G%ov+=L5jDXt~m~qG$;eeKTDrA+EMNl)7nRGWUiZX}S3k&2OdiIvR{BlGeg|k>^ z=jcLyu-tX7Zby6Y{cVLNMG{mnMTjJVuVVKKJg12*|&?YX~k5#LGM?G2Gc8P#tO#2-r? z*=~C@vbBhnR^UdB&HLm_X1_SI1?R($ssRE^Y~W$z9N)%_L3r(azPgE0!ww%;0|E9& zqRuucY0yUayliAXI2s{_0 z1Fr>SZaaFdO!{0)*Cne9^%Ne>NCKV(a~chkWF+)Rpc0ylKLk|>DnXF3u4sAmz#|GK zl#CxC2m7B}fV8hlQr7biF>gQ7QJH5!l_l@_=Hn$XStLQQX-O&bIHW?%-1S%FhO418 zq?yku;IqKvLJ-*^i(v`&*hWk@F{F48-1yMTCO(rhZ*@}#7MfQUUQn3hDNx9{sg=Aq zrZrkW++Wx&L;8-kw9D(~e~HA6YBA{@i2Ar_(sqL&B;~}3c4kZz_0~c&YLQ_ms+ffJ z4e8_&_{{jVRW97|3j*llc$=sdMuD+>>RSXcqi^Ei)wZsHZVy6gQ$~73dQ3&{{Pdc~ zzS3%0;guBU{WYgttsqRMqo1!^7j<9UZ%4dlbPz&roeIb4{JFUCOMm|1e%0QuBa+ac zzq~gnrha9G51*}*jM?+ECv{7I{XHpd_%cA-C=&ZY0BIW_{nOw4UQFj2djD@T*unwG zXqfZ@xB{7H=W+j-Sb2=d zX|nExa~o+bgH*J$y{dHY*PG3}iBo)?T07u`LW!0(3%U5&;`ggYVeMxr^#bQCRbUR? zWdD0SNntAc`=-d)*>8^Oi8#BN!iNhC{Nns<=iPC6I2u{7pUJq$&GZcm||#KOhf$|boEa11t?b_g!cwq_41pVBED4;eElg9VUWo^TbUsMLy3X__;AMAGk1w8olFAK zz-}sJX=aIv$Nu{atXvMV6E*N5EPI%24qyh(vp)>PM5pX@WA4CCw=fyj7(8@xi-MJp zen8w;0Gd~BpO*;NFUpCp56r@I^T};kXoyD8LY%Ebb458fuF8HnnuJ;_ zsLB$%I!$hN(}M{HeZ83*#)s%&%I`ixZjy{9BrmRE@%9LzKbg3P9CKNnxjMc$Vp9@u z`#>j?zK4tm!XFD+nLp%xe!uaw(&cdmCW}F^09VtZr1wC20Lm0OD7s>LBSjvaX&)}9 zq|xf?+pe@ozz*)=iFDc{JqUR>IzjfrMeH^~_lO2kP`_BVp`JVocgbTs1HGE4;C@U! zFxg$|Xnf|`Uy9mtvf*tUuh25ecGwq~ea@i2sO7!$;M)SM^5CWyCi$o*`kBw)bWtPl zdHF5+fLQ!@-BxVp*yq&w8S$ayr{_i{XcL5!zsD|L1a{fHN!u6jUq6WE9EZ&3T|MA| zU86__Y!I-Xy6_<1+e8KOdkHIZI{zJYlr}}`9J}sD(rZTA?pO=K05ym+jXVJ_JF(jd zV|ir{qjxbttZKwi6o`VQF?TVL5%6wol4M~RRxts)dU|vfZq32trr8D8oLq-t<1}Jf zorQMm$%ZYi&~9@?meCEw;+=iJGnLnJMy_<+uyMA?xJQl5yYM|!tZ^c7tl8qditdOh zMm4pKHkl`_ECL;W{BZ`1_OV2EI4haKA6o=Rp5>=(1#}C z&q6LuNUo=FY14snvan9#Qtx@y)Qzi!GSsw(gGS&@!0c+?`ALTPhg+K!La%<4 zsD^y--M{PuJ6l|QKBDmgo6dkWQq?)a2iYsKNFZYMU63BOAKR&TBYDoji&56yR_FfA zEZW&)5%R}&&jX?Om_)umueMW1+fFQs_9d!6?V4sW(Mju`qvzt{mfUTWAO|=#N9~T* zLO0M93^wSOKz?TO?|WICO8vR<7n?KPnoE??{{*!uCDutI)|>xi;8P$zf$BojP1IaG z58Px4%iksKfbtR!%jAag|6NO(i(dFZu9F)11J!sbaZdje@3&@k|G=-P)2KhJ(5-xw zp3v}SDP-Z6tpXD+#@HXYxRD8a5Bb|R>=_mS>c~&-luP)!cYT@2yVTAAk}gf19ShAA zNZ6X;dbtMEsegu}xo@nfHqLWXN(p z-d}F30PL4rB!@`iv!I$=CvnP=1JlnQUc9g^&qZsr;o9xbaLS3n@o|vQ4g~58A6*1M11u_LhN_)s`2oY3|?n&~O_|qf$ z8^Y6LMn9nHWnjuC;6%$5R{^KOCX!6a;OpWnk>Q>~{MDiN6+AV_W;~O9Y>Q1RCnj#Lc zIhOe6_>Z~e3=p;hhswJ0|5=&!U%s{|zL|-%EcU-gPu>T}2ghPwR0OK;p(rQTxgcZW zIVLrOa7@1FU4ltgaFv)e4$94D0BX^I=hAjG66!(gdrPB9x?wZQ0Gf#4*r1tN)#``p zzmEgucMMjh_zXyjaqR`pEcvT_mN5uy=8>l{=eYChTm%EhG&6PcjM`-`uj>>|hmTKS zfik?D@!}L36K^bLCG@Qy_LT!NWIPl4f;I4`D$J08J}HQ}73S-)o=xnP_Qi6{K>%Do zC{Q$Fq+?2d>z;fuqNDJ&6#dt0;isNKj)2fB^4H{Iqq%+SlF3p{Q@?K>`-1ChqPoA= ziT!-~2l|PLln4EOf1g^gabFw7l5&iHs|wL_!$zvfs!>Ob50D?pIl}sMV0+8Z4avDg z+jnb`A#aWpeIK1*HWb`7irrm87*pR>z<4vqmYy5|ty zig`-5S6IOzbkt?%w3w4N?Tm1@qk!IQ(u7kn@5krgGKzprEHCSHr0$(CGx+=x0lr}6 zGGYcBCm$HLe0A+BluCzIF`)#2pm5-b)iHbOBV(IZt|x8%rWWO@*0v2@pFk*?y#nWP zt|f~59FMyV?`}Kj_%yK1Q)%2iKga9EJD+(RQvG+wYr>w6H$1CLn$Monz2o~LTwGty zo2*6aZrRcng94r=$65?(^je#|SMMMhQI)Bt&7InSO+v2q+xA2MNrhcfOGu>dNnA=k&f$sWNPPX?# zt8VJSoBNv`BNI{trNNC?d;9-TbR>G))7|e$6Lel^7;e3zMf_Zj=}ty6OT%Oc)w#fL+_ z3&hpcDOg^7&+0C17QM@Lu6u1C>> zwMMz8NVunI-H6y4`d(vN3{&0i!p6QGqU5BHT)Vt-BKvUbkgY4-sV=^0Y&|_Iq&{0* zYjWh~Y<9qX{A15h=Ce~z?@kP_M7z{n{19U+T5F5KG^L=W&a6jNSqhsK43Pfma(w>< zE0*q-UaeDH~KyO^1dG<-z=|CwXpstTYQ2- zV*wtGSU>=GH}4Yl;_D~SqNf=@!%NI{rmXs6wDyEl=P#d_diyRSSNcXNBb~qZg66?4 zdo`OE*SPPMTzbHBdA91YbkX^gf3lr_t8Cpb$S7D)ujB7TIS5>Bm80>nrbO3>LI!?j zaJ=ALF3yNBAukm@R;B`ch)BABvoATEFI7@vOo;#wCa4YS2$27wS81}Gh=4~_nt=+G z4|f@_4$S4o1JS~D8%Jy(U1#CZ5G&45I;#dkyaW4Dm)fbRQQa=JCjf0V`**a1OCVF( z|2FWC6uoh8nIfVev9pg$noTiMhdJFk5xmRIrOvfaw1WGuv-wMaX0V}_saGZODz}+> zn(u}7TWaH3=OwJysvQ+u3% zLaX06-E6<&aS&puisBG9NEy(kkoK{O(a6BOC$;4G0vJcX8TH{KEGFi$lf=i509}%t z5S5bh76lwODY0;xVTSLT!{%2g-_$O$;hr4n&Cmm?85tesfjYE|)hLdjmFaKASvDeJ zu7787m;qY*jy2ceC6>r~1`-{T#plChdV)xpT*y}(Z&y=Y5E7Jikhk0<7%-lFF8Wwe zs6<##uMVXgQ57u8{`HGCOG%WUa}zrE?6*4Va@vjsP=ghPe_-i)@l1&p1uZF=9Ki*R z;nkNd3VAPosMJDVTV@g%OL@JNl(E2!I&O0YdbOPjlhSVi z`Ng=UIY#Zd>Dj|%kGHxH`F&!YGVBfVbZNpRrmO`ye}SGD*=b>mss}2*`+@*C@ohWT zftspnnWX+f`ZC&5s$65}t-&^&D4?&-12?Ej_$u}%>CAZau&q=u7LyphA|Qh~2QWEu zX87&Z!+$gRgF+nSOM-}jB?2~Wq*^eJO<3}keBRSD102HfCBn)O5VkFzhl`zO^Abvf z7um*eXZBtja<$RmSkB3Y$s))_vX_wh*da!7Qq`*OMhQIdn9ts!bbhi~%bku&QIUke zp)^A)6y;6b9O^ty$jaG6ZE}x5O=5JXfNm03kF>t6M6JF1!!}3=JGY$|l)(!iR@vxX zX9Al|4;T_^=#X=6Y~;x7va-Cpe8P_^PaW0?);{g1 zUvh7~wC0N*87(KQW1-p;DQo{1Rp%W~^%wu~&)w#|*UHGaHc@6=`x@njkVHdVn-bUT zlDgNP*+nI*goe>VDc2TRQ513Q8Q02){62kuzkhxn5BE>kKj*y9d7t<5`Jz{!HN4(I zuQ_$IilLWPLotgRc5&suuQA=0)K8Es?eslMfBRuH2W_a58&;_mMy6t@tk|RlKwpEy zwrPxx53AZF9~+Bem){ilOpP@h{`cGqUNde9@k~lo#mnHX|JgqnFUVypw#GEju^2qf znzd4y8>dWD0|s3IPT&BEdJ03Zu<{Di9)QMG77W@zD4#=79N((1e$VDkoorrOTYHLf zRRYafROQ0WvTAe1e>%U47+^&-OA%Zh=KCc-t~bxueQn z|2E-vC^WGKNk{x!a0uN1AiP2vT6g09Mup0wCR@@u9o%*fa5`ZV#y%>*87fglkKs zuzLE$Dj>-lDW2I|Y2<@}uRqn!XWHz@9p=1wUZ8*B4A`XJj*;m{ruYms?uMsY@0v*5M5mXo`Y4`GZ=QGQn(}aD3sG! zi_4+MiVcXKFsh*pK+vV@y1IA?!S;g0X~st)NJ{~E{MEMMQY^+X>wRsDaO`NMhDN+h4ja2G1$_WL3 z>3_3^+Gkpw8nwhEo1ue~lH5=j?aIsS?E7q(AVrl8dC`8+#(F&R$U1EbgEyE3bix?| zueu>LI|GU11lG!)_J-s-VeXce&+NX>-i-np_Uw$;IdehlyBs*1vM`-hrg`5W^ATj1 z#dYwR_~qfKKEtd!sFy1>_0es!DGDSsZ&Zj)4$j6(YjF0*J6LLNr~S5(&D^zm%ynnS z96ITkJblhq}Z zw!kI5Yz5l;q$pg)(c|>s{!?pf_fl#|p%JP(0aj_3S&T$kU=7rUZ8*|TrY zo2NNm%T`i+qt^5VzEg03$7~wM8iUfXA(dZ;m$W4olqAgW3JW-KxoNiMpx?9Uh`7hU zdKKl9(tju<=$9nuP%_+mJJ-T%(lRqn7s=qBABCr+EiogtWLa*(}P6DCfLk3P(r#$}{hV5FN zhfOxbzngMt3{Oif#W4%cY`R%5GHXOrNZpCA!sVg`tV$F)Z#nRMrwK7GAKktv>>aNBP{K6t)#KL@?Cf(_ z`I&z7Q>){;$dR%Hqm8x}la)a4*Ne|zZ)DxrZRc+AK(Kc@gwL~bdwP50GfNUiH;&UY z%hd|a9vsz2pzc7%egNC&Rc3c%#BQ;Pks|s=h|B^Z3XW5E%$8$}7MJ~v5x`!jh1r$r zO){f;L5DK+*Ot)xe~IvwQ{gxz1F>20qUuXH795O<`gh1AJ74`j#|y3iDC;E^GmCyy z3QSaelBZ2c%N>b{Pk+%4Lm;tIc#!M^(@Q!o7i96qL$u5@U^SxnRENuT5nVqIf2?-) zZ5w?Mr6n!xL7w|J@Fi8(Sti6k_1wK&ygZzIoRY>`#=@8er(q7Wdl4dMlW?0#|+YefN&Vh;7r1tKC!rL^J@oZlt4ixwLc>R6HlbG<#E)?Zb}f<;el;UyEsfS^#sl zLu!kXd~Ym}2! zG!0G4;0i*8*CcB(7Q11_xyro!W=lGY0EH2ZMn(4SzE-8o(j{zCb zm1MBfNs`od4BAo(3}-19(fh=4O7s}uwX$uk7?Ifi&v2}zI|6;25+PO-5nm_F(o6hZ ziNm~!5;E9}TKN=i`)~B_i0O%kcw8M3+U-;T9bdI}lYI&t;H-)xb`!;(748mUn^tLr z^o7~hS8xhL@8L%d_|odcv5M<}P$+>#3bYWJ>g%?q9tBpd#j_J>zfGS8tl~I+B|JV< z`pTz&4hP~_p42Fa&IbV&u5x{_4+2*BM(*eAYb1D_eI*3?fyTeqs~eNlZ}`4kS-1xEA}5a-hcZHN5icErIK9QU~6J{PDvB6FUJx*O;@l2hb9)* z0#+m;YXRb~U{9m;-P_gDi`=1-0XB;r{DPUJb=5erEh zl_Uz`UkaF9WiWJ_uQ3=BN-fU8w-iw6K+LT>vVR-#x?qppx-?WH;lxL(8EhPXnWYz0=p#UPoIWdaBVQl+ zwQBFA5*0t{k=yAL3%UxsAGvq_;5o=8*|(3wJk+!H82xG|*1(gmuYFBYmU(ZTVv7Kn=90cbXcLnY`c?Wnwj% zBkBkN_3(l_N7z?VX!VEw&+pSt6lVPZUcP?h;F#j}V@lffo`~%bBmBGEE5I1vcU zkve$QrFF7?yWtA#;AK=h6h9p2$H`v{ zj!(x=e9HXUy0aN+@CW~L^5!;STiC%bpN%K;_V1h_e_7eH4_kN?Uf{7!a>b}}n7gfA2$8N*QmRjs%5!~F`S3>dY_WD2I&bg%n%UQU zl|1;V{yOtiKR=(~iuj}r*o4Vnnkj3}JH@NX|8;Br8!>gcMph+zQ)qFBA0bGvn;272 z{DTA+aPLhoV$8tYyvvvn7U|MT1S+j+=>SYLf>ODZ*Nj-NrWX(}jZnD+i7u{J&E6k9 zQq|!Nh3eulCFqXWSLLy#4WYgEMptFoI_-$n;?H+fbnN(mr*yBCc1gJ`ONG@7ZNXL#(H9x#V zbzAdawy(x4nlH^M)jJYhvO0t}bRN>?UG-|q^576* z%53$epdqS7IETCsCf>mk58)8=wmTjUW`H`o#dw&3lq_V~MaN zvi?m9?1xpTzKj7Ql&OaoeRsV)G`cCSLhR&J9^bIMcK`=UvfNG_}inV41qm$D!N8e(~ncU~401odBA*0;8mNI9y+j6)crh zPIQ#jF<6hjQzgNueW{&EhL7B)uFvfA3d^N7p9rxOf41tri%rZ~;cE1@OFiZ5c6UFWf*BH35j|n_yZC!YhyEpTF%+3OLfc6vTH!il=g7 zAn*Wpv`&C0wIKo=eRO0qMSY`df0P2P4#7Ln*ZfgXcj^x8aQA@%EXonZ zmfrg$=%wnL(Snii{dI{M{Ri?Wx-MuEhsP3pFRgW)C)toQ8IpJLAYdvEM&pdX5Y(-k+i z&r=Dw+Cb}DO3da(vny@1{;^FfVO`sv1=RAyWv56>gB=Ny|S@96S^d*8Y{ zT-a_}ms@V%ElV5OTu$YO^mR_*#LWAZbJ9)MrOxzx13bUin-3W`ksu zB!oB=31z{+0UB>$qShW3rDZG;3r>D2jFmj*KrSpWX?a*G&$Fj2P#9G0Z6W$)tT8$& zPV5LSt(`aUzKDPfJ7+{AgSq_<8{f<=j~9+GDLhlEeo;h}*6gg3qiAjtiM?|goA2dy`?(DZm;3`dy@UTZq-aApGvV^ZQl*=%eI@{7X&+)|k zXnM!g+Rl7BUs9KaL!oWixu?f3K6ES?@IHS3sNI9hg#+`!@AMq=o!%F;`=|&dw@$J? z?zpCM|MSc`qUe)P)y3!E7CE1EUaR`C^!v|(ar)3iu+~FrH^2FvACtkgKbJA4ncpWi zLqCj)S!EATZtfhsuX`RcHFYHSvrM>)b^^*zedV|NDm`f|sM?u3ygqrl;Urk=2M1 z!7rRJ*t-Z2{sVCTZPLMk?g7qjZZu2Surc)?D(GGmSj?2f2bA?nkffBIUEREC=`ViF zvj|-C3yLZD*UVu6tx~;*N2LHC*E*#2;gP_A7whjk#xKX-;0LGcXsD=t+32hvJ#|&W%VuvUI_y@R8xf3kp%XaqOTPChS>HE0^uL>b5ERsZ<51 zTj+U|^7THTDKB35^ag?3lv)JerCH@g++fM=%ew`|?DvwzmLpL6k2&=I6zN)CNT@4? z4}6LwC_WCT68?65Z9^5Cayj=wZAr;(AVBjwPKQSjH_UcHPwVwS$|bd-QElj_nfhmt z`GtnM#A(TFxwVZz*6?VIpE&2&&$G|+JSsvUYWwqSorM`kKdX&G&Qmum&_88H=^@sJ ztLr4WLh~Kla2})biJCY6mpxQ zopIG~3Ub0cf2ARRiJD3Wf`^M~gs#PDDRjLh@H>sC;&sdvh&af~zKaOjsYMHYZ6hg; zj{P;7V5V8VAcjj>N<`30j9%b5)@Ta|n|r*E4JEj6!H=sn$-(Wl3FMzFgiB46pl_r5 zW9{MhBss}+qT5;JZ-y_1N83~_+%rbF^<4pWqF=Y(?nri22UqlH(pjSB+rt2O~ncef2_SdKiDwb z=*7<-Gup~wqMgf`8?Gzza-Z%eikOeH@LyW`mFy}8rWTO(#S7Y!(4ykq$ZK0F9MwX^ zz}P=2Hl}#<=q_5Xn`U-8jkm5Bif}p&tfy6+WLqutLqdl@idc3m9KydtJ}5}asp)Fm z71FJv4wxQ{+|u7PoSQuG(YMuq=$-lpb5L`Tow}VCM5umsoP~sJJ`ZR@4uVf$spvahZhz!x~-{MmKee&o>S05tK-h2u@gfk(k@TBbTKl%Hm z+S~7~%Igx51)<4ukJ1yN?T$tPn|M2iI_M8h+3)qoNE(a2+lC-(pHBJb=6`RlvXuy=-Qne!9Udp>Ism%JT!?`K0QIvm zKS+7)vu({OL_W@{1vjt*W_WYu`{DQ)@IsUgfSG(S3EnvM*V3zIkaP*dOJIhQHYuc5 zEywsVrC9&=0#;42hJqMCf%acC0=&7+EHcxe$!t(*FTY)S6S(4&Nnr+2hzF@ z2J4TC`2Zq_o6F@}7bR~wLL!ZXg&iWgv+b`|Gyu9-*qd+iuwhU8vt{DFGr>hr&Q9}0 z2U-g$jIDQ1jW3J{e}AV)>>do9L=w{ZXu+E03nzLR_vc>4ZoAg28(}1jW=zzLFDT1RFs#Ve5_dAT zuBddhD8>#k<^nakIxv~DbzSu-?T$=M&AJJt0NUw*T2F-Chc<{pd?9v+{y@QuJx9o2 z;a)bDIn*LS3j}SomJ39U0KPRWs{S?&4B3MW4^9C}zOP}2zEGcm7tn1AhdeqDHYRH* z!+F&0<=00|vKp`F0`cE2c5XrH(=og0n0yVR#w{aW+qGSFj4+A*H7RbNP#Z3Q92yii zdvpkl+e6IZE^O?SVvGPycyXU#+bj{W4b!&(Sm{SfTno8O|5xterDUQ`<&98jsaCRk zn(UxuN-b-Gq< zt{ky>f_Bi-8X53xDDVQQE2l8S6}U{QIBwkzYQa0W+|uO}FXDi&-5-E-PT&MsaTRq! zn(F?-SKFNa-9>p%Mzd2WCmwr6l25g>QrDQGeoDY5uYgJg!6^wy_~xL#ErDs49N#1$ zZ?BH8GtlLtbq*iVVCbH{7h;AW0R8iE2>JxPi$*T*M1Nd@AD?09t4H}nEJWKg0Cm49 zy56ld*hIUd287N+Kci-=?YC%cFop765rpi;BkV38i82*A;}{N^1vIjMNKkK%b09QZ z+I~l0+&AOT2lmY{y=l7_P)fyVYr7XPO5NflIGdu(YXAr zm#9qDKWSj{Uif>KkE!|R;eRv?SYVUiQuEoM<4icK|Fx!pnHDg54^5>)LH^dyi5(7# zZRC!8zi>uEkl5Dqz3)4<_ncOa#UBC~L+h`eXA<#xZ0!F<@4c#Pb)H?(qBr_z9Pa*e z9W=^K|1-*YV?NVQ&rCi!Ia%JT`Jk}cK&w8#&CtwI8?YH_4W8Bd1-m!UGM261s#aK^ ze>CNm35@$YXvRqJok=|nP2f33L6--DTXEkOf+ofei?7BSv49lvt|^Nt+rPMIP9JX< zCGgk9=c=1~2(9eD;2Qu2g~;Q23l3PzVo3CvXadm;ORH=z{vhy_S;gY@0wjhOoO5xF z$>J`M^tM@7aC67n7`b9FWnEp`<^916n#}cL}JO%{lat=%7xAUs6|n^^O-x| zMd@XUA=q~4HWYa1C?8*7bOgMUp!qYe#B#jE&HEoyb;V703EpA`H#&Lgn- z=&Wr`S-{;Q(+IBC?GDZCGTO^nVEl_YOkQsUWnbkbNq#@rZ zanuSLqcsEV?k3f~uvE~keg3O6vl$@##X-D-<{Qs2h|KH$7Y4hoy~VBJ>&dKTdnBKC z=j&QEW}D@kz*yeZhd^#mkIq2DezhthcKcEj|}^D8Af&ftuF$`G>5KdOhNDwP79kqZ+V$3;gYIWR)2Pb%j zzY=s}#L!|ZIC6h%9Q>+k-t(xG+H{NP6TJ4x+96zrpNE~7=$H)M3f*B-==pTxB`;dN zPMhm~^%?5@A-4EelO=|&_rwm~GF+MeLy6HTP zx!yiW=scSrK{T9Kzmvf|GygfEmcNlE+jZ}7fL_OkT;5cBLe8nCArodAnlXR4k;VcN zTOQmz*X97d%Pjf!uq%$D4#3_jsr;%A-?x%j$UL?2%2Nl^pQ$#)m@2j}Lve)@(|n!q zllL0ElJxd+W9Fx(4l_Lr_t)4OPu$k#dbc6@Mu5vU7io#^;U@iwMq0_}CJfvv9PlVF zCk%R`&QqHS=^rIvT|ObWwRb-)OYCL3OY9Yr8VQ%`^dlkDCL%wz-4ukq?k-%}+B|;w z=IJ>qM7im;V4T3&C&IZx_LktYw!JGxOZiN7>^->5wm8IY3+Fp`U5f z`Y4I}OEmWZ4{(1w2R3SUcFA0IotT`l8lIg;8{%l;rh zgYfyYR>lH%TlRZbbgM}G$dm_B5Vx{IQ$DQhe!CWO^w_OqnO?~PrJ!a)dOc&imhd^v z{(>Fj^!EGd+mMBnZkf+judZ>m{w}#B^HyXm^;JS%y6PTFy;b1J*L4j&~FdjtM(tsaQOt)o0Kx zWG26U{TlL2E3(l;#dpB-XHE~PN{Q-`sTU8n;96-~9YOJ>Yzhl=Q-k6z@si^kiO!C> zQ&%A0ab~8kdFA*#^kyYj1JiFiI9y0GypRY(y2@3a zy9Ye)pnd~vXXR(Cg|*D|oGq6Eo?XdjDVwUgL3^S5t2!;{CIkjS)3CA9H&E*`Q!+-HKC z6LEQH483P}W6JjP<5>AA+7n3IAOsg31DLTE<7P=a1ygh9PfQq+g##@{f6vpm&k!*;==GxZP-1fe@#&KZ4(Hc$E#B(UEzoq`cq{qAGeof* z7Wuga1RLUv=wM3lz*nv2D3580GZo=CY)8xoEHz@3hW&rF)do>29VJv6i=9lQ*|G$R zMafSHxNpX#S&9|K)a-$gS&!SQAJ>@UQ&Hj_50c&qY~fUvja^3~+u&9hgHsYCyz0mp zJ)EPo%_b}b_OGHm*z9k5Fb3FYY;g0v$9s5F8BZp951=UP0&*)Z@KKZqG3ENxO~F*x zWt*%z93^n|)x^)ZADpXKn|cw{AD2bL-2SyaIQ|_wsNhGCE7_Y&yZqm=0}sCSYF;cO z-R^y%Q3P7wD*uI}$0!QO6CiML>xW=kI=;wxA@_TOcXwcJKNrd5xug`UkP7TK_J+<4 z&i%w&-F?y~@v922&6?-p%VrdsK#sWg=&wVChz~Ba?KSeP#gmJZXI4MEpaq&;JOtvX zaw7#ooZ-VetMnZ(dZ8(MnRG?;q-Xj)rpH^s4=0^hAH{nO2x%d6C9N3)w#RQKj!jf5 zDX-j{{Jc677O1C{E$nkkQ|vG`CD8tOVf+o0B}GpyTe{@Q>VqVjM0ADxHQ~W%;=}Y` z+&cGrXW#2|0FVpE$7}datJ7(LV`%3N>L(Cq2>89N%yp7*S!eT?*+!9|gH;0T_`F-B z3gQ=o0bHYhzPF5s`IO|_=#qP`ufIk}@eFSOkIB_OenL)8oY4CR*k<)*pC*}RL8ZM1 z%0czp5Gpr7Z>WL?dhoB35rLizf<(#E>#wXrOY|u)D4Kz(;;)O~1J70!*cxgQjSqKZ zdJ>*+fvb6YmH8bKtRUm43^sKqpRl&sDn>T+u#A|bt${G*Bj|wrK9Si!XV-504I7J zvVp*!XjW$KWjSh-nhQB@`|znvY7F*=w^A|~lWIL^iWc`KH(h)e_VF=j6p~4= zKsja5c4~M67A~&er_^3a8xK6R!gM=fg@hjIthXt0A6}KxOuOF1Vt7lycnbb)N=8aY z&o=23V9*ZzTY7@JB?V66CsSvm=f%%GNnUs&tS%$1tQO77+ui9Tt;@nel2pP@Lj|f1=3IjPoDd0+NrGEyS z0!5c*u*aVNN;x60{SMLJ_D;3xX5j9QplbsyN&nkf{`PE|-l7-8FIgNO?krZHc22_9 z#6OH3kxkXFY1pA7h#4kz@ckF+J;EB*_E8WD$w&nOpFp#<1^kf>+YxNzKq{ZqbdCxW zgVM$#{Ttwuv8-+UO$8OrbwE4lrQwKJ#2s=lIvBtl+w2aqs0lRj*PGzy-X;SJ4`wF- zD<_1aA&3*FIiiu5R;{&nSXEO~|IpbFZyvFzf zoE6A5Z}htfH4c?xxy+|@K=@P*zvJFmdu>+=`5Ev~^1bnlJ-z+C7~XmPB+4thOMn{H zRV?qh2G|yZ6BF5XZ+_<-1)U(66-(R-hz~wrE=x*hHfi z-r1a^S&YrQCy`G7rj0+g+E5OYqhg#4)>M`{T7ET*P0>VskR!A8Q?%_TvImvB_jwk? zE%i|qX$&fso2Miz>e#igFfC2}_Hz}MoI{juRAB+pwZd|y$RuWWqw~1kcm*0jt_J_A z#)+uFQq3NvkG&nfwoE`@AH0p)JAu_w>Gp;_)g_`P=vtB>;dUK97RRIldVA{7TSCBO zYWQR#dle9YZ&+y~c_9i5gmPJ>S7CqvjN%2e4 z=roc4Y7GvrRY2_}g7H!Rlzc$(i^@+HEH>@1+aD0=Tq;mF``ZuarrpnMby9Y(*(1Z+2_EPw{stQKM#|%Ik@n-3vqt#q$NUH0J&gxr!GVj-U?3s; z_k*vOR;Rm^R|utklO&FUsIf2f_Ws48JN!hQ7C=Lq`tBwH`lCne60lH~R^xVTQaOb1 ze8K$~$pL(khb|+=(tztdB1y3iPw78_bXHw&B+T%4*Ws=N(FGI;#&P8lPUj$87FJ)K z>>wt=cIb2)0MiI5Q5TY^2!nt&04T}6VGM3vLgR!eKR$E2MHqI1^!6f#Z|FoXgXL`X z(aY3$;yl8G%hZ{-cM3|*II8`Kb1pnXptZ>=IR$U>~z;X$h#wldoRXQ-Ontl z@ISy|aok+{M3lh;Y~WEM>&2Imn0#RZc0LT`VZEP->lrZ1)?E?~_XVg#I;VVvi$ z8$v<<;`ud{ga||Y;g4Z1TTf`&dpUsH#Xkd>_eM7ME@s%mh?6d|C$vvPUcldcF5Hf{ z{T0X?ZowfkK{6O75ZOsn8?(6sL`q{P#d5 zFc3aTy(Uo>WUDEf6uZH?djZzjOzSTn+HGKi)gSYXNE>US>8e8^{``Q>v3naEx;IDe zE>tv%>x&isB>~UCxiC~PtzTO5_2Iy}lkG;+Z#u31;uvwuH2Q=hgT3cmXYRED>uJ*U zzF!9g2(+DeKx!7%PIH|kJ2d=oXQr`2NrP*ZhH4H%tyMyKZ%EW5*xKfP^4<%-p@S-{ zS|go}G=4=6iYVBz<^g)+az&)b`WLSD&ElXZ2Qwo6;9(2lp;`DF-h;{>?#Rwv^~q@x zymaH`?%#KfUnY894M4tJ&Vs}(&CVq%5 zH;zZgAU|o!4jeCr*>2ZpU+WsDDYWk~3?9>%TT)QRWEjEyeORq5C^^!XFa)!6yOAcc{(q+l&IS3CKR3vVkvhmjn%FK@=jZSR+A6j136b&QZv2rhinI zGF>e=%=1wdo_C7+rw^Mnnpl%d9dVKaPHz>d2v>9c=@UpDO=z}BnMlw&H8PRlE1#K_ z-%b1SAL3Mi>m_O7fieN2O%)Scq~TSJV2MeugvrUL5!#{1+w)I&THHngHMQ8l-RcaZ z!cO>xo+Oy4bj&ChqBZqtxp(vv)Ss@FXI4t;>oivO&Om2h`vvq+j}f19iWi>@4GQ*m ziHqG#=Y>G-;N_SnaKAC z)_5h|l5zT|fHB~tlmI7$=3R~uE^gzRYkQEma*z+?r*gIH%+mDqUa~bkY6kGHCl+8M zR#Sjj8c?@K#zX;j{6#O6+At*cDG7=)7g#srM>*i8)I8y@+Y>pE->}V)CCul7hm()w zxt@)ZS<0QhPJhDEk%4+8z`L0NW-m57mUhj3apIlO1IG@I-8iv!Af&xw`mr+m>#(WQ zG&S9iyipEoKK0MUw_E{f*^)8H92>2Eu3aaHn`rrh^-RV=npg{65~s`HUMJwH?*kVz z5FyG`<;*_et#Y0q{P7G`N)sjtx2o=kBcO~if+mdVPFALsvrTA=y)o^MZ0pG4pv#WV z3&D#Y_BK+V6&xMpGv#5DCM3)9n zX4+by$1h-l`4Yng-E2ft%OJh7dFOwtzM+QGjjIh%$K+Dkfn2yk?;Txb_FfhPCgj}r z2{=>au+@)WC5m7Tt}hY>R1)a?Zp zdE0O`)A@Lb=tG%@J{aW0tl+r9*>+whu(*F-j3=5lT2FOGKWaal;wn%PtD>4*8>w=L z)0aC%=g&I;n^PlrnQCAQWmPq@;rUyH+M}^w`S30FK^PbjQ*LN52lRIV-~^n$k~#!R zAwg?boWcPaaYX&ViRX`I3!TlVVSs>aFCg;K2NAs&4;u#F^vkh_%$DDz)Ei})W#e^g zVBhH!^97fU+OI;KD)eEY>#gyRyg+N+tyNCEvc}&~;6XD1e(>Dr1)oj1%>m_UV&7%q z;^o!L5_N(mcaM)t`g3gvHI?{=?{*e+5Mve!Fi!Sv6RDJ1Nb8G3XIrW5VH9GAk$z+8 zi|gxYm>~jhESVGd)975B%wzNST%yYXfUXQ z%#etj;Wa!>#Bd_2ix`=W*kM6EM(*P=5!lcRfZ74U@l~SJ&DyasUr2Yy*VT0k!OgE< zc&8*K)E0w=(^4VS>%BBDGwA>>Jn?Hlx)#gG&*v@%7)NCKAzdk+({~&M1c?s`{HEeu z94G#?A_qq+@9%#UTOR6k)Te!d2J`M;Vc{<^mQ7-i^dAGTcK~&M16I!g)cbu0lKp!4 z=%0WiOokMYIxP@1@qK@uF6M7MPD-tChgj(=yc%vI+@kKs@ErF$&G(Qu?dZ8<_@D!G zR3TRRP|iC_jW#XqqEk}0*X2IzB!)6*OXiHKx+u&fv5$*#?j$)#O^X{iU;mWGW1t+N zRzFpFsgq8$7Wvxd!SS`RG?dAk<^}IRwrvINzMwJKU6)x{z#QM!!*PXQHcPQ*k;i2zNKMn4*ZP@%|yO{C8!EaW?z5B1f z-Oarvjt-Ii=d8bfe5;NGCN6wk647?JdC@Zm|&`SHJ4EjrL z56vjj_Tik?FeP4wYGn3p8Uec4s5q0^lvs?B0F0*By&Nxb@Jv_A zqqEdAYsjhsBGqBrHOhlYw2DN?Dp^zt6W3u_tovAuZ}g)OM0~ukE=|DT6XcPug8^Tx z`gpwNozE%-rVS0hxAAPu`L6BKlloVXqTk@uxETUSnw@Q;%jn{vH%19q-Se9DAa^N1 z_9^dnI$$Sv0`~<;{vY>nlFN1CJ+@F5U2#dD@GOM(u6agvUL+4CMR{46|JUqf5D)s{ zzVtmw!~K03`Sb4mS= zi4|56O* z^ZH_m%Sqjx$F2_YBkB33?yzTPJSTAO{vUOfk$S|QKBJDw8(*ktpeX2;ta_f%4Kh6W zJ3mFM)*9VYfiC6=a4!=#lWjjc8BbMP+q@MXrx)&s6ziv9DXXPXaTb4v4vV|;TNucR z=-gGCTlBr_#)OCCIIqYGU;8>+8kY3eDC$|e^&Sy(5hW&NIz<$?Uwc9xA(jN||70C^ zSM4DB?EB%Ch^-xxpT#L>gA(z|`jc6gB}P0 z>Lob1anQx3%6dOYJS4@$7oBpa6}*6aWIB7@<`6jT9nF~dw{gr&MuS=aY&6d0-rAMg zt*%EJOM~k_qMvflS(IF69f;<-XZ7g*6?!x!Qf1(rfYNb1Ibu*L#T>GnnknVkA>?`e zG%r3Vn(yL;FVERb;}xdp3v$A4DSZ9Z>W@mL&)G%{@m2p+m{{|MhS)t}Flem77Qvtg zONEvSQQ{@WlH$roIoNJ-u-7-xoV1K9)0GcdB*$ zF|l>Mtfu`KEju?zdRBx&{kH)CvQv^w(xr!5txO*=;r?4z#i3v7m;_N4U_4F+t*gn^ zC{ooOjysVYN?1x0iF&umejLK2-Bp=O;Q*U-y2lisC~f@#S@(rcnQbm?{MyS0qo%TkQ+w4?5sFQ5^T#kiF~ZU}s%ONJGujxl7n#V)-k8cdABt`i@j}Aok9U zD&vk_vt25Ymkj}}6NWuzBaTC*TwfiiXvRUJB%2_tzo~g|rjvEP!H$inalK7)z^Nw( z%@W(bg8#^8nM$tDveWbHSXN=dL(_pQ+ameGutP_ObdrFr(Zh0a*MBrf>_3Nkem9DSIv#<*Sp4b2+N_*xqko_h zKs6?rg`1PQ2K`aNLrUT{X?w7lm)lrrQ4#Qpm11XTUnl> zECC{Ec8J18{y5beopeR*Gts9ci7&@Fc4@l%lsMJ19R$*IQS;~q^0Fh>ZxxjW(3PND2YVxRw~a%MPa}%--?O?L2EGa zqNkyuv!TIll1Z{uLc+l;2urIj#P@Z2qT?u1OKStVu2-jsv}dMe>0W0bV3C_E-A&xA zbcWAlgvAqMTEUZGygzk~P}0`!t^5FIti0yhti+$QN029swFmLi6WYCX{MXnY%>bul zmLL5q@{_G_(NZpacWb7YFv@zYw6@(r6Rho<1QXZLfuHg3bRJTw+mga5)e942PgPP) zBmY5A94xJV8p$q0`n*%#cb|3H->={u#X_zteEKCJR!FBt{0J9e7w|&4(H1a_o+KKw z)~riX%Jk}_uAoMFolyC?QQYC8f;`^mEgD}gjGunbT<^`ZhDP&_$ z6M1!*a8bTbr%Lk8cTt%YE&CAf!5{l!J5Ckh1jHM&&u8+}P?dqrP~)eRE!Td3=`f{_ z5i5#70*-2XF#+zyvtGce^cLdyzI*@chh1y5;`^sa=*ApZ=liHaN#x1P@&aLUY(cAM z4tB|U>imzRd%hUPaOu6%vvW-5(%x|@(AuMeA$mr}*}mvE$y#phOluydH9Y%3F0-Lw zC7QgkAyOY%;PkuQC_Nz*AyD}Od*acze^0NRZq$GfrNBVfW8TNy0zzmxupg^%oD@z1 z08Mic(u)}Zw_*${ zPYIp9E1qwS%CErAnuQXn9DENm+TIdPQED-6jFLkX@4G3tSbywoZjf;sl1cYkV>_FT zAM~bJdL9w%c^218TVQN34ae+|!r&Jlp#E82!t>B*eKaQSzr}Fn|1AbM+YaM|k{?XZ zVH3Lk0bC5V6r#DPHWM1ra3gruKLO65k~IWf&dR4v$jLvnM4rtu^EjJcQum!(EVT1~ z>N@kdCax`x&m@zDhyfBdl^ryI8f5thM5KhxsDVU@K%W>;po=R(z^IfYvIw;(sIMS` z_!N0vc(93A|PM|7YrzipfrLC)&RW;vWULSC%1$*6>Rv%6}8gQpf0DYUK3W1e?6>P8bj@F zMH|+s2EcZ_@)nU+YPVm^zL)*cozHwXyzP9_efN6Z&fJOI5Ga%5yB617)q{&Oj@vBd zg7=l8H@S^!juBQQkb5J{`r2hZfmJ7C7)0Ty`UgI=<<}^ZohPr%UUFp5Hp%7A`VRPp zrEX;ov9LM#P?%b2(IuUWw0d>9n8GzWO1|gj!e1I#W7oYuOE@6MAAfkNl2`)c5_t>M zx*JC&FZu*;1R~Ge9ykdj2;!yg)oZXx2 z0#_Df2}5jr+-WJ-Xe8UPEsg1iu0mqg;@nYzNBk4f-U#|wUc6z4PrTy|?ODU%XOgsd zf9@$&YRxTkg4uI>jXywy#PqxtzKnB+hR4(wCXYnm8H14#yhWk+7Jw%X?W%-xa4|3% zb;mud;H8!|LqX|>cASfUl}NX2duHK&jN;ai^1NW(w@94*tQXoOyQRqrn^O-8H?|ueX1NJ^fef z{oA3O)X1fb$L3L~0|{C={@$myp6@zH*YCCdMK7z2iVG@RX1yc}rYRmp7_R%cBsUSV zh_nelZs#7|olU; z?g&deXenA~8QLxG3Db;buCWmL#pJ-29qi`1_J+k<+Y<1_C6|z!|VaEKPy%Gt{kVqu_ zNs&Ak{>*KLO`q9UrHME%Yxb{PhCW%%4Ve3jHyWQ#^Py~|d9^KZI=tOEM}2|xJ1is3 zmuD6dVt+M-hQ+oB!kU>ZmR#DtRgOIS?1NqhNw zFvJWrbjE@qCd^57(#xJzkH9h^K|8SV52pO4meHz@=R+Ui!Umd+<9Oj80$d^)O@+ta z)Ssr%UM1ibG;yMc@56a5R>2FP|K(FiTkV&~z zRBV|gy0U^LAfYu#C{MY(b(n9Ua3RJT#5Ib7m>FXCYP*W(>P61Eahh5~F47aOh$i2C z#k}2?#;(YMp=%r4UKieBu8J5gaJA9FCFksZdRfz_!5DejQ9(AcCZCqLy$R+?&z1_z z4ZJ*0yb7!C>xq&|-3ST?=)Vl>KApbD`c8)p@@|Ol1r^tIomKfyLE)sQw@Jmf`yAouG(el>|T!Qhl zZn{OqLdK708~=_Q7V2mFauedMWu*abg-rofrr|r6D>5BQG9BMSCj2yY&#`8l7Fcb> z)ZgKQ!#8Uw_uNKSW)AZ;3gFiawjFJlw^l z4B-(N6(cA>y+v!SfIi!hEoc}rfuQS_OEe(p`S^}DN}J=y@SX-DTLI?tCFVn4?KK_S z1~7|pYOZDVoFZepTqI;<4m$h1i*5} zvC%0^$JdMFX*Wqhp$HFf3&*)>)-}2NfQ5~xHjPMtL8zI=k?4L?4A?{d23Xv<+N9?) zHeSV$1#(c+{KawfR{HvJ=uGB>3i1YEBjZjOn=)Hp*)}SZH4!Le0AT80_=@E9p9vw= zCo%{y0~JP?illhtD#n;7827W3GMfNxM&l`#K%GUM*6b*>HdH+S^A<;<7qaP0FW~A) zGWn~RrOM!GYS}X}0q9(jXe%XWHz@`|MKd`SMy1=a`~sB@_+;X(uVQ2m&a~Kqa6AO< zH=E(6NHoa<^pj~l4?Qf8nB1Hh&J5Lca9-CLd6fI$4-1I&KhL(`H|spe-lO>GEZpK@!O+9HM*6ZDt>)>@_~ zRhbz7d_ZHs{qBh_P1vSP0c{kCJ|k;JfE+mMe10MluTda0xVRQ;j3P5^XNN|ZP^mWT z$Q>Z^CN>OPv8^sr2j@3n5oGwEj|$)!MezeHjJX1%#^8Jf7L}yHB`NSp3biB!Azv{o F;a_1PFp2;G delta 42974 zcmeFZ2~-p37dSdIS=qxPMAku2h#=rrqeW#WAe(?&>k_I~tQ+o2(F6shlwcHVpaLQl zB$i;%UqxCGa0S;rgWt4(x&J z=U|8t3uPdP?&n<7V;CAewdAOc3=faiW?+U5AZi!@eHm&)uh{#H`OUFvrUb)G$@CdI zE?lLAULo(6Fe>u(B^ecp$3s;vl3r4-Joc-OiasolV3iqH)ZAAwE^=P*h4|Q^8Nr7Y zH-=^(B^5Uvv#Ur&tz$Nkt~4}5+m4+xjKhqEPL)ixj~o)67d>QJ{Io5{{EV-oLx(>I z8TkOoyZ?XuCw+oxhvnDTRc!pl4MqMf;yFIJwmhx!*|U6~8oF{sdWe}7zJiEV>a_ST z#^CC_zvA*Oq1=ft%tcePZb?dR;p5Yj%p69(ypc?FvGaXYOoj3me*2~~VW1)D(SeV@ zu9^)`mINzlc*ONwuhhC*xFEgW%q{RJ84IxDYDM*}D1vQ zT~FQKfBob2)cADqps&!d^wL4Du2XNMes`lfRdgd&dLwnujnqRo3MQq^x{)c@S%KaHjY*>^KF3$ABS*K2O3u1%k4QRw+~t)%r<`B4&wi{@Lg zk`uSUwYi9*`PP-zTPkxUW~rP255N_;bFF+e{_;k(xG6(r3Ul;jx;@w2bX0AuC6CFN zbhtdBQm88lsP(K$59TgnR*_sGUzmi&wfoQl{a zFYaQxBnvLQ7bBAF3dPQPzU@FKn~|E8yAp~^s)W1_SS=F#w$`{KbNvnbrO#X zg)-gNc8F*ZxLl58B6V_KNEPhYSqd_%n52BkQvsBUCFcN5g7&f)2!B+%%aI}lnY=5E z_$Wyu6&@9YE&kP$JLTCV0OP+rRHTvI#TN_j(}2vC(5t$5IKgco)s@5rACG2 z#`Od<8>!Fc)MqG#csWw9V!)V(K7Q|s(pWyjHHGxh1yJ0#RqiIW7U0aL*y>$jH8Tjq z>f)cta!&oOu&fkPK7%g=Trhc28VMJ>!dk1Zf)n1tEy!gYwkr&{C#KukS&WjwLT96Yp{7?p#`lmm~^8)=T0~{ml#~E1AT5IVur=+N9(g zQZbU0d`nU>)TkPhXInm&%%(R0iy#jPy+OWKV%Cs{l|Sss9zqS~VQEvy@=;{A6LqI> zA|=Bcz!J)Fk^nL_kW312N(`j5aem38f?zm_7e7*3g=9a|n4x$KskVd@nXbgmMAIo% z6j7wXPbA1lMJdGDD6)7oWjjdgzdR~(B9qI;lDh=SoDLB8TmZoWdCvu^I24y-FjPEJ z4P2Oumhs5Up$*AEaTqBX0{(cWj8@Pac&Z?kDn!9+kc=S}!y6<{q{6A8*tr2$iJmFP z01t(Lg%XI|n0wWNKLbc3=sa$<7ASr-gmI#33A0>jMK)m;vv`9z=O0!a*60Sr5 zw$30v2=T!!%6Y_ijh^6PJN1*~N(9F`ksOmuA=R#s zdkM2vlKiY?B)c_!s%4cT0^+xjd^MWs_iwiz`h zR5+9Twm1?J{TMPo07S?S1PQp*xFoJ`DkKS%Gjf45VIZPJ4#9Ukez}qq`|*rkE+b)j zLO|bxG&iQT`kP92u62okW2t0s8vmk4Wh&Nz)VpbXbB~H$BEa*z#k5T&0t%P~Gnu%` z6<&=a`KnF`OYTB}RMry274OF5T9i}sf^#SVyI`VA+$mjhQOFpg)^d~j%n@X{Wqqa# zSr&j~dXQ8QEr-d&X$E_xrjbHO4`s-0{<1W(kYG~yYpHuG2^RfY;VLCLXkqG3DsTlb z@#+b^qMc+ccQGziDMLY-dJwLywHlsKx#o3O+9E0;0rY}EIVMU9Q0ycNA^WK~{Ef(M z>08A*m_my6q&m=)3_RtD)DZ-w(%oPH1xU!AAOvAlJhB3kD4~0i(;@fg3*q)&Hc3@* z9UiZMd`>n=Wm23%?oXJP})X5JGcYQa(fSh zlvjWc0|e$$d6S@5!(|F+Mm);k43;B@K`0JeJ@8hg2hVbl`W#L@7-kxzF)FFj8)_P* zES?dVff&jcD)0u5RU=!;nh35m5wjKcyoQOCE6eE(YG1-PWv;(9dHFh5*e^Wpo8IVX zD_Yd5^rq&sQK7(K5qTIFcV{E@RGN#0Z!iih5HQQLP3mEmXPegN2V|Sq=Lcq6)Wdww zwyak;H)LB;@$U9Xr!W)G@Y%qFwV>dVdQ`E5T?)Z3Pj zQj9AS$^}pcBV|Z~iiSHsEko|9zpIHh*Oes41h5R|kSbjegWtA_n(;ziDf{JYDi6;g zbr9k;CG1JldI)*~P0pZdFJam$Qb0*)T7P8~87p0Kn8zwCd(i{PDPgO~ANv23Y#_r# zt4Oy^B>uxQrN2T%0=UYYQjo7$MFIs%_;|?n&y-F@0KAD(Ny;9K+|5MpDw%_kJDJEG zxmf>4^5rj-Kzpe&;u}arK+Yi-N!JDL9O?156M84bzcXtVW>TV;6Pkko6CrsTS zA{84+$s966*KH2j|KDN0ViSZtfGcT0VYrgkN^}KFd(vi;#hXZi0bEry5JAnlXc1Xv zR{s>uG^$Q)G91+x{+foTrUOzSC|)LYl9#+O;wTV`65)sMWXjw1W zOqN;ILz>(I={d;!|6gL$jiOeKIVkN^}%pW=+?hht$-ilzvY0z})X)ki4Dh--4p zl|qv@us0<6FYtq68scpSRmLRw;`R5HG-s~R8DcY*%*D!0>UMdxR#!5JcOYCSL=YXu zTU+^13v2-w-5XRVr4cO`lQV));(1Xln4ykGN@kOa1jyyHsVk{b4W`r+DYlaJW_1KD zB=bcXt4_tLp-c5cF1^S-*jEk0pkygo#;)UesTg=pn{qKl&8&~wRy8%LEs(M-UP@jh z)&qlJgRLYnr%b;tDWJ@tE@bBm3R?`=C2dLGle!Aw5jFlnu{GU*XXED5rmUq9fyWPpC4!cq>jJ{-Ffmb%o(}@l z!R)$Bc3p5VzW#-DJiah}CeI_*q)q7zRN!fKbskn`QkOlSEEkiRCUsB}sJQvTNg+Uu zhc29w6e^@N15rDGim=8&#gGT%Iu#H~!LZDM0k0&GQo~#X?|q?kd>IEtrbl5eM%|fT zjZ0VY?7mvwrZmu~z@bzyt`kxY%@g48z)Vi`#&8|hLyjQ*a-gvgF1ZQk}2mIqMi7dbDJ0w^YN)Ez$hqtX%YN)LD8io|3x zrwgC{97=k44!aT+6PJF3OSK@ekUPL*&5(LX+j_{+I^t~OdI}J!%Vq5H0<$6D3kj4I zi^;;`#Z=M(*C2I-K?>oV3RHUG0b!e=!z|2W!uUNmlHhN(OAtSbvp-CihzM9begybq$rHaWov^8xT$>wG(3`+`=VlCm$LSP*R8ij+9Azh7> z?M7(K9PtK}f*cgWCoJ*I7cl*E5y*z+oVs1XIh;C3lNiy?6@u4tMReFQsXa^Woz!ln zJg!s673N~lp$Mbe6ch(YdsM*_OLD24AtoVhY*vujv&oboOhvD5C0fg8ll72gnFJj& zNxKl=__|z3W)7+=pHF2MfP~>T7{&Ro;V%SLwvd#Hn1BXA@a!d|0QHO$_C+8*AA%4g z=<+ba3lDmu{8~H4*brXI$CT2JR3Ncb3v!X_V4^$q2U4FkkW8?aF63PxJ6uTQp!5@l-F%Vdc zLi#IX*Xgh+>4em-*b;x2ZtYi3^51O={9_%-6 zD|V5pQ9|76t0}H+3ym ziPY=)#lB?&l*o32kSE?#_0GTs{&(1w!QQ`UG5?a$~^&!q~pGT__I&b*Wsfe5WS4N4+dyswdQpYXWNO zGC@6AVUE7frRPCffcXzM&?bQyeoqXoM1p&fBYM+UbHVdLbr+K>G3C3Et(}ysatfwU zEw+N-?bKB2D?BJf3r(_s!91i~U4>MGro>xZ)(U|y$)w6NNd}Z7l3%GMAXp+JmuHZQ zOw!<2lCV)^ko>18JeOligR3GL$zekz$tVnfr!1jgC)rIxR7=vytY65iy(GS;lP%zD z`0XaCkmuI*{6c1O>#AE*cqs8qu}8hg0|dl5Rc@Je!gYVGBqoEzno{XCq#h~jaIA9* zpDn-x-{Dq9ZwKyUouMjCA31sulrhZ_@Bnj+~OzS1nNC9kWB+*b}LXs1Zv9M!;5a}yR06*8b ztfPk~ItO&D z1EoJSd0DVch2`KaFkaIkf{|>b!2=cu@mq?J4-CTCJ*0sR$%2_Gp*qUWgifoPdMj60 zB%!GAmq{QW06z}&Ny?E1sxbkLhXxQ6`pQ&Wica+?YXXSZ&@rYodqayw%qa+_j6SwK_T@l=Ngt2>O11i^(Sa&e%# zV7PAuXn4v*XioHt*Y^oGR2E2 zYfJW!d5oMru&3yPrV0f3OL*6@r}OGjQfXKW(7Q=I_$j;#%6=w*SumEoLiHxE4kxej zNC;v`4u@l5i&cYCn?S`cg%1k`rb2TTBaG-!5G zxk))1x@6F!AJzby07_nw1lk$UKeUEcTn!4{IRcZOq4?{;Kwq=2S*YGG2r|@_t#zG_ z7?M(KVXP45SPd>UsPT}RfqGoIKCWK@bJ;*f601Uf>Qcb>43J+@3qT8JFe17K=@7Y>oljo$;3UICqNN(TK{;^G`H-BtkIZAGWs%T3N(!*a zCsluz=l3aMg1_ui8c?FMi?aZ@-Xlj?BqOVFRhRNTHT)XxRImm$Bn1qH-a`{`(KJN$ zg!suWI(l} zHPHn_gbv(iA`Nf{5hMg(f=5H3vz|_-2;fctrq!&PN*e{zAlV&EDv*1S)nNB!^N|k* zeaeCO08wC4YC89+t6?I6Z%!fiz&Co7Z*+@RlK@^#JmMaP1sDjX2o*=uUybvyJN|L} z%nHJ}c?$8S6+Rd7;~xjltjL^M0VC~2vFVfegM*Z0`HBij2`PjZCxt58oD~&0%PZgw zNJ6f zrFRMZg>)`aqG#W|KXb*-E)!Xz~Sw6RFBfO7)T5*en=KIk&4E2;|VKVOqvop>_0qvzx}<> zL+{4@jn~@dzeissy#Ddcvp3_PJ3L3;O?{7azy8?ze(kZ}Cz$CS4Y20+of4SqU_ias zC*c)4t~nwwSM%z;QT@^#9=RhfQ$pP$y+db&M^1^N-k>v=!4Uy}%@KiDs(!?rxt4~X zk#awVViVA#LhJAQc%9eqdezvE5^>&gEQRv2pZk2o6?l0^M1=T9dxuP+c>RFTkXtnM z`~6oPeTegcatwO3nz3BQsHR!;olH36WWa{=2TUiI&?!FQ-jRN8eJ2#)^%o5Z)|^b} zjq9Va4;e8nTHqf!%FR1S1IWxp=tu@b&8oX$0L&%CJIvQVFlvC>K>+)ft_IUk6M+i* z5NAI@U}QfKC3zvDhs-un!+jJFkaLQP^3{Sun8!f46B-3S^xI({!lS_Y1XJ7mIBn&i@nY8kXN;P09%AwQgGR9Y^mMaSs z02bj*g_Y*RybV@(tns*J21J4@HRSpOkb-311-RlqYkf{Ga9U6wF#vmju#pc2YOVtl zstQyzMfdul?AhmN8ckLnEn#V1KjfCP1?ZPS+0t^^IoSd}YVR#*59&=D{nt z+6*0)B%7Bzyev~@JRWrzahey@E2-mwMDShD%SGg3SUF$T@t`qL&kH8np}{T}Q}?Ww z^|F}psHNz(MzX6sym*MxNO7B|D@PV5FT2KrN~}_&{|ybub#2JUaOUDV@5&9HYOvy>-bEf^e3A1e zmaHa#ju&!ZpF<7-fBef5IUfxv>I16hdeG*l2B z9pN`H&k<%TbWzQC5XrO;|^eio~7m(Vyn$)fZHYg}8C|aA^OE~J5kE+v# z28>!zS`cSQ*VLNZ56V;lxxE^4z5Q7TxJJ#2nmO(h*UN7Z7Sf|R5l5Z#Q`2oh%!9(B zv`_3YJAtc0^K>9twIIVNYAeQlI3GsZYUfW)IPAs#84X2p;=?KbajgM z4*@m$RX~^w088rAVZd_fD7L;}D~e%Ho|d9FD6eb>7d>wScfoZUT)%p)x!#M?neA`i zz5mbw_g!c~7HUZE>h2+B2l7~Lu&|h=Z!#ST-xmvtP<;>yD3b+27+UZrO2_C->h`!X z4Mj`QN)*LJ-sqz*&J(Uhz%1~g$`Tb70b?NobetT#Bm37DwWXOlC>@!si2&-5Ax^=w zP?M0*PmX{qx;}~`9vW%`1r-Z5n-UZf0*^)gz)S;x8=?sT>M&v-IR{^F?IVi_(+I2= zt+AdK*P*_G=vi9gF-_o1c8C1~@Yj*b@4|w)^ZyRPLGc3hk7j&Vv z^pT^-jP0YTqw^Ji{DiMPCr+9SBOeMJFjEl=U5X9~QCHjpnlOFAWw-y~vY{bS10@m# z%M1oztotJKK-`5yg$E4K06^*6_kk4D4`W7Lqb10c8oBi!6c|A zL1_u+1ZgKK`cptLzXfVr{}G-}VNt%*qJsv)g*g|%=1@#UIHy-Dj3a`=`eTj~k{JUD zV1p(BXbTtdg})X8Ij)AQmT*Hpn_xgK7J|J+Gh_R~Xpm1zd&glY#`N*?4+s=MU{JAU zfCWu~ODfI`u!u`o2pgHj5o7w6oOE3mDXP)|UCB~o$znc%&RG_oa z1v2k!RuDw_@d6P%TD16wbhNgoAPHkZUaUfgX;SxqN0`e&V+)POS`*TVS{q^E>xW~m z0#yPvs%Y8I!8c0K4?=x2*TcNs#8C%2T)$<&FwXwbpQiyS z%0%X~)nHm_zyW;w8P~nwj$ImeXmNE6jP%#ejUr|yz(s1{w7l!!9rby@XQ3vDoBfwc zIBLforE4)zQ~e{5ou+)I0>Uf-%SBNc@AJ@e2Ci;jjrADSrwQ{oIO4*mwMWgKg*w4( z^qUqspa&C{Jj7SCxzTb2PeaYOpB(cPfF06+Q6{0NX+lo|p+{S`B2FDJyD%1g$B1vkWz-y(=iiY_OfXWatnE zS#S%}!+wzgELrdnLNeo5_2mSFO}(K?&#QKaj*k%m={r zwuY;g?;U(Ug$Ko!`49s0(LbicP_SI6Rw`yT1J~w%a2+}=>@yQHpF$*AQIQ1kt0i4u z#PLUdrBRvL2D%z)bnTBgn9_Y&pL#z#8AlCM+%B) zn0`0ei@+46GngzkaC{4OEh^(|q^khJ;JA{;;K~?gbPIL&O@^D%HSISlJ*uh6BFy@J z4n#RiJ*0~{QkxTvpVWWph8PVw_$d==FmwI|bFjJr;}#y$7q4_<&_Jr8+f&)k^95k4 zdwx_{_VF|e4ga)50IqaX!2DM8l*+yuFzUBC=Z>4xtxeQFVN!3;CO~nn=X4uVTC{)E zfY?TZ03V)E6CCNsmqj>h{rE}!%nZ8?MZ#y83IB$f(~tSFUkx+NBzj=BY3#HEFh^)a z{lxP{Kjy%Y8Ri`7J;mSW(~ptpDPZAz&Fb*UHxqbtOLb><08nnr1Hh>t3 z1C4?BSPHDP$Cqw*;!CE+14W63CtVB<8v2E6OC!4Jml=KDz!;ij^+}`%tnW8{149Xz zX>`cw{&1nb>4`ws>I=HE9Kck+nfQcRI<=cV0XW12kk2!@m^B3>)O_7pmPAq3;7sM-Apcl9lBErn<#6Fa#4>yGuf;k`0(Hni$ra zwSz)J0tEeqAO)5KV6a)EMAHJZh=>di2nrck!|D40tZx=-5-*L4(+MsNO_CYND6$wB z>dUWviPsD>jhOP81L^rd&0E9t6XubA%*Otd3tB;v(UKOS9|FufUs$rV$Ay8R=u}yc zE5lCKGJ;{LA0FbqVAVQ<`EmG&sWKf8h8+z$_p<9d7?wIq7k}3`K6wNq0I;@=V7M2! zF)&%f4#r?v^$3QoEWn)Mo2r2!yG|* z?eL`k4vBvFKbED4K7(ro!=Px`Eos?}UJMK-ewom6`Y{J$5|*XVPn|pUj8Fn*n?|$& zzsO$v3#LWr6sn3G$fNWsz+9@q99XMm6Q=e>%m7bW3=F9wrdNA)oI!CP_|VS-v0YzS zNOq(jbAT8uOJ8oWYOsMV76qnGlchaV8Frk$RPo(EQ^j`-O^q=?w*(tXE%RC?y;Kg% zUEj}~KY>wsAqFj2z;G>ihGE8^HIk|NP-Yy*c!Oelr_w(byu@%(A+~^Ktlbs>jp=tm zSNscIHB&*m_d;&~FsS)HODX_HRR;rh18VmGtS^ITO>mf!@ES{NpFzJ5a3IMSW-zoKJrUaR1CwH({03=4#Xg zU|$ww5Ukz<(WZu`&!eHpmM_CR<`&abB!nlmnkQOTuz;R6yeA&0#ON^q)}xVjAZE=l zF6Ddb7w&yB(Z2&Q{eRfX#Qz&@p%MCg0Mkrs-A{SHwC5Jn&QP7c5vo6|i`>E3PR`Wt z=iRi_ZpO@z<3m4pM-%1};SF!Lqx}aUJN^~SSuL;@HGSF_)TlQEO&Cud)C0s2c=PoI zoEpsS(@*?0uw(ue%+yzVf5r= z?yyurl64E1>E^4JZp#0SZ~+5bbRcJe(B}dtnYJ?La@mbY`z_y*9S6$7(AW&vG)gvmf$#Z+GM<^|KgwHhSz=w(7IDU`-wHe zG&m$QEIeXrWK^`eSNF}gv*)Ovm9j>P?qHx*nE#B=mhFY0BYZy7(F_AHn11OHnHQ3x`;a@Yt&Hx%)Wa`O-KL)c-O z5%X|lctX(f#cLMFEM2^5;erG|?`Us?9ie$@I~ATrtX-3^amCtI3G-JhU%e(~`I>~- zg{u}OOh6nWk

H?=W-cJuxwVaPXpj|9QOrAt>N%viB%>4G5$J4#JRgnSiEQChev z0Sbxv3sqSN*hO?+^P%O>OAC&t>gVyhEq}1+v`a{ZgI9kxf!U~#L z%zuxvH5v~cbGCw{2+5wh_?IYK3t$js)Khg>Xu#AJsdkjD12AU|5p}BmMD6n^TMuv$ zWnYl{N0e>UL>UF9jxr6oe?-~l&vn2xVE>G=EdU2m)<>>S*Ds@t={;)2>X~k(9xqq~ z)o#&K2iFGZcm6N9`oinW;A&=JU?_T6bF}|wYk&XyREe{jfqK0}kq4N8(@ofh4g@M( z7$s2t!w?M^#2|GcrX54Eqi8yPF4u4XA3?-4EPbT^H3)1LDtZ8E)2gowaO-PFUfZS# zhR=v`uz!SBk|wnJz;u^U7o2}4u%AAshHJnyq19hffC}^!O=x|=)yzBMvz3efGk{%3 zKi8Bq5P?6V1u7OsPlP`D|6=XmW9|5TW-2P%{eZa~B93W3k+8=1xF{|1U*ZpkDNXTh zJf$IiPG(++x#_03C|NbmL?FIL7FLK2H@9+K*pbvBrs1*9I6U*IaV2!%Sy~}xO~G2% z@Zebb9@&2ivB_a@j{~lqhWH1HIA{9@3QY&V7%(gi4*AUq{|IpSuRQ5h{5?;pSMUL! zJzP0_`vd|ZEzErw;Z!J(ePA_s^O{JYQ9zt$6IA9$3brBmRzDt5%OURL3ckszF>%-VQi*=1G z>0lyGj+}4U-5_tG{vK?~eH7>J{P?M)34YD;UVR5AXpuY=EVM*{ zIXdid5#r}ulty@oi&Ph{a8be!Ra}(s)q^@UIAtT*Q3d90@XAg7(|Y)2FZ}9oZ!zYE z-Y9i1#;!BKf$V}caNuzF9*B1Gknv9}v|t0Y)u}n~i!3Aae{(=n~kk=GGMOWxLWq-X11-pPS`Zo5a`$S8sei9k+{uoQtoteJPEO=A26>BJYAPojciG6FdemH$=+fNy^EA#e;(Xaj0C62G&R&zRS?lW%6!CrmZS@EcC;lF;n zQ8@M5CHk-XVno{~g_*2*?=$iVC(-IHK4|ywtQ=S0o%4(iF>E&T^&cA7eS1^vg595= zcBj&*bX@(Q1r~|}cU68iv+)eTLj}e(WdQT#= z-Xw3*-)_^p&c7~^8Q;V?;?WvaL*!@7G@hv&pT$X?**(U4^cL?9iwkk1TI|}|z3t(cXK-J{-%allD(5jTovM+r&H4#XlB)l{L|^eA8csdkv}{Jb0OFbN?B0WbW;& zY%G4Vt6r1iPx!f9y+r+4uSJm-83-%BajR_@`hp=EKO#O0acFL|Cq(H97Ca-qu(R?- zd=~8q!s$%g5@%qP?K3wFp>_M%9-TL>CnIm^{-e0H53}%1_bdwtuekLAN4+zzBLug2}3UxkGgQEc51=Rw~e$= zp@+ysH$F$d-uTc_PWz>8`>bAf@<)GLQ%Ms}4nqcY#aYfv9{RM>bKGN6Xtb8Klst_d zakiL$uBN#$p!IG&*ZxeCB4XeAF0uacH-FoO(VWlnjoaf4%8OYwr>*XXc!$@=4BEnV zuDIv5^7hWxV$Up_3va~wgtZ+Ow(|sp?+8+~60>7_yYk=Nd=N9NSPxB?v0rCtSb&#a?h*wbQr!!>Aj(qWzaFPd5GzjjuLeE{Q~rm zs2ttf@mDv>jBJl9{U8_HoO0b!T3h)p`BF{QidWv|$0MdLq_6N=(&|-x-TGs#(N~U= zb%Ph*d@M|VP*XX+RQ$bXP8M@cYA?>JsVvXfR_pBqw$U>(KVaR-cmI&Ek?(xzW&(OD z43W0SaW15JY~))^P8WC2LG@B)x8&c3i|%}v5m-}c(CPEqus6aE9Ll$2p3AY0&oDIA z?c}2}k(05*n|U?fo>|D~$V+?%*^I^_#hAZWfp|OP}?&cj5_06j7H&luChPHXvW+bxC z&>9bXy}{ckZv_9Zhi9z4;{G!-ZFO<;v$vh&4{j1)v^meUn7_lc%i5!*@#ap$>hHMLMM?>DWix4&|;pyD0F@qngS+$vbM!J-r-&P2|s6$zH`K<0h_r)Z8Ao=0)J$ zC!9+r39k$n`<70KsySn*-zn0!6CW|0A$d^U+bn9I+-cXnleEl0;B{T8sg%)%9^b>b zqtAx5gthv+#moPci(cl=_r>{p$W&g`kXY0noKj(TR~E9^ax=xI1A*TBno<_XhWa)GbQAFpnp z=|_KdAAvRJpR{J%b4^tfs>7<8|?$(L8C4Zu$&QP7K9Op$xtST;5eSK2JiP~3H zMenw@5SUhp-}zXvV|*@TihI2{F3Hnv3JtHy&&1e0X1(Wpw=)JCoqjbf+yY5l8FZl= z$9}i4$V-5q!)C3RJdMtH7crZ-``0a>VRX;3O`?_FI}RWMblTt+F|X2;ZwUR0&N#CR z*Rc&99C3oA^`C9G9kqAkS=JPHN|>)+}RMusIKhw;IO^P4iBzXAH*_h?O+K~HDp2Pg%jLx8(%GVxR#zYOt|{IsH;nA`P^{RUG92ghV+m41~gsI z@Ib?AqU^htQ?rNDzy0R;Tl`>l$l9;E*d{h>|8DV&dn;ue&2&%vu_4y2Qu4#TnAS@D zU)K*FqY}=|vN}0G_dLyBe45@qk7XbB{_A;nD>(tv#_wgEI;u-EU^z@O44;m^6pl1k zD#0m1P_O$v(B70 z_Dr5-XX1YD+`PT4w)sMP+x4Be{S#5f*2ZR)z&JJ7xOC`2#yF;EU^2~L{Qc?$;sa=% zF$R;#UY^SlE?=C7VqC?YCVtF{xK*pyWbUpWHoh-D)SFB*KzFR3B*1nT7{CHt@IIH*|M$zEpGi=npeW_pjf+bXmuU z!&|};H{Ovd=H9P_-?fZ<{qO?!myH`jT4oyN`a14PSs*a-cr?k9U$$`v@pw#J``{I) zmyN2tdv(=mGwbdjVD_%m`P|2ik5t#+y?a-2 z(s^@zdWv+IsnEkhKVWL_BX z+;QJeI~lYS%WVzWV;dV!)Ry0{9B!s4`?lJ5Lx!>Js|q;7_Z;syuVfPHs6bir}n&hX@$LCxJ1~Fy`g=chsADJ!!CixlikBQPt*3B+8z06r%gx3 z>nA_$wC#$lT$Jy`6>YfNYc=KEqgei{-d4{s>?vpST~_`AR?^uU zZxe5Av52(|y|~1VO;1+EZNyIER`v|0mcL~oun^F!RV=$-3_ei(c=QP1!8UgXg!-xud}Y@fGppmn&BvZ<8}+i#Sk z+t1A81pA{4M#{!bJx05>Jz+bxO-+f06%hq&m7*V!KR#VBavR(CN^^aVi zAm6h-=kHkB=hpsY)NEsfO?`%+^zs{eMTS)|W{F~AR>g^DVf9TkC_wUPLx#pU2p8nu z;VfLdO0H<+PFRhFtSKsi|7n1?V5!}hq`$`h<|dpiu)dz0N6WBj-F~p}_e0aTYreYY zuvYQNHisX#J;w3ukn)+KmVckJ8L^}q;w2(WFvHO6Wx+A0l9);B8+S;irh~_5g*2r_6oQ$S#UfnEsa(9Q`H$B2x!%iv&_kV zmux>#o9tFRQvTcSg0yg^-f7>TX6!w`Ii|+&Wz#ONS^Dc9^Q~Mrsv2|Wm!w{ouU_@= zPfnsI$H-j&-VyJH2W3UGmyc_5O*s3!_{n~rA+x7DZk}k&_clG<**)Dk`WA`XU6V@A zo9}S<|MRPnoyk8wn?LSV>R02ucBt~s7DV$Z3!|UB^U^6l%U?e~t*gELqesqZR`E9D zp`n~Y2(8u$wy4EHM&!`Vv4%6h{jKI&JLflU2X3ox=0$BD+0@=~37lIIzd{ZTK_S@g z2y^AAY*`h<2TNKgE2(05pnFT3su&Y#qA`q1r@anpU#uFFKRzyc?1ec7 z5xd{4YBH56P9J?-`>pZ8N>A%Qk-G|)JNkvE1|7&4x$mc8O~-Q#^-|{Qv%+?cM$d{i zJgM67cZJ0an@xMuUo;kZsW!3KFM7vo`9VeKuXS<@K9{F?k{UOXtD;#Odp19$L zdp~%Lx3{rbz9+iUb8UesLpA0ZWyw-vZdCJQv(fid-2teTk&NlQ7ky-oLju7qnX*(L9r`d zS8YFOZ63CtNpJ1(@N&OtaN_29zaFuj6~o;MrNwR+hUq4vm)vap|EBZ!t5#Ks9p9;b z+%_no+QnB%OnZ!bv`*jAQ^ftTs%rh3ADwZdquVo#-iX((ULVtRcFm>@QX?xYLlrSx z6c`Ye&6(>+b8hN!9ot4b>f=Mk)-fp$|bz->(n|1okCVN(|6U%kpQp7rB z|8B1sV~gfp+rJxe@nl0o!_60uj_jAd=J*A@r!RTgyk_@N&h%tq;$6jsN4aY~4kCAQ zKAv!<0s%?L4DlpwxZ5b1C{4DGC@i5PYqQ4)lS(WgcZTwiSBkk9;-RK+v4=Fgf zX2-bw@PGDM)W8Yac5s=uVDbwj#Gqfw1h zk(*8!eBB*^U0P!F#I3lRes-PS55xc9^Ou^;T2@HjlWtDVV>Lfp)geWmBy!q0=sbJm z!)v91sKOL!Yi>u}mxmRM@c8{1P8!->G`w+_vG1 z-PN7>T}sZR>Au(4GjFBuXwq5L;bFOOw*TEJf$?*yf4Ayh%HmX%|Mtew)Vj0%-HSp|&6(B(YkW7>+15s1iC^2ad&&xn z<&$6MIm>@N@tmIhu_LL?rik7A=x%z->@5+#&FHdMxm#^#(;Yk}|1GAi+%%d!>8z+# zEV=HvIfnJqftT&OZKqeJ)7!d6t&TEb%`*%WZAKoy=9rs!hi+Ik@2{*!4+Rs~u(l<< zYQm$=oQ*ORwGKJEZ)^NXqeU|Dp(^{i_wfpI%xPBKg{I^!F_Y|~(VF-Z@g1yrM#IA9 zvCtQ}@q|StqICc5m3YQqj}yEXZpP-VqmONAjQ`1CB4`C80q<#r=zSJW4^L7coKg(>Bf_w zxO;3LTQ;q#sxxC`Et9qFEW>7Sj{dNa%NzEE46EkubP72)P=;n=iN{++jbOU3pkL1%4mEM{-JGacXa*OZC5 zRKle;sqXXR#KReP5jKwJ3W+;%6;i{^Qz)E}DbweG2GiT5wRKPnu}q}piDE>uh2$#Ovbc+ zw~8?{yQRAI`y6==>RZv>mY;;W<;pw&6^dxaQ;}qK|}w&yPFu z{qznG^T^@&8i}Wu&6F$~IC+9eBdmJme zn`x(!v2E=tWc?pvcJ5*04uSr?jtlcR2)*4a&++o65b2K72yXO9CADDhANe2slN(cd z&!SgKPH!eefjT1A}`5zgB=0lQ0h zeeAZ@b9>c{S_PKgMi_DN>|-8hrakFSC|9C&*+{Rgi2hVulXTsXu@6(?*NP|pp&F&X zWJ`iYQ4-l`bRO;Co6nxB@_o1f>1E)Nt6n}Hwt9Vc;gG)`2a*V~q&?TC_ZPIeGvdI! ziwOynqOp0d><68~$&2v>{lJ^&UN++4qw%g$Mys&qrx&gzCr|VYuB@G~E$&*`OzRyH z>+gzBy%p&jb;it_Fet+5chf1g;)#xQ)>}s#@818=jN+%-WhQk8dH#8#J6Aub>*>*C z+j8l|C7w#!p`Wn@ z7b@z!#(zLoIO{Syy^y=N5Z{rkj+wN(_aoxPJ5usqB+eBEz4x-6Koh#}Ody5sIp_uf z=j$hxEBD5@e~mGIw>LbXKkDHdmF@Bab~(F}Pg?8k-}eIfYjUP-uVWRV`(#g8g?L)$ z*~yn_yY)mhUgK8vNG;sAA)S7Pg768b=l|8zc}FGrzj6NtL{JfMn*(s0TeCt%#gST> zqpYk?kS;u3nK-AA&thKG54(vXPIogDEe8Qo`H!a9Fq+%Fyr|Ze>CK zqss)XW^p!RQw?39321SXU$VHnwqLAiVvKdNO(^Gaf`nJijc_ z5$V#II<4$d93RdO66IhQ4~;vc)@RhNEPu|qQBdqvHvBK>y#i>OKzHvNjnIC;hGca< zJf!l?qc*hwMX3YxL9&X!jyQIYQ$)lHB@6>29_gXpdpW}`ehHoEc}_|j35Fg&V!p63 zBX-p9=2hZobG*I1{zf?Pz~jh~1stEhjvxt@dWpsWC=90r^_0hQg`98tq7dWI- zE_q+cw!s{np4c_X!Y{*aviGuR{+4;iD45E;WsBJOvdBI8L-^a=)SYy3LgVfM*t!X> zBl0M%;o8+7-|kx>oiF~_t^Hfu_;?6!)7ITM$@U9ixJDz0my>zLNf3{i6EDk)-Y@WJ5{@*~ zDvSY@v%doT-h`NvzI0twi9T~W4u`WQZJs5C>rLcB`#0;^;+3XwtU#>fkNJw3x4zz$Om0hV(~3JCG(R0hC@ zk<_I5dU07EdYTTJO@B|{>;6<>1}=WYD2bU(e@tlW*|v5gCS}BYYMi`NzPx+&-J|G`Zu{q}JPcPVkHF z8`6^>e#Guupo-rZiKEV6)UbXtge0FgTQMoUc6))DynhJU6_l4!ZrMUEst1*4St=)& zu=_1DB5x#XGTa7Fr7C9T6oIlQyVD^;p8?xqv`|6SSTX8zj&krC?QZX~Yjtm2XEfsC z+1Sju)7u6HB)glf;U^PzuOLD?tTt$7$*&~WGRnW2j0Vi?{_t2n7yKi_BDF>Jx&Uc4 zMyz3(F{Zrmoi$GRU#C)C(hE0&K+steeeg_#T8P~}_C?Puupb@7qZ4ogV&ek3;yQD-+ z2c@c5B1@IjXN^2U>5WtA`aU*gLTSWK(-^J%gl5xm`z3}QZc;fQOV2L+dAg}@CcY8F zVv{jk04!AuR`2&J+p$12m=9*!!>n3X|8_oBOcp*YJBfS~tl^v##oArEdCvQOb&4A2 zk5%(bm`W7gq-tU8W%V?bCphiBpC~v_4WlRxp2~r|qrEL<(MXeJ+-tW9y-(BaP;=@G zLyHPA$mB|oa7!_4%TH#d1vuGS2EU%^=(d|?tG9b8{yuzFyXn48K`58>@)||wzQDrS zw<-|O9%z$aU!wVsCPQY&0sqUv{i&0HGLmNL9b_Axz}vIPg2WkPb$i3XuP1D1j;i?r zK<-Q0sdFwwZnuU7T3|idY?l{?heLQbl#wp=`?1sw z;BFrU@In2ARQpSJinh;$yBGyzGJbywh2gyKWr%yU4myp$p}SWm0ITY*$#99*ApJOe z6kwKh4wsz0iR3xnYC@s`wquPX%Cd!cB>jAV8}D<)R0Ie-*BcOjzMU=JsRW=mkKjki zPWBhSx!qWqcxZpn^rK__q3#JvJXY5Z6WS zbRlr@EtxA@PQ*K;TI1>tagIF13OmTnm4T2^XNbU7K7tE2QVSU#BTG{aWriv~(3lHRZ)^teh9vS<#)x>MjZ--q^@)O_wk3a=?>WOqht8zk{E4D7nU01#;yeorWU^*r zsjS|MbA}bI>raMCBB#Rg#a_tXstnw86nfB2i~W$ut-H4opC7x*-9$QEx=su3L3FO} zyZp%&-%AhU!IWYD(eMNb{f(UV!5q)PUP5e>ahImoUt06(f3-pr`GRkkV+ArBFC1ft z+Wpip`U&sHitG&{(g=?H6Zv=@6D9)}02dQa%xc)T6%T24wg9xZ3(?jQ?NI11JRA^W zx6`Qc%~iYvNVa=1eB>BRl-Z?hQhmVspPcg-Kl5)fVHOS`Bn-gEalUaLz+oXP1W<}^ zcLFcPH)R6KOYa~td6iH$oBz+-_#uI^5op~4WL>Kj74Cra{OKk23_p;+dRq{ogS|TI zz`xJ>(_+cR-7U*uCR3e3GylI`>fm}M`$f4pKuPZ*dL_16S<}iMw{3ABj)BUl9*T|! z+xz&rvpEy#0NEs~>tlq&b8Lz@;jNe1$u~P{@MBYKiij6y^uYD^cF{lpn|{h?cz)gI zGBoGYGYOWDqYNa~y;DxQs^M^?M8KwEpWm<9CW1WN- zPB;Pf?=A|e(dBeZ;871ZYDWsz3jx{0HUcEbuN|$e02dJ5QbrWhd9SL;Y7#s2{LWkC zS)hkd`F(vLp^^^*6aQ{0F3+q9WaU3FU z<%8V&fyGjYK-dS=t@8%!mD&SQbpJUhp?loS(#RZ9F(wdmRY9mvyn>_)*WOcb(TBo* zSK{%6Qs1;RT~9mXdjeqWdqNz>N^QN*NRiq7y%qUBbB4CKU=_eNhxXm+#dS`U1hFs6 zeHHa{fIX(P&%|dnGENXT$`E)9yZ)Cs?BnZp`=H3X+&34EV5^mI&H+F_We03xRG%g; zJ^28!Y1iGG2OiE_X^VtsL$KGGOtUY(m**kzbrj6%9S0}lK@dWo*V@;Q$sy<4@cEZO zMued}zqODze*5b-zqzrpX7tr*C#&g*iv~pSMtAvQtp(T$x%Flx59(UdUCgu-yHUqX z`Sqw5%CONah=t;^X$#r|>SJ6L$5m~pqA^QQYCJG^*XHsL`B0upMko2bPrPSWdv7mm z%r4ck7>IQPkyStW)D;P`qPQ|X<~X$xX8% z{73JW^6fQ-)}>p<5ZYzdjL;qfnY`x^hkBd03*LpyGNeiyg9wVVQPCI3kJI;96e%ew zTq~q^mmnn-$g&NZM33U2tRH0|BFV0FxG0LCmNK#5QPaL%KAYthA54?WHl81LnjvkZb)A z!>xM6^x@G;#4Nx{y(l2OHKS0E(}k_lT(S|%MZ13RDM0*`on>bXuOQGp?Pi!%sdrfF zQ}+Y@74d?-r!P`#rFgV|c7|<$T4Lod{cnDUwtccQyZ5PRtaExi)N`v$A6BTebqK&! z?7LkE=?ecaAH~XtR)_#lYMGdG;6%p=`F&-K;X*Y2rG-TMpPQmwg-yL0Cb==D#Q_HK~|UJuIj>MB8F`{a6i48LftRindq@OSCmFXO=@EE?`Be9uEV z>gn_}4R?OuByiYx(@N<9CD6j?NDgAwV37*-TT(*s8&_W5BDuu;=Agxg+^h~$PQ{u#7l0b&F`#J-D8A?;a})d zo+~noa}6Uhos;1wZ9tfUbt)dm+zP^6fbMMV2*1?5r6>ICm2D-2nk|@A)Jo=DKQ(Ta zK0u56?}?f}NGPYo^b}T3v0nhd)DbpiL`aCgKaz9I)}s>j?#QHtDGsyra`BF z#j@x{#5KD5kjC>|U9lS%=04ISoP}ZaO*d1OfP2dRW}u>lWimbLfRYEazyJ1O=uvBJ zhNW}!U$<`{QZc=-i2kp4e*^6lju$oo;64Db+rIC3ZJQX6cG(mhqAm&&MAZ`WY|gcY zefdd{7VDExd#fXQ+vE(_-A7Q`h1*KcrM7gq<=^;ER`QAQ6~+0apT8II-0A1Mvw_On zjfEjYP|)e^*h+pNG$bEYFPIk$ANueioU6jQJDdx$%`7WzC4apLE9jYPgOgv%phCWm zFopPyynu@zAI~nNGu^vZN-sd`rM8Htoske0LEPZ`nQ)(B;nXjuvf^mV8&p1hO5bPB zJtp;3s%f0DD)?*_91=~vCfbq>AQT|DUakvK7Qc zlR>z3m(3Hhj3lJjH>HUUU~3FW^00Rw$^6j7f2;%p6A5yXJofuq9^99Z!JQ7-tr0&y z#yD<=2{?lFnn+ZEbXoOXwr>(CxEChQTkV2?(u#w!kQ1baL08tJg z4!`+o&T*8}UBWSGLfgHFKUjqup6AxId^uSuy&dr+yfai}TUq=`J>x?J_Wdt{i}}Tk z=;OVJ z>hCSRDzn;{#ZYPJN}(J#eOg5;B&3$c-!=hoEAvIv!bYqJnqDn2!b>TTY`(o(SamNz zl7ZFJNSTmor5m8Z`CMV(K%8wBeGX#ZOk#?e!*7Wf)`b5M`$|?HnqqQF_hdf5o_g5k z_s^RQfkt?@I0JN_@ql*oL&P?`5H||38jX3%CX;08+N(z8x?As2-0yQ~kh8NHiO`(! z%Ja|)G{E`_T}izKxgYdoogz_JV<6o9o!FQSrxJ_Je=;IcMGbS?8Gqc3pH6%`9U+`^ z(((pV=}f|(iR2TD3OI5sswJrdkQn8LG)FHMzpWlh1%E@f>-_k>0eU;3Q8y1}*SQ`&a(f3$kgM ziJM4Z;_c6K0c%-0(CZ042zl!_8;#tlT);BYk|V11zn}3;AeEt~Y?*TOQu^{y){_YW zl>R;dd_Sr&ssoIDpPNQOtXbIb@GAmhbwhoz;5>pO;6(q3f+$#&2aKBTDdp>}wy=?U^3N^I6SzMn5Cs?8W5JJG#swodfu$$b@sV)2+g{R=;UVMy>N$upZK1)QnTiuUURWNZu*<#B!+l z(!EE)Jk~t>ZZ95IRL@ZRUHb0M%`%swhTUu>JOhaAm$>KuoWvwKZS^Xo5ckSqW_h3U z1sxfObHeix(}2-uotTFGzJb1xG}LeDTly#7QtdES^WGy@5je=M1f0cmmf-21Bsd$v z$CwT2+4D3>Si5SL^b}kcl;GE!a7ys;?PlhqE!^ucSl6-f4J)oXAx_C)G6s|S-j9``GgpHQ@zHc#m;5ko|)jgcZIHzB%P z>tN#w-&X-rSdZA$V9&o*$(J!ToFJgV!p$qI&eGqc>rjHh`-59$%sQgXxlRdI z(MqcgFHV)hCM}pYQ@3SIDrAJRTFH}x)aBroC^hgPXLz{CO5gE;8b)+Vy70Pm*AEnC zCNHAiFF}+t624E2hY@m*S)FP#R|+Gh<=BexP|KqCM1TT%uGS25nSKnXsBzGs6VECX z4tKzOG}mN7gEwe+XQeXuIP^D_fzM1v4S8-7;z$&my+VmK<`yBGCU7|={>*Q}Gtd!q z7N>ei3s*PP*I&3g*%}vKWmT;&c(ik6}l3K}dYk$i*XRabq_|;^}j-9Ng zH1_pe(Tb~8kga$>%RG~HW4Y4vtLaz|mKPp#Mkh;I?N=mMwAp5IE7$VubqSc&y_}yjqws&eJ;!pGn{5sXLqt9kSiq1RQ+JSt>WnI9V z5$gx3Wn47OrFrZ_aM(s9D^MvfzMu>d_f8|&s-D2>ky6Gj9JTeZmAhDE!%mlReMx8M z^Fc^3$K6L-UOx32cwKW1_1ALu8;FXWPmO}SkZWNC;}Alhx*H`=-ncaO((DssBHI5t zv8M|ZfJO&g*R$g_beT`V{i+Q(p+)S&I6wyu8#!qiAicn=bxMz6Sy7RnI+%={Toezd z@;%H&As&#ZvSpvD>wnQQaJxwU#j!G_j3>!_@Ncp78BucwRK166{z>SLGUg0I#&2Ft zWs9sWWu5M~R}I5ZiE<`#eI`JJymrwNL%$h@`msABeBf8){Oxt7jJlZ<@$o%~Q~;OX z_~!*P&_M6eQvuVBFtQ1e6}sxjY8!8*``cDW35O&O9{RW`l?YgsT89I=Lmw!BLD z9zqj5`=h{2-}>Qip{;D~!`X6+J2IKrKZBw+QYXUV!;7U1**+^b{2>OwLz@NnhExHi zuisqqy6TfiQj)JZkMM`NX#P})MU*Rjfv!KQio1Ly+F6iGbCF=$ykQp23sXxvQqzq#%YjQpTLsU~(BNsRK{MBW4A~FJbobE3^mLEqyxYN| zO=zucy`26QFh+41qimBPs>|$9N6l;=79}j0kdY7`onmSp++WrB^Sb^pr8?~w{G$LE z3~dYgOAE@$ihDg%IORP!;za=|^uf zzXdNxpKw{RT06@I*xUKBH)q2kr&G^HBh|-X%UX2OEjlQtKq7=fjjcAq978PbX4R$K zM5~k7PExto$YRk#72T~|Y|gPdcjs438a@eZ7M zt;w}RP`PHzgW&7$U_^r~)0#6*$DSQAvQ|HWLu=xJ?Ut6UODnbE9*=rXoRVpFXn%Jt zm~JV~Z&!4$I%VvvUlpr?|r-TiH8MC>DQ=qc;h43x^!@nC) zwig(7riy}1+BJ;J!${}Knnurlh{B&%TqDXltlP(0Gj*peq)k7v} zlCBti=5!9+3wF zMURw=TB@pMDltAVz5VoRh%pgvm39?1XAb5}1B$`?!53f-mug#Uqh~q4$wgw)72P<| z2qI*tHe4)8)v^V7>F*@zO+#H2{Umr31*6M#GL)%Px7lL#Ha9y0pK&^A*=|07{6>Y&LrbW8#`K}cHv zN5Gbq9NRlCjL<3`bFN9!I~s2ys_(#IWkh=vUk12o)EsW2U1UiJZkCAOXmnEU zNVHew4G;j2=(p@$LebuqHZmuO1Jeo zFS9tNuC}O5<14>2@)D~Jk8|&vyjSXyT}!Lm03jO;V+6Fpx0Z_o#KGk<(%Y>Ad5s)| zvi^T=MrWtY-hGnH$Wwu6-sSS$sl*px$qUPL%RCDi%a9(VhU)XZR0!&iH(y*`kuN`F zVJ#AC6il=1v-=Rv_n-*;N0zYa^OWB*`mog7FZ5P++)W?8FSMPbs>@*H4A+K^cW4@- zn6|WMk3fPO^JS>m60o#=6p=wYl_-<0=!Biyu0jtI zYIV8f0AJ6tJ(|JG`ZDi76#4k;(>~nJ*8s1nCBi;IL$ZADJjKkmO^!CS&fAQaf)9`T zoO+Ze-h6dwL)gIpe4hieeT$gz+t3oTEux3TBl|l5{X>oS>=*M1=m>^nJCy#x4mh+% z#&#OiszDYu%ZyUYUctq{SZpe<86gD>q zw$N&9adwI@dK@y}gRg*3kbnI7kfNa31T53`nfcNSD=x@=1WFR(iYkOgE}Ca|^k(yZ z%#9lzW6TJ$Vd!rk^eB96JFIae?cq-4gKjIs=d|mmoHqlnn!R~+C3#-?w9~s^WUCW) z&xsf?Fi_<&&&hvU$Ls%+HR{)lqc<5ZEEArKwnT1EP1GFqd@i?5ce^3vfNq`si-ZPF zkV(;i>I4A;N&;6mvSF{&CjiY$mIh)W=hmF(f8^27eP55OpVv65_qyWLz2=u+oh7`F7GeX*4%zn*yh_bD)>~6!E{@OL~4uP!Ds$!^kjot9hfbg&5Xf+iJKoGEwycq}!9O_EZMISL)YC7n%?8lD4ev!eMXnXe9E`2$6>;!tG;RMD=&?a%P4&dKE?zRC7BMV6VK!NEu|9t4Q z+;ch1y;z6Dg>SEK*wx&Kc%<5k!<{|!+$A?EZ~TbwFyatSlsp=fDdNo|A|jKSq=37Z zYeS@w$(&=uP*2jMyT#G@CsfJ*p31XE_D#gsChSilsEA^iH?ow(j>d+cBR90Q1=doN zO4_pcd!pgR#<~#n)I#yKpI|bFSGsJ_-Ez!+sYf`pM7q|zL{k8A%+T;uyH-b8Q`7#I z(to<_J6aRZEfWL`$*n`z|h!GAOlh+;$Z_=75(h-Su-zRPXe+Y?m@$k!IRq2xN->y-o2VwQQ-NF};l{+8>GYni* z{7Os7UMkl15ERpPogR4Cwl?sjsD~@=Fs**ZEpTgJuDR*&yxxrE7eOth5@{X@U&d0; zD`HUg$O^3(ZD#%&Q2UGUSw(W?4ar<CX%}N|Br6><33YIhWer5VkmHy!$wEUD zbTz#|<`lbL?S-EX;h+1A{T-YaU2;61&8F2#G5U0$wk=pe&K+h>ZNw83-38JbeU8wH zqgBN6*QhYNRt*iQq}Z8_o)2njny7?HBl=8cTLUWbh)!vAOe^TCV;3fbQM5!`CCA=t z>7uVNL(!#jclbGLbKZUFAB*tzCmjyoR8PX@W+$$b~@zk_o1h|@g4rhM~ z*ZQ{XSF%$k=x>|<*g-yna87OFgyLjVQ$y~JiHSFz(<}92!Z+MD2q?#9Z8n)CWz6-T zi2Pq3r8-K4TMrIm;T<12aT6eaP=V4TUc!FC@-8g*oTzLIV9FBHAyD6Et=#fn|HVyK zEi|<>rL2JX#?xG@LOYLfXa8WK9)9AW0= z-=EJ%H_O85KK(+4zB{*_$L3w!qA60^>}IJM4HqJSzVs5 zJ^0FgJuN@ReK{*v7c4AE(0-`MDI%Qjjflob$Y?WA^%_r26DusNe`r1;4FA#ytF}Ez zjSS|p;>m2&+zr_vV>!+?4IWB_oatR6NLLyKY%_&h7?FgKR;)Fow$d~pgWD9(1No@S z25HI~zXYnpq-tgBnt&Ke=(k;>WUi;1UH``vSVSm zU${g@xed-yu6%~#GD3#(*<*ObIFyi=rW+KtP7s`JcZXPBBst{Wh>A>;+rL2;wv37z zDsAJl63X0sT+FO*w+{ME^&+Y_l0eP!;7L7bt$9{r!|0rX*X_@K8sln zB2qtM$*@G!g zIi@=%f8!>ND%EBM0+$0+g*XD^8%JO)pW_IO(R9B1U=aeHZd$X(5g3B!RV>jV3&MAA zKKwU1Cp!~J1 zmpHa%U8@zC2(=dbEKiyG5Y45@jRvp(<#EM-o1|LldyjVd=aK$6aIz-mKIFQ%`1fJV zs$by7Bb+n9DfyZ^@)tf=YBte0C0}$y79VdL^##!Pjzi=DrtDw}$A`nUBR)Yw(oiNI zsnhPG%Z&W~M+KVipb{&7M!K@=B?c5sM2shqvhr9Cs7YI*c;-eeJkeC9R(CfYhE zc~~r6cU+Y0poV8G7F4#nYc1VF`)NJke`p!;H*B(x6E^wF%mQ3SMgIL}{sYwOk_B~m z{8@ZTjPk||G-2RGSFWsZ$sFT`_Csn{7-Qyybh>&Raw1=jxR;5>mr6 zmI(K_Hw-PrlBp2(BdbCNQqJ`MGKg`kxL}b2NncBo={^UfN&3zAcx|wUL&DRrw}nQ;I14Md0L>(%4d}dz zcXrX?s)UbxKtsf*Zy)v!)%w1miQt-M`< zn3AEt^Tl&NW8zQqB>tJl`+zr@u&5Q_Sz3xli^RT9vlP;*_zH{stISK_Ah5&M)Q5i@ zXH#r6Ja22>(MOYo#X+qcPI z{yL_q&~Co0RuetLom`_`M0WNY>}}%${9>f2soUr%VggC>u+ho`Et6we;->ES&qoDX z&IX>!;cs}=aOYO#_>-6}HdiQH;A{mj7DxiB14EQ~7UE)n6I45(#r~C?NOE|Hf3t$= zKC}GWc65W|w34juJVP4 zo@x5F*s4!Id%!sqlwOHTrfWF7$%)|N4$>Y{>tz3%HX0?pq0Oe4J!?%9_HEtYBIRZ= z*ZSQCBLlS^f8@7+#{FR5w@v1Gzfd~?%cJ9}ozoe4Vn%OSpFk$GkNBi*MQKd_g2f4&bmTO)T{9Iu^da(}=&TjV^QUaW}3( zGDi<60MFzLr!*+OG5Ju>Yb9)bPVlt6vJJ-X9n>=j=lx&&G$SGB z>jOHP8^Y5xW3Xv6ih)SG6l*Z&iINhrns%}{;M!ybzKiZtB(-GmR&HH3cK4*%3;%4m z+r%^{v-xBC!&M%wX_a+Ec-cu;9-o}G33ertlNHT1#p_AF(v%S%W^LMmMo1negJy){ z>OFlnmF^N!BYcK}*90b3?Tm7uZeTw*$L2YGd#we}1D)}M7~nF%ASz}58_v?CBo!|3 z8|V*j=U#KrT62)9@2pXjT(fhnu(hlor&Qui!@)Z}+WG=tGAcfi3t#oh4Zf@f&U{@Vqd{HR zFk~Y&{W}bqn%*V;F@I|A5ecxcGhvH2B;7Sq19}^eDF~`0kkjLgdChF{569DmqjrcY zN81)DJ4=`k^1rs3X80y^HJe%7G4#at2GIQ#t2$y-dOvckAOe^QXj?54@i@zKiS> zf8p(Lbza$YlWzP=OmT;GvulqZR-f;VwkM9mGwcXF-R~NKAiv@;OL5?ybe4h>0<#bg4uK+DaKST-Pmdjx$a1 zYe{|j)@fYh>feMV6x#QcxAs!Eps)qFM3BE$bm@Z{x4j;l@#IB?kIlAOFL|R(^BUye zM@Ao>C9~(61$3Mhk8fych^G^j6FN_YjhMS*3IUxEq+m$H#r#u^&HoHM-=&KEByVIB z1*jWK~U#WfP2DeN!dk&TH@;|w~PoYcf?b?(#m(`24M zu~N^^m_NNP`ci-5W}DFM3Jsr%EVQG?ySAc?Q%ZJe9*U?0njvPMW&k-Px7>RE)Z`7m zi-pS@_1p&(P*!F_l*XUh=aL1-ML|&csoSP#;e>wxxDaJO~;o8Om;zsI~% zz4XCmK4(*JojYZ1;C7D$l6gzW9N*YidP#GmVA1J1P3W%RJRN&BdWXPUb|T6h6ctve zFHnJxIQp%+))6oLH%;wsV=`Y1)YHXk#j$+#H1=(o$17+Nw?e6nhCcGrhNG=D0AFR; zjXRq@%#>&pYwR1thxp;{m_Kxq_2q@)e;^2M?NwKsgqqI7s=R**Wf)7#4TsLOG$I}r z4L3O(xu|n5FZa;%)VaobK-tGjOu}=cH&Gt&sK8g;$zd?glI6Fb|Og&%`jDsY`qNgss^&yG}sYL><%J! R2N}D=6T8FvXooNQ{{Xm+(>nkF diff --git a/DRV/UTHERNET.DRV.S.txt b/DRV/UTHERNET.DRV.S.txt index 7c99f973..40a79db4 100644 --- a/DRV/UTHERNET.DRV.S.txt +++ b/DRV/UTHERNET.DRV.S.txt @@ -5,12 +5,12 @@ NEW .OR $2000 .TF drv/uthernet.drv *-------------------------------------- - .INB INC/MACROS.I - .INB INC/A2OSX.I - .INB INC/MLI.E.I - .INB INC/NIC.I - .INB INC/NIC.8900A.I - .INB INC/ETH.I + .INB inc/macros.i + .INB inc/a2osx.i + .INB inc/mli.e.i + .INB inc/nic.i + .INB inc/nic.8900a.i + .INB inc/eth.i *-------------------------------------- .DUMMY .OR ZPBIN @@ -505,5 +505,5 @@ DCB .DA #S.DCB.T.NIC *-------------------------------------- DRV.END MAN -SAVE USR/SRC/DRV/UTHERNET.DRV.S +SAVE usr/src/drv/uthernet.drv.s ASM diff --git a/INC/MLI.E.I.txt b/INC/MLI.E.I.txt index 989b8874..4ed527a1 100644 --- a/INC/MLI.E.I.txt +++ b/INC/MLI.E.I.txt @@ -34,7 +34,7 @@ MLI.E.INVPARAM .EQ $53 Invalid Parameter MLI.E.VCBFULL .EQ $55 Too Many Volumes MLI.E.BADBUF .EQ $56 Bad Buffer Address MLI.E.DUPVOL .EQ $57 Duplicate Volume -* .EQ $5A File Structure Damaged +MLI.E.BADFS .EQ $5A File Structure Damaged *-------------------------------------- MAN SAVE INC/MLI.E.I diff --git a/LIB/LIBBLKDEV.S.D2.txt b/LIB/LIBBLKDEV.S.D2.txt index 4d4cc1f9..3d1d24f1 100644 --- a/LIB/LIBBLKDEV.S.D2.txt +++ b/LIB/LIBBLKDEV.S.D2.txt @@ -379,6 +379,7 @@ PutBytePtr2 sta (ZPPtr2) .8 rts *-------------------------------------- D2WriteTrackPtr2 + php sei ldy #TrkWriter.Size @@ -395,7 +396,7 @@ D2WriteTrackPtr2 jsr $200 - cli + plp rts *-------------------------------------- MAN diff --git a/ProDOS.FX/ProDOS.S.GP.txt b/ProDOS.FX/ProDOS.S.GP.txt index f88f61df..5b8e1cbb 100644 --- a/ProDOS.FX/ProDOS.S.GP.txt +++ b/ProDOS.FX/ProDOS.S.GP.txt @@ -90,7 +90,8 @@ p8date .HS 0000 bits 15-9=yr, 8-5=mo, 4-0=day p8time .HS 0000 bits 12-8=hr, 5-0=min, low-hi format flevel .DA #0 current file level bubit .DA #0 backup bit disable, setfileinfo only -spare1 .DA #0 used to save acc +*spare1 .DA #0 used to save acc + .DA #0 newpfxptr .DA #0 appletalk alternate prefix ptr machidbyte .DA #0 machine ID byte rommap .DA #0 slot ROM bit map @@ -122,7 +123,7 @@ GP.MLICONT sec sta bnkbyt2 lda RRAMWRAMBNK1 force ram card on lda RRAMWRAMBNK1 with write allowed - jmp XDOS.MLI + jmp XDOS *-------------------------------------- *GP.IrqExit lda bankid determine state of ram card (ROM/RAM) *irqxit0 diff --git a/ProDOS.FX/ProDOS.S.XDOS.A.txt b/ProDOS.FX/ProDOS.S.XDOS.A.txt index 0c1b69c6..8abae6cb 100644 --- a/ProDOS.FX/ProDOS.S.XDOS.A.txt +++ b/ProDOS.FX/ProDOS.S.XDOS.A.txt @@ -1,12 +1,14 @@ NEW AUTO 3,1 *-------------------------------------- -XDOS.START -XDOS.MLI cld no decimal. - pla get processor status - sta spare1 save it temporarily +XDOS cld no decimal. sty mliy save x and y stx mlix +* pla get processor status +* sta spare1 save it temporarily + + ply + pla find out the address of the caller sta A3L clc preserve the address of the call spec. @@ -16,8 +18,11 @@ XDOS.MLI cld no decimal. sta A3L+1 adc #$00 sta mliretn+1 - lda spare1 - pha pull processor status + +* lda spare1 +* pha pull processor status + + phy plp to re-enable interrupts. cld still no decimal @@ -255,6 +260,7 @@ XDOS.bfmgr lda XDOS.CmdFlags,x translate into command address. sta H3274+1 lda cmdtable+1,x high byte sta H3274+2 + lda #$20 init backup bit flag sta bkbitflg to say 'file modified' bcc nopath @@ -272,6 +278,7 @@ nopreref asl cmdtemp check for necessity of time stamp bcc H3274 jsr clockv date/time + H3274 jsr $FFFF SELF MODIFIED : execute command bcc goodop @@ -602,7 +609,7 @@ online1 jsr fnddvcb see if it has already been logged in. ldx #$00 read in root (volume) directory lda #$02 - jsr rdblk read it into general purpose buffer. + jsr XDOS.ReadGBufAX read it into general purpose buffer. ldx vcbptr index to the vcb entry. bcc volfound branch if read was ok. @@ -719,7 +726,7 @@ H352A lda bloknml preserve disk address of current (last) sta gbuf+2 save block address in y,a to sty gbuf+3 current directory. - jsr wrtgbuf update directory block with new link. + jsr XDOS.WriteGBuf update directory block with new link. bcs H351D if error ldx #$01 @@ -738,12 +745,12 @@ clrdir sta gbuf+2,x inx bne clrdir - jsr wrtgbuf write prepared directory extension. + jsr XDOS.WriteGBuf write prepared directory extension. bcs H351D if error lda own_blk ldx own_blk+1 - jsr rdblk read in parent directory block + jsr XDOS.ReadGBufAX read in parent directory block ldx own_ent and calc entry address. lda /gbuf sta zpt+1 @@ -766,7 +773,7 @@ H3588 lda (zpt),y eor #$18 done with usage/eof update? bne H3588 branch if not. - jsr wrtgbuf go update parent. + jsr XDOS.WriteGBuf go update parent. bcs crerr2 jmp XDOS.Create @@ -912,7 +919,7 @@ cralcblk jsr alc1blk get address of file's data block sty d_frst+1 sta bloknml sty bloknml+1 - jsr wrtgbuf go write data block of file + jsr XDOS.WriteGBuf go write data block of file bcs crerr3 inc h_fcnt add 1 to total # of files in this dir @@ -954,23 +961,24 @@ modtime lda p8date,x move last modification date/time dex bpl modtime -drevise1 lda d_attr mark entry as backupable - ora bkbitflg (bit 5 = backup needed) - sta d_attr +drevise1 lda bkbitflg (bit 5 = backup needed) + tsb d_attr mark entry as backupable + lda d_dev get device # of directory sta devnum to be revised lda d_entblk and address of direcotry block. ldx d_entblk+1 - jsr rdblk read block into general purpose buffer + jsr XDOS.ReadGBufAX read block into general purpose buffer bcs crerr3 jsr entcalc fix up ptr to entry location within gbuf. ldy h_entln now move 'd.' info to directory. - -.1 lda d_stor-1,y dey + +.1 lda d_stor,y sta (zpt),y + dey bpl .1 lda d_head is the entry block same as @@ -981,12 +989,12 @@ drevise1 lda d_attr mark entry as backupable cmp bloknml+1 beq uphead branch if they are the same block. -H36E0 jsr wrtgbuf go write updated directory block. +H36E0 jsr XDOS.WriteGBuf go write updated directory block. bcs crerr3 lda d_head get address of header block and ldx d_head+1 - jsr rdblk go read in header block to modify. + jsr XDOS.ReadGBufAX go read in header block to modify. bcs crerr3 uphead ldy #$01 update current # of files in this dir. @@ -998,7 +1006,7 @@ H36F2 lda h_fcnt,y lda h_attr also update header's attributes. sta gbuf+34 - jsr wrtgbuf go write updated header + jsr XDOS.WriteGBuf go write updated header bcs H375A ripple lda gbuf+4 test for 'root' directory because @@ -1008,14 +1016,16 @@ ripple lda gbuf+4 test for 'root' directory because lda gbuf+41 get entry # sta d_entnum - lda gbuf+42 and the length of ertries in that dir + lda gbuf+42 and the length of entries in that dir sta h_entln + lda gbuf+39 get addr of parent entry's dir block ldx gbuf+40 - jsr rdblk read it + jsr XDOS.ReadGBufAX read it bcs H375A jsr entcalc get indirect ptr to parent entry in gbuf + lda p8date don't touch mod beq H373B if no clock... @@ -1028,7 +1038,7 @@ H3732 lda p8date,x dex bpl H3732 -H373B jsr wrtgbuf write updated entry back to disk. +H373B jsr XDOS.WriteGBuf write updated entry back to disk. bcs H375A if error. ldy #$25 compare current block # to this @@ -1044,7 +1054,7 @@ H373B jsr wrtgbuf write updated entry back to disk. H3751 lda (zpt),y not same so read in this dir's header. sta bloknml+1 - jsr rdgbuf + jsr XDOS.ReadGBuf bcc ripple continue if read was good H375A rts diff --git a/ProDOS.FX/ProDOS.S.XDOS.B.txt b/ProDOS.FX/ProDOS.S.XDOS.B.txt index 8a5fe1f8..64f6bc54 100644 --- a/ProDOS.FX/ProDOS.S.XDOS.B.txt +++ b/ProDOS.FX/ProDOS.S.XDOS.B.txt @@ -86,7 +86,7 @@ L37EB sta entcntl keep a running count. beq errdir then not all entries were acct'd for. L37FC ldx gbuf+3 acc has value for block# (low). - jsr rdblk go read the next linked directory. + jsr XDOS.ReadGBufAX go read the next linked directory. bcc L37C9 if no error. rts return error in acc. @@ -132,7 +132,7 @@ namfound jsr nxtpname adj index to next name in path. sta bloknml+1 sta d_head+1 - jsr rdgbuf read subdirectory into gbuf. + jsr XDOS.ReadGBuf read subdirectory into gbuf. bcs lookfil0.RTS if error. lda gbuf+37 get the # of files contained in this @@ -400,7 +400,7 @@ L39AC tay if prefix then find ptr to prefixed * verify volume name -L39C2 jsr rdgbuf read in directory (or prefix dir) +L39C2 jsr XDOS.ReadGBuf read in directory (or prefix dir) bcs L39CC if error then look on other devices. jsr XDOS.CheckVolName compare dir name with path name. @@ -448,7 +448,7 @@ L39FE sta devnum preserve device to be checked next. L3A16 lda #$02 go read root dir into gbuf ldx #$00 - jsr rdblk + jsr XDOS.ReadGBufAX bcs L39E2 ignore if unable to read. jsr logvcb go log in volume name. @@ -619,24 +619,24 @@ L3ACE .DO LOWERCASE=1 lda bloknml+1 sta VCBs+VCB.ROOT+1,x - ldy #0 +* ldy #0 -.1 lda gbuf+39,y - sta VCBs+VCB.BMAP,x - iny - inx - cpy #4 - bne .1 - -* lda gbuf+39,y save address of the 1st bitmap. +*.1 lda gbuf+39,y * sta VCBs+VCB.BMAP,x -* lda gbuf+40 -* sta VCBs+VCB.BMAP+1,x +* iny +* inx +* cpy #4 +* bne .1 -* lda gbuf+41 total # of blocks on this unit. -* sta VCBs+VCB.TBLK,x -* lda gbuf+42 -* sta VCBs+VCB.TBLK+1,x + lda gbuf+39,y save address of the 1st bitmap. + sta VCBs+VCB.BMAP,x + lda gbuf+40 + sta VCBs+VCB.BMAP+1,x + + lda gbuf+41 total # of blocks on this unit. + sta VCBs+VCB.TBLK,x + lda gbuf+42 + sta VCBs+VCB.TBLK+1,x L3B04 clc indicate logged if possible rts @@ -727,7 +727,7 @@ tkfrecnt jsr cntbms get # of bitmaps lda VCBs+VCB.BMAP+1,x sta bloknml+1 -L3B81 jsr rdgbuf use general buffer for temp space to +L3B81 jsr XDOS.ReadGBuf use general buffer for temp space to bcs L3BC1 count free blocks (bits). jsr count diff --git a/ProDOS.FX/ProDOS.S.XDOS.C.txt b/ProDOS.FX/ProDOS.S.XDOS.C.txt index 21b3dae6..6b51a0f3 100644 --- a/ProDOS.FX/ProDOS.S.XDOS.C.txt +++ b/ProDOS.FX/ProDOS.S.XDOS.C.txt @@ -4,7 +4,7 @@ NEW dealloc stx bmcnt high address of block. pha save low address. ldx vcbptr check that bitmap block address is - lda VCBs+VCB.TBLK+1,x valid given the total # of blocks + lda VCBs+VCB.TBLK+1,x valid given the total # of blocks cmp bmcnt on the volume. pla bcc L3C8C branch if invalid @@ -64,7 +64,7 @@ L3C7D lda #$80 mark bitmap as modified L3C8A clc L3C8B rts -L3C8C lda #$5A bitmap block # impossible. +L3C8C lda #MLI.E.BADFS bitmap block # impossible. sec bitmap disk address wrong rts (maybe data masquerading as indx block) *-------------------------------------- @@ -146,18 +146,16 @@ L3CF7 lda #$80 indicate map is modified. clc no errors. rts *-------------------------------------- -nxtbmap ldy vcbptr inc to next bitmap, but 1st make sure there is another one. - lda VCBs+VCB.TBLK+1,y +nxtbmap ldx vcbptr inc to next bitmap, but 1st make sure there is another one. + lda VCBs+VCB.TBLK+1,x lsr lsr lsr lsr - cmp VCBs+VCB.BMAPIDX,y are there more maps ? + cmp VCBs+VCB.BMAPIDX,x are there more maps ? beq L3D60 if no more to look at. - lda VCBs+VCB.BMAPIDX,y add 1 to current map - inc - sta VCBs+VCB.BMAPIDX,y + inc VCBs+VCB.BMAPIDX,x add 1 to current map jsr upbmap fndbmap ldy vcbptr @@ -202,18 +200,24 @@ upbmap clc rts *-------------------------------------- gtbmap sta bmadev read bitmap specified by dev and vcb. + ldy vcbptr get lowest map # with free blocks in it lda VCBs+VCB.BMAPIDX,y sta bmacmap associate offset with bitmap ctrl block. clc add this # to the base address of adc VCBs+VCB.BMAP,y 1st bitmap and save in bmadadr which sta bmadadr is address of bitmap to be used. + lda VCBs+VCB.BMAP+1,y adc #$00 sta bmadadr+1 - lda #$01 read device command -L3D92 sta A4L + lda #$01 read device command + .HS 2C BIT ABS +wrtbmap lda #$02 write command. + + sta A4L + lda devnum save current dev # pha lda bmadev get bitmap's dev # @@ -222,8 +226,10 @@ L3D92 sta A4L sta bloknml lda bmadadr+1 sta bloknml+1 - lda bmbufhi+2 address of the buffer (low = 0) - jsr dobitmap + + lda /bmbuf +* lda bmbufhi+2 address of the buffer (low = 0) + jsr XDOS.RWBlock tax error code (if any). pla restore current dev # sta devnum @@ -231,39 +237,39 @@ L3D92 sta A4L txa error code L3DB6 rts - -rdblk sta bloknml +*-------------------------------------- +rdblk +XDOS.ReadGBufAX sta bloknml stx bloknml+1 - jsr rdgbuf - rts - -wrtbmap lda #$02 write command. - bne L3D92 always. - -wrtgbuf lda #$02 write command +rdgbuf +XDOS.ReadGBuf lda #$01 read command. .HS 2C BIT ABS +wrtgbuf +XDOS.WriteGBuf lda #$02 write command -rdgbuf lda #$01 read command. sta A4L pass to device handler. lda /gbuf general buffer. - -dobitmap php no interrupts +dobitmap +XDOS.RWBlock php no interrupts sei sta buf+1 buffer high. stz buf buffer low (always on page boundary) + stz p8error clear global error code. + lda #$FF indicates reg call made to dev handler sta ioaccess + lda devnum transfer dev # for dispatcher to sta unitnum convert to unit #. jsr dmgr call the driver. - bcs L3DE8 if error. + bcs .9 if error. plp restore interrupts. clc rts -L3DE8 plp file i/o error. restore interrupts. +.9 plp file i/o error. restore interrupts. sec rts *-------------------------------------- @@ -281,7 +287,7 @@ XDOS.GetMark ldx fcbptr index to open fcb. clc rts -L3DFD lda #$4D invalid position +L3DFD lda #MLI.E.BEYEOF invalid position sec rts *-------------------------------------- @@ -555,10 +561,13 @@ rfcbidx lda #$01 prepare to read index block : read command clc L400C rts -L400D lda #$02 write command +wfcbfst jsr upbmap update the bitmap and write file's 1st block. + + lda #$02 write command .HS 2C skip next instruction rfcbfst lda #$01 read command. + pha save the command lda #$0C ora fcbptr add offset to fcbptr @@ -573,8 +582,10 @@ dofileio sta A4L command bne L4031 cmp #$00 are both bytes 0 ? bne L4031 no, continue request + lda #$0C otherwise, allocation error. jsr sysdeath doesn't return... + L4031 lda fcbbuf+1,y high address of disk block sta bloknml+1 @@ -603,9 +614,6 @@ L405E plp restore interrupts sec rts -wfcbfst jsr upbmap update the bitmap - bra L400D and write file's 1st block. - wfcbdat ldx #datptr point at memory address with x and lda #$10 disk address with y. ora fcbptr add offset to fcbptr diff --git a/ProDOS.FX/ProDOS.S.XDOS.E.txt b/ProDOS.FX/ProDOS.S.XDOS.E.txt index 2b0e5844..38ea898d 100644 --- a/ProDOS.FX/ProDOS.S.XDOS.E.txt +++ b/ProDOS.FX/ProDOS.S.XDOS.E.txt @@ -133,23 +133,22 @@ L4723 lda fcbbuf,y note: this code depends on the defined bne L4723 sta devnum + lda d_head read the directory header for this file ldx d_head+1 - jsr rdblk into the general purpose buffer. + jsr XDOS.ReadGBufAX into the general purpose buffer. bcs L46E6 if error. jsr movhed0 move header info. lda d_entblk get address of directory block that - ldy d_entblk+1 contains the file entry. + ldx d_entblk+1 contains the file entry. cmp d_head test to see if it's the same block the - bne L474E header is in. branch if not. + bne .1 header is in. branch if not. - cpy d_head+1 + cpx d_head+1 beq L4755 branch if header block = entry block -L474E sta bloknml - sty bloknml+1 - jsr rdgbuf get block with file entry in general +.1 jsr XDOS.ReadGBufAX get block with file entry in general L4755 jsr entcalc buffer. set up pointer to entry. @@ -607,12 +606,14 @@ L49FF ldy #0 get newname's length ldy #$00 ldx vcbptr update vcb also. -.1 iny next character +.1 lda pathbuf,y move new name to vcb. + beq .8 + + sta VCBs,x + iny next character inx - lda pathbuf-1,y move new name to vcb. - sta VCBs-1,x - bne .1 - + bra .1 +.8 * clc no errors .9 rts *-------------------------------------- @@ -735,7 +736,7 @@ L4ABE lda pathbuf,y move local name to dir entry workspace. lda d_frst read in 1st header block of subdir ldx d_frst+1 - jsr rdblk + jsr XDOS.ReadGBufAX bcs L4A74 errors. ldy rnptr change the header's name to match the @@ -787,7 +788,7 @@ XDOS.UpdateHdr .DO LOWERCASE=1 eor #$20 bra .1 -.8 jmp wrtgbuf write changed header block. +.8 jmp XDOS.WriteGBuf write changed header block. .ELSE @@ -799,7 +800,7 @@ L4AF5 sta gbuf+4,x lda pathbuf,y bne L4AF5 - jmp wrtgbuf write changed header block. + jmp XDOS.WriteGBuf write changed header block. .FIN *-------------------------------------- getnamptr ldy #$00 return pointer to 1st name of path. diff --git a/ProDOS.FX/ProDOS.S.XDOS.F.txt b/ProDOS.FX/ProDOS.S.XDOS.F.txt index b4f6bd3e..511a5be3 100644 --- a/ProDOS.FX/ProDOS.S.XDOS.F.txt +++ b/ProDOS.FX/ProDOS.S.XDOS.F.txt @@ -19,6 +19,7 @@ XDOS.Destroy jsr findfile look for file to be destroyed. L4B39 lda d_attr make sure ok to destroy file. and #$80 bne L4B45 branch if ok to destroy. + lda #MLI.E.LOCKED access error jsr GP.P8errv @@ -92,6 +93,9 @@ dvcbrev ldx vcbptr update block free count in vcb. point to vcb of correct sta VCBs+VCB.FBLK+1,x stz VCBs+VCB.BMAPIDX,x force re-scan from 1st bitmap + +* lda #0 ????? + rts L4BCD bcc L4B85 branch widened (always taken) @@ -103,23 +107,16 @@ L4BCF cmp #$D0 is this a directory file ? bcs L4C1A if error. lda d_frst read 1st block of directory into gbuf - sta bloknml - lda d_frst+1 - sta bloknml+1 - jsr rdgbuf + ldx d_frst+1 + jsr XDOS.ReadGBufAX bcs L4C1A lda gbuf+37 do any files exist in this directory ? - bne L4BF1 if so, access error. - - lda gbuf+38 - beq L4BF6 - -L4BF1 lda #MLI.E.LOCKED access error. - jsr GP.P8errv + ora gbuf+38 + bne L4C1Abis if so, access error. L4BF6 sta gbuf+4 make it an invalid subdirectory - jsr wrtgbuf + jsr XDOS.WriteGBuf bcs L4C1A L4BFE lda gbuf+2 get forward link. @@ -133,11 +130,13 @@ L4C0A jsr dealloc free this block. lda gbuf+2 ldx gbuf+3 - jsr rdblk + jsr XDOS.ReadGBufAX bcc L4BFE loop until all freed L4C1A rts +L4C1Abis lda #MLI.E.LOCKED access error. + .HS 2C BIT ABS L4C1B lda #MLI.E.INCFF file incompatible jsr GP.P8errv @@ -152,7 +151,7 @@ fcbused pha mark fcb as dirty so the directory will be flushed on 'flush tay pla rts - +*-------------------------------------- * 'detree' deallocates blocks from tree files. it is assumed that the device has * been pre-selected and the 'gbuf' may be used. * @@ -172,7 +171,7 @@ fcbused pha mark fcb as dirty so the directory will be flushed on 'flush * * to trim a tree to a seed file, both dtree and dsap must be zero. * to go from tree to sapling, dtree alone must be zero. - +*-------------------------------------- detree lda stortyp which kind of tree ? cmp #$20 is it a 'seed' ? bcc L4C46 if yes. @@ -186,7 +185,7 @@ detree lda stortyp which kind of tree ? lda #$0C block allocation error. jsr sysdeath P8 system death vector -* seedling file type - make sure first desireable block is the only +* seedling file type - make sure first desirable block is the only * block available in a seedling file. L4C46 lda dsap @@ -195,7 +194,7 @@ L4C46 lda dsap jmp seedel0 -* sapling file type - make sure first desireable block is within the range of +* sapling file type - make sure first desirable block is within the range of * blocks available in a sapling file L4C51 lda dtree can't have any blocks in this range @@ -238,7 +237,9 @@ L4C8A stz dealbufl,x fill rest of dealc buffer with null addresses. L4C93 dey decrement to prepare for next time. sty topdest save index. + ldx #$07 + L4C99 stx dtmpx save index to dealc buf. lda dealbufl,x sta bloknml @@ -247,13 +248,13 @@ L4C99 stx dtmpx save index to dealc buf. lda dealbufh,x complete address with high byte, sta bloknml+1 - jsr rdgbuf read sapling level into gbuf. + jsr XDOS.ReadGBuf read sapling level into gbuf. bcs L4CC2 return errors. jsr dealblk go free all data indexes in this block bcs L4CC2 - jsr wrtgbuf write the flipped index block + jsr XDOS.WriteGBuf write the flipped index block bcs L4CC2 ldx dtmpx restore index to dealc buff. @@ -269,20 +270,20 @@ L4CC3 ldy dtree deallocate all sapling blocks greater jsr dalblk1 (master index in gbuf) bcs L4CC2 if errors. - jsr wrtgbuf write updated master index back to disk. + jsr XDOS.WriteGBuf write updated master index back to disk. bcs L4CC2 ldy dtree figure out if tree can become sapling. beq L4CEB branch if it can. - lda gbuf,y otherwise, continue with partial. - sta bloknml deallocation of last sapling index. + lda gbuf,y otherwise, continue with partial, deallocation of last sapling index. ora gbuf+$100,y is there such a sapling index block ? beq L4CC2 all done if not. - lda gbuf+$100,y read in sapling level to be modified. - sta bloknml+1 - jsr rdgbuf read highest sapling index into gbuf. + lda gbuf,y + ldx gbuf+$100,y read in sapling level to be modified. + + jsr XDOS.ReadGBufAX read highest sapling index into gbuf. bcc L4CF5 rts @@ -300,38 +301,38 @@ L4CF5 ldy dsap pointer to last of desirable indexes. jsr dalblk1 deallocate all indexes above specified. bcs L4CC2 - jsr wrtgbuf write out the index block + jsr XDOS.WriteGBuf write out the index block bcs L4CC2 L4D05 ldy dsap prepare to clean up last data block. beq L4D1F branch if possibility of making a seed. L4D0A lda gbuf,y fetch low order data block address. - sta bloknml ora gbuf+$100,y is it a real block ? beq L4CC2 if not, then done. - lda gbuf+$100,y - sta bloknml+1 - jsr rdgbuf go read data block into gbuf. + lda gbuf,y + ldx gbuf+$100,y + + jsr XDOS.ReadGBufAX go read data block into gbuf. bcc L4D2E branch if good read - rts or return error. +L4D1E rts or return error. L4D1F lda dtree are both tree and sap levels zero ? bne L4D0A if not. jsr shrink reduce this sap to a seed. - bcs L4D52 if error. + bcs L4D1E if error. seedel0 jsr drdfrst go read data block. - bcs L4D52 if error. + bcs L4D1E if error. L4D2E ldy dseed+1 check high byte for no deletion. beq L4D39 branch if all of 2nd page to be deleted. dey if dseed > $200 then all were done. - bne L4D52 branch if that is the case. + bne L4D1E branch if that is the case. ldy dseed clear only bytes >= dseed. @@ -350,13 +351,13 @@ L4D49 sta gbuf,y iny bne L4D49 -L4D4F jmp wrtgbuf update data block to disk. +L4D4F jmp XDOS.WriteGBuf update data block to disk. L4D52 rts return error status. drdfrst lda firstbl read specified 1st block into gbuf ldx firstbh - jmp rdblk go read it + jmp XDOS.ReadGBufAX go read it * beware that dealloc may bring in a new bitmap block and may destroy * locations 46 and 47 which are used to point to the current index block. @@ -371,7 +372,7 @@ shrink ldx firstbh first deallocate top index block sta bloknml set master of sapling pla index block address. sta bloknml+1 - bcs L4D8D report errors. + bcs L4D1E report errors. lda gbuf get # of new 1st block from old index. sta firstbl @@ -383,9 +384,7 @@ shrink ldx firstbh first deallocate top index block lda stortyp from tree to sapling, sbc #$10 or from sapling to seed. sta stortyp - jsr wrtgbuf write the (deallocated) old top index. - -L4D8D rts return error status. + jmp XDOS.WriteGBuf write the (deallocated) old top index. dealblk ldy #$00 start at beginning. dalblk1 lda bloknml save disk address of gbuf's data. @@ -1031,7 +1030,7 @@ XDOS.FREE .EQ $FEFD-*-XDOS.DATA.LEN (2.0.3 = $0C) cortdisp .EQ $FEFD cortflag .EQ $FEFF cortland flag. 1 = Cortland system (must stay within page boundary) *-------------------------------------- -XDOS.LEN .EQ *-XDOS.START +XDOS.LEN .EQ *-XDOS MAN SAVE USR/SRC/PRODOS.FX/PRODOS.S.XDOS.F LOAD USR/SRC/PRODOS.FX/PRODOS.S diff --git a/ProDOS.FX/ProDOS.S.XRW.txt b/ProDOS.FX/ProDOS.S.XRW.txt index 02b8ccbb..ecb74273 100644 --- a/ProDOS.FX/ProDOS.S.XRW.txt +++ b/ProDOS.FX/ProDOS.S.XRW.txt @@ -73,17 +73,18 @@ L59BD jsr XRW.AllPhaseOff make sure all motor phases are off. pha save sector # across call jsr regrwts - pla + plx bcs .3 if error inc buf+1 + + txa adc #$02 jsr regrwts get 2nd half of block dec buf+1 -.3 lda ibstat - rts +.3 rts .9 lda #MLI.E.IO sec @@ -220,9 +221,8 @@ L53D5 lda XRW.AddrField.S is this the right sector ? L53E7 lda #$00 .HS D0 bne branch never taken (skip 1 byte) hndlerr sec - sta ibstat error # ldx A2L slot offset - lda IO.D2.DrvOff,x turn off + bit IO.D2.DrvOff,x turn off rts *-------------------------------------- L53F4 jsr XRW.Write @@ -940,8 +940,8 @@ XRW.AddrField.S .HS 00 AddrField Sector XRW.AddrField.T .HS 00 AddrField Track XRW.AddrField.V .HS 00 AddrField Volume .HS 6C -* .HS FF -ibstat .HS 00 + .HS FF +*ibstat .HS 00 .HS 707478 .HS FFFFFF .HS 7C diff --git a/ProDOS.FX/ProDOS.S.txt b/ProDOS.FX/ProDOS.S.txt index c36d9672..062ca76f 100644 --- a/ProDOS.FX/ProDOS.S.txt +++ b/ProDOS.FX/ProDOS.S.txt @@ -139,14 +139,15 @@ prefixbuf .EQ $D742+125 fcbbuf .EQ $D800 fcb buffer VCBs .EQ $D900 VCB.DEV .EQ 16 -VCB.OFCNT .EQ 17 -VCB.ROOT .EQ 18 -VCB.BMAP .EQ 20 -VCB.TBLK .EQ 22 -VCB.FBLK .EQ 24 -VCB.BMAPIDX .EQ 26 +*VCB.OF .EQ 17 +VCB.TBLK .EQ 18 +VCB.FBLK .EQ 20 +VCB.ROOT .EQ 22 +VCB.BMAP .EQ 26 +VCB.BMAPIDX .EQ 28 +VCB.OFCNT .EQ 30 * -VCB .EQ 27 +VCB .EQ 32 *-------------------------------------- bmbuf .EQ $DA00 512 byte bitmap buffer gbuf .EQ $DC00 general purpose 512 byte block buffer @@ -175,38 +176,38 @@ X.Unpak.XatYA sty ZPOutBufPtr .INB usr/src/shared/x.unpak.s *-------------------------------------- PAKME.Table -PAKME.ILDR .DA ILDR -PAKME.GP .DA GP -PAKME.XRW .DA XRW -PAKME.XDOS .DA XDOS -PAKME.IRQ .DA IRQ -PAKME.RAM .DA RAM -PAKME.RAMX .DA RAMX -PAKME.NCLK .DA NCLK -PAKME.TCLK .DA TCLK -PAKME.CCLK .DA CCLK -PAKME.SEL1 .DA SEL1 -PAKME.SEL2 .DA SEL2 +PAKME.ILDR .DA ILDR.PAK +PAKME.GP .DA GP.PAK +PAKME.XRW .DA XRW.PAK +PAKME.XDOS .DA XDOS.PAK +PAKME.IRQ .DA IRQ.PAK +PAKME.RAM .DA RAM.PAK +PAKME.RAMX .DA RAMX.PAK +PAKME.NCLK .DA NCLK.PAK +PAKME.TCLK .DA TCLK.PAK +PAKME.CCLK .DA CCLK.PAK +PAKME.SEL1 .DA SEL1.PAK +PAKME.SEL2 .DA SEL2.PAK -ILDR .DA #0 +ILDR.PAK .DA #0 .DA ILDR.LEN .PH $800 .INB usr/src/prodos.fx/prodos.s.ildr .EP -GP .DA #0 +GP.PAK .DA #0 .DA GP.LEN .PH $BF00 .INB usr/src/prodos.fx/prodos.s.gp .EP -XRW .DA #0 +XRW.PAK .DA #0 .DA XRW.LEN .PH $D000 .INB usr/src/prodos.fx/prodos.s.xrw .EP -XDOS .DA #0 +XDOS.PAK .DA #0 .DA XDOS.LEN .PH $DE00 .INB usr/src/prodos.fx/prodos.s.xdos.a @@ -217,49 +218,49 @@ XDOS .DA #0 .INB usr/src/prodos.fx/prodos.s.xdos.f .EP -IRQ .DA #0 +IRQ.PAK .DA #0 .DA IRQ.LEN .PH $FF9B .INB usr/src/prodos.fx/prodos.s.irq .EP -RAM .DA #0 +RAM.PAK .DA #0 .DA RAM.LEN .PH $FF00 .INB usr/src/prodos.fx/prodos.s.ram .EP -RAMX .DA #0 +RAMX.PAK .DA #0 .DA RAMX.LEN .PH $200 .INB usr/src/prodos.fx/prodos.s.ramx .EP -NCLK .DA #0 +NCLK.PAK .DA #0 .DA NCLK.LEN .PH XDOS.ClockDrv .INB usr/src/prodos.fx/prodos.s.nclk .EP -TCLK .DA #0 +TCLK.PAK .DA #0 .DA TCLK.LEN .PH XDOS.ClockDrv .INB usr/src/prodos.fx/prodos.s.tclk .EP -CCLK .DA #0 +CCLK.PAK .DA #0 .DA CCLK.LEN .PH XDOS.ClockDrv .INB usr/src/prodos.fx/prodos.s.cclk .EP -SEL1 .DA #0 +SEL1.PAK .DA #0 .DA SEL1.LEN .PH $1000 .INB usr/src/prodos.fx/prodos.s.sel1 .EP -SEL2 .DA #0 +SEL2.PAK .DA #0 .DA SEL2.LEN .PH $1000 .INB usr/src/prodos.fx/prodos.s.sel2