From a06fc41072cba7be4bc821dd5a414243f6a1f776 Mon Sep 17 00:00:00 2001 From: burniouf Date: Sun, 12 Jun 2022 22:42:22 +0200 Subject: [PATCH] Fresh MEDIAs with QTrack seek mod --- .Floppies/A2OSX.BOOTHD.woz | Bin 374272 -> 0 bytes .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes .Floppies/A2OSX.TEST.po | Bin 819200 -> 819200 bytes .Floppies/ProDOSFX.BOOT.po | Bin 143360 -> 143360 bytes .Floppies/ProDOSFX.BOOTHD.woz | Bin 0 -> 480768 bytes BASIC.FX/BASIC.S.XF.txt | 31 +- BIN/CC.S.CODE.txt | 153 +++++++++- BIN/CC.S.CORE.txt | 188 +++--------- BIN/CC.S.DECL.txt | 3 +- BIN/CC.S.DIR.txt | 40 ++- BIN/CC.S.EXEC.txt | 1 - BIN/CC.S.EXP.txt | 84 +++--- BIN/CC.S.F.txt | 67 ++-- BIN/CC.S.KW.txt | 57 ++-- BIN/CC.S.LINK.txt | 173 +++++++++++ BIN/CC.S.STMT.txt | 58 +--- BIN/CC.S.SYM.txt | 208 +++++-------- BIN/CC.S.TYPE.txt | 1 - BIN/CC.S.txt | 553 +++++++++++++++++++++++----------- INCLUDE/libtui.h.txt | 1 + INCLUDE/md5.h.txt | 1 + LIB/LIBBLKDEV.S.D2.txt | 39 ++- ProDOS.FX/ProDOS.S.XCLK.txt | 2 +- ProDOS.FX/ProDOS.S.XRW.txt | 46 +-- SHARED/X.BB.FX2.S.txt | 54 ++-- 25 files changed, 1054 insertions(+), 706 deletions(-) create mode 100644 .Floppies/ProDOSFX.BOOTHD.woz create mode 100644 BIN/CC.S.LINK.txt diff --git a/.Floppies/A2OSX.BOOTHD.woz b/.Floppies/A2OSX.BOOTHD.woz index 8e3a71ae6930783e76339936b9c6d6fb535e5948..e69de29bb2d1d6434b8b29ae775ad8c2e48c5391 100644 GIT binary patch literal 0 HcmV?d00001 literal 374272 zcmeFaUu+^-nlIRW=g!W(Gk138?#$hpyK`q}=FaV&Ta`sJ)74HZlf>vstJPLzJK3!) z8(|WVR_nAd30##6vp5{YzU;$3?8A0Vf)Wy9rBxDe5DrJg zJ`6@U9E8>w5Cjpi2dAs6vZgw7M(S2iwq`Od#MaRPt8_|Erz=R{h}D?iYF^S6J~ z^}n6|;DfvWwfXjUzVn@b^4;%z=ez&mKmNyus}`5{PdESS`ul%*{pR(*{L|Nt?|$b` zLDTR2_AL6^@$uq+_;3IBS^m4<{mZ}n%YX7~zxHd|KmDh_{_B7BSAX@N{KjwmhW5|? z*+2j1Kls59e)G3}>$kLj@h^V+x8HyN{r~iLe&=_z-~HWx`7eL?!yo=Iq72Um}g)91hU(xy%{eQ2hcts~y^f$iyYJ9(cMgQ!IUcaJ-EBfDD(WNW;-(OMR z72Up~|H~B(U(x^LivFKhl(?dYSCqb@e{)6uzbmR<(ck(fug3R>SM+;V^aoeebVYx3 zMXgu#!4=)OqW{ws{a>$W^ol00=>8S`zpiNQihhmt{xSKFzra8K0)Nk6AQC}h22~xc z-Qcf#%b`6f7CkHqN9xFNP``e%a&lrj8jetBnZIG12j^8{MaXy7(p$p(S{jM1Y^4J$ zPMVI~m=)hxIThwXN|0W5oG(w@$)qiPxEXM-IOX~SJj)-Pb|PyrP_((Csw;;z=f;LR zO+~Wn0chRkcK6Nhjizl>q4|E+?H-NX>nOV3Vb+BO8N#7iW_GV(Hc=0~M6;%sfvr?V zLpx&?c`eeuS@4beUs!g%ac8N~SOP~wS_(`x6V;z;>y72q`$85*pljE8y^PCjqG6aJV7z_q- zd7}N`R4l;f!geX;{{GYF?ZRXPpQwu9YM1abEC&V$`D-FEEr(stX2BXzXhb~mtX*Rcnu7=>`6a=n!5IV+=HKF*W7ah64puW9pS-=<})QX zx|5LSdm6l(?5Y@Xf*Gfstotmp;4ugp?dGWj4H2VH@V(!DrXl)v=F{E*N_bj=&g#?U~webODygf<@tEm~U%}-yI7&o_-TW6x~hBqJ<*Uom6fni56jkUo1 z+_RDKiW{$Q%I}H#1MF0M-hm5SzRj)C3I6aYCSX&w7wWaZ7FsmbyW2m?3J;Os$d}A2)K@etmiAi7}*it3mSVy3{&=Ad+7+h3YaZQnk9`-xT-~QnPuk#k_N?7Ld3Mr%)&>***#Xi|+b5vx+0&C} z?ODw%@w5$^gPN!0t-f&C?i*2Y^nD?@iih0a2bT|WJN>86pFMk?UcG8YY7d@w#qVLa zE}IcbcNCblPkqu(uAS^%fE#okVC|=*a_ybxg@dzKz5S%wH}3Td%f4lrziAfTY-&J z8(FqhqAU50@FA!~0@U5S6Tcg9KiIO}IN91XU=nT@dZSx#rsp;m*iT`LLFGv(8|lEkI}ZIv9S|YykShq1B&5ufJ4X zz6A_0?0+2$2N`{AEn43=L9cUoR=9DL=IN7cZ(T?@Z2=xRc`4){$l0bA@`g@ip3l%W zVeQtI&`IJBW>P%J&f_;EX~Q&`Y?Y&<>y9mSG;ezLNOm*ZS-A?)y~v~4r%2jJnt)XDmIs7Hs|QIiV%#% zS``Xhp2_3gjm+g~HMiEfL0TG<^-9Z(>-!2_Y0cN?bsaI|QEd8Zr6P7o!>VzOCg#}@ zUC5A)N&piEg4sMzxgZkJGRj~kxnEh4E=@^aMsw>=cTP`tgq_rBeh2@`+P8Ath^zPR zwdi9U%A@$fcJ^p^FkD{h=c2=H(zvhYEni&p`8+W!Y^=!8XT(ZS(*}D(N5IB7EFt2! zKfK?FzrRO2`%9&AbPJgd53B__KV|MSS=w4+@(av8oVi#3Vk&>k^#jWr_lHjkY;ZFc z-b`Y`i^uqow75cH%ZTf*{i zDXyqU3>tm%@+D^rFMX!iJ|i6Tgf7T3`zd3$vG)k|;{2Rb6MU9zHd8O+NTV#0!6N_k@1f*X4;Uo!TF-~XuwL-GBKi;K}0 z=ger687`sdUn{EZ{udy+^-?`(D6=Oj$D1}_?YnZeU3%QUjFxv+(&?RaF7T%Js!V+E`f44SQ^-2_+( zGXPe?7AevwJ&jE_HeL2P_=D{h=cZGUHtj0yOQump4=9XYY86wjmDRYjr zQ7(y0x^SDzl_lE-BZf+WIjn66l6-6xGq(+PAJ(zNlm1G!KvP(um9t^GOeJf@^jKyQ z2MKp0c3E&qk&!NwD-;Sz4evu4p*w&wcHU$O;)+&n%*7>8iDkxeJ}=9Sh9Fjw@T^bE ztSg$7EPX|?VDnhjL}pEx?k!+AP3^n}h9%ZX|G0i|&nZWm7iwg|c5A2%293=aLc)W1 z)^aOAn_5$)rA6j8)C3yGt#FxjSfTfxDTVc^jw8~e=i{+KZG zxw^wH$G3|whxd*dz#kFK1o(0p{|rY*DsWHIs?xu&EH7mt`TS*lgN-p3>#=PAc=))_ z2an|?HfFz%@3V&60~{itI{q1PmE_obSngY|7?vi^&tJ-4awFjIrB7J83wV@uXUuk!%^8=2wk&ox!3TvnH#4UK<;o z&N@G+8cg;E=Ct%B1F@L3udZ5+mZX@ijul!!tO0AFPLiT4BS1P*^kMZytc9C&wBClb z*02qiN$_mA0+x8LMbd`V%YuL|VDYyoQs>OtFlj*3RgkgioPvIj|B@e!e2=Oc`NzR+c9kP~g72^FCm7IP~` z!U(TL0?yTW-Ta)}Z}Rzc^O^dp&KI!(Ou-CfH_hvHbFtV@^%onBmkKCw{hG}{^67L} zPGG&;xTx1J`riVER7!Xa3?H#bGxC1_qnE0K2ppfYNO2>ZC2!z!vB_l8i1@kbJ_|1~ zW1J7gS1#%~GRr8Tgfkxq`*WW;?8l{x^OxGKK8z^rXz=4k`7yk7%oJM$zQ>Rzu%_TM zJpSkr^au*m{$oakiQK2nrN^To9hP6c)*ll{{ep@4ACpC8ge&onWfC( zti{7B#6M!3fC8TqyRihtx102cjX;WF6v%C7d25NoBgSR$5HGntPhaMo8-UG%%027B z6FJHtCc^NO);S;}j#ND1SL{Ez=4)lMD_^$QdjP3#21Bu2CSQ{b^EPWPJ2%(RYaSi% zn)CRu`FY0Y=I8ZuzO3Gd=`$JDozZ73u-naM+^k*?D65~H?u^^5pMTx_$1w9OGsiF+ zi;&ftoSV}d=6pJxPcM&sEzw-Sp>ZA^h=l9Shp2tSN@xZ1UW0CN4%S&?PGzojWeQ=K zpN&n#=aUR_cHU(WDgn>f*D;SNo2zO?{j$YCNfFdzpgKViTRahqC+8E#70;;Rk?f-m z$PY9r&6O1|i^OZzU>8nzL)|WNC!L0?9uhsC z@+PlP3srdUeYv(?xn}a+h3<%-%Zg&SdkD#kaqXR!_)voinC~5yDyJ!XReN_rP(k;P zv=>vk2VpheY%scukpM2W_Ae%6FlCNFk^zM%ZL_rmMyy;!HrS_Vp0Qwu0^#7OiojQpXGwjT4l{R4Nod#|r`jV%8#xBspqyMaIrZI;_+2 zbPJ~JMw{4RvXsqiU!B)eIS&rWUHBC{U%oKSW}RM4_Ag(vtkIV=8a8a5Y9sG5c~-%* z_!Tr!sMDtfgn(wVbj^yjy_TGd=~sOczXofzc6;7zoipnyYj8~JlOBr* zFPf}Dd{LPjn>&JzbivkGte^Ay0!E*x!}9~b(*xs}(=!khbGBmPR|5g-*sO@Q%Z~fJ zVD6Y3Qa|6dbj(n;+R3&Xn8HU2Hr6;R!8bb9oWUb+kWh8CV|EoRU5g8HwFYE02(JcV zxnnkBU80hk%YfGgrZdnPo4pRyik||8Z~M#qLnyn_Wlnc?X1dJRKw1bEp1<+mo8M|b zr8Wp~1mLtjtNuEyZeHb!FJ?8rx`*e@Al*r)U!T5_WJ`>9A(A0uCf1eBU;aqA*f%=)j;zTQ8v-MquB%}U z1Y$A$yvYtR8QuJtF`H~QNWyd&rqkK|Gj9Q_iI$ggt=rapU>p`nEHS(nY(Kn|$; z7LYU1CerTqDFa=AZLOLNP=gJ|K*#h*o(Iaz>Q4dbmtX*BcwJ)s92nBewSEr0{!(@M z7BB$i{&g^9tsaZM+wGd#fp&b<=>YblBYFeR^NR(~Ahyvp8s-Gkc+}84U8JUuu@xjA z1UihL^sMrEh^h#dF}$crmJFYhR}nibWd_L@p@SOuC3CEq40fHaK1bFsnWx(}Eq2kW z&Sdtt*4A{qpFmw`3~Hdn>MMfsRSyD3TrSCEDWKJgeQhvawflnE0+d`$#&w=fWjtm( z{c${_@iR0ic;>2r#@)d3r4xx(+i96+*5*W4M2~8#^Q}3PZ)}3Qb2@mX_3KbMRc zM!LmVPB*6;S+z06pN3JwO&L|g0@n7~w=ag|~p z7Gxdf({;s+3o+vEGMkVWeaMFjfhH;|Q~Yz*h`H7&Q}=laKV%BbL^g7na#WNIChYiF zK1J@7J#00~t{;+`LHC02df+j!z7_ghGZ?u;Zkwrs^=zI=MP`Ix+7}b9E!a&9khu)q zVbzCPMP}VLHea{Be@TX50fv(2Lo$=PDtRVPbDv__>646bIdtZa}v#F|r!bl1$9*&N?c zD0bEF!A&D$*Y0;W5T))4&=xV^kyyi+kh)emsCTcm8WB-9x5`_kEZX+#byyX38KmL9=tdJ*P9WE=r!`=T;4@-nzD`Uvp;$2Evr2eDzj`>H60g2ofr5b&K0GS~Tez zLf^AkkYfeOlBGv$Y&@$Q&Dr{0+$gs2j0Ew1H)N?;n59? zey-owU}8?RrLzq5joe$n0BpXm zgP~eOxY&;Bk~s1CF23UFp8ANfEA@iFYhqROelE*smy5+7yI5apF}+d^F{fK)dlyz~ zk3I5ZU)<}$NP842X`F4LRuXSYwlw-V8^;n7mhjd{Cz&z7GbxF24Mk>bSN1W_Cm!l( zyNAp6S{W?0Ze>i1RW1`ZGLoV`9|L`0GI1~7l062)9Zi#LRmAopors699?OuP5SICX z*K_HN)isvV&>ciY$*5!o=S?UT4T>KAt~(m+LZ+kYf`@?@+$hD*x~RbfL2yxz=hS`e zCd9C4S}a!$@#0i8`VwrS&~p)oq)#XA_IeecjbQ<(RG>1;EJP@X$CCh1gjMf4By%3c z3z9P|bM}P5RPMgOs$H*qr-*xJDPQW{lJXdSGZ@n4of#N@9`- zb)U^6?vfrweC`#ucn9xHp@f0di7CzwEYEspT61&N9VCuCJF+$9E)sU_7ycZfvN(%9mDJ$S;&6rk8V(FND zBKXp{_&A*i1$&_hC3-+vOrR#3BH8R|IjxdUR8|eKc*18r{hU2KB7E^f{*Hn4l2*j| z!B%lS7_w6&va9LS_+2otqoOvInFIk#D*{ujq!Hgu(nS(wpOc#$9!ih9nt>3#KIc){ zxmB##0iRv@Z_rl`gv({c+Sk(Gvd;JySDRYWSuB>>4kQb)#J}=KDXFEE(^G;-hQlXp zwi3yO(*yFTsl^XYqWvi!4X5K2o`|T#QDl#$+hXpSFi9y*^k6^xY$V6h$L%iLWaBtG zISKDh4*GbsC7`<}=@yy^pC0h}3#=DUo|FzMDJ9b*__Ojev|f%3QlK2ob+PQTR8JY= zlO`Qj+ofmwrQKR7LzecR)kdR{wEqmGCjGN2RqOE>)W+RIioJ_a|L0 zl6qF0rP2hIL|>)K^}T~=_=G+?-pBfr+}TAww$Ie3Xf_|EF0#1F#?NLI?a~FgyWc8Z zJVUc>;-VCdyjGfL;{!CiKP&5Blw#8_TZ8h;%hPDKcfpq~BEu85c{zeaZ!+C0NJ@|4 zc7$(Zg=p?0;K1@PE%}##Z|WrEaDxI_P?_VgxP(wgMs6}-<8YiI%B5_A{sxOY>U!|1zGY!&qLy&W|w2{ z^;n#hK0NxoS4*=+@S1MfbH^I2)qey8!lRE#WD1 z<@mRQbbJK{u(bAdFfiRyCdQw%I;mnEEGdYS5}#_f+Nnq^y1RdoDF|q-y(chC6e|;9 zl3*G7NNy96N@1^1j`Z6-xqQ+}rcxNz-sQW;yK#ZtZ5D`esyE}0dOANjPUrbjH?MW` zWRZyHhfIDqCm$Ei*mgd7Af|DAw@_EaG!<@vC~_pG5B3zgFQ~^yw)xeK2>u}1obvTJ7%t9^d+AA45Yv^*$K#d*h zRwgAddeg3=*3xpfxaGU+p45B}8M(taW9APZ9v=l=zJ!XWxs1<>k!t34i#OluK5UVT z+O@|XNl(pk$C_S$O?yYKg@T-SjQCb4rTP%a7KWG*@Z^wt93oc^-5iiFK-Am^;K4s2 z9~RDp)-h96(TZkYsHPAuM0B@Lc@uuj1J?D3A@5e{tgCrWKbK%U4n*d)TRxkiCvJ09 ztXGmlEcxVEk-(NR$tm1*P30ePsSK5#3CZZO;-!Fu3XL-%_)@f$UFor#ATqDS)=Zve z*7+?QQ^~1pr>WSWzqwSh_|P_84OGIB`1&!Upd386bXD9gEosI zNh^g>z)sW!zF-5M)nS&bHqNfrNn1{5H`F(vs&3xwtXHX#t4cMp;}}(d1_Yj?$H0qD zRP^$i+XRhsnVe;DQ9v7_$!74x^qr9>HX4}ca26-Xmfa^gS=`*%@EJPUfH}_uV*y;U(~PZ{g){SHE+x=0);`h(^t&}bjU2%saz$xiq01_;J7Y`k3Fbh zsLX2Xh4~H?2=LXMGXk6xvXgb;{Y4Ag?*bcbGS_zcPS8ib4%?tH2RXd+w!{}O@^0LV_ET7liCa-t+D(_`$L)zHM=bG@dTsp8;Yj#vxZ#kjD zC!I(jk1P+HgYqCbZy|rgx64&K@i%;D%KFk8F(kps#rZ&q=S!^Sc-TXI4^W@j?$Nm# zombm!w)#x7bkd`ow3f>!=uAo79Oa&ka_}Q3*tNj0r{|rWjPqbqq#DM2;OPR) zXKrY#9*@bARKfn=Z<)URL^9YdGcbHLO$zg)TD!}ad%L^4*y#Xobx)#nv_eL5BEKh4 z?5N4NP6h>rWXU6rZ?Y{^$noXV&WV7wYwi8^afjXGncdy+gaDF(D3e<8T%(Tq#n>^0 zD}{qpw3x})TFnzKOc1fk@ZbzDXY&FeWV+PqU!>}-VrP`fmb>Ul>y%6v!QAUrYE~()n8tP?&#>!m5W8)kc85>~2`3?t=o&+yzc`PEb`P2%~)ReBG2k%?L~F2whC zPZFgJHaj^JcUpX_WEl8g0|PBl zk)A}4ZhU?=T4n9_0ik|DIN`r3qX{Xr$=;CGr~qPK_UWG`PPP?FY2+PQ;nQG>QikKV+{EZOm<%#*H+=zeb)ZhK|fc;{$ z_Owi~@Ke^_)Xd;329H8WCt}KhIAe*Ufv5J)4SF7{X(v95|5Z$_@yTszv-3bRu{~*b zl%XCV52$n?Ka@fV?SIHUmDZ-COtlHX^fYN=CFcdm@$*BUUQ(oa#Npvc=X}~X4^$AJ zXT;h$DL@Z=C{IZT?FKd1c+hw@LxgF5ji&%82HMcB%+EmaXzfwlLylfC`8q3iPwAmIpn~|!L!FB zF|;W*#qhK2FdQDlBF`e3@Lr@2ewBOyMA=A;Os12A{TiqaS1Q#=j1GsJ^>8yn)j(s= zf(O4*tR0JVx*%5<-!e^V_#3ZHlTu94hsd``Evh88NCjtX@k6!Rb#cHdsmHM%q)fZo z^<#}Pt;@>iMXtvNJ#fn5GwrHwEm)FF&Tg5PZz3xa@f>~*Z*56l_bKm6VOmA!q3(JB z@n*Kf3NQ^SS|zSgzO71OjHzWx=ura3$I8Al4$+iKh15bmC+{d1ycrB(;u0PQF)GB- zGZw6-i84eNSbU&c45Gq{0v{b6@eao9M9oFK?7(13+Jf{V=Aq26nzB>T;|X$mI#VXn zRTU@`ws$5-8r^2_MfZJ?(#46`kF@K)GeIvIQCwIjOY*G3n7U&Uunb9jE|B^V?9-=f z2xw3ee0NXrU(RyNryY8B;dBq*trq;h1X6>BF2 zv7@wblH=M$tCVdkrQ%+^a&$(NYsHupKEGs=(RjvMv4|E9A0NL8EE^8b0>^z7+U;<7 z7EVsW;ZEoE(Cvo9vv7L)dJu$g_{(s177l+sNK$x))SrZYKODZecohZ%!0=aL2)O&} zVLT3pzYLQ}IQ(Uh<#71xVLA8o-c7H9nC2B1iLFsa0GsAr)d)qJ>u5C;55y~ko1sH*~RduQyv=8bDT(O21l z^nlFpRw}WmklM_E{A_QS zCfV(FFioPb3|);KY*AKPGUsl2ct=Ip*s$EMaa)1m-Jxf6++4OWNU)DA`-h7_jqLEX z!G=G`)$eSs$<*M%(WmG9S=GF3Q~ba zM~Jeqs02juY=r))tp|g=S6r^1jP0Jc{m#we%TW+u!{!KbV zW6%K8q_0_%pm)a@Dwq@!VM8y}P*uC>l0AuuPw!QT0*w5KkDeV7W6iMGOHJii%}Zqt z$056HE0L7pLtoi;za$&Z*xruMeEK;_YDX0vyI#P8J7mbQ%f~ATwutyX2=S-enn?LR zK<=vc!y{x@g}sU1IFNEWzt z5-xGo=RF7s_9$z{;b4i+k7wGt83FcQ()j^&b88#a^nk55xZ6{KA}yyudygUm_XEB| z!Ub|7|46%I6MIt6n?Zrw&lhFN#oE|i-YGvh>tX1n_MINTMS*tOov(x84#zL|1C=HN zPmlVeKm~!c9tSTYLCQ~iy@R?W3HKDH*{Im8Yl|axbNSwY_E$cgG_Cde(ZgQv$aaJ* z9XIc>K6bUg*-@>_D~xwlsUqGpX}CFTkNkkgKULiFkZy$_Xh;u-6mS}!RTkl`YR9wa z)*dwd_hxM>t+9Uv@zL_IE)UN;ity=CWs@B>gy5)+NU0ZF7e)*)@#r@aTVsJ#UY;EPnRgQ1q#@}-1SZ!D;_LvMLYsbw;M zPj|_$T=V-pf6HHXIB?|lAmOwJV#*U`$Zdbow{wpWFx|UJ!=(~$-+0MErUb!^p>kYZ zW>5D8s4<9Hl0piI-@gRDb}TDz51}- zl*g?|XD_)I6{pW8a+4IBnDFdiKhv2e59oGOBy*?L7L8q8B+}SkyonFv@%mm;Eez6= zgp}Wp?$+{=7}jf_qo;|KMsXK~`0<4*)JmPxlaswc6Wx8*8O%ubXm=l#_N1wjYUb;^ zgZ(PMPqPytcY48$kHHtyjxUH;$@1~V{_$l$*$%K5936p(1U(8oznd9F)uFI2RPnR6 zkOsN280~9gQP{`S;UIaQJx8m(@hB#bVpu8#Y^P;8Ug@5ksCDtIE~Y3-EH}IM@ep=R!{fNC6VfPXVa&jy8q}D_@ZCmalgD4kT2-=SO)(G2{p6GCmB-&@E&L|F99U*bYA7NqniO{cspl z-sc^g*->HhN!iv2Iqo?&hl!Cr(Ll=%Tdet5Jvbji=$7kNV!%R(M=Um z;Cx+Mb?W`*s$CM4HJHv^v8_9h#`9yd zWaV2)hC)08+ErovRpC_}BwN+CtKN0aF=M4s@49HwxVq*7jcBn07XM`$Ea<0e(K*=| zF%k>@joCv$7#k5HN zU=a34r&BysA8l+NLA;#-dkdNeY8KxZFs1CCa*)Ms%X!5ZkAv@X5%;o8IFd^^?sNHN z&iP}ON%WTzQ-(MQvd9fGFel5mkDHESeel=EY8j9)w1gT-lCe4Ld1f4lWk1&Ph~0lq z%gQ!81Sn6)BgQzoktl0+G-Ow`nEEKvDBA}mme)$^Xb``L$5}f|+$gbq1{#*sCE3=3 zj^&HtgpS2!#e^7{IyB2YDHqwf+$W>=0Z-$0WvnsfxcxqO%Ogf|`%4D@5+TM3K!{P< z4CBjB8pUaoo9;E)fSu4BcAgF8<9LEFhO!QPn#&PCP(T40Ur}Zu&)pkEtR&!EX4%d$ zuqj$EA`azKaP>#WUtGv<21EIqoAL6j-8GU{=K{f?e?w<*Hzb?f-Y^*LBi_E6rD?{{ zhcGcvAF~E#-h?3o(q$EmjKOG=5Nyzjx$^TPyDz`G+SY?rM>&!0y6y6Y)CSzt68x>4 zXayYAAJ8x%(xA{??~FT8zB5a^8ka;ecHD&y_$FyQ;4<;6?S^}{Ks}@7W^JuwBkL}> zHhcrxsY6JbYP(4$?h^WbU=XQ~MTWf0kS&ZcV`QYzblG8**MsXaY&Yi;q~L%C;E+f-%NxvdQpeKuVD2#)Q?eVE zao3uYGhj`9gH^J5u)1Ezf~AADc3sG_ok32?`m6O8LuW^(xwn8J^^GkTSllb)i5`T) zY?sLh@WP8DStG!)rr=J zcEt5l$dxj4Pj~{X^>h31J5}PbU_6xVDPJaJb$jvW-gP*2r$%UIQ@VVl8SK;o4vau4 zpQA+>Sxqz|kcjNcq&{6JKx7)PdaJJ%Y7jt~z#W+iE3KRc)6$1Ha6$V%aKC^h{f87n z`feRoS<-Y!QJgQa!w8vpl|o5(i?e(AOqxXAWuA+?P2{b-Q&vF{^7|al9?X0@R zoY>WM&2l%=@c)Ew-3-|PI~(~8z_^|9Dq5fz7g@Kv5D(E^9l>8_%`OkX+T}an)2UvH zjS+Zt*T{(wV9|HnRJ}E7004qr+GQZgF&QSwANYxQ*+u0b4xlw%9Mz9ZL3V@lZ(&IcEQ2f$cz#z;v<9%%bYbq*x z*KuQ1EHc-DHC{7Au`{0dTw>Ohnw@)bT3Bb^;mSp#I^~>qo=757JECm2ig-Hi^(10? ziF%hoDc9*CoG7(2km`D<#N#FAc>zfmc@v?=9Hcelfykai#Z$YSTI6+bp&U#*YNQo0 z9da!5!K9!%QVw*+&XsFqFQJ_=-Y9op{s8c~mcV5$&U{EwchkfS6Ue)2eA?OuLlmyk z50805s&1ch92sMg$8IuqSdyv_k9Y%wk_%vs1@(?9>vdHe1_P>GE0N=#WL$tfc!rc65R6~vCAU&MBQb_dYkCl_X<#VEP$&e4;L{%5<>F&5KH;5lu;oD4OSwHE&k?xl z5TbO_=fD;mFLgx&uj^&ds#0rdk`4w|rf=KPa=A?#s0`oSjAzc$!0?2@!kC|9g-W46 zis+TLU^U~bbY{__vo~(8w*gTKrF6W&1Ni%<1$*%8I7wpoz0dB z2=QdDCA+JDfii_mCW~J(40w~%Sqt+e^Hph3Q@CWeSMT_I&NByi4`d3}EIJq!0!d;7 zbsOLWSQ5eO8OV`p+s`(>id*f542oK9wp(e$szM=iN&2X3qu?*-@r^(pt5m=M>Kc{D zfRNz*vtcMfPd-=WeXs83!rXC6&gS{mTr5JiC@{^TyLzevZb$J}nNpAdL(+6tR61C| z16HI{0NQldBIAT$FeCATEAMg9T@N9SoNbWrf^No8-lO~!Fnrrz<{v`Yt1TDcYYN}o zauIx3NSQGf^(9aKuM7`h_xJ1HZVxEk55L+tcQfn()K(v+YV!5xGNf&~uKmElO7APLYsU>AooL!0D-?5|Xf(9v^Mh zqz_53ehGLHu7fp#q{(MXtH9|}dvaRC!KGH84=i82cBe>|J#i{c^wba>)#P$55gKPa z#B5=QN@lj%C)(#48ULJ**MM~yhz{TNZsr~AtVlt?_Qg2_aDrC@um#&wqy|6^%vm+Q z2O>t=fr!Uiu}JigYkESd+{9EtcFyD(`VLnO9g8&qh_1`{TF<9P?mAL;eebHktX-JS z)?EQRbeRM&MXXHX6+H^d3k-)Ayw#fYf_O7XcP_VFd`+=G-k1AqI=YYcNuD{Yfe9^9 zEJdmGX&dA&xMGgji#{u7a?KVs8dVb!razh%b9={9mY3y8pCXG~ES*QwyY2i%_q4@f zviMBvmH7_KvI9}-U$iD{Y}!=|!y^^N#EHblz&4?C5op@^*u_jiPLf%fE#P81dz`Ap zkGM*|RZdnf6ESWtHxYW=gzwJY67%jeSQoZ}|oqwMEg zjORLBhSG*ZtqGQy_QPnhI5{~19bkR=h(k}JF(Hmm>P^se(nl}&Ni@dx&pTW#S|Bvy zfbC)&mWZJP`6t)(wPd}|A5|x1nN#9T4Y1mf8K0C7df?8J+1)2XxBE<-?%`Z4(d*Yc zeBsTCJwOB4jQ2H(h4rSVV`#-))~u&4;0&|besp#e6e@VJR^>Qf<^%kee2oRxAJj&8 z4$oSgV5fd0evZE&lu1{0?3{YbL26$bx+w-JO#$=tO85u4U-PG0oVR;~<|9$GWrF36RA zZqu*SDiZIXpBD=3pp_%fr}uqp;(TLMpG3fJS=KeqMwzCqYJt=k@m0=@Ydz|4loIIq=Ui5k(5qG#eQ-^VfeVv zKAoONYE>C9@bo-f1&IPMd3F2Zr6CF|si3C48Mo!mhJrZjWXzvPU}*~h7ThQ`qTQ>B z2UF!dxwluOd#UsDgX4>fqy52%*>4u|O|g7*Ue?aaEhz_D?hmx{W&tp3zgalwoacD4 zaxBn2(53*Yj-->5_JL58_A_IFB)a_*irwGsUv$PCL*$P4TL7!mW)jr{EkW-vWlzY|90H<-Y>o)V3rTjeJ7hL!w-u#h?zgIC73@ zZQO_)EC)=Xx}SvA<>Tf-C`2#Vz;`O9?qpM+nETqY()q z1kKwY$=dQ#e4!AW*+NUXa*QeG&=_7Ww>Z9XX!lO{MbEAYh~6ZPLDpE9EV<#NSlZ zaXzRxOXS8Nz7l|K#Bu&UO@=`0S)05A(&qCDxcZ>PD)X`f8r=uF{E@;#jFU8#3PkK4 zSt=-`Gd5O8uu}hEqrk=-%}9xs;n+gnuu$gb3R7SoAP92%)s(7b4t2F+q@M2;$__!)M|BpSx$ z3A#jP#(l6xwt&xUvb(_EyQ}Ww5-|4`dr76V%V$RTId?jq^2cJnGc*0}0UIx%mBDx- z0A4P{TapUix}?AldIgz!B?ScfN(%HS`2tN9u0+6Ke8gchCdMl>L4cJfwH6QrTJJSM zkdOTB*FV4_*o|M!jO5cP&t8du%2BZotl#7PQT57L4bn;(41OwuL60Jr!|lw5U73v< z448cj7=+noysv@bKI6}StitnO^fwD3bu>L{eCCH>!|mLYT(rNj8SpnPxkn}3XpbB` z+TLRZak5np`b&*1&DeYtA92Sj&*w}yd)JhsX z+I&(7Aao8<8T%8B-n5Z)ZW}PC$^JxnqBWZ6qkc$+ZnKx^<_1DIU((6~k>5a+n-~2) z*BV}3uh4Uk9-;4RN5_235jRfhd1V1NDzN==PHS>2%Htu@4bBP>5%g_&v3tT4xPW}14)h&kt-4T@@Tcp=N3k*gk77zo+CXb@U2L7NcpEK zZ9-2#fLTX~+SHIpL*nOXvs1b)t>#CjyG}0|2n3F$2Q$KfoYU#(+yJpNLR%KVg0d@j z^lMIt#!fhu+DT_J=^enWSMLn)-{9=#hRLl!I~gqDm|^YU!9gdvx#>?sYmi@DO|Pa> z;+j9-Nv=KbcHBRd9-Osz+Pm2{Sp4<J0+kX6!K41xF|Q3kQ+=2oEN2K7TP1iNJ8gZ?DY&W}z` z6_Y%~5Yu+qjhNm`3xDA!gfudKSUMlP>iVXq=uZ8l8~5$vt8T<#h@8(!;_Y?{Z z{)2Sy|7Y)weiO&Cec`|6oY733RHW0LimFnC=Q)`KsnZEc2=FoqA_S0%00IL3AEvv4 zIw|0#D=0+(DFhH9;O8pJSSkV*7~3xch&aX)U@Y6%{x+%V>8`mw)pzc>_tUxO`y8_O z_TJmuPFTx(ueI0ut-Vt5UqGxKf$tQT{-vk{VPLPTSecBgXUUxnr-i+ze=~u`f;lcI|H$$or+yAL z5l5VPjfF~t60tMSv#;%Hn3o-c!zpk6n&{etd^KidG~dhh0S}A=4`j>QlvRx02sO88 z&v6ZCG4>KRW2?Jf^N(0Q|5}^Wo}tyQvrNVLbZOaX{;?G9LSauQZH^PS<<2c@{t<)8u0;kw-lM|6 zAT;^CtYweUPVcw@{CCa3{@U^yE<ITDq^qU(S^4B4fmL7noVW{Z};jqP${TDn`@&(6sv7* zxrqr4cI<)4ux*xgCN%H$23t+j@&OB0-tTrX(Dq{bhOwp^nTXKXk|KEK~J z5`i_p(_mqT665eWf?Ul@H+|AXq#Z#Of{v7tc_N5*dzTa^s)+{nKsJ0bpp=6uZE;PI z&A=~*9F%)S3EOwhF@XQpP1qL%^ScB z@WcjLG}LZ+4#K z;P4AmtTI2Sd@z$Og{pYp1H_ira&hM$2gaunv_j@1H3|nhdEU3%etB4BA zs{5m`m)!?(;(Dhx-sIKIeLn(6k#!sR*I7%EaCGB z?8P0Pmz;aGGGC!np!2r+4nS`%VU~x&JItI<4>M0fhP#lr!ESp!T{d8_NY#w!TF|)PYUFz0%A^G19Ivr)lY+lO^!1FK^jN~q#t`6)g{E#`GaJQ{atbD&q3R{W%Y z2N?YDo)FDD1jbJ9v^@BTow!T^zoAX6kt?Q=!?d#7sT?S)WY1;8D<;#*0j;a|i6%aB zxwel$Q>_vp>XRAa1tzTxRNo?Lhio>fJcwVMW_WXV4b)IS&kVP@`^I~st}ge^vhtCVwVXkD z;4ea|i;JDxy2kjLVdR67xeBKS>Y`~niN4AbWy(BJyW_FEQ0iRB*yD*itiFbG_k7&F z5Z5kbIgN>;dS@lC={+^i&RfjccolxHW}UpwoPGTy0&&Ys+JxAHcC1=rzJX7l$HDgP>t5_m@u}1PtV^jvHytu?UI}m zjBJOz;JS32(_~-w&Tgndop_l}A=r}vdo`AKv9pphJ)c4*vop(P)`W(0bu@>qA@+2x z;dO%IM(#IehI9ff-+nPO*mj7f|A>_CdvpWU*LG@>$Jdt{z2HGloz^N|zyApJv`NXJ z>-8B2R_Wx*d91T=)BQjQ~Vg*@4bE7WZ1DtENL5UXcSqtT=aNbCdSy zS9VGLUazv{BkH4ZWo6s1;8Lx!vfDhYZF1`v4GN*aDz{#olYog27(Z!zX0k-l?1h(f>y?BG;j%0^D z65FU#uS;PfJ{KG0DZ7($GGFJlSyZW`4{2A{ux3Xucgs(B1zXD+bL#vJaQ33Sc}?1U z#X}a$6%{3!U0_mdo>#S5)_5p;d7blNtT6U6YIMdHDAsJr^5$%c&E5{!yu~@M@6}lc z8?7Fa*vv(*=RIc8tE;Q57Gs=twrb|X%gpoXr;1V>0j_`4GNb8X#H{!gl8;N_G<1)h(MYU0STDLZd+se9xxFiWZrgw1YZ>obBBS9T@?5>)w>QOmTexZJE& zS;q%Eh;4mBvNdHFb=jtZ)4pQ2JN!+RF{r#@kMMAQm(y-s@7e8J0oelVohKITh$U>R z{3gA*p*A>xI*rgS8;j7+bsPAs*Cv(?mA1Kk4lJP7Ma9Fe(uOIgFsle}3b1?f;E1PR zFl&r+4YP61k(-@3SKQDGQMTCExRFgkS1J{cXt6j2I@Bh%y{hxe%cQ$E;%72L9h;j> zI&Fgaa|1)UuLrRW3YhO5P29=J(O8M})^cXKmpjONiQcN6`qORc&r~SOMk02w2zMqY zEMaDP4pVH?VLV{Zj<|?@;V5rvK&53nVmjn8oeqjdEMCHbWdGV`ej-j|F7|}eHXw(p zS$3GC2nW|48Dkenmy%MJ((;DACVE=ekis#Ei+D|vTOt9=5eVIY`HlwKIf(0TMK zd)(73n9wNephCUyVwZyc1a#~#?Po@Ne2(k}fz=$@GxbapFw7dJ)!u_)(&_ZQtmznY zMq~D`CF8QWw`iKgI(cFbVoEnG@KQiujyY#~VIk~9x{zJ7j6t!eqBQay>izB2RsMzp zf=RyfS~IXu1ugj_0XTB8uDCB@9ZuV$AW`IW^FImsx0p6W{^e=S&IiF8HE_&%_ zbY@WI%GoZh$>jU=#R!&?rLUAgN#iRPVE!o$c^5cN$c*ZHY*eV#cGZNDo%Di>k(C_x_rgbJsvkSh%?*=IiSx-UYCHv-vT z-sdf4CqnUwBKfj{&*up|0qJfsqq_5gcsJ?fHD^plEP6E>UUHYE>9pi81(u45S*7P} zQVKi?f_k}F((szVqiH;tAIkun>8W(2Wb!v4z}Bw|_CLuC;+wnAn4zI~;Z0RnkL-r$ zd-E2m7sk5P92%`ZKB@lq5S7b{uRf?<7gfYhr(uded1 zK=~>^%}-}d;HP~%tC$t9^6K;|2kNsXa3k#CDm%{JXTJvZhqH68la#sFuQ^^fKR@?6 z-cv|4ZBLTX$ihOh>+wQo12&$g+T0>LAgS5Y=AFo&!L4s@u5KH7J99W~-+)tK2YhvP z+a{vZd-&U{tI{jb@#q$dewi84iPY!J5Vz!diMa1|&M(@$&u)sBOo^X3ff3=OujDt3 z*c~&l-B!xnjR$AUSAO-94`dR|Tp{0Q<0%iHo)$VnVy{4FJJ;96j0zCTxY1c5O}hru zJR~I#B?!b#ex3Y^0Y8fT*nXy}OTni~{u%8qjxIQ$`lWv-Pp1OyPTQOZYQdU(1nBx+ z$up0O_808ZBhXFGj?S~if|||%XXZfgCKC_9mItE05O3l^(Ep9fk6y4EJ`<8};$cw>pxmy%+@F6dS z#9)3NsPlM6vL8&8L!SRi$?c^bE=7z7o+K_=jZ02)*TJW1I{mM?ES;Mi<$J;WQxLkE z7jo`==O1Xfeqx5ZJ8`y5`Y7804B-@R3*jMYt(lUH*1~zh9xys9z`x|0gjcJASbA5) z+pR!~V4H=~Tk_gZ3?eY9)mE+7B_Bc0p^wG;HuaoZ(*6nlAz2Uy)ptkus)Gvj`}U{j zgHxK3ilO9vzfb?6Vpv*&C@0%`8<1mft?9Jksr7oFa+vo0Wc2L=-lF}yxPliA=d_F_ z{!lGyrKfZ>780ux`@=uLV|23YcEReD?am#=fkcFYS8lFwPB z%6{TG4)(uSoTVrY^lT|ei=?1s>H0>F(o=jkmMy5AS5+mHHbxl$VYd|oN{TPd4C(ae zD&K52$$)(>>9y*Bds6>qIw)$E&obvFt|T+XMJ3cPE3|rZ9dLSm0VpABd)a(GH}0{t zcqV;ST5{MPJ)$LP{&#nD7lySa_3-nSOU7_ukuOv z$7ZM2p1)!E^2l3ufhpzRCrR$7hB>~RDQahF0z7^p^XZDCKA6Q^pL!jQ87XM{ot4W! zRivEs$o?eRzc1axzdM3?@u28+$@lQ1-(Ut2z&-s^fUX}$`O;XP9H^m5{bjF<5&TPZ zcL7>v^_Vvv(*&d3^YS=9XSeT}>=-g(N)djSnscZFN7VgiqO_ZbkJ;ztkkJ^jryrMj zJ<(&pf6B~ewH7Fiol%xpgNLcP1IDAMMm0JZH_9y1Y1n&FCcNCC90;-Jh8^nsp81S5 zIzU^SwZwFUcdrf~P+{k?*%>;d%+7E;0z2wAMEnWreUF({_mAb+w{6vV_MUisL%c4* zpr<|mp51G}K^5#{I2WJPy}MvDjPv5X|*;6O&bm@0U85EZ8#d+UDPg+Q#M^3{TBM|L}Xm{+$W&{lxvv{D`D2R@5uW%Z!uTsa`> z;yO!*_86-?53P62@F!Uxz zk0t^-!FR;XErI17>t@vsR_z9*-c#4eackYV)*lHLv)(76F1yj}T%%V!P9=aD&1*fX z;#n~Xb}$M8s<(-r*)T%UH=^TD;;tIOR{Ho^ncv7;2#tgxvM15 z<@0nEV3I1fBD_+Wdkd_n?5Pt1eM$u-lmzD3z?riuRe%rizsWan;4N@`G?-X`Km@d48tA{yDZ;e_HB;ilDT9GL7x)L$;$2@lzQe?REBmi&T>qSTb$ zYKcYYkN(hmAq^w37U8f%6~w947PaWPSS)GLloEM6YleLLAcfOtr1b#3Y7eaROMk;& z1y`ewtES)&c3Cc)%KPPjt~9tV1GGj#EWY&z&mFxI0S>IE+$$!J{l z_RPAS2?I2Y;)(;I_88=a;OaHZ)Pc0!s=r{<#0J62yD0I}L zFoQ*e44v`F)$BQO#JE>O9r9jf3IuX=81NTv=Z+AB`KKQOk_}%uk$O1+w>w%e77dRdSu+M!F4I(AO}6dSD>kHc6Og z4~3hh?X|%!PI#~vwWdE*6V>&HPsq6k_*uq1j_P3bNC7_7Uu1^*#)GTo-!}SgZ^6?3 zAO75c_4)tGk^S>bP?!k?v-~H)BKPXyJbMAM|K`U`o%;o508mGt`z^aRUyLf|Dea)9 zh(2g)?G1Fzl}W3PO!T((4!J&Z3^|=cZ=1GWkdV!cn-n5o@-Y>258G(bHh|e<>K)3I zKh&fhD^3R8m8bY<6HvXk)mNpV(h*%82?&^>0|&JxvrMeJNw<>xRV7a+XT2>s8p4h0ZPF~a+URAe226|H&I>aFtIvQ-P3WlEF zzyhxDD-z#a8>|98RU5-uU*|fDc=U}iyY7%T077Mp^a1A8dz4*)=5}b(ECxu!s*$t+ zJ&K6~p4CR49U}**-zU>bNBE7If!la+;{TZ${tr-P9%wfIKYsiMGnn;%hiGBgUNx+e zI(vvasJI+ml5bjt!N1} z-EZ1n*%5+GYlS4LcndKw2Fc@h91Qs}*LYE*?~w{PllTz8tU&e@u%a-z9aRjw2f)?c zo)5TT3yN+Naof<anc`iL$EU*Wn+2(5(P5=r_og3OZYep&htxDb%#NRx;FD90mMmsSE$ z^u0p8+Xn!YGsNPHdl~|6aIqWUK)g~x0E9hQx}=gMYfhB6ubZ%4<9sJl<`}TU)QZ%3`2Q;N5lg7o+`zZtO43M<5lp>zPnq-QQ`__`sEbb#un`IM-_x1Mt zf6xjz*b{%NO(9mh-D-7!=JSKTP_Pyf_x)+{Zr|$bTLIX!wJ9Xp&GU0#^P*rqw;l-l z*7G+91ke(GX_*87Ek4IOIyp`xFWMLFc&pdwb}O~&^+l?j8w$WKeL|FxtESK;$v#~! zaS`MWJXEN=CVo>&C4qB%@`T3kfO9}Pnj(a8|Kj96 z8&P_9(P&&PHwmE?IYIBZVTPt@^x}TZH%YEZ^;^YaUl}4O$lCy{rX>@VB#U;<(+U+UjYC90_Cjetf6KX<8n$;{82MjN6mDlg!x&pWKC0 zM3y=2UV;u6(*e;uJsv5_urcdDtss@=bifshr8pQ;kw&D5-Gg8VH1TPe+@#4rjMD}i zyhJy7+5`OoKr_r*Vi$O!2xxa^x%mZ|fvbNG{B=G+ z{AIJPKM2YxYiVFu!kdb{U@MWbVyDv@R!6Di_DxZe?1XL3CQYTpz@em!m2JPz)(V-6 z2U4pF7a9B8R=c=QMwAmMAr0_9`esCe-&uug>-o7*xIUnp&3$X4-#oXzvG(7{U{45c zmt+TAk!8S$%!T^snZM)++113n4uV;wnncjwi#`^Df=6&$1ZMZYw_2TZr}Tf6gNi}` z2yW76my^llNy}gGH5vQI$W%UgiLl!dI2Q814Zf)?%~eyT{daeY$(opzjiUcfG2)Lv zxoihzv1yOI!I$O`CAxhL&Xj_3;uD?Jxvf0#zq^~3Q*?!}hfGejS&~oggd&;5XXpE^ zJL##_@k3IHKJ*9R_|88mR;w6D`CCm=O3pM`UsxuY&3}i2hoDsE{v-{Iar50lEqszm zCa$XK4liTrSV!(P1h$k%l4nAKuL~eR4QY``0h^d4n zFA^6mVS3#YvekGrmAI}RCoj@DG>Vd$A(^0}@+l>@l;H@pM*tCxTHKHVB&iI`*D08} zFIUN$g0)Ug%GGhDor}aSv*jvYQF~{-?#0h7XXuch-W^vWfUeJG#2vlPqJ50L2M()h zFPfMX)2%)?jLz<$f`Qrl(e=aCWSbinByhX4QGO&frUN(t> z@IPRNU;AeME7X0&ZQbAiq&KjGSO2DFJ};gY-UOb$dFSi1S= zoLhZOt7sJh&#vJ&v_e3wK+O552obG!O49ZR1`i~Gjh_$yV=?GVzhM#RIyl%Nh`#Mv zAJ@sUJtzx~RKy-swnC}2mPu_*@n$j@EWxp;X&Z!v3sGee)>b3RwIfN~l}mtUsMD(5 zo}Tw5{%B{LPO53MI+Mbk=mVkVgx1|dw(s{jArnz9D=8n4{86hDrG78Ims7Oyd=^qg zuB?@9Z8b2z9NjML@3*SdcIds5AnbiMF#ndCm+jg$5-&DEBni89{~=PB)#~$sVIQU~ z-^c9yyioXj8k4SlMcZlO2cH!1y|ES(e+2Ix-?{b9>a(pp+V=0ieRF`{3H$rD6PxDC zObP(Kfh_Y2+*Uk}Ul0IqkzfF3YkPbgqfY>K-bEzQ7~c%1(KdIJX4!anBwVzRD4CxE zsM19FxO{b5jADg;cF3m6@dA=gfXK3NvA}lNa^vD6e1={{qLn+eb@4HS*=`QbT;{L% zSfY(~@8U^idYQ`SiFT<1x}4@lcRX0O349roxG^t*w_*EJMXHKKqtUD5vuhls?pv)3 zO6A03957S7%pZeSdNYrWa0O)KeG6CH)oHKa2#4>FS&mV0I?P5+6o61(=1<7>3Er6I z?yHSyikVi}QTArgZ^+~BU8gFy6Pdg65N|}tHWsHE!$>-NGwEJmR<7md5Fn<+tHs0s zJi%R~+iO*4PXORKsQYH~r{~E(ydENLQ95qKzl_cYv|Jk-pEJX!?;CvafbxBS0?vJf z88w`rThD#g^I6zL@P+$)pip>7&VA>gpAT%%0IC5kvp%064ty1CssaUKmt&ZVXGiWCla7L5Rk+t{hnU=V;|r56$(C}UcVDsU{3QTw*6rGd;9sI|972z z5uFccx%3Z+-alh49Y>C1_%R^~C&!KMKuRM68i`#g41Y3;6^bmIJ-gzt?Ad)Of6^g) zv6IH&I2$V#5_iYVlW`TU%GVs3&ky@&2;9Zm=*{G6P`<2G8!0KBtqGGWR34_2(L6e8 z#=FDF^$8%eT00#981lz)CI>{6)+J5dOX?Zbjh~#|pAq6Pe$s6<`j2MC2xQTu2>v^A})|S3ODIx3NNF1Zck#$CAAUxcMm4h_suq(u;uT&8>$#+ig|U zR>+A%vgV$8R~AsFK32C z8~y_fJ?>Ku2PYDo^3V8PiPJH`!bY$A3w4u{hfO<}-ORt*L zQky8);pc=D(`uhWX+vPmd1{#d14%8})k1>(y(3^a_w}1TYv70fMM}0yl|B=af0f}R0IFbHUBThcrf7<%a`&v#o`bzHegpoiyc`4YQkFKW(S~1R zy?Lz>is!W*&Fk2nbKc&|Qg!oQkb`+W`Z|&3OXF{opD;Zw_a%21Z>0 zU>=ZT7X*@%-PFsVCb;`GH%k|cFTv*tYo{1X`W2RZqL4Qfz~=UDx-|`Vowes9n)u?k z^##@LU=|S84!<^1P$JWvBDZQrQg!nwvdreGdEo1%( z=KL!QhWWF4%Q^w}3)|7;&Cuc#f(nCLRrft->2a3MxI{sd9eCzi@oS6Ik8wwgW}p!H znHIrboMVS?Sax_n#1R1>D0 z9Fp!3FunyQt4_a}3syYBxGS>TqIYw5Vg)vl6xi&t_hg^7HAPo?KEM9}t6=(y=nVy` zLcpP$h`YUsj5PxQnLI#??jv+X3ADK*bbHIk_eO_6)5K!NmhQgdaZ;AGhmS!S4KYF{ zW=fqkut{bf3$$}`G_4U9i+?JblyyjMuwJi#Yz3ti1--BJ?gdCjO^u-B#lXXuCQuOE zT4j4+BhCy~oCEY8CFLDqb+QhcEl0BCtBKo?g%%W>o7kI*dVK{o>sm**`W}z0?NFx|o z;Ok@$81elH4wex#ZT^va59CzYOgwRB+pwB;r*c|yjGGsd69ClE&a!;C%Yi5= zJNG5$q?=>x9C9`Z@kHzvehrp!fI=)i#=N>y?xGLWLPVYb>N5cu$bzEIk2F4DOFuRk z_OJOrsV12L9mJLZK~We*bHnW%fApyriwARA@C!AJM1F}xcp zBTu?FgWO;13k~;k_Q|g^!>?&PK7JLyq6+zcv*8nyf=F32QR3snNSkl6bR^=6n{jqG z7W2h5k!HnR+wISFjtHju-=H)b8HQ+Wu;=+Ah@!Ij)v1A zTi$rFCU@XnF03hKVePICsnw967kju)z&gv_@{U%br2~Fh!US(roe}PGXuXG6C0i)U;3(@FSjSL?^W~HWMk6!K*fHj zQKz1WvMG)f(>7GA^NXAA$hRc$0@K_SAV1GXmV`1vdZ17^w2o_Dp1L+U^5v-!=5nt3 zao|$=3(no*2AA~jggbit7y0W^OZJ4P*;4M9P-0>4sFvmRx41OT6Z!DYEjPUcuUK#K z8|g1iU*-c>^K9_mk>XK)@E8R0FC3k0_sKJrfG#C$8eZOMkhoUyXLM zyl;wY^!hsJSUkeuXFT|jqM*(`puo2pq4jnfgKmmbTpVSCdNN`ds+1utFUv8?uwHCW zIWqR|59t!I@q#$z>MjaiIO3-2Eah{NS)~epE$xipS*nm`_=)i}HcN_t9-qnHg$5BY zE`b-}7iKIFDeLd#Y9cDCt>k5Pk|rmmXgh}GPA9T34#yN2&#@=Nh%AtoVL5$LLlm|O zC$2KgARJ|{J3wM67SFCGa97}}xpIfVVTP-PacM_a7%zgVJlev#&nUjkQRlB(t zSrVvOfdTc1s9fe`pi~8l*?W{dIR-jdAO?#BdyPz_XtNhdL?|qhAg9 z^jPU8b{flFOKOGdfMlGwFHL$#i527EgLUF(jUEnUm`UUzjg6Ekz}1qjkIRunR1xz% zASKtXSPFpoi@hia%v%_auQ<9PsG~f6QxYZ$BTVFB6U0X;U7SV|)4{_d#KS~4+HG-S zS-BZpuKd0yBU}S)Vb(##G*X z(O3`awV8SgKU9Hd6RrRQgkUa8=;d}jlfC7&(`(Y$h`y+0j*7f58~6dkJz>pvoJ^id z&zk%TUhkQ-$4acYnEQSte@g^6%PMflmtiwlMN#gkpaitvWxvxuZ|FVXk&mN+AZ9p} zqoqZO_*n1-&0GEtA3oGeUr&cM0WNAVek&jK81>PBK|^g4()zZl$+|KWWq6sB$^rB8 zcfpO2{)OU+g)b@pkx<5hl1CP&LYZo2jGE{5$iivv;k*fy7N;8WSSa*31~DYWUR$!HpG9iyx@1 z(t;oV2A`Iqyvy)4{(%^Cp>N1T-nCKu2Ft|?F1!x@$6sOw5Gm{P<=eJZSN9YMdZI2*$K_Z!AFUsi$GLVc$No)tnB?bX zvc+T!M4?4}G+KW?=4UAvoCy{nH#UHjd(|Y4)rQ5RkzHwiNqgc!xJUieY zU&(*|D4gsmQKK6y;tuU|&V8*;)0-|}|KUO~&n}^i@U{EFy4_*(ZMHBK zAJ=^5bqC`17(#mz>(f~#wpMEom3?Sqy|uk*Ub$!Qse9b8UOB=oHDLc-Q%%**v@NW5 zx8Vqhq68$5#fsqjkqY4Sf8QVSU`#wEu=Id ztAR{boe}o?TaGesWJ^@^J)Bi<@)xY}Ipa2;LB{xi(^{tam)<>W?)lYCE`P;dm66^0 zEn_^&YMp6Q$GOAr!J)|FV|yrMUU19t7>Jn#?b?a5Sq0P^f0Z}?+qmovv#fS5NW3;p zxh&T>WC7u(4q3PZpVgOvV+QN(cIxgt`@L+L+~07(&15$SSPSZ)FCFcyiuM4V+n?7T zsQjg)Q&M95ON4qku)7!jJc$~CG!mSNEE)oXXHtfRH^l5`0%KheWJj#RJ@ARp{pjUFQlP+Gsu-d*FB?m%$ zI{NqlD-(VMmP}(|Or?_yDU72Rr<@q=H92vb8nt5?KA)wDGM>Ia9c6@z43eK-Q_1Vv zbRbl-eYul7?oRm@r*Jd^7Bn0P;5RyJf~dZQ8zo7#sCc8Q@MKsnG>S9T>*;KTc6o=- zHY!B0AOoH6lVF%+Z*T=8q718HLG4mElXT};t;Cwgz}reJ!lO<#H5Ot+;kx{>c-kNI zC!NzTYFyoQ5Ge~x;Xm)irB2F8NoRx%75H6&WLJ{u^xSfUR%!TIHG->;bbp+RwDtVX z9=e0i$@4+V|1JRFPa_Kb=$RZ@P8?9IPh~=t&?|bYXt#s&K&n^`MIu0{39-q_V`?=9 z@3UGT#U|h30f-_)!C34+$k?;W8^K3Qf#07~^S~O^fA?0r6F#Ov=6c^+X!egt^Pfsf z?ED(}w(o29XG8pLyx?6)xO=BA-${RJ4uU_3Z)qwK`vXx403?w|r||FlM*~)Ut3+*T zRP9p`z_q+9-1V8~*S_mYQmvi?9k5;S6V{^hE(8K?r&p-eWZ97<5ft@@*dM6*{SbSa zIGwW(*b@m--@rHOJopPx?ON!%0?$JgC|RW{VDGYpP)9VoDl?!oRT%cI^vDc@U;|&+ zFbB$^4fE&BP(lITV>LId0${j10Es}IoTTu?O|47~`ge`WP5$PBASPoFE`e%C*fMrS z*2D{d&PYv=2!WSCV1>bDwNaCMB9c#oool|CypL7O1Xiv_!1gyl*z0A$enIzAsUFJ$ z5I`=|>5B^q9Kdu&1nFs!WSZCEOPUyBv+VLRA>Q!}GnDS{?+L2k>;wF*0oJ@JwlBL= z6y&{byoux$u^o?y;@x0;IaX&0aycB{XQpj5b9O0%ltQQd<~1UWQ|WLN&R?Fy81W(o zP5|jX`&-k0_yLi!QXM;9S)gpHC!2s z*WnI3DoN=u7;;KqU0lZEm$3+VED#VQ{2R;wB4vHX3`zSB);BkO0M1d4vIJAG_N@+^ z_CKK5Gn&%e6saAsI7LrNZT7@|SM@P(Vf1|&n#Ug#G`bQfYTwg>l%7MKARI;dJ)JO8 zzjwR~z$>YyP@a{3b$La~$no$nzH zoSx1(?a(WDH38@_2tSZvwJ3{%zlonV_r*K4%2X)i4H<(_>K!luyJNL)TVQJ)Mc=)9 zSGY#CZ{NHtyg~Ym&t9-=nNtcpJLME1E$Rq@P+Bu$mL1gF{T9+HpA-pLT6th8^t~J` zi2|fc6h-=dsi-mub;iXgB9m$rZ1JM9qHeRWl>}*eiw1z?cLBp)z$OgriA0~(Ro)k7 zT>1Dnm;pq}`dnskPcbPV9iakiRs)FS#tbd6KoS+H5wIwJgVOW%(S}W?7}xfC3qgFG zTm`P$I0{S&TX@UmmwQfX16TZJW0P0)-ZAFe>$z8y7xa<1Cab`udbg%nJlx3b_3Jlv zH51A5e89(!=*=29N6pNZpnK5~z=FIIpt@X3z$#{$?%X#8r_YF@2ng(h*bW*#W6dnM z5&hO`=n&V<*81YA1ze<>_XZxhIqH&5S#=py_lqHSzyfo?t#x4ptmnH^fYZ7Ofq+{t z2H+}3kEnQTp((bho2h7(PX*L<|DfEhJ`5b#WNPbfaLWqk8u=#Ns7bV38#RM}*l=4A z4j7?3hjpOyHMW!~7y?{mU!Uk!s_4qc>m5PJ_!}}qovS}Alm5x=lK*zy?U5e}j_41e zknh1t18k#P3yyNx;j`M`+GafaP&3Owd*Ix62lBqv+80EyU;hSJXMo*$uzR0m`XKvf zj@gzqfFSz`UgvM6k64i);MN-eoC^P}bX}m*iyVkS4bc)x$J~ol9!2w8OG|Q37-=%| z9eHaj$x${d2t3IFs}she^&$jqUYO^%mUb6{m8@+(vna^kJj@d`fO$L>aJaVwPPVW* zL3M(fV%YX6Pp3HqNzdtQHXH5*AszyqQhpAx6(jlplTUW$P**(Z)BuQi3*50fb!NSn z2WYHL7$DOB;@HhJE9O4yuDfbAw>f)CWT=HC?A--jtdy<8!Rbx_-j$0u+^itk>;g|0 z`8ift+8WK;Mk5^W^?D^ILEt=`9a*gqhVd}in(q_>O_#>zTtGpv4;K8wz~7(XF(ItC zSJ<$j(=lu<%<1M(tjI3%tU))|Ax10?C$j~!o$ZWx90xX(*_!Uui)OcGO4tA4JD92; zA+_l{`tMML&;OaP_z9+U+-(0mRDsoEZWztJ_-CyHDp)bZbth({*f> zrNzE)7hWjVc6WO*-o|F|;=)ot&ILi}hqQh%Yxj2ge55#Upb#7@rFDi*5U!t&=66AW z?}d!u(%Iu?J4^_bzF`*SJUxQ8>{cDX#ZP{Wa}FW8zFK>Tbe>*{FP@q~SGH#}H#2>z zW{b?WW9C8kmfWH5LE-<@+l zgmo{?>+Q3G5rl=;ehd)r3(7joRvG~$qE!HsKWpuwSaOy#+6v{$cz1DL<^!6_mze>? z%K98d_#2?={Qr;t?f(I=NQJ&IGk{oG@MkjvBDjE6xU*xk>E>JkL9L^6^;*EY#djj} zIss={CY^P;aXTX|t%j|E8Z%lI<`)e{{nChFBRu{7U&l>Xr0$uTr*k%9eS|^7?w&hWiP~hb6XMci_O}l zm;oO3Vs%DqAULpHW{p*RNDa~E4Yh1>gy{>G#W`&xsF_<`Jq6Q3hXO~3MYl4%E8;X% zc1s*U#-Eex9b7gCE6EO5smu9FgkA(d4elB&ckpZsBszm(i*JHm#1Z18!eGMC8^J`T zf)+`oaJRR(TXE{Atk*x(E^C*7ByPvU zTdtPLltZrkva9SWhoG=#ITQj#Q0M)s9P)h6F9m#=a`3WoLi(;ICyl=lmqaP|mk+b7 zG#jMVh|IG8{YPUGBBv8-`A|Kbs4i*>f9Goa@*tqEetFu!zJjz`BBIf}0M9ZU{!a#Yy_>x0h~GE=YnG4JK*rWL%L z-R3u#0mRDsoEd)oyZq19Caw5?Zh-&$ul@~Y0H~0kF~jC@Si4@ooS69qd80`BitgJ{ z?)WctEMF>f&BkRTIu&ngd2Kk_9`aD6R30l(MAgII;-;Z~lP!*=CtKy<1oz6BjT>41 zp@D~wqaps^KYXaQiSGbG&GNs@=;QB>Pt`47=9}S9O+@Cfw!S`c9X7Gd(t19)i7wDhyiyH*NnDt-t!Hpj7-XA{SS)1ET}ka(7d_5r%Bhs}hFqrKmuZ;Ym>f-jCUU%r)>fX)b@w^(nsJTMG$4ZGF!QQ70?j~oTc2wvEMSLUu z#>`N@{yf;i@o}R;jS8bF#WNu8ITeqmaOSvFDjXjl<2cTY@OX)tA`Lthzv8b-z$CPB z9FK!W5Vj$8JesBuCQebHYXj62rXX^9oae6?(Bc2g(Y-E3@)JDI55rf$t`R;6{8HKEC>Nnk&YUh6t|vMMYFj z@fW#%>ZYB)14z5bSt?&k<*DY?r@QA+2{aByK8VnW_qT7EHlvb z-$hZ}Y>2W7c;K`StA9}^_`1s@Et|eopSoX0CdWW6Ig!Z7_jntNDb04n6^Lm9%V3)r zJ2}D&@MaT>aM@$YWE_sistZ!N%;pA+yV)F)KbjG)3V9@ydewj8qnvTh@wpGw1Jb95r$fVC zT!u2ay-S+}DY(m~jqG>d+z#7vpge*?+|>|l;Nzwk00a};#V<2Mxm^BSX2_(oX`h^t zt??`rSO|wHUK4jhHbdBnvpPc8tS^GdYg=C9X_AIcg}LUUwQuMcSUci9oeRvtbFkLJ zTZ`HSm>A9DPI$4T$7<=*U?C4V>-D-(vN%6aU>yZ>F6U}w$;srMcFe5rX`yhIVy&?G zp$hD8!a5=U>1-<_S>mzzxWyV_9_EXMc|l{h4|x(Z;%O)7nB|=Z&qMh%D!ie&1i8mLhD zRGPK^LiE5u)JSdG2`(W2poT=YS*tl zp6`OjQ_a&WEE+b)T-&vhURoA!h2|~y(uJuXM)|EeYG}Ly1@k(*`5}!hD{RIAKmbi$-4PC zWb$q+-fgLVBNE}#LLk}}@~?;}MDZmB)<es&ZpR`=5H?!s2 z$Cv30)0;HsEd~dOk5NYPs7+TfoJvokp39agC;H#nQc9y?Gg!`A+r@a;f**JBwMk`r zqB;zLXPH>E>NJ_6F<_ebCRL0(E{iZqj&vvq5LjQ7aVn5t^LY%zY-cgx8dJuhVi&j7 zq*F6G;%gsNJOTB>2`Z6y7GEeKQi@Gl??|a}UBjghgQ!<^h0qUP!?LM$O`){7{plcM zmEpkHOXAekim*NwrKNKLDQ;m5)P+4wG%|-S!O2+yg;4w1|t{=y1TlL8~hLu2H6A zdlSzHdKdLB?#FD=*f2FZHHrAg-|gIf$`JOSV7(cp_dlMoK&-6KWf?;tQsxdD8^Ohf zynZ;mRlS?v${vqW3u+9Pz=#kSQkG7i<~<&DCnI|m(esj8{|2M<&jNgDGxpt1Bj2W| zTPm-rmkKf24wU%12LkUSGPXd*zLv|Q_N^jtr&5tuHB_j)8LWNtfmD7t8q3tzIF}=D zM(`#al{fyM_Ri=zZ6y2mf6vU^{N`!ytxa}jo@c#|tJW*4Vj}aDq-+dSO;&MX0{jub zdoz_}lRV9ASz3##%p_A5WBEB@3032tOaTU-;*=!=RqR;buPHT>m-OSPRwvoUOxC51%WW zbInKGKmX^X?OxBWhu$5zuB@BwEXVz`5<0%ZwVXR{|2N0nQ8rz*z^`9hj=9U}jp=9q z@3&Un;I02#zZX%aIk)SPvR*qpJQ)`=ne6{$w(Hl|3f~l-{iA-r{^;kU*jN8IxBkqi zAJqP~ee^cFe*Jmj?sF(Y_;@RG7<1W=STN`6fB_0^)E<@&HebcUuDRt09{11tzdm>Z zb*=r9l$73VmLI%X`mz+07UuT9nRAy*PnK$5KHc!#Y(Dgd7s8L@k#850qC4_1yznyq z*as!UZf5_tzVrJmsN-Pv03NQ`@&i}oCuP?7`5X8lzszsRO7_>6l2L#kwc69v&F0)8 zWVrXo=N=#Y{<~kFJ4i)-dZR>t&Hn5E?B5LU#9>qGhOZ>)aCT!Wt|#LMFI}}CHe}aN z`%71%Pg4tpxyQy<%Z{=2`(tVA_u07}{|`?$ZyulDT!8b^V`VE|D7hqk>9Xrz;T;2h z8~9P!kfqt5;YUls{+I#>$3GtXZyE*oQTr8s`5&TBAO6~$ebau)%gt0H)p~ogtvC4i z_wrNdz2Ew=wH4b8Z!H}}gFh2s5YJRm6u*x9ioU%@J>>svVc|C;`^|!$G34^YFPcda zQZU5f|E>QSMs;B~BWn=fYQ!(cK97I4qGhz~<%}k4!ONNW=kvkKFSXj|(AH!llKbY# ze{}CR`AmJq7Y=ySMTq%vc?-)hMTPyTMLnF-AM@(rk>x)A)greBBu%D#qIY&BYK z4;Q@hjW3+v4TMX(^K0|f#cb8HTWtjiw$?4?J=fsJ>FR~Im~O3Kw2!^PKc^S`Ypvt4 zThQtA4c&#kr~36cuivdrGe^zpH8_aeIcnB@kZJv(0ZBTpd6tfvTel{4k4vxViDJf= z^+Jpnber*JuH9{_Z`kA(l+pJ1inrMkWD3sZs#C7%$dv60oXSA7P3z{y=_Xf^aee%E z2}bfAzy!5%x*g2Aq4+qsfn(h8AM`MbyZ8@|pfc#*Ub$TO{&32}U)yI&;ai8k(CvR@ zg3W{0+WGv!q{}lKsy6CuX1n$_c{hHCi-d!_u>ZR5jvUk)?43x{)66vz@lbQ;z@z)O zUuPS2&gFXhw&uN|-`>)FNqsKA&zC&=+#63>-w%d1=h&U{+wxTP`|ZSXqcXQ!3%zRA zEB@q!YuBhxoc!b?^IOSyrS-E6oBAsJKN5>?@;a=i>BS;swDpHx7rdOBn4X?2`8<2D zoi@I=mw>7nIxJmGPi;<5=>DmxiR7lw6Q7!zj4u{nC8r>WY$`r6xmQX~PA2wB6H^nD z#qz}DB;>(?73HK?hqP^zi^X_y(_3m?x(H@Hi;1at3G($!`#g!sNl)?WE9jVrPwJ(s zNpERtLf_k*TGYX+4(Ie~&UV)x>|m`h2*VKY=?+7;u#+cNSh@i;jB}@uLI6_do{@=K z<<|H6-do<>M5%E@pABCvzquUid&U`BukaI$^7h%+U=>G+(F@*`&K15wRISpnebV+Ux(wc;kFrIjtfTQmt9825%U*!@<`^8Fr!{Lij@sVhZ`Cj1CD~%LjK#+#mU% zI}MctYU#JeRk-M|SYDdE31t9|UKi_)qii*N_{ZK(oJlOVOzsC7`(Ul{R^Q*-KY5kC zM(|+CczwvjUiIc-fal`{qqelaSFe5l=I|so5q7Y!TQ_T-!#|F%t|r@0K%IkTa2iT4 z!S6Hy=W?1QAFl@gtL8bXt?gCh;^7)1@8-HoM3VTCPS7%*OV&bw^yWG4A*++`nOWid$cO7bT zKJjIPcYn(_A-naQ6pkccJ)T{zrnR{Ks9KLq<@EIjk-x;&pFkz%U46}8azDw0cKwQb zTdqg0XTO+iMzZiLEM}F(?d+v*%G$LhlQ;j^^j}LY9_GK-_tRJFAIc6l>XLJ$!II8NM}H^SjG{Fw8yN z@QKkc=R#M$_|g}<^dic|CIhuZ)cehb{&hNd*YM;EU;N3Rob%^4=9|g;;+GH(qR(pj z{cZi#f69N>YQo)|;tPon8ujE~)6&D$M~dq~{4;rBw>6D#2_Lo_Ef%Z3G$aP8!nw7@ zU66HAPAjig{9k&$3)D(}?{+O37XyVWh5QEOVasgG-dFLo{+DzR($8J_ZuQCoSOfiR zH|DtuPi73y{MzmwwDPauS2qgxrNp1%D5NikrRt8M{ybQ(WTyu}M*S3qkC(E_J8oxDb3!cc6``Nwi zVt6)GxF7S?y}_%6Wd4=%@QSPK%Oxjj(W1BT#lnkhA-$ehjZVD?J@V#4O}S-GpR>(H z#WAqWymR_?D;%7gJoFs+=5m=*w!T~7mu}ZK(`@?Ht0V&vL045Znd0?KFm+JP?}v)7 z8@`>Rt)g#scIo=ta-qrjmi|$`o8GQKJ(oh&yK{K8;?L%)cejdJ{^pUc7Q)c`xY>Af z2od2q_?E8CTmwlid5qiM{X@@YVkxyUP2Adl3CJ$?87w3&9fGcz~f*a&&_&0sFMT`U$hOKCNko&?FKBCv};e3Wfm^TDP;z1g_N&cc`Y zT*h4tEjHq}*kCHOScDLww4R+QKw+{;|0yt#xV9Y9^P7o8BA$gy+K=gjn|TPQm}DCV zkd?Rf^J+X*(`Wa+L49^=0#3Zz_l6JBOIwknch8YVhDztw+LdBC2!GLV{8D~*wbV?2 zo>#B@wN!${7~aB_KsAtFi)C|9zAJxMm&%h(@8uW5QSDW9#SgjAeA&OuuZWlRyUmE} zalRh;rs;i>yBz-__Lst!vGB$h|0^4P5L!si@0Dgl$!c|@1R+u@(e;&;rauoc_GzyK zIoY;ri51^s%)8XUAStu#3$%8iFMH?x%gx^!`GmZdu2;p0@8-SL!g{T~>w6(i)K~IGAo?o%^{cX{ z;3~MXeo1I9*GrAqrQoCEpHF^kJXoGz*-gjpX4ZDo!IdghpwIsEq}>{esT0PW8H3_IgAWkE9k=F%iPXXzu%u^cN=%uG~BE!+%UFt z%mL>@fVhiwL??&#B%J6nN$-7^O&otof0 zo(YfV`-;yEuP|TSKWIIl;BPPA*=`vO1g~bM5~bZg@;q#o&D_!Fn&tUa;_d4<2fo}5 zo!>7W89U8{+XxyEk>LNM7%siuYFsbQROjDTykRg2MI7Nqa$_PepTGU)O(wXNcX4It z2Tzp_@A&8bLGWexiVD7rIWnwP)55Ml-1uiU*C@QmZCwdS`TapKEh!dLbe;t63xNf%x=>q04cKMixA!ZlA^H(>9n z2&2Nuqgp-rcV(sZFZ}fS_tNu1Zp9bL$}g2`h2Yxi{d(H_P>yX}heVN2T4!C2hN8Hy z>t9}z{o%q~tF>(SOOMw)o(7~!d$<;x_Cz1s`r4|9qj9 z;KX81csXC%R>Irs&4=aX8e}Tfd~x_kYMqlK%e9T`T5@GYDCYwU>nq;6*Sos$Fy_z zZ&S9o^+W75pJ1hOaP;I~**Ar)&26}`S$}m8`tCl>=um8B`^m%SZ+D+QjPCD>u{YVr z_tuO|^xnylcJFECZKLq7r|E)nPkAoZw1fOT`CjFxM_Y=bX>WF)KY#stXD2GH7NJjkq`YSskL#T`OzCZ?T70xIdLW_cyL(s`})ke`}3WOy2l19u!?G zk-sEGF7Z(GZe;(aaOFD?|H4Ky`c3H-ms!g{EbV5D zHHapHqJr~*?R+HXeboF`2}r&u_ghQhpxvZD821+*u0wo7KD7RLzWK$AOepPr5{46B zKU#lyHNB8rZhG^N?k}tba_Q!!%WHw`{dv!-@2F+rFR^P`Be=F)PkX^>d9W5p*J~l6 z`CB^XUao!PgM4i(kjrf?4Fk;lS~W9YU7oMPaIm|p!Q2ZbQtA27pVN!6-N5d4y}XxR zeX$4M052h5Tc9-rY5wKf$>`(_01j)rp~%F2f8qXa@ZtUWQoiw^3`3L=Z*8FM=8(7V zaxrHDwHKS*K4ejTtyW9SAH~T{U&KAVRbEW-nZWn8L?q(cR@Iv4)|*+^AC-9Uu3B5% zNlp3|bIacAnH#nIa;=$cHrS&#-{-@(x1kVcektVkW(&8sZq;4&DXu=9PQI!@z;+2D zu9Gu$ZR&P{t54)6mS(&&$v8Lh zeSGP}z}s@jxLZ05m*@Z3EwOLHjr!e28Dh87o1ts|E$*FfD}Yyi@{u?I$Z_i&Tlnx64bg|pLc`^@HW zmy2gQU|134)>?q91+tf4)Go`dK)CE><3;VW?BzB6PjVWDsCoTz)yRJSB9n=IX2kw9 zAG^G;T>DHgz{job3TxRv)jorC$N>RLku0Z!pDombQ?i_kaT<&ao~yqdWfHNY^oQHNmmci`T!Hy$b;Tb|L#$LbeRnqi$#Um`FPJ}p$af)I;aWAlwz3v57Ul!+Okg(+ zDRW^wYWNe$|9aeb=&AkXH0fY)QCY|LzRrmoE}Tj>eCl-RGl+N{h5wx<1mq-O8DeQjix zHmC2@wkEH>NnPKphnU1sOA-6r61N}mmzTn~{Y#70Qoy@>EVI4(mbzcwJYbWrlgst+ z{xqm)xs|bCG9I6rh*uBtsi{Of4mWV8Ca<|~Bons^5UHKsyqUPuh|GZaH*=ugdMj1> zej>SZ2vJ>b$Q+QI0v93@F^q%FM6kLU57%AELgLN$DZ`a~^(vFNb!1#^slTaaTT2+c zx6kF0vFrZJr}}86|36*zu&$2mtp5EO$MGB!3Wd!c3+fC=5g&v91X_-Dms{-%>I4Jj z(;*)cYxdY#Wj^_;6?AYVz`%uggkkX7KWEXDddK3^DGVuwQOzC*10588`Go)j&vA1& zGMv-yz)zS`?+Sy;FlG;g!66tz9D^_nT>9q?no{oy1Iwh$9teX&Fz_J`r%C6vJMc56 z)VsovV$f%xMS#UFVVV?z#GW`!8o2h)8SD;2v&*fG4p^-BJcjv1+p%X@^kpnC(P|PP zWjII*|L#5*-sL(+*MLrRhqTd#L1odGp+$hjE&&F(?TauBNbq)M2r#hhFnyV^ThQtA z4Gm$>{V2ag_jrKn@wNdsY_4QDv&VyHOgkaKXlDqk~u zV5Ar=piHTEtjL|h041OihQa9F)=Cc;tYCEfc^rPC4Jj;61rbtOXqtBIA%#8AjM)Q6 zEL+k(;K?44wqf9z3c_G&mRie{ddFAd>4*g-pb>@-5c&IFN`QfZt+Y5YP-EboVS5-L z(jTX9@2lt!=tqD7ij5)+?<7mFVGjfAb|VZQAoBOUlmG+l;R)i%Ko5epWqTMnmceP# z`zrba`VnBLFg(K0RxHJT?O}j=;RwSAi2QvoCBUGnOl>G5L!ZkfW7qvOkLNJ|aX|vY zo;gt!$O73)T-@&Q)q;5AM|@ED1b!9do;guVwPR$Zv0IvaI=#VX)0|E?UtEdQ0ljx!VMK#Rt z{co*REsl$dD3YwSii+UKKnDTSszvJErb&9Ms0hN~Fbs;)Dk_37n6jnQvPj(ugSFl9 zUFGl-ZO2|{6>daG9fnkpjte)QY65Th(n0jcA1zY1^4EqzYZY$%#9$b^1)VNuo=q~P?;0u!xf4@gg(IheeOBEsM} z43Z=x473Qa*d@Rqis;MGXMr?*6BGrfZx+$gY7$`3Mf7Eyhe1+ggnSMOps+_fd{x(-)c4a}9H3R-%H+!hU z>OFkW_yqETep)fny1ng474C_m6cyk=IxwWC3BIMS#VwJq(g0;xx%3T3Ss44B$fJG|3`bT1|TxqLP3x&?3NMmjHud z2nYi$0xWjB!_e$M#pWS?ZE~T20$3Y$2ZKv7+=az$3uGs#ub62wW0i z2=N@2Bpd<_1Q=2(7OQm*hGs`?7l+GTS$>zD)xSUExDd}UJXX4P98!i!VcB=*AZ^3I zF(HJ(c^K3b`ZCVL!0=d6dI&5`*iYXWHif>7^DuCH2z{9$&_IA8l|o;}c^JTHz-f}> zFtCh@K7;cxgc!~-82Vf;8N2Rw>u%Agh>Os))}1@-+AcyH5O;)&(6knwt#<8UfHi2` zHq{|pItK(81PryXYL;5t9)?yNp4kIKE$E;yKTm)`#vnXu09Xy1Qt#L!c)V9H+S*S` zX?qeaS$&*#2{33FYGKtZwYEJBQ4GQx3S9&kz-hpZtJDxM4co&2E(1=JhJXVB1`R_k zOvO@c*~1XUAiN=nrV3^cgn<^P1Q;Mx4`HCsg6-y3fFYG~BMh_< zFzxn$VYFkD7?oi-oW3~@DZ{8agw%wIR;vf3C$UM4$}tSW;5-a4g+mx~63@n3gpz|;=A-pFt0x+Z) zbOW7-feYb15$9n@F)X@)&cncScu!;mU{G1~8Jve9#NnRM5rBbZ(PwZT20ny)LPr3G z6pKEC^Du-$xF^&(7@F42(#JcdN94!p#%(q)Wp)E*<(g{{_gLGjRAfF z1Q--aK^Q2A|Ex&@45AoC7zU{N9;OH|$ckLUk>RX#2Y*a}K^MyiLk|TH@H7DiMNtrj z!E67V#U3zpo4}63xzp*J0Qp4_(gD)g!xRBhK@xEKb`Gh*pXdQ;8wO24U#5qE2YH$R z1332x!{D`l&LRN@C^mp2!yskf-4+1`K@o8Jc22s3KS6*&7tojKuHS*4CBPsl62dTe z?Vqz~4}%C9rD=@}eJ+=bUH1!?Qx=&^EXyu2Q2ThDNu3&b@Qiu-J3c6U0$G)dbfm&M zS=fE>>~AX1FYye|2F)HKQOogrC$Z$rv6b?! z%NOQlW4EBw=NsDCKfgIJF}t!L%c7AFUv#OR-n*f8Xi3o$^Fo;nBVW0$n)hxi!=W@x|bvN%H zSsk%vmsKI_^NzW8Cu<@bf`ER6Rmim3_M?R%$mmoLVX(N@9n#YU!VOVCKY|tjR=Wfk zV8tAr>LJiz4}&0~A7K?Otu_G$!9b^a2rQ6B1_}KLS^!w>5@3)GbgG?)K@xBul~uH~ z+5{Lh88;O=4?|SIeN?mnu-YZSV92=b-ftw140mIlW==Ax9&ZXiTl@qop0n3mQ5R=6YG!tfbWA^zm4Je6(`7wt;3;_lW z3tx1X?_kdoV1SZH2!r!5i1d=h4pvSdw>1I`P!b7q>N^jEj>YnZff)e?D2aqHI0u7c zyVVFmQ72Vk%1{yseVHLpN`MqfBH{GSIY`?uXju4S2rSGJV1SZH2!r!5h*&Id1Ym%Y zNH~3S9tItY<&6LgP!egJVCZwXWbC?6jPt|K@Xapi0vFT?MpX-`R4P|~*JEduS^Nbb z6h49H71mufGcLAU-TpEsWsSQ0$%gpE&g$QvK_=ol)V4Y>fAa-(CO*!nIQQP{AypaG zjgV5H@{GwIkhWofq74Yc5EvoA0HYSda7MWl4hb+YJhO!(1AQ6@Ft93zFi=qc8IuGU zIG#fo=(8|EfFY%#FLOq?G!6+cKqb}#92w}-U=KrzNukd`L;YuL5?}~1A%uZG3xts& zrKZqlI3rvdhXfdSp5MZefj$j{k%3L2&p<={XKWH+2=Sp&fnn?xbozWl=Dqd@&;F+J ze2U>!EdO@CKGopQbgy_-6`4yc%kiumYa!ZHZ5!BAw&mYajLIwpu@>Us#edeKJq#Sn zVwK#1Nxrus0t^*~MHtR1m%_0<3~rV|7P}QXxjtmskf5xOe44j+AD!Bub zd~ZVp7%D1@Fq{!CjYE4F+-?S8=&j*_J<|h*_Qp2qHH-Uvp|)esFo!`Lv1nk~Y11B3 zhIQlgZD1(&Hq-;sHVizILKr%AOXbKOhSr@fv&VyH%+ua~P<-a%p8x>{Mm?t>* zhJiIjhLHubdrgtiu7V}SAa4kkiK9iP#V!F-LB_nr&Ov%o1xt!Sssx0=B3fEa0t~W@ zd5fKgL6ET42`vDub_p;D2G%-p9tKguS|?V~(rOc6kPWPL;yetJfb|h+0bsRDfI*Y7 zKBDt5LVNiM2h5KXZvuR4b8w_rSMHt>&(iiVYfT6-KAq@0cxMU9l z$1ylfy12&Q`$_@~YK67*z-baKF6?1&b1cH}-jcp}M~l?EO_M5>B})&4VYo0fM=kEC zJ%>Bq-t6WXhQsOG#o2rBD=i3igOuS@F7#z+p=sK+AF)`*jWE2oq%Yo)00Yk-A`GTx zskQ83U^ot^Zx`42dtXU_fvKb{JwB1?Tc67%W7qvm;=aKg+NS>t!Fqp8`c}P_T6V}?}HJE36KzdUCD3s%vkx5uP3{5)r z8g|*6Q#n<|f_;OLyS)_x3=EG&E`~t}0R|R}T-eKaFwYWT;CL)@F#<58u*k(=_;*#JgN77RDQh+6hJoFz`GU(;fjB zSS+S}M#p2fpws6YvPPzT8g2XdJ5AOwfz1%(@4Dw7RKzG|a~cMY1Q;{{gHfG_K@u@C zdjw!G1Wd;1JPc70Gt-U$44Q@sY@CNdiefgW5rDzaFoBKpFhrx6&B;L+n%^e(&w6vC za4s>svH)3WH9^2+oX$fkh?tqyK}g#$$O0zgbRGs##LToK0E3`m0)OXW5TZ_0v*>fV zWbC@n;-kM$z_lz!yG?Uvgjq?F8?qvm%^qJZh&O)32Zc}IS3z!e@i)F&_)*aGsICi= zrN@l$yuUv(_I|%lfB=J{2nYiO@t-wmk-GJ($=V(z=lm2>O%ThL-y9)*Kj!-GMSxV0 zlqQZ?XOSBGu|?`uFxxO_V${+DVdz`t?{@(K2AIMj41?GHIg1vlcY{F`OO_r8!~1Ew z?_LBL1VzE=+d1hD{)9#9-C)o~^kw>%cK;U;V2~6UVHmvj&snsGK^Fw{8T!|D-&YV| zkR=JHN#~?H_!9&eGy#2vzNOv&1q2urNkA9|ul;it2{4GlMSO;_ThQtA4ZU~rKte}L z=D;plXH`Z`1u^R^wfvgz4BIB!3^8twH+x_?8G@!S{4@auUOhw@-bt2T!<2eE75VX3 z(@8$^5bJg$3>TL8`(H?aL1ow)jtul5Xj`_2fn%AF*#k$0_m_8nJqa*Wm?eavtyqfx zno{pJGPqe5VdziS7wS!bK~>oxjtmqjI5lk#1LtONnsgy~_jeHihKjm`FwkegzUp4c z?Pd{%{&anz-aTNj-dXAxxE+4tv`(zbFsT}jSoA5iAF&vg!|B_Fu&x zpwEJRZN~ys$|@iX^x-7H0F|<~aAcrQ17T!fv4$dj6n-K3GEgZCVW7_fX=F%Y4aE_F z0V-wTG|6!opi&n43`3xSFinC=SqOvkFsPqmJ@~O((CPCH4I};HNAwzY^-yAhAn0;k zlQvHC9IL|WFw!P|g0GPm^lqu6RpFi}%7PG$NoJ44tdRbQ6!sDQsstEhT|gLy0H-PS zj)aG&Fo;nZVHg5b1Q;~YSjUlpJ`MITNaBWI_P~*W78e8<3{gWE=(BLolzO+3Au1{e z11$n9b_pyR|V2=Z=d5 zweE6>GEUzdhg1+_IDNCgM61~Y(l!jTC?E`u!_d0zYkE5abnN?FE*ZP-LsCWokpK+YZag2&E&}V@#VySA5K^Po|f#GvFeRCcLHiy0p zeHQHFk6>irRfNHL7*aX(Wt@kBXX-djavX*fQ$U}=c^E=W1z~U=2DRXT&oFiiI(@#O zcPo45>e~6egfT(H#b{dV&mDGc7o#Ea1w$_036^HV9tHtJE;>X@>wo}*jKO#`8F**g z9tIJE@o4G4!!7{^4MQ&836^HV9tH_RE;>X@>wo}*fx&n*8F**g9)>6eI7=>7)j+>09ztBm@ z1>EJrkc)R9(QMd53Q=HmLM}QaiU&O)ZNngAFdj_?`dls`iv4bklJ)q;5AM|@ED1b!9dW|yJ$tA!s0tpuK6Svxd)%m~j1^w&o-9iIRJ23a;@ z2m=N6pD{^*K`e_1Lx*mu91vho6;*EIDMl*boDnXKLjnxo&mas`^xt8W0D~ZF zIDMm`{xdcSFo-4eWjbU_=YRl%B$p6|Gs2~DNPs~vp)W&6{~cBdFvx;|(Xyn80R~CIl?Ufx5QQkZ)Kui(X_NqiEa6)D2*994(P!w? zEtMkz42p!is73$=F^WC|75R4>CBPsnxW9G;V9=xJGj!^f$`JtuMZuK^2Vv+I1~3Ze zPBy`df*_$ULj}uDqXb9=X(ZOl`&=#=yY9pIp7=Ol!~R~m4o;w@2Zqvg!auMh`)?5i zoWKnXrJ)a)Me5d}{B%gw!3nhVKo|xl|4u^$7{CdPA`Fhh08Svn&?#IRM+6wa2}Bs^ zv+$!u>ei9L+8%n;lFf^sj#wHvftKGKM=TnIf=vRX-~{6I%{fThFu*1_gn<$P7PABx zU=tj|;5-bl2@YYfsFqTb00V4-!|9vzFu*1_gn<$P7PABxU=tj|;5ZCAY=T1=EUKl{ zB)|Zh;08EN8oLFZKHpG(e?!|pO-U9ojAIDjQa|>(=W^oC=@8R|3*7zb`a-=4FhJVF z7~YMi&x2)1w%+$^!ysZ9$A#40=S2h<1PtS#PXfFG0R|ld{QA`Og}M`9kTAe+1Ym%e zCJf`aki7f5hya6(VH~3XgN6Zq{mJ@by$LWV7~nSwFo+n&aWQ%KcNGB!8N)b60R|ld z{Q8si#d;H9P%yyHNf@kmmPT(on+`Ee=*wJ)yFQmo#;&{dL$m)1QovIi;KJKbX#OZ) z#Qq*01Rmikv{ki~+5{NDQ$rYrfENJ<@YF0la2vNXFo36q`;(l90X#K?ff4~0v!sy$ zqwt1+LeHhn5%<&}@CaiqEHKe(5+H@0YB+rx0;ZPn$O`7^<{S-ns#$vA^oMcSl;8E(S!EVK25EhKzas$#00Lp4ApWx^2{5qk6v99sE&>cpsD1}WhO^Qg{4oKB zl$%8u9EX7mRS<^3YyX@@dl*=TQxOKoVc@wOPLs|_ckm|&FsK~*4D?y}g)mJDaVo+v zcC>;{SOYD@j1LrOP@Sb>K$Xp z>9=_boN==U!r%}L;EW>-^jVlNrQQ_=aK_CZ2!lf~fHNM(k%2x9rqsK_0M5AC17UCo z25`m^2Kp@Y7#T+08CSs>M@VU*Y1$?DGO!sDr*Doy+IH+!*o=rUn4+cCBESHf5fKLG zVSvqu2m>tyOuGaaU^604-<*d5HX|Yorf6xk2r$5A#F2nu>=tzTd_zMBZgch}EK#J^ z3rF1}mL6RB> z1AP{3Yxn>RB9<+or2h`H1Q-Oxh~daUp9aFnpkvvR4%JdRAiy9g4TOO{3xttD6tL7G zCH;4pCBPs{8cvg(he5+qhaIY=bU=VXkxB@I^Du~59)yzqJIoSbkQEK5NzTKdV|nxr z)lxbjz@R82Q69a|<&v@MKHuZv)ufI6^NS>j*_8!3X2^2QsGB`hVf7w9XnX>BL2q_h zo>GN-qPPJGgJr?&k(d?IJ^q?_`hz|J0t{MASVtIW$iLI3DfOiFtLYSms1QRKK1k;8 zcQFA5BPJsZow}uRWDkQR$&%RvM~3&4cK^KyFlaRar%6=g-)Yp8de@ObiXjaBYrF3& z2rw8m8DZ$uEtRA0Ff^@GrfxokQ|C^nZ-SuU^sR5?`oDkxsSwj}`bGuIPNUr+JvxO! z6gCis{*xhSN7H^6xZi4}&OeAPoI$ zyXO^Sx1iJK8|u0EXS;l7$cloyoQANJ-D}I=`IWhj8y`;ja?O*74H3eZ^g}^zp8ir; z*tI8SANB+RQbEFfxeh|whC#!KjSrLg`&~_dL6R_HV+3FjF_!QBUB* zL^LkHjw$sHB2Ho8*d@2w17V=R!Ldn;)U97lZ5S#nW9fk~(1OpDdN&x{ERQhIBEVwT zBK2-Cs43oMjvWYtGca)OC7dQ%L`$nlfT5CN5C&QVSnLu;1~-o|&?3NMw+9T?QKDnu zcKC_*^o?OFmfsvlEDKiyZQ4W1uo0ZTSzw~ov`F2`UmFIVRV_UbhJg}bF=h`#>rR*1 z108#ZU|>=e7y2?5)lzDjQty}_oZjVP+!36<(IUWN*YdsJb!14XV+q69E$H<5hB{Zn zoIkQ%gKj|B5w1ZyxCTAiVMjWI9f=rrL?2=Tq);^}hP5&1V`{r=N{6aRxSy6j3$p|m zplTArK%a$PfwCttcsf)~5^!XoPXhr4sG5Z30Gx+G#~3{ND9jQ^28_WQ0t!D8Mg|Bw zLZ4v>G!RAxsG5Y+q#@v7?=wKy5&8_y!vIy25C-RAfcgsPGtj4jG%{eOsUe_1oF?hx z8H3m7a>>|rhj@-kg*jA%xYA8&dD~*vZlycoX1NGs_P}i)mc{9THf>kBD;55*f-qP_ zORH&0{rt9%h?`@0&g_9O43q$iF#-(g5>vyGfffR$T~q4aU~n@_2!lnmw3-AMDoZ@V zU}~0H%N_=f<8hiqivWvV0u1UQ6U32$8UybP+r!}ImJkMuXlXSGFjNkCgyEfJ={35; z(Cm_`qGK9m-OxPDCyuwUN8Ah(!s#0=GA(uqkTOeZ4M!|`aJDUXhx8}bD-3FiHG3co4#B|lA)F>z zL`$n_O1&!#Y)UnIAPf${5aM}+fffN4yQb8;!jMX#&p?X+i`^d6q;B4v)u-tAlMV5S zwlBjl98TXXFwtt-L&`8J`Z5-nXf=C4+J=E+7=(cq0T#Oi7~oEq*#oC<4#5C7eR29` z5iPBzDfN!IK>L<2tD-MMivWw=b0b5a%OzvieJG)2Bl#kBk=<{Qdx;4k&arPvDK^JsO4}^gdsRS4lMM4&2@@Fi|{??9XOFn}wAFjz!Ot7(yX zHy9unj0>l41J!+3WA-pGaG%TUfzvmKVBpmX!q8Q|gFR_Vz1uVi28Qv1q0i-#vFm;h zAH+`}FX+uK8@^TH9&D^rAW&$-?2(ui()0MB@CkS|X`{=g`^4O#%#>tQT=)xRAd4y2u`es1QXMXc1tsOMtm8Tb>sA{J68ie+XK=z3_O!U7|uy|@F(nHU|DYT zVCZwXWbC>RUId?vMLV@GL25J}W2guECEwkc-BDg07HevgaXdP;ASHT!{9XE(-Hv&HHAsYoQHvPhj5zI zQ^JFLf&fD$g-OVqhr#WRAPj@kd{0X~U~qUHqvx8+@jYE|>=~AE;Q|MJOzjsq>MxgcU5H}I*&*;ifI*fFtg<--fCw;XQ7k#@JPeA| zKp32dL5yO_S?6Jp6$7V9&cmQbvE;1tFepj`VQ>xxtN+j?&-O49yL5exuNHn3w34m~ z68bXELn=rbPT!n^v<-tMp)cb+43bnr7@UVel+c%P9tJ_taQfyv47&6Y`Z9ekmyBI^ zaRU;wE25c)5o>lhkXhKcHl%p3+Biheprqnx9iXNx-+>k_R17V;=fW@v|YEOVc zt{DhJKdQc9UwarNL5`X|aAdIPcc4uI3|dSmerTTC3+m&Oy3CY%w~-+##1Mvo%D%fX z0t`k>Mi_>Gr9BLiB;z!xyM70HmH>lR6IO9#pihH63{feDFbq`o-Hj1oFlsWwK%a$s z-C-E*njLVLOHgq7)}5g diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index 938b46f0de5b966f5f47a900313aceca815a64b9..93f74b32f91ea06da6e7e3869391d080e594896a 100644 GIT binary patch delta 93676 zcmc$HdtB7T7WnKgk1s6GeSMerm-l;JP!U8B;6g{_rw9(B=9lT$l{Mr4+b0IkWAJxi+Pj+1R`(6RQzWDn+icxLRUoZT9 zmm)CU%{BYrG239RT@fhMe9|6jR|eW=QkY@aW9@_O+=?+))SoVV|MB53{toqqN9GA% zf4s}Z2mnXh2Ont8oe_NUllz80Nxyi5UD37U?N9D|NBaHpC-=Sbz+1Uzp6#FWVYDLX z?oGAZHq|QNPx<1eT9t0KMvKlzGMS#Hf}Y~@;$tUN>wK-B1Z_kp6rpd0OTxy;O_85u z{*pO=)|y!xW<5FU*sQ>;n5>Gd>Z~JKpJWxzZcf{swtV*5+1qDpGx^M*%&^Ra%o&+; zGnZ!Gky)47o%!cXd*;#1H#6U-pZ_l~FILZfZ?<<%YEEv>(wvPsi;`YX`bY9d$vRV- zX_o0U{QHIJg6UgR$dvFYE+(VNV47(fG~JUd{rlf3N^`h5(mdZ>ZC-0`HQ#2o!grJT zR`YtAx?2ijq;)Y6w zrwf0L82(9kKgymWY|5}F2~pAZ*mu7YE=Sk}fV2k@SObIgps@WYy|PGH6;+#FdH#Ig z`QCK`deuI=<8C(!WuqL)8&w){m0D@keE0q6E|oIT>W+Iw`;$@x#EG3 zxJ!Eilzs{|Rva~s2E5w8DZP@^q)eyNBTW*QuiM_y1A(2L=Xq zj~wVn-6O}lM=E>D2S$pH4~%T-811}_13y!Rx?d*M`bM$8t5UDFd-Tj181YjH_eSEI zKT|~;2v?K>Y2@KZMkC^ZGrtC7|GSl1s~f4^PqX_G`Q2+KGKuZk&^bk5SKmcax$gq~{DyvhO+UY*pWo8YQ^q>3sPEG{L{hah>u37;J^j2yKYt>h z2S(ioE`iW4Z6T?>wBSnm#Z}}-zc2ahy*VHFPC|ozzwPiFtn9e~+Tz`_%t_Qls%9e9 zzR~oa^2;L!$92T^QFRaMPwpN)eqwlRd-tf^{zTTS1Y9~tVYC(!f%RS7@m6;FMPbLY z*?kvw9Le7CX7=G^2A0IWp3Npa9X>+8`X;r1$Me~JR0KPo%kHP$*Gsss>{-QRdiYC* z<_r4O`!$r=vqcy!#^t$tkE53JJ0pF4V*phe|A(eaCS%F>@N5Wn7y6$ zW+nSNWD*Wf5qc8W zAxn5Z7Nl~8C4ZEY#n%Ii`um~KS_>b&t^ljbmSAbYUH~CQZcxO@MM6?+uNUO_$T^hG zZ!+Ru4U`5tN=Y)wk@SOPwj=4)V?{@69cjYHN=K43q0*5gth70j?vUIfCyV-ffZf`e zgl8T~Y;p;0jy?XDoGpNy4EE<`NtCWdq`{H921 zOW+5oza4&vaPUi*8{2=7z`RR7PLYq@^cN{x7u#P13G%(AeSZHD8RR_uWo=J~@9prn zx81~WlYD`EAoB5QJ3k@YgJmOQ)K`A|$clrc+lfYPr(;Qg-rq8I{=%^G{Kd-uE6CRX z@{N_km?Rv`2(iz;i!e^8s!~(HGJ9tNep+Y7AJ+NW*zjidfxd4V=qMw#>4Ve zzcRRL)b-sfUG0~8R#2@cxjjoAKf#Cym*RSw=@*%Oe(PysSt}_JF_o2qA3kzc6=dBK zVfPc_;_d35Trl6C2qz=kPgV+DiT2||-lOhAQ-)nj;u4INLy^O(lBr2UcMQ7;yAw;E zN*1(9yOj1thwc*&?+}FC1p8Xy-6{6wUKI6i@yrEp^vL*t07h+fl`Y~v;3P>0$0UX+{DoIPAWl-65$b0w~V`aw=oj(d&65HMB zkNU(Fz39jfqY1S|AOA2MbYS3zu8&5CwR?xJ)C#K;`+i7o`Qbp{k9EjcdEvs5AB67` z+CK&lfanI*uFLNR!_U4^l3@07o~$=QRU#hvSrKE^t>|fye3E8RmY+!Xjg3{FKUJA- z`JwZNUCQ%6R_-6|yS$=jIfEn0Aao{x0>NCpz2^opeJcjWgyckf(2=orkG_lKr(fTN z{Xcb$x^?{2eaTqa|5keC{+~vD$%Hk^4O}|j@zWM#Wo`ePR+{fNaOpf)i2gUzE4Ldh zqn)Eb^>SqoIzBKu>MEe5U03$XDIb~=UQe|93eP0&@+6{ngH{6< zIg~W&HWWXqOs@p<@BL}|Z0bdkl#q~wKmW5TvH`l+I22C1^w3t}@HVPJs@oqF2p3>2 z%&Ub*6YbTY*Y=gYo>YWy&aju0`3t&&l+EqAPMb>PgN{bGdz8aTY%s== zp%qDo1BfG#4hQR0yEq==(qA%G-UBitl*wP;&qPl&FrMH`y`6lz_TN#GoLDK8C)xwR z80g{0LHL9JI)C17R^4tFN*+ofb+`8{=^nX#uOLJv!cc@!7(Em>98LyVu%Mj^w0BP- zMH<2S3^fs+L-EizO;bo+VOFBulL)@=LXV;kY&q#i$TQN;fFHgq4vh7e2zSIX0LC%E z0GSXV6F|u52$^8v6^h+`D05gva#!uY(07se9i((IVZsVr%oCoOLMI+t`bFoLkS55c zK($0tEe3ccHNr)F2H;lHU-IPH{pTnbeHVKaBJ=%~Fi8>l5ZQoj1$Nbrwm5`K8VUo> z$9jBJJ#fja-fOH=9oY2)8DYndgU?9)Mq(64g~E)3LQ;&79m8t(eMVL7RAA?4#)~eU zrwFHs;G4i~Ab`pWF!A&#x=#_U1=jr~yURg;{Q^10qQ1{&cAh$N>intB&uS}|5THN+ z;(C@0j2tZ4kxafxUvvGL(ATs>fCG6d?)3)0VWH$>7%TfO80(as7r-D~gz;_OKB^L~ zM&t0WRYA(%rr>P&zVU6LpKDk4yYwjhPXS{vmV6HF6b|1nj=|$}45sfga-=Bu&@SO{ zH!bo%t=#2(nzMVv*;TjyL%98v5J%YoC|?;0Kk~66(F!uq4J8cgz|et%o?~|hI$&eI zrSLFNjE8m$haaF=y$OtmiUQa_2bCWjc75&69IzZl09($*}ijZoQ08b76?-qC;^mvLnZH_ zBxd#AA{^043MvD}QP43T;imMypNw^Goj=w53|8&7;Sr*VLPG`&!RfKW`DkP1ky?hd?@k84vYpyj&>A*Afds)_w0Hm2?y=*w@Yiv* z(r8bA^7P1)XGWepJM!eY5z?kLXZ*Z++y}-+-GrcxN_WBv!d(~Jt`b(p3MW(T0a0hn2GSo?Aw5s4cDV^{v9LyhrGopw zwIQWlDm|^Tt4~1Xxuo*!SW+kc8iN`QE9x4!c5us}0?N0=8Y>5t@Z&M~0k+}}_<~8p zyWiDl`MUFKE2#fBP;eXu_0@qf7`$U!DnT4fu1|h3^5mCPy8YLSNb}ObN=+wnO?K|J zjA{0e_E5?;$WTKy41hgA7Iea_DRG-7R7|rkA(nrt@Jqt3XNl_*5BhAq7Ox!k6@+Q_ z454zmeHw9oQYfaEQ|vJm6Xb-!Y-so2e|Ffd$F<{Z%{k$3)9fDY9zzksMZ%-g>;;6i zY~kC)U5PNI+ov6xB^=HYgn0?}_^n@znSHgJPc?TP?g= zEl8>D#?1{m2Wg)PpU2qE!jw3BVh(sf5SWrV;a{0{AfRbmgEUP zrrWcP*2+Wa!r=^B=6>2`_`fBuPk-`Dzr;RRSs%GJq!@L1=j?Dm-?<*eN7sh^Ngouk zPS_$0q(f6dkhf0*1_rLhn@976)U+N~kO$zONpvE0=*D3aQP+5|2}RqXrM2+a8wG-e zh52K#N3K~Zy7Y^FTVUi1?~s`adXJ2?VU6%q46O36kySO=vKOEXDw7=eVj~Uwh?inTW5)-%;a@wh*8KY9 zmt?*LS(U-ib}9M5TsgFIIG@NY6NDEAk{!EeaCAz%dB|*!9~i6i>mCz!TNCXOLn}wm zwFe6iroo2c(hPz@4Heu;O}BQsG9h(gbbIzF!76h@%29!I7qQMuGkV~feB1f$ z@xJf6$F^I(BikWjnd`vVu%T;U%xL+!^JlwPj~mdWHXVBBcCrky!s5e8Nx{4H*got= zS0a_Y7sxt;$cAWZPb2#lx6k^1qb|r8{rr`FUL~J^!87U?vs>>Mq;l_B@<|q-)RVWn z_cRe-wo=~jvch7v9=0Or`q%sXmHk(`N8bGfxVsa~C|T$JS6uYId*%Eum3>zTi38AC zoe8`AkDMBUb@0sHr`o?ef2zL;_Ek@HThRw(~F?t%3cJ}F5NJLYzH_pg2rGLVl~|%r>lFcvhq-oxc)(y8Opr zB|mHCE>3uPCd_#4TDtY?3Mo5BP5Q$bMy?3lpW3*}L+6FVUkPNfEG~1{g1MS5m`mw` zd1QDlESTx?7*zZRXzmYN!1Wm$&(hMq)0Ff7p?OT>#8oz1YVR9MuRI*i)>;Dn>T_!R z5vsgBiU-O@^+_OMf}FIDe2d9`50YS;oaax$3YGrhMgLF)wy71q?%TV@K;t*csAHKK%s1fis^aXl26OeUHXqEpgag^H}` z+9Y97R`lv5p*)W!%ImgRO4npX7bOWf@F~}yYiYE!RJ8$$acG9 zKxzo^Pf2+hMVLpvi)KdGW=Ge;ClIP`YPOWMwPfLMe^!;16L`WP;~gk8H43Hkg_)31 zV-Z?`ovi5T`AI@uepOqQQ+mU=G{GYCif3O@rK+WIF8IBQPyVEewcd9c?Kp$B!_-Fm zvdixmmeYgCYNY!#*{_vy23Y!PKhi+iiQ!)l!1}Q7bEt2$e755>f+0nb9HF0mpOdbF z67ofm0R;UzgJfDpYl9IT*xnF;2oT+z``7J$LX`rc>+la!A`~oy3c>I%q+m4m_zrwr z#6mTCGM;LSJP-7phKb+|L_}%>+t1aCo&ZJ2ev>)`y|b#xasa%9sq?46e4|%)`JF!n zlOlnJ<;L~yQsPyl$II0M19!wssN1VLw(IprgUl!Veo0EeFRXT( zGAGe|Vpy?#hr&ECXEgEnP}Q!mq1)_1#X`{_XXlRo66+;V<(TIU|8RWx zyZ&~PcE6N^@2Gamoj-$dH{C4!8fo`AJj3kS-_?+2K6nfbiXvb|)B6t`N;4m|4fZDs z^P*a^);Gt#R*hltrPdn|753NO4UIOpn~HEyofc~KBvrB!N& z&k99R5NU-^5p%XP?SRACW=}v#0=dUJ2m2L32I?N`7-T(>!GP19>$r29g!+g$W98v= zGpuWLMSsmO(|E9mr`AfszJn1`M3_L0iwF-!Kty<66jX^NwQFGj?=V^uFtguHj#vPBS0dF^GR#;}&ieYcfH)Kn2$R z&K#m!ow-!Q{s{ZOd#d^a$fovL`-dHKI`ei;Ge43Ey(%n;>M4T{p*l*~7S*FVI@2t; zMuS-pZj0)1BLz)SeYwMio~6CY{yn?#!)x9Aq8^MopG(U9p!`y%`EaIr$Zz;r*kzj| zghU92Xs+n+G<@29s?^V5;WFE->)NCFOI^p+Yt!F=~ z+up!*<>@pWR_iv~+z}&q6HY37lt;HY{oXG9hSo2bWnPeJ-Z9f$`N&MXvlaw|V~Pgy z<5dT1Ltcbmh4j}yV-7BuNuZ96#q6GQeh%z%=5_g<>_G!L@z*979UuPcX$srU6|EE0 z(X}22rCODTr)sfNNIo3ROc4s?h@fy;*MWg9h>HLl{+7M zKI?pzT_r5VzpsvsQe4BYH@n4J;iN$#)d=Ar*Ox2&o$FlGw}gC^y|ZX^9;l#S5!FA} zMEN|z_gs4jJ&({?HbMEm=Ro{afX0)Co&aM^3zE$~ZW z!0)`qMZzzzf#1Hyd6Qzu{QY$r3qK`tn-G{3-9+*sFkZ)@8Y+lsQhyu7By);6SbMf=9(B&Hx& zH?$Aw-O;}6lKkbl#Wnyo6&9KD%8{0X%<_umxgv%d3v%@U;1Ew4IMv)yU0c;+OUPYp zoqNN|6!VQpt9GtM+!N&L1+_SDw6D6p8hQF4QwDBnYek$dV_5KG3@_RM3*tn1n4GTc~>T5RJBF)GUgiI;8zNU&cHosublDSEn@y$;e_+%~w?W=3tjJQy= zug0usjOEBVICL=| zp~z!$$VdCyHdi6<0<_Q4)B^k!79y^YHODZQ@)K<=CX8&dMG|eCTTnrXn@W&+o`b;> ze0VW$R4kxa7cUgqDHYi%bFi~mWT%|5qpM)jDz~&PwzS<)RlmW4G)vIFb*(KnI&ezz zmldO+r8v2q4~$zT6AWJAERphpip2#LH{_NqDIh{w$%L{>sX?ZRY#2pOz zog#9ph`il_{4Nps-6HZ1ym$#9?{pyF=8PP=Nk-m9k>gLBaU_3r3^7to_$2|@n_llVJG0gD~;Tvz@Tlhrc7Jo^+r(fWwyps(#kE><^*?W4NcqTFglW3tVx0}GwS_HB4X~g^+z{9nVt`H% z#;5@@g8^DD8ld-S^}r990rLMV;j4PK(zq*0%hfn3i)BMe{Q>vKVbU((`m^?A8icVPk!n2ik= zDcBWkd|$kuo}|g?#U<>qJ@-9MOhRiq@*WETeV(0hr@{6s7f( zD6OBNT%7KHVe_^6syJVFAnsQtIL(+tQ;N6KzW$9hWx`~0&B>}3G}N_W`%?xtkKe_) z=f9Mv0_}C6*;2)9FH!JZ#32QBWi$$fXpzA)VT4qQKT;|e9DWn8_i$Cvsm0(%k~+PC zlYt$*i9>JVjh<=+oBzBO_?A_?&eMkx4D(gs;T3#{!jB^K^aq5SK$SHN%&cW#{yK@T z+~Rv_`}Kc72^kCw3ojBgio^mKme4>2-no(w)&$9wb34G@LWW>gFGPe3Rp8H_G6XBa zXtOkaf(YMS-d0t;9vQ-EzR?gV;mJttD6h!1#cgShM}{a`s`ZPWP%B2{CRU^pr@;5G z0&e0ZEYs3E%Ahk02^2w$Hc{c2Bg>YQl;k4+Bnfs#Zbwh;{`^Kh!(*n{N0|)Q zEKvut7$?~x>e&kXQ3)?7au`&uSZNL=rS;E~8Uh~eyz<4!kdH3|XT}0CKR30hb(u+Y zI+3A}mCj|QQzn!aF+Ph$((~|*H$kiBQ_3l#mmUIMdLH%BcgwzPc}ZPs+d|8&NDY3v zXlQtMoV%JgC_HfYYuJ2TnD3=aRErQMI-e8GI;|m#+-P`{QSzy03;f{+n&m zt|NZ$HSZ`~yw9i()ft`rb*51q_{v1@^?^8N4X+ChMhSbV?;axi?xD;S7{hS$8lDdq zfFg0xQ;7up@(s7(1u60&Tu}3c)h)>~qh*@Zw8HUw#EEHpX88r`iwuK5rOx z2li4CpF%lN228~Z*78O#aD*x0X^2mwCDx#HWSZuTJKwUVuF*1Q!y2T{UF45cRJk+JY2btG!#BK2jR_zionbBE1rPO9=X+fH$Fc~y7+ajlS=C!rd zC+8tGIL1_P8HlHjv2*k0TH4BydZmO0@!${B@;npU;I_aG{O?ra|1G^ zvQ_6s=3E4A!o%-)2L^2hT%>EKA>Z5NdSo9U><&D-jt}()51GxhW)#tm!yhvSyT#rS zi-PXLS?l>g579g3J8GrqtCO^8IRy+>tX0!f6v>X)IW|D4ZLnPxY3ksS_bm&m3ksG7I}d)&3Y&u zdG|>Lw?P58(+qPOia3BTU*&^wa|7>c?RQ|(|3z*gZ9-d9iv@Wd5&?iE9XQ=$2NQt8 z7K;UOq66+XfD&vKrTL{ZZMmgo<%oNhN!;%_ka*f2IyPdnViEVe*i`VlfgxX;3EIHD z$bchWVw}Bx+}qXrsDrb(SMat$V{G`VGJ~-FWGdKL1wC*~1e>0LGG50g2aPjU`V0ci zj6GCB8OZo1q|*0@!^_b!^;=?1XxKY4V!2^n??ExKrfKQ0CCKTr_{FY2Jb|J zAm|lQei_IYymabA6WJ_6L0>ReHf}|kY|mj?LuN7Em(U*2_DBk8DqONK4|x%Po*0cZ zGcr<#o_OP(Yc@1i$JrpxXp7H8>Wd5|Y&{vluP+t*s?d~IRbRibiR?lk^*55NP#`$< zPTk44pxUVZ9)QF)jj}cgml00Bg%6z$?!44#!QjnH8ri{=76>uL^^!b%=&R6QNMGsr zyUN$sHQTa~*Hs6OpfQC1atj|I{3bIaE2SFzdP)2KOLS6iMQY;3Gg}_05FJI>mj#hJ z@y{ulOJr0jK<^}-h1ntxHW3%jLEbJ3k#VAJ;LVHLrgc}CGBT)75m^9Rlgk$(4t#rJ zQ#?JO2y5uv<|#T{Y6WZs;wLs31Bvjx01acz$45jD6To8RGN&PoQ!Z4* z7$#yAa2H@q4R`Pd4m?Fp`O`%)>@Z?T|Iiteivfsw+}y(Jl;H{ZGEj^KA6(QH5M*(F ziKP)~lA$V_8=3S`_!OMp#tVA0GjM4c0S-vT4Q=2FO(o7OEHgn#r{nH6o>!(Ru%gc> zgr&3fi#`LsYpb>b8QUCKc-Tno@RX*ALuhWSjQh7!fT zs+ww>JXFazMce{L-mq{|O;}*7OIaOgW^@@2*~mv(7ZG(K3glU?0MD`qs2DgvKgjuj~bc> zwrP!GUp8GmchVA5Q8D7yGU@7S@h!LV+MIPV+eD$3A--Ngyl7Hu>55J;5wLmOWWhzMTl=yVB2@zdPNidsKsC`S2s(Xp^z4+KmrA9<6btK#a~Bauc)*#^KiGNx8Nx8@R*Jw@J2T@Vi#@ zjkzsMmY%mWDMPQ_K@=6f?_?#Kb|}f%1N-DQajDGNMET#vv{=ewU*c$$C*7;OcIR zJ|TQK5ONQ)jtKbExQ=ivaXc}RCgpQ4DT-Jp@*ZuOBhG2l+h#0L*C73S2KE6#Qq9!JT}d zb^io}eUlOTKP8QM67qt86?cFk3Fw!&-A#DSqm3aF<_;?8>Qem|z((eA*xNfy`qvrA z@C@WcL6?Ia$YXVhKfu|EHHL`O0Mn{~XBF7n%JcJ{W6Gm_ULs0b%hq2nFn$7Gq(x25 z%X9A=&ye~hnGwUw3cRSD*M@PgP|J(BV??!JDg2rQ154pS1~iX*lND;-n#jKE+hhp7 zLk7oY+Rp_P`N_o%;{V=T0%?5uYmX(RN;#{TWO9eNN;= z&DDLxfcTx3(z*8$(d*C1Zoi8UR={rP-LMH4;;N+0&&$KjKY8my-59XWUCCHlXxSt=UOcD7wp)0u3Wazu z9BP8Y0H<#Nqd|p?K6ugHJn!$TWL}XU!zK9R&3E%*i5fx))}r7D`RkOD6H{K6n^%Cm z^iU{zNdY{gV+Ci=%gERR6OFWi%1Lfhkdiuh>R>3!*h4K+h}hgv(t^BNOZ9r@IBpfa zCPq*l28h{E_6?VrsmPs!)DdDyXa?e z;6YF#PcD%`h9}|U9lVf}%pl29V~P@dB+#K0rSoo$!@jChMHV1_HI2Y-Li#j(`3FCp zH65yySF8}D-;OGQGbt`UDbNE2TJkf!NoD(Ad~yWSomfJ9*w(BsKsRf%8P^#u9gZz9WBu zl%E9o3my4oQhq$-FOu`Qa@N&c1*7PBgUrKHk%wiBhv?->ymc!dnYog%PkJ4ChmFV9 zB#73pa^Sp4$pZK{Ll)VO0C`tYGI-HmwP1z@8P*atDsC;Yw6$4UN?Y>l*4DKleXUaR zr;A%@7VJ0jb@-WWynYS0PL#!Z=c+r|ir{8wR6S55x(?JDnSOCitO>riNGwOj<^p63 zKoZ*o3(z)6EGuHcQ{XocT86k=rQ9lj-sFJZBIR!((8k;GciVVgeJ9akvLG^8v2Pdb zskbvu18g|C3syFFIS_P62r>wQ;7%p38uJd+Zj&%@U82zM!2`R4JMmq=sP-#Xycl~` zwNhbC*lQwZ2~v|HQZ5Y2Uyb_bkq%yn^vy_q?r?u8fPUsAnTi| z;83y%nl%wLFxwSZjqt`BW=||J<~VQ=)?^&H6Ct@duGIef<;iVnz7<-_=ey#QBfQ>P zAm`UNt%dwT)ShWZ^e0c;IqSVomdgHgIt^G4Fxf%v@~UZEOZ3 zZmx8?NPRm@RYdt=z61{(d|<1i6f`Xe+;O_l+M1U)C9mAJ1_H)55xtE~m-@R{U@fn_ z+){6;ZresIwC~-37q(qimsPd4rXn@C=5$2EaUk%{Q2@B(l<_$e&JFo&gB2iK-4xr* zNZU2uHw2D2aMj6}@!k&KtE=HG3hb|{@0A7Nf8V$&xXPe0SmzYm$_onSo8SZp(t=y= zkW{P1vL1PMi>*Z5Zn04hutw#NYZN%*&W!?pTx?VT{$K6cOA4lw)f#D!FZks!^rWN# z{Bo9*7%W(rk2H_47VPy!i!%#S07WGZiedff3XvN%dt8K^pQm(;S$)b`ucjz7(kIlS*>;h%~sV$Vye(Fb(CEm7B_{T41BSIKPXO zn~`QZ&8>wR6e-Y8100$eG#dimZ8Uot(x%g#Iba{w-%IMIA=eCm^qxubDK(g^Gua+m z>@3*aU14cyvXxb>wctCRh!3=8u_ARg0^74>!Gh(;2ck8m(#4?CbBY%tuN<_Z+ywEr z#TCde7p*9R#YDH4(AA9yWGI0whjY-ys_GYzTpF(e#znrg zrAYGJtu3@Va8iconKFP9dDSdNQut2H(U!9u5&#gZsh2>=khM*HgZxvw6sW@Toooz< z?CF=WEVkek88NOf$?UPE|5nDf&nhByQ0$$if_%i?$T}(bCY%!Q5fpbbOZrtpGg#Na z8leXHLLiCk>&KZ=k~YPoh}Ae(>lv=7h5&>EE;y9zWEkP>6`O~qr*%*f+vpBQTpiB+n2Xh}W5s5~t!IoF>T$TczkW#r zOKKWP(2`&P_KFu4S0LXeRt3@!7DQTzI*G;kr3E*@0Yc<=i=4G+3Dn?P7_R76{Dz+) zO3{YT=+!~W=nZ(&1AL@4d?P9`EhwLBDk)w#zj)!?Z3LZD8b#hZ9w2q=1c+1=yGaC@ zTd=TTac%{mu}MIVEEKX?1f%CCcTx!KH^i0gK;?`3wSBqbU?} zJAR_oD_C&{4sY`cQ-!b$;;;NDr|z zCs5Ps1*Q2&w|#sjoPk8Td&lRMFPQ_8HGIpTU}FY?Y0fyxixwlz&hdFAFkwu{DL@(s zvN>0ri?rPnate?ZqHoSX1&fdtVsB1axu%5$NC(k3r#w?GOaaoF#*$0rmK zVL{Yy9A%`1V|3$kKv)nCbj|`{K}>L54hU=i_$(qUh!#3QF=0W_&?%1z>#6a1L|6yL zXHjAGQ(^H3#}^S{1^fk-;3xL-+5iZ|A7Z*Vf9YJ<_lfg^*Cobsi`6?sKS z`-*dB9vn_YI*4RC;2P&FF36pacnD=WGV@9of@N7!fb_39XTzD=C2*FPd!4mFKj>Uo zUc929v=Hgv7?)YGcu5`@#y43F;Vpb-A0MW88!H~-c_TzV9W+TZ$>f3b?_%>qyed$)+mO#LjRBPc_pO_=OV*D$7dFnl;&2T@PFY;4@0!--{T9H5HyC7 z37Hg6_KJ!$3h%)ILoU+;&h@0no`+I!L%EG_Hk3S0M!7ky!M|nN|IX@xXsuNE~ zis1jwe zMu6B|2CEIGG_u^(LClqGr#bV%|4y;dO(2Iihq(W;lUh0mze-Gr#Ym?RHxk*Qquj-F z%aKkA>x>Dg{ahHF6}p668i8vflYunuA~NY}2{L+&Ltj|hY-vm(mrOh-*XGo0DHQ3Y zV7`tiucWlJtV;}Bvg0^b3q9bYm7=r@N{US|USY(meE}uiLPQ2Ql1XcgJ4vP2FaT%d zh!an1>UFG2Sxa4GTlt2DhN>3WLf11c$?l^cPXVmNRRH0wXMtUi5-HRKiUi4aX&!D7#Kn6h)W-yYA$;mXiqK^rj@jal6o~41SWs7O<(! zFIM0)EuMO7Il~$mwPp>{R6x5)P)I)Js9VCSh-yejT;Ng?RCb))D{drO4sC`bbO24X zdOGrl`0zLZ8COynh=EeMjuq)vO(qd^lLF2cI!K5Yrw9!%2q?2|hn@MV2}A-`I}>rL z1(9a9VeDi%0xhtwvBHUp%oOrk1K@PS9Zpiz0#5lzq!UiBItLK9o<)T`App(x-UAzP zX)hn(+XS`AmImx$n31j-zftO~wL*BA2nP;S(32k!P$ru;B&JNG0vnjF#cq^ri)4yA z9gH}b)i`f%QPtCJ9#^r8?BA2%GEwy)*we~mn=Ejkj;y5eN*9zB!}4mb7;Xmrhs`bm z>tz+0U!IG6+8qr^MLHY4e2~|Mz(y7wFtCF~w%)y9`-D%xkk?Gm6{^)9jk4mmCC#$%zcu zk)nHj8VC-L*IdJ&uXHHDke2QQzT5|Q!aksi zlKxjZX(7#r6C1BNISKu+jNb4u>yy8++T17XTmSb7Sngi90(t%un!%!K{}PAizvWSu zM`y*Yj?w94CNv{XGNi-P|34(hF&)fC!Jk47-S`y8q|>&9<}Fvjt?ukZu+VdG%;5XvVCxqSaEJgDn<`9Xzc1)ZsY0<0c8SgAyK^^evbAk)wjk|! zD3b4^k_j8BzmmJ1zeq~ubNXUaQP4LczT8f7zy&-HaYx>2LAq}xTF^exJJx?E<;hoc z;ZW}-f?K)?yR@Yad=1Z0S#>Tm$!mTfk{5TBwo}vj<_quH98YDS4 zj{L5Oz5+W(j&xUnB2(g8$t4Zm6NGit%OJ>i$3r!;?JdG?^Y}(VPu1w5-)m^*IC__bl`KGk}11~gh{$=p!Sq; zacU9;gc0F23CLRpGWtjaA%NgygM1*^O?d*vnTGU!kOEobjoE%a8VGpPxkn38Hn?*g zrBmYM1AK(lAfXZ?A8-Uk&rissH?bl3Evhit)uq>@eFGVj(pe=4fD;iy0Gyt3i-Z9l zLL$ah$UoE>5&{_{eSA({@CVHjeAO|ttCSzp~yN2NDcN$tLpFUEs^ zfvp>eqSGjD3I6;qyuKD9>12lIOC5xr(DM*b{{yPrVyHzzdJtEqtWQPiB}B;dqM-K; zOx}^P;5-K{Q^FDgo=#HS#cLC}<*dWF6=LvwB_JSEDLBYCGMqs-E8#S!SV3j1QsUt( zKg0CZq7q2Mxf&P~hjWeiQyR_%ixV%^ zeHFHj^_94M>mmvx1xP^uL)U}Yz!hi4GLe0mit}?Ta!HsEqVhD%*B~ks3G;cadplx*3jre;BImeuTH(-F%t^qqFp2j0a#`|TwY}OYm=yyr^&gWaZrOa{XTa~-v zY!V!B#ouo546yn4ek|EnJ2_OlVJ?<2>J zw0iC-)_v-JXsLM*F&O%TwCIMwLy(mr-yAdcW8_;QV()~mYO)M~0GXEVc|9hU>QaYCP?Icf@1Q`dsRo_7L)eU^F@ z_JUJRYg6#9x&M(gGV z6!t33U@X>?ji9h&G$)qgwrnEJdW|*5^K~V-Ok^{e8)P|#HGEdqtTqr}~Jd4?$81r=Q8ZSePf zPr1*OyTTU1%2SWyo1TY_d>h*+gXiaLr1DG~d)h7nMGYyPqI^4PRd`O0cr)UAcM+)Y zgfmQPmjrYdc?8c!AHlQHNAPUy5j+~)WRKw4*dutwgx4wWQ#1~jd#i*9wlC$^@np{O zKcL`r3clBrTW*UWeBmda=i_Fv#!dUH*wzm5u{_(v$MR&?0G`ql1@+|VLcmtSkEa< zAJ&u81Ph~__A#ZwhQoHb=?j}|vG~px_+Zb!vEC2)M2W92^A1$%|BeS=;B_AVVEF<6 z#Gk&v8AIIF$M);y;Q9?jv-5I+sh37%!1X6QMb^$Z_k z{esyL1{8MQQFj~(iVbmJi6kyiF#2o>kf;(#_+Auol~bpZ``^Z-p4@rZpdGjCUPlzo2~M8}SCu=kE`;}QT+HNqj(ZAuE0Y1v(8bcKLJMJFfjalCR6}?w-1735T(T<~)sL@9% z>hy97728o%s-*3RL>CqIKElU)x~kZ!!A*s8j_~{{*k-FR(XCF-T}53tjw7|f+;~75 zcneUgz-1ln$V4bDksfyW z111ES34MgzZr0nR&3xn^GZQ5;6D=|m<6tHZNaC{R_;71HV?v)mnW%u%b>?N;iC|&r zq)j5!=u|CIL%zubspA5PNyI%x#?7U$F7i!Pfh*12hO1k-nHCx^fd0 z&zLVN-kfnID6CM0cfQOAc_D5tLl#k_!Y{nc3(9#a{I8e!_?h!rK3_tKkY$3%cPHh0 zfivIgg|dDpmZ@;&D=>T(vHH=ARk-mL-k9%D-+&5PT^tgl!(@q!hPy!=CQBW|WVs5z z37D-b7-ro{#+lP2Du`R9qRVmpjf_3!z_T?5;%;I(z}?J-FJCzsi1_VQ4lY&$BwQF! zSIb$+7V9LkrjolHM&0>Q7sRb$ysFoNo{1;aYT>7RLd~~M#bm$^sp-~p#Qe;(o*L z3g_xQ1Z9f~E2jI0sEn;DY!^HYv)Tx=^q5uT1_>Km{A_cy6P}+V6j1U;)q?~&YS5*y z6P$)KC%I5->m#%$WHa+7NVUuvv^`n!O z0Kr(PWW4Wkv=DjT&2Yex&`!A~VIyFxid|XOng?J6NJ|R37vPE}T9`xF$N$z-2J;MMcQR(aw zbSL1kQE`S2NOWwpL3bxqTj5gD${R^BL{pEbq;0RrXQf^t3pghiQ58<@}Rd>{e~#Y6y*J;C~NY#VDMW~ zUs5L&rh~hi8f~y!18*mBZ!>P)-c>;W#s9d(a~_->EGR<(LsCWwJy^^p>%t<$onUq{ z@_iLd==25({{0m`K>2|R8(-zaJ^#weV?I>jyjS@s&yy@2G_1n6yvhf}engr=uQ?<{ zbkvZS>5%4QIWIAygE$OiEADEJ@v`m219 z;-5I^7$2nj7uW;vJivl-{}#!P;CaX3RgwR&WWXuhaEv!D{8UDmoE(9CK9iFxA@#YO zGDRTY({d^~I|8ZCI7pmjIJ3^-za8UeS-)UO{g*^Aew~GK(Z#O?emX?iRCiClB z;L=CkMoa5V#QBI2zAhj^csWOwU=4kbDFW&IWbELf*KeqSi$`jIM#4&zU&AmMwazr= zTQ=X?)KWtz=vcWfVLj~50?zp^d)|e8 z$r7i6M#{#5NhlV~U)WTGv$-vEZ&7cRyMUz0wpumW9 zi)F|rh`&?jg34X+)9>;Dh6=e1hFA?NTLOIvW&90tSv7e_nid8xb-~{6@qufX$%O*)93kYWABuIA3&VQ8*N|*thH@e`R@9~CpH^~LJk~lu#xOox|7U74d z(IrD)UE6dkFcwfX2{f;^^j3HXX0;4TFWLcUHR#%LUT4fhx*EBV-0`DBCCGxCKjC$F z;c?!revMoXHz{G<8`n-E1BYAyQSCTDhN$ijVWA9Z*2&<6sa9ZWJ!Oj5)XOETz}P_9 zfyc--jdIZ%;D%Icnna2-e_%+i`K9{4QQQ=g?6g8%>gR* zYT$;+u&i4(8y&!`UjuHP47=D8Pz6I^lLJ=l>cGt|cof*vZ*df@sfXPM7#+8{;E)r% zvF>(95xHjw?Z0C(g>rHOQFEsQw9Qgq51`h`pcPPp{M#L%bPh4vT<~Kjc!TvWxuC_; z(6kZsG4Sq5NEXu~r0sA5Zmen`z@2{pOp1_ps|?&s4&=f-5x8v6$RcuiGE=@6cr-v zE*ZF00`8s!T+V>~cf&*=&S+87%`mMzKxPJq;f5oa54yk&Y8fUKVE!}-W{)$PX#j#J zf$Vh#Ndu65lRzGF21y5yhZ%@fnnr+|N1S1D0p`(3XdZJ0$petbCxYmD95S-NghH-c z2R$)aNS3x-C_`3luLEU6(*`mjYyLb5>3#>$1_`Qf64a9psHR4U*n#G0o|*{7A8k8c3X%VF&SiB__B<^!XkTzH06SoO zkySFnMYNaXvevd1iZbx13$8o~kKTgbzdXJa>IS~TN(127*{kDAH$ZXFF_c0 z=gRJ~_`8ueP1!4m_mVii!Ck%$0tI;uHRPlc(sANF*m|REImb@is@PP=D3>#^{sh{%^ z-n^8Pf%pJi^*OvN6NJ@Y@iF+Z&v|$6U`KIKDE{Cp-l#%)fxZNq9PTLdj+7{q*F&P9 zfKk@Lo5Jkb&L>avN-L4WoPxPgyU7s#` z;3!FKd9tGn_JgIHDiAq?BWr4t#1;xOi}!Ni#17e6$2-pQe%4fW9Y{Vqq?rnLpuxKq zkAT1x2K96T0{eb&RUvIWH0;RfW=w#GsFw%aUuUl{XUb39!o_uR{Lm*$WR_m!<7VTZ z4(JU@nmJCn?5F~~AxuInT!Hu!exca;bMdNkd|F76+${fjI51&P4hO z@o7nN6Q-gxcTV~8Wyt@A@xmZa4?Bs@O0IvXmpf#)VmvsJ-Ad;Q?B)mD6lah9+$@W( zk~Q6{N)!@Yc=TH>@+qlHHB%R^MiiF?;gdP>u2#P_awR6$wW3^WMZh|SoL>jy7Ip({ zah8-!OKPTNYP_vA6S>0uaO6=BWsr^h;Ci@?ZZZH^YCLj>|5c&wHpDfdgbn~!H$##M z%;OfwvP{hkMecA7+;#`@YK1SlaR9p+ZH#8<2Hf-|9}=@s?5GrY#C4N2a$wAQZH5AR zJU#;j+(rj&I`X>R0UZJ_6N`6>$TjN%c!t(&z6)k2;1=#Uh=A~3Zjf!yc|OqXE>Hvg z-E_FdbU4s>ZGH`jEY`4+hox#D)n`De0ZRP^ZOF;{Qo0a7Y|Z;uYL&tU2(a zZan?Jg=lfi*StOmuGWeY1S^cxAIg%68OAq!%}2+5BVzEDw%i{lm|NJ$) z0{pM>4Mm!Nk82yTGw{T)WTWBUE8)sXEV@r8z=JH{rD0}g;E7@JQL(d34gAiDZ4_@! z`;>hlX3!hceqS>D@+rFWqUrfcv?v!C8sCdzEq15c?`uaje4#Zhc$VgpgjTv>?FWwr zkDCNXMbvQHmCkrSFw~(x;+}8#kf}d`q{w;a3}m=M9;|>9+*@181HqX#uw-{2HQZ|z z0bH-b*f;!&=qrWczHpb79&4WQe+c^$_$Z6>|Je-*Z?d^I+2hT<$!3!fLf9NY!nsRG zAQB*uaCveifEwRH^ZOBk7B5xFqs7MP zi3Dsvus>O4P{4Uncu4}M7uyg#VURT9im@QTy$Qk+Qbd81!DCdjOt`Ukv1kpkfKp=R z2Rg0DGZU|w<79Zmyl5N6J&c!6wNerX)|<^-$oxUE7Dq&~1o5?COY z20wKyS(`HBZ4ef2=}Pj%h{khLX0HhMDMHbvjpAF@xE-Yw7ZA@%4g4llsrN{Qn{bI# z<_*$mn(Wr1XnIcGS zqVQV-U!<^CC$X15m0y3(?aiNN^pB8tM)v^7HeGc;hPh_&Q|Ismex@J<`0Mkt=b%MF zXyGx&Te1jFJb8{eeG%$3zR4J%nnmMPDNDdZQn6?0Qc0eN+A`Fgs2x2YHBuG;@PW+j zLP-Mg7aO~0yNzj=$eE0|l;3>bU1Gh=!q0t>kQaNoEH1r*|LeSaM8YBow!Q>$xjDB? zLrm7aAG*_GgfP(}a-@uPU8(4Wyc!G_CT3f%78{RbucFx!*x?#jaMwza_$0JSY&m$C zsF${FCi1inxft3Sh5k+novTYxy>aTo(HeIe-hD>A zpa-Hmno4@;wHT64rfIzGd4~%ttOPhcj^F%= z+Z}6@$Lq)he()1_X&xjua`Ch$(IsH;1(>l0IgUPLr10R+9qF;D@@I~N=l<87o$gf4 zAi53kd(TYcyMFG-n3+!B;dzlE?+!f^H--PgfbQ{G>e?kPG{@jyhzs@L1MOwJ#PH+^ z2FhQ=;vwRRt&i%u&*0DoC9)uK51s@yH4lC2wl=8n@|4Y@&}fWTeh~B`xUHJSh)WP( zY<#Z{=aRwjn#!!0Tgor~-0jI7IiM-Azbqa?o&i^8Isd`u;Gilc#|tse_>wTjm>?Lm z_$qu*Y_?}CO)w{69M#2*R{=h30?+!woe?`x;cpTTe&Kc}1XOR}u7^$LEnk35sWFP+ z3@BYRiL9S-Q1o266mFF`JYlM%#2x--XFCdbKb;f?=udoFD?20;22$aI7;#Zkwvz$Zbt z70j_R0-4RFA{I*0DsWc_9&Iq-tLZ1@UkB)K5kQQc5r9CVBZ)qz z8xl^X$}5sr2xm3u%CGEM1b`* zMn!U0Ghq0_Een}&*H;jfuI2l`f`|QPe)+dumM57r18KFf{(`_BOjVFBVE_Fiq zQ<#>tG^>%N_43BA-Fh>`zpid|`c!Ne@#|+b7PSpy?&|?X1p-fR=p&wu3P*tRPQ3y1 zqZe`&RlNwcaB@f~OM+0iw8Q9wRqW)?e(f%YKzQzJcd=y`PyfbU=G#qJr5#qm^xNp) z2%-{+BFsPQ4&Lz%Vv2Eo*{>aX)}7)Y>+68AMT|bI$Mg-#znkCsYlk=X2NGe!?%`*@ zaTlfED?uC3?*=@TO~0S}zjdcM_nK&+r6Jc3rawSfbcY^9Q&9GRrnDp~H>Bf7#Jdk% z(By~Y4uxPK7C80cfpw_)e#`;77X1-)55MmLeb9G^p2SvX4ka-Y zus@nf#-Te#=;^UfNWR_ulRFx9R|wK44PuAj8D2`dNDj*nNf1NBAD9NoQ`A2eQA`^^ zL%1mVko0Wi2fV9M(uj)ulz!5HOS~zY8Va8*Nr?X>835W-%>FzRudB>0CNkfMqnD-q zLRKAozOkfV(&!}5i9V8EjM&LI7GJuc*+k=9w7(qLk|y+u#FGa3ly3Ia*GXzJ^cSN0 zj8ps`3o!bI#JT4;{AU(DCmTYlIL4rgw7)s<7-P;qNd3bfjXEsh&k@%PiKgNhs%D2}GUWXPV{I}(nRzemX6f_Aj`06NK6{|L+A|CAu*z0Y@B_1w$;Z4$4!hbeoF;+zuB z5MCfMQ23M74=Ceuc*%hZCqzxfsp2M}K-1voHI=C*>qDLtrDukexS8{@vB0!NZiWJ1 z-ZagnA_|p_#8C19tzgbiY3GMOivU=r6pB&581TJGekWm+#8_OL5JUwt4K3r0`cTu1 zAcZX>u~hggB7zbvo12kDLG_>>uPI$ZP?g~2ErKY~@gFW6jwq50nQTbnV|IwFqHjDY z6^R3#LlkQtsnpb>6@wdNxhqBtrty(Obk}l-t7hj*X++t1oa!7R>%h(-zKSDuK<5dn zbI7#RcU-asFLa3=B`H=&-YNXR5Zx1kFiS8S@sLDn&AP_9lt&v`vsEt;WvLfUmwazw zng!SZ<6Q%tEv;x{uL(ieADzWRpfzY&NU}&*83t8GzRg?;CJchDQO}kmXAg(iv|OGQ zt?MC3uktG!o{y{(l)DJN%W&H0=~~;-0Z$*HO}(_IyS=9ku1B~Jkwz}D0H9N}BN4#d zMk21%UnD@nm9k(Sgf)n^iXdE&UCl{QUQ+S=K7NEHWWp9*O42w4T6(`u0bEO;cD5CY^etCgA5EitTs+91_WDL zjFgEH!k*m9kZi?PMsgiPofecUmN%Kd6r<!Mu)4(m7?hZL-L(gk5^F6c2e`J1`YxvtY0B#3DPBBv!?o~Ge=NXWE%us!B^l^SYqL2PCYeYDT)NU z$y_ZKe)4sPBk@YwL26pw75o-W&kJ28do*vEw3U!H+m;#ZabsiSEX2aAor4(g=#Z|T zQ7j2EZdmGKdix-#F0KVsI7}e-ipO3EbVRB#XE()jA|fj?A3e=tsStAqqYo0Vc<}{s zSD=4 zel%9k^7g2K5gkoL3>k75XB3M8zc!T zFzt^T3Ke2#0EhON)TAaI2oG0F66L|~o-W2qeLS)c;Bz)hIVAL~D$5heYiUpNhIm~c z_mrdp+tcRx<~|Z}2;w3)?(t{jNvIu_^pgHlet*247W=HC^yBA-lr8<@l9J&<5MiGG0VG+8Wi>^j@QE zbXcNrzKkryx9X|+zc#9{gjePIcndGX9rc>IB6+7wePyhjKD}W!$^ET`Pr63W9-+M{ z*FgI{&JEo6see$=(0!y1*gq127c=jlcpy>FOGPXt!NB3#FI_QXZUf8v3tyY4=cXcT zQuqU|dp7KEe1D=|7|MC~yAh`dL;Jg;1B6YAB)flpcPo&A_P$&&=fChbg?ACE2)q&^ zq$4X2;N8eP|4~!nsUh8MEjq)OBc$jD>diyuo$ z@P5L7m89o}GXDF$pxHiE_#Xo+2ad*uS>TOW+UJANDqkxiHu{$la|X^j8RhQzu3dy_ zCwyfQFIqxgdXu7kEp(791@V#0LmhFI>RH;i5hW3CBbjEAue3F*d@Y?2Wi9TZ2q#Uo zQ<-y!6}nfmC%O13G4!BW9R(7;q1Mp%0hp?(#3vEdgGfqrflwGFas9@tH`NK0{)8x= zSU~YglsOUy4F-820}+%4qa%a^M7+pMRYimF zuh8JmRo_S@t6mQgsu6!lM2bVmCG?CS3N%51Yd!6|1`D!OgkCa%kkgAs6ebaWN#FzV zmk1vTIT1_X@C;Z2F+g-KS~>D0px*qdQQMpN+bQ}`tJlh(3%XLBDG0|T=vN%!Wx$!s zCqD&K3NM&((f7_c1%a7Nh{e#3;rxvhL@X{bMjCO!NTrB9^oYx0_eZo9v*pkIcUXos zcNCwQs^^3Km+5;JDs|ii?Q@X4Xh7|d(n%UO5#lk0C3oCJ zNx_H#aRE&p)IO}z)M_L-yQip#TJq#E7`HSE%Ono&-qoZ->|VaOt*5v+RLoMRMvf_N zuGnc-$y{mmk`~<4DPW9P%ShH*Yd}+a$Uj4Ep_y_ErOq<85F}+uVj40c3F<=lCb8R^ zMAax(*v#K`=molvXz@&NV148wH`E}0cey8rJT_{TF^&Z7@5;oUM z&7!2s#jY?CBPLxj;3uU@5+E$06hKvbP88cHIxtD72#$|y!yZl2yZF5nzjv^t7$%G= zDkg(AR4GL?rPfhaisU%6ort`jY%_n0aPmp<1G`C3-6S%;uF{_z0R$rSC)>k~DPn(8 z%D~33X$X8e6bw$O>qvE(%@9@s@0g(xAv=eRbYRz^_ok$xMg#zRnpF5uL5Pf)OoR19 zbey3&&Qu*|nH?k6{mnu?%T|gdxOu_;Aqd;#>6zVwu5ksLW2M61jfmSQz`c=_7cnWz zO5SltLE21LL3pHT^o&LXY0zK+Apzf3q;wxhu`&!6dOIv1kt4IX5>inu?!t@N5$vvI z59zu!M8R)M(M~GPqG1JLc9=aPp`nB=cd@u~()$SNfL9wSt0`BDA_inVFrk5IL_jk- z3=J$K%w9DZnz4W;#8-7eRS6S`2*W!*49Ns)GjT8^lL(T42}w{w;t(!xf-D;-ZUGU$ z9TpT~p$(ie+Z1#zU!B_OBrINkh}owO8bg~Vmp8f|V+_ zKaX^nXI%qj@o;T3d%{m+kJ({!Ati*_lf8FfPfY7m)4onZl(fU_hq6^5^NF!_XU#E@ za06-7+rq~Xv)?$l+nZFkJ7u@7o6UZZAHxLimO&G>?;6|>EH(mRw;r*SBwuCOy}2WotY{m#6eldon#Jtf&gjMG18gYti1pTNqSu$4{ zE|SQ!Ubswzz6mEWt`X+K%^~?k;~t^SKM5iWb7YxSjMRiIK3i50p~!J( z2uY2Y7c)l}bK~Za34&d?Fw_`S#qihh8eIT|z5&LDG8HV(j_bo{D4cR!xIDfCTHGd* zO{!)IB?Js43^E8Lr=)(#78TdLOu9T2Zn$)zBOr%zwgquYv zV-w5OdP?nW1wP0tNW=X>%B{FDuw{zYS~W~Zlw5NhFU{B8nL2I>93aStCiNr6M08vk zq`EBDa7&OhX$zP;*AY~076yAs?Mpe#(`y(%^phml1Qsu@1|hpMysW@(R{9~6kdTJ! zf=s2jA3!5~S89>YqkOt=*$jiqX@p_Sg_Hj~@JPqM)Dy6TIR;Uarn*TX2kK^=_LA7g z!=(U6zQ7G)2Bt0GH~DnkvXKAC2j%3&w4f>~ANa}IwskE25~F)Ri^h@95^&6mTcvPf zAxniLbQz2{5i$7_aLSu&8Mo9xdJ1kP$vlY3fFrTtj2Cc}v$QK=zU5c(x&j>`I~CXf z&yM4p;6^wPk>-rqU@8|2j>K^0A#QZ2;S?w8aN-mfh8{{=FeA92G1xqf{Ga7$6)d&$ zLfm(${fWF2hQJ@Ujv?Yc5sG&`nZ_LatMkqTr-tKO5Ce{Cb-Q{u@t>V{=7p{SdbDdL zxuxK69ys3woX&C)mSb_YC~%cj&%)9DfGYB*m%~6LJg^ zZ>Wh$v8HbB%o?WKq;VALKgdBc$r?%DdOVyqpVpn$oJ4-4L(iU;WRhr8^Ni+FB*~-L zit)+e(R6Uv#_=G}6%nzQ#p8%HAo4hQ?tOaZ=ptrw8KumDLr~&xSmE~8wQWn`9BRX9 zDCl7{anpi}M9Q`HHM%}F(`Z=D(sAxZx*u($cPzrKBNeBh0;Z0vQ_ZuPGf!AdO&=m0 zr9S@d8a*|=K=lZ9Dmddv%k1G!-D0&mhanZ5?(pDX8#qB0KRgUf#R%Tgt(T7=CRuG; z2ad6b7SeqMJhJiKSs_50SZNfoq|vmm3~VqP7-EHn1RQR%oRa2_ML8XVFz=2RD7~_T z*>J2Wi%!ZU>;yyDtPxoeaKwp=Dj2?~CQHO7)G&DUiLDLm3Qjmh{i(Gk^ac(+Q^jC~ zSRgRJavH??D#+lBXq&De8^cD-;D2AMmlWW1qaGuoMB`)=Qq^oJN_j`Kf;qf$9desA z^NZK%MSM%AKRpc!D>>m!=oTlKnsCvjyl}lV@sC4Q?^4Y$-pqUiaH^U%AFhZeBYJEEJ`sPomGTi7))?fiTf0%*(%1f zqjmQfoKVKx=|~dX2DLJdqH4;+Cj}LpKcXXe_N=AD29lYLSVlo1j9y-}z-!uDNIv@; zRo!ZqwTb_GgPu7YY~r1AHF{^SgS>`KbIGJBhO7m2 z49VdlTyR8IC^|)$4d;;Y9ZaC1Yln~4NHM1EdVD7XSQSgT@dB~}pGRaBce7w^@pzJH zw@8q3b`h6GM^!65f{`zzglbLrGuv-9L4~_M^fdO{1{6Tme}`E>Cl>7z)d(R=u@0F|CmjGAVU6RVQyA`UGpH9j>5-xEn+#tLZ?}a1^$K;gzXsO9J z3uj@INa=XLI0jvmdyZ}(?E$(Y7BhXHET8zGEKm6%0TbC$`;i*;A&HIDAH!J_kMqXL z-l2$O`onzl)w(-YJp^$-!tc9UcljPgnkz($nC$PJjCbuw5ht)%gFMD_U=O>FuE5!# z@+YNqi#$J>`xu}GJsR4^ZA)lO=KCpsbd#Q+{wyAAIgU#t3AH>ajiHm&Pw<|7x+}Hp zXCM{fRN?OhyD<{!ym;C*dQQwqELiSyeBw2_$MQVC;u_r*^K%rHyudeIqYtwR;hTCa>Ksy*nhX0AbaIIdP|CT&c#1uik zEafk>yyOjJ5QgusJY}<T6iVhrA=Cm&blA=TrCze>9{QB>z{QvvO5g?x+0S5ZpaJ!+^A}N0En2=_vmm z>GW(&G386CSPDOun`%7G{88}N$$J@O3kvjk>XtmvY$D2vHxmIqguaNC(J z{N^?8817%IYiWh3nHp_=HfEU~!zaDv(5*Qde{PGOJu;5G&&|6r-ewrX5STOJg2si3 zJh4YFNJ|DZVdo$dQ@Wkc=+WJlRNm5~7do7Sj4JhrXv+8Y=&oYju&ZHaaAFA$pAjBD zFUtZ^fP~S^Kx$3|D70(K;mnMxglQ~oY90(v()Gc3%Omo8iO=QmYUYV=JKUi{)GFU2 zF6?3Wr|^J;o({$Ciiax*K&s59W615Nu2f7=ghw?umR`k^w&`y18iUS) zGB$i1FW;sYyWy>(2tciHuzMkMPY^Ehp-Iy1KR%$)3K#Fx$pcn}lyxGR7vZXmxocs7 zua*v~(j?W;un>uorjlLW9ESizdV^`kDl+#)_$Rn>xf!6Vmq%QJc&tG#rK8x`8Qi&D zueQwMv$pG5mPP^K*xAyEYRB6QamJOENhf{>d{l({Kt*qmUO+7@2}*wz@p!3>rO)Tb zx9e%e3)BSRt0Fl9Fk9rNQnrUK^tem020}MfefgeVy=uZDi}3M?u+EXeZ1MNn4{b{n zah9`+rM$COFOOLU=c4QuIf+)9gmawjN&{GyzFgs?s&^IL$YC?I245D^vc|7epyH+7 z@YP)J(~INVP(=8zV*U<3vrivs>ExUHbeE-z-_fU!Nb5%bWD#7eyffBGddXhT-|B;N zUk2Pyg!DAXjex|)tI@S|%+js_oznAx&C-7*BlNwkv@OzUP1{POjb7kvBKAApCBoZ8 z@m|jBBqfD}ZBI$UOxvM=g?kAxQwcW`>4?@yBM<))(HgEmH%HK+=@1965;0Ral2Bv! zt%kP+Wr-(WKM~V62i_!t7>PuiL-G~#)`b(M)t4-o&@hW>x2taMklolh(LsdR7=I_= zAi9L^C;Bd|Xo+$v@s3jK*4f^^TKK&+Oj*$5U9oy~NslqQ20s%5GUXom^d%%8yikUj z6!@LUJFTV$zI!N%z7M^}-;d#lav`Nh@3q{{91ldkhCw}$Ve~%Kv^@w&{epP4A4=e( ze}r=9LnyB{v}BMnDZ8omV~p0abR^RrmQ}U=k}xtKkq3#m*F;dz@O|TZjGy13=iA{z zBB!`(4agd#_BCtI|-*x{QO zBd>HrGrCPYO0YqC7J?9X8jbN={*~Yg#mU>Z6n1C`%kq}1X0`;gW@@7B)#wVetR)eH zg+x5b13xjlT`&TD3vsfF5$%wg!BO_nvmL>1YYxe_Cc1>6HnCDYJ#$NbGBb^lAs-?~ zk#IRRLZyjV4N6kZ$>7u9cVx#Zvr}FcpLwI6YsuyvH|pu5awMr1JZaS z>#v?G!4+)9Y&aXKa#tSz*^Rn$EOdklJE{3fZxA+CrTPY96lic28l1~RH^GEdDqOXt zPE**Rq*S688$!4Fl7~{}Quqbqz6c95P$(*4C3*xn$aF~C1Cc>Z2^qpdmEw;OSVA>I z5H16lWN50 zwkmZE$$5u_GS@cVoPl%Vg%f8E=pA(>k7(^_=TD$h4~hK|#YvrJrZ5@9_SvSbqa;`0!iCR+bdbyV#r{<=us%y!Sh~c?D<|~N#7w?Jo2Q2c7Sde z_YF#yBbAG$&%}-BN^J81J`-@6*r{J|TtwfDMNPX!s7YX8+(k`s6XCwuO{;zD1*;8> zfnbqu#}~=Jy7%x!E8R}7jjM5yIuUvRsnZk3i^_=ZxSLyU*HiI$N5WUdXMW(wC}7d| zU?>|D0>(inbOK>h7fvC;2=S`vgOQsS6}i<=-e3gtj2y_GAaRGOj-F#NkQTwZiyMvPpWH*&6)K>J&l*$si%7JlvO=l z1unob7x_)Aky1_-S6)ZVV=%@NbKR}+28(h$=IZDIKS&g&j zG&RqiH-Evx+uqPV-ZNZJ;E6xbKhBYUcp9#C@yG#Ma;b`B=?I)ekLx?&ET9dOpUH>B zl(R`^V#DpNxbJ9O+AIav^*|Mw19!K4`>0e7m{^*hMlseK(izsHhiq_mT-)lpAY0o4 z=B%Is9=+O~WOY~a;C;I5^3i}nC}W{WLWm?WJ`uVkf_W^Z8f{PkWzvMg;x34l1mj>t zlbdCKa0_=&S&>~7$D@6}ydGth-NT9KiAmQWOjhiMeU z99?x8wRE}kyKw}#2Y;)QaOG&EqnEMjm0W8N}DtBo5 zW&D==^fce)1{f?2m$I=&KslmXkP>xPE8bGb$I!Ty4Tuw3j6s00c)FH_4iEN>jY-X9JqHQo@WSSm~Ddr21~x0=uqL4HqIu|%vx{_&)=*2T$>g2giaXQgL9i_F+Ieu z*sHt!JrVa*gdaMtTfuj3Q+(%kiAH}fe`v2hGQID6a96m4pWCY!_;A0P0Es!z(B&~BBxHud&_eP9RbcSzOtRNSD#Y5dNCouNu9Zd5~b zq>)ji;T{#zFs@G_i`}bccOUP607`=UdFDP{cRvs@2}v6?;y!^}kL^Jd{i#0^#@0T8 zUP(jxkExd1vY*i5y9n3j?KGhMQ3;-D|FPo&CE&~g+W~{>5MeQ5e1Sy$klF&dPfG3d zPe?oMoU7py74w0noaO^fdDx&fc`gLnqiQ*isR{p7PFR07;`qSfb)9fP2r?aNbMiUx zCy|ak!YT~axO~~fwC5$p2fk0`?>?R6a>xEkZDj2wIbO!E#Tk6=@g(O^&#R)0pMMY* zAni2?NY?8HAWih*9T_nIIU0?k*XY3&3w9X(Av}aU(8aVpdZL*xnmF$ z=~9<7q>-8~VuCWvQY7@TP}XA~ZKViHg1^g6Fd&jruG}Y+!$^utB4r#IO;Vu3A2hIC zDb*;E-HNVIx9o%2Qk3$lirF1TBOC_gl+v$MJ6>`Gy=g`yvmVD>br7c1&e z4Qi` z1`MK)hJAo#ju2xSlponbSPW>)XjlwbG^_+Hu}lD>HbHE;m`yL!Dilz#6DYnN0Z`UT zrwa`>1LEBsqb(Fbly)Y)|CmP=SjHIs`eS-JMQoB_vD~rpol+(}XC+VI6vIFuti)3m z)U%9<+&0Q@N`v$*lCd97SG`44(P)YW`-eaG$13TKS2hizu;`bkTna)YUhR_!@JaoIs};u zI)AgsNU8^Kdj;qv3ed|4&|zhle`n=I3hc!u*h>`HOS%3yz+M&xyVU?2T7CgGRQ%@n z&<+EkS4o7DW}moBAawPBIs)uA{@mlb)83)rT%+LZ5^!3&#n%kHEfx4&ZvwVKE&oOy zJOqC)JTFzkE;MIpn=HjQnDL3|p}p}wPdzzxU2BlJ(3X*M6xJNF00`YLEC6JpcR}4x zyLf=V60`MSI)Z;>+BUKSz;v)3Ey%|!3044;af0O(&rL7r05YQu76PLSm;=a^F!-fu z9A21`<_mdncge#AyFOwfyVcIWRidjwyG`Q5dAo@SDPz(*M(qyy(Y42zHfg{y>H0QI zJBbej`c9$oj?Ye`Y?)A9zyF z;NRNxf;bIIe40Y0P~xZZZ=ck)&>=O8C*&+LequldoGc7VMzOvvxBz7IG?wYRG_Wx1$~JGT|^}`C|!B{fiGbdm3f_6vpQUmCLs3qHk z+w^JOmHx8CkoJl+cWAEyJ8(|WUz6W!PDw0jZzxcHBgb+5)))s^Bj#36lH+#~BT*?a z+;1ua%J0=kf0Sl6{ZFF&a_!G@JyQQ7M(Vq`XGN>zr|a1 zM2LFtJBI#;oJ0y9c?yF4w;KAiERQ{-`tLtdRyur(<;d1AqTaH^#;g*l0IXJSx!aPt z=itZ$2Px1H%9&I~P$to}0}sfNhjm|Qs3}R&?Ze`sLNYJHSkgoY4HE8bf3J!ciC0GQ zoJ8tV(F6)4qluO%x$>~y^ROo+c}TG&Jv;Gaoi$VR1bxZicg>_%gD9ck9Vn1+YIQO4id^pgrrg?hQr<4!@xOd@#XN;MmXvs zB&ezY8*ZVCC$4fA+LC z@hRX5cj<(dPmL)AW|H?9g+o{Lxt%5Z%t=$qHI`6#`E5`9a2DL~4?T2Lw?LaHRV)*r zizy0^DPD)=6$|ey-hNV#P91J`x{V37UeO4C-ATPL7fY=`2zxYP*^M1iUB+KOsaGNJ zd1<*3&l&dYO01*0!)B_D_yGNFGaRfObrEH?3lPs^ zCOl3e%4pHa;GK&1V1qSL(r|xxK)4ovkDnfAWslO1#&Miz`6N zXsPn!KieM6wBxCUxU!>@qON0=$x(N4_j2#BP)~O!1S?ixS2-HXdT~qC)Rgqp^o;Lm zF6mjl7LG%d8U_pqi%yAxFG{M&)7U2pabrp=NaOONnZps&EgGq%S+0}PehS6hXjqIy zV`VL&dc#<}D@sK33XU#sfQFs&dE)fbWje?DS*26TOBmVrD8BQqfUh+>0uad_;WjYd_gv-8Oi3z|DFl^Yr>8iIpP%N-vZj~tXP0~R zYF8M-=B6IZX_Yq@Ph=La^13Ls^VTWl^V(O`a=qlc@8V}x9 zVPK%0dMpPMx~v88w-GUuM{s&OcJ7F6L3gM_?waT|=+)M#GNIxe?UIRmqmhJ}C9aLa z%N;Tq(3G@wDy{i?bG@TCpq7S&$>e|-jReVf-blnFaD9!$Q0cWm9-`v3tyr=OEHQ#~ zE$M7uyQexcZRqBxVpboD;-2cvsn#t~d_{HU#GySX3~Y_!2k|3j8-C2(&NJJ+Gc3LQ zs&;R2EbCcsbKqy#3xgUAI$w_t(akHgFPs5o?Y2v=q#$$>o5#-<(M zk9T^fx{woC5PrVDf@zP-)l56Y-QP%uKfqdxoFs@)ngI6`ep_!e>a|3C|i?cPVZLGV;>lOWAkng!P@| z!ESGUILRg|Bhr*Ck9vXhz20gj2@6 z>fo<~G`a-i=-=?G)_F&z{4NTsHLC7*W2=sTlRvS}I}V9)KUn82u>6s~8qD-t{=~lt zW)8RfnXg&z&9wZ5-@M*iY)39!dZ}RyYQo+X&1*1@) zeWFgu|H>_v@F{MqhWe)Fo>>SQ`gLqeiY&+ZQ$|(#M-;Qf&&^7phvYBtYjRUh z{*+|-S^HA{s&Vw6FP;tG!d>zL7txzm4L58In0>C>v7Drdo#Z^yti5 zt91xp9GzJ|Gzx{aLx%9HHhF8T(L?y-o4n&KF}#11*BcrtvtYWlA+&X7u2@oDS960* zwCS&839*zc3J)oe9G>ZMqR!m572V>!;Y}(ZXNFCbH^9f4zRg93$L;Dsp>Fnysd! zt*cv>?=*&Ss19l%o5VZikCQQAAdz8~>XcWOSC!XP_$x3acVxG^1fyk5Gx1?Ye{ita zd9Bwy4#{PybwyQ0O{KrGva$-vKjJgOvq;Mn%_lEjMhp(@FgGfI+*$l@02khZpI+ z5C(uLg+q#0EWrlszD? zfY@ElPi*nJ;>XIWNI&cx$KToFb&MV_hF&6aciv(w!US2Zwz9UWwx-TsS6Np@$Jsi^aQ2j2W z-$9n#q<&Y>@5wA=Z&n3^8rWm5nsa<7U4 zbcq@ObfeKnh)Qa)GFO|OPyt}wWOhOYfEB4&RVN^sT7XKKSS27-08o*ERTL0?l+^-M zV|GLzW$=AYLgH1b0en={0??izA)^aFN&qPmu&OSo0FZ7|1t2hgMIC4kSyx33!be3N zoRNA}0pX*f4pfI!tEvwwz}zU&s)3J+I?Qc{*$39Yq7IY0-t2@5FgavdRh>`)CU>LR z2^C;+H<_JadN{`HJIy|*0MkRxRn-R-V0O2deNX|I&0U&2elm9Ryz9KX_B>o^J7U}6 zJ-#kI1DZRb)?ZN5FdZ(9!8y(48#a_Sj3_(u&Ntp{%MbId7SEAyE%}xq*I8rok62@T z6AP06nj^F!zx(#RyyjO*;$1&(Eb*lsnOp1oqb06jH56B59V-_KkT|4T6AF-uHT;2# zd`|xCRG-sSe{_6!Vlp4)E{e6B^RLy{!jHs%6jQ{KV@`zY169q z?ly>R8JR8r{y*9e z_%aF8c!c&txit;zWjuKWq_gW7@8}I?CSO0`S*zzper}pC$Fh?r)%$e!E$Bz+KBU*L zPg^(>^c^}<(4=r;B+(rpx2?&NRR`wFagi!n2- z1^4j0i+sN5`^cSLXf?n)zEAeYz7V;M51f z7C}7%YZ?D=l`pj{1;O~Y*3>{<(zSN!(iW&o94D!y2_+&AO(z}`vsfgByOaRReV#9B z@Odmh=RFNRhwBC5In>YqAj(UpbgpY!)y>?$fC|i!jp;49dw~~V%nb>|J%Jm=Ma|m z7UTAZgDz{vU-)b2t>CYcNboWY#PE)MccHX&wIwFYO%Ui5F{oMy#zLeoBMZ*71Q^WGxoeg$d-x}j>!%Rwglm(C*)?EMKchu;mB6P-+5-?7)m$mH zgxdckGGfTBi8;UK56tp8ioTJUiG>@JAZaIqxmA(0V3@_kEf&6LrZ0P()iQYIDN$yT z8pkojEHQDB7j5CA8$p;c{N2tHhkq!l45Vs}N(NDNtVN>36UTqh=*w}z!zny-10C`F zRHM(~PKf+wkUBETmDTzj*7RgdSl#Qp|%G$HZ}MvF_HWI^B{F`nO=tc!t6=*FH6(D*`;No}X>Py$7EpaSTPQLI~V64j8qNsqj%E3`7*g z&yo%;-m=k*LhP&4$p()lstIQYdz0z3A?NIx%Xc^XoD-G95;_xmN$dx?ZVSnRj}sT3 zO607O3Qr|9tU+aXs@3yUku!9evg5;fiQa^1a90v1)nbd>v9a)05~qfJsRaXpM(Mno zSwES@E>l&UErT{Sea&n|p#ZCAv9vVx6rp@_L+vn@a3#JK7ek3(oQ5dv%gr4cSmew3>fD*H;+VW^C+sK5U*Z$KCNAo42@AY~EDfIS;&G z7tpT!OKof6B&6QbtGCZd>j7?Xf^pMo%1z3(kq{!b71G76tgr7QRJ*TM!N&8)__rfx z{l*?YZfKUWNv^z8lkPSdFQch0rXe)rDZ)2uz`c7HPkuP)u5jHgYf6S{4sAW+fbUVhKFT*L z?tasaYp;cW7?qale*ieZO{>BCNpM~dO6QiO9}Zl&AIakMhxoe-d}*x@f7fVnh?HLj zdkZ|!9+g|ebwFZkAXEO}G)HIL-wH*s%`B~#L1_Tj(Wy9^;qau8 zM{)1Pdj3Iy@ka#)`9aaGCcv=$iNF9aSk7E;5fDUd2>d%oTznvR_g^eyBkn8{e(i0w zT=0ofWUjrVMtoO|_;(ApH2G35vl+fn804SEAQYV490U$g;aej-#=q1U@RKse_>UUn zj2t7apWkct*J$|fu{6zNX{4e2Y(6yiPsa!35QLI$T zXEI_vN^q=)h|x&>+$=J#EXSAT$tBLZE>zS0rw05=4w(J5S{T~0H_&l}KF!)m-^!82 znFZSglkvRePpmx!ykEL11mkj)irZalUv^HH5hr!JCH4_}TVYt0Fad3gSs2 zh3{4og~(nKA0N?Cg6ar1K7^|m78|=2#AY6&U=UfjuE zGmbg>tQ37BSiI4vPxh%5pf-$i&O)oW41ia3qil>Cg2L0lj^Q#Kek9TzB{HPBEK6Iu zlGe>$D#w(XTmYl{`J&5lJeAAJVXmrzFlBO8@s%o;KQdd|5iLIa38=DF0X=1V#NI`0 zctPc0sSx>H>`ExgCs@ID5oTon0)Gh;)m-zTP^!@aY96MUl|5_~~jQm6!-3whx@hEWlZYC`wq}Mx!2cAX>W;6HSV?Hw_xz z-HiIUtNRxD4lgRW@2!-j9iGzCkwTd@x6v~)f8I>K959L%szk7^_KI=({@?Xsn#RMdrmGtWJ&j& z)3#(CvZfyz+uL}(6^ywCCSsYqX^2v?_tmcG`)zSg3?)?t0E z#eJ>A`&vu-T1WJ?miD!d>}&P+wU+g@miM(*^tD#@wT?R6I=W%kkXK3)T-P;zIvRh* z;7=9)R3B;lbnGmP{o_2guOwGn^!0lQv#Hu9ZmA#Jc=+?Nmrf52IYZMt-g|Pt#dF{^ zKtI0yrnD%PS8G%AHGI998=`Gm;hdZf~i9{b^@qyF8;(^m>j+UqXd=dIIu((JJ&A+G_ zcX`bA|2)Ai+rclJGVX>F^t^h>iHDC~fAaYCC-<|xF=)Tz)R!LjX)FReec;Lyy~)e$ z_e7sLIOGgZtsOVAIQopfdg%$z^wV2@jw;hn>*IHvTm*l!xJRyuKa+kY>x}1&_soD~(;>q-JMiqWo!$L_ac%#BXO8XMbZkG{dG)a^^^5Mg z;M>MyRUaHa_R`Q@S*Jzy!^c)Iv_%geJacS?<<+(QhfvN-r;f{NUDuCq>-!I&j%DYD z{sT`OI~~(I@xYVEPP5)g2YzyF=lWv@*7d)-@z}1w2W$IxcG2J;tn2@vtH1u+71md~ z`gcVg=%Vk3q7Q6Dqn+#eJ<(?mK6T8q?1Mu#&ksI8>z(WQ6H|fnb^W{k@ap>hLvMJB z&hC13k-o_D@&|{$*lT@a;;Z;%qfarOA|5qu+`Ld%fA6>h-Tl22_Ok6`w~s%#w*TKx zjNLu{#ZzBk+mCzs)JKbG?4f`|UyW+xc04h$ckGU;14oX1IC1BZV>_3feR20hzzT?f ztrq&V19i^FJ-p+Iv3Gs@?VYDS(+_Ou2SOHI(|z{Vvy0AMbpHACmwa@^N3VU-{psuJ zA3t;YrvD5*cryjmqwoYWXsc!ZLuERV!g}#?2hEmK!A%5K6k#~{p|Ue zv(KGBu0Olz>=r9(+_lBJi0x1BW&1zuwe0iV+;n2^w=W*mpT+EEv$#|FyFR*U&WQs} zC*mGC{__uaHlH~D!iPKOo;aTL(ayccjwgS#^MPafq8ASxnKymh#g@t+e6)}0_h5!S zADxQE|6-FLIezG)^ES-kUW@hY^b@E4x#;Mrj}E1rkGTl{RV{k)=&2+BXc*_T^vxW1 zc;4-Z}&WO?7#}^zQh+_d^_f| z^R^dXPX6!SRJ1)+v(Gy1sFU}uoRB}^rBAom_dmC@-g;nz72ghQr2p?fzVjmMfe!0V z^w4HK*lyihhmrT`dnb5)^xwT12Ub}RuC}6t=Ux&_?OQeB@T!T={dvgol5*RTU(`)H zKO8X+glUH{VCz^(Rl<>9bWW;G;&GLe;*U(+I_Y@}+@6aLZ=aM_kkl(9UhE~rzFac= zNYNvc60N-Yu}LLa2_F2nqSaH>>6tLW150Q1FwZ6wiE_T;u}S3)s)6L|T}65DllOGD zbal7p@ytV$bbj=)Nr`SzP+o*)qD^N@_u9@jkDniVY|=Lm9h_w4&m5RE?eL*V&sYvW zIqAymx33u|ZWc!}4>(4&X` zF*du1ss-mvZ8CZrnj6JtN26N4jYtx`4M%S-s#Z^_(YOQ|V~?2HZhpT~ma=(JA~QrStM z^7)dSp;WJYV7-`J3q#Q_OFRjp8vSD|x11S2?64z{wj{Y~82d>{hST%bdoNx@PSi(+ ztqUY-Q=;*PfhCk7PVQu6q?+D?=LqE}(Nq+v1bDQUHcsowuY#iAHHuB1Tw1rFymWGV zd-wIFQ-bjBWksX;rJDnVmN9($=0J69m9+AVujaqp92gfh77=5Q&96@=IsGgfp(yz5vH9tGVhs!pP|f7GR*r!pW{T{*yq5Qc0%d7+ z(6N)xC}fVQ(xwtU4Q9d2dVVSt$go_*`$K`;5Zu&Bf0WiB{uX(+yP$KTG^?FtOgx+G+Sou5NBNZJb5K%!4+^hlb= z5=mv;w{U`(_{jPE?k#~*%L4w=mcS^>#r)eXfjp#nzj}PW&suaDukQgSFXwA|0@;>D zd{6PP?@xd~nL3LwOJl>~7`JDdtz zx99tVSk3H}Cft)@XvUwJb1}88`Q(tms#o)!TLW&sa%&*h(nkNTLB0_Z7crGG=3B$x z-Wte9ltk;cKzTZBy%IKmu(xc)sGh~$J^3_-dmW#-E#OK=dUhGZQqd3TOfewK+Q>I= z3uL-s)Rm$KfuTTQyM->UZZ z4zgsnwZlC#8b)E}-Xh6I4coMIaa+w|LB=e zI79b=;$Q^T?guJJUAtHQ?R-G?hKV$bte{Y#KmjQM)?srdY+^bC(3JMW0U(gJ1Qs9Q z&-D;B*wCY3Qz~K?Ow$hPN2(rScM|U+()S~*Vj#`(D37~7;IlkNyT=j5@(+lA@Jp@_ zBv}t4_+d{lEi)a)Xi`f>;G1R*oa;~W2d)peqhOZi&-DZymZ$hBbeH|KoPzVPK^~+> zYHC?UxXL)fAJ`di`{psnGjbha&qtI&ymtWcM;`^1X~!h`^`G)tHw4@(o<*7cI4aW% zwW{8UJ!RG9EcygWoj;RE8h}CDNwuI*3q2<>O<^^JF$4DI&h}L-`4{4E5pmH!5}o}L zow+K{iG~fD*U8+%wZOwlakw*ppv=*EB}PWzptyo@*b zqc;Z9OJI5?yR-DIM@YLrN(`s|$-pp3N4!8_8Gq&_=Vg19|%9oa1lb6v)2nyj<$&5An_Y5zWS! zN$H&CrXHcX{a8-c@rf~6(<6o1U~3kecQkW-DzBh{^sjv;C*b;AI7k$HA&1w#R3!Sp z$Uf;516ikk#V70xq-A^!n4I6pIS-&P{ad~UG@Q+(TGRYCTbR#ShE*sl#Y(2@QBnnq zO2h>ivtwyP_#1seca)9*8fSOn&Ke3`?7&k^?J`>|zDUoQf;d?T=%!~`i=$z1rf?d9 zF(^pH$N#?@QW7;u7P@nmmqH3-iNNoAYPFs7TLM`l@VW|-GX~PGcwGfkG#+3{8%MN` zA4ml+URTY_5Ccd*rwiPRMj}?WbT3j^F&9)m78CEn!Vj$cFjh+!BmQ=8urMx1YK(_@ zEW*7APRQ-O!K~zbi*O(_9#<9cC;EapmLfv49$#$YFn>7Tuq)t&-|!ndg3`0dU8)8c z=vn0F=XZhADC4`X3l>@{Eb_I~C>kxZq<9QPB9Ay1!*ghBUys;+gjL}klu^y^-5p39 z;vI`eCC}~-apY(zOBM}rd^~||I;mMS!h2fB6Ky-c!O^j$4qHCM13R) z=-f!Ld^8xN>8wqkZ9xg~9y?(b?rUAKp{GluI4q^i)5O2M6?bGa&$%s7WSPsS-4>{} z%;)E>4|;5{J4-IHch2G41MdxqE0~~;GD-CDB4ZPbYn4G9Qj6{i4D%b$5GSMJ|91mq zPm!Ksm*yfc+y6!UNa-n0l*vsCOp7acWm1>B>Ia|Y!qE@F;nD~vyJmTU4V$Z+?B**cyM+Ut>@FcEyKHOvWqa-p=(_C+ zbfmoO7U7>nVWZeYr0_Jo?7${VKZXHbb}h=wu2p&2Etg()S1B*M!d3h)KL`{=w?%r{ z<#+Jxdjj4pO35U2_k_rS4t8l>{PKGO`qXX(3#fgaxCRG0*nt_AEC@OnXy1Z8YX z2lI<*RDj4gf1hWaU~#oA>K5pcIMlcDZ|(`WGq+v1-rLpn(JQWx5SUqUB_T-)d9yip zFq+dqkZfRN>8!-oblhluH`xL0CgMe4cfFa&Pe^Zu-E|izB5Zfvt#G2uuHaagxedE3 zcvflPly=vL?hEAQgJ~7U*EzkX(N*h@GVU&qDHo!Mn%1BTfNbCelDR5Oy4vqsq zvv}~95|Ln_Pe?lDA68~Kk2s$XoUu?;CqQ3YIwv@DYAv3*VM632a8i*0yc&rN5}!B8 z&0ERxelFQ6178i>AV2j81oYIjuf-AR`~~XEB!WhzCg%K=Awq|JHGC`u+^DoNE+DfZ z=93I=RC;TO5|;UEzU2i-?yvHDUI?VSU#C-rZ~#M@s6bZ1v{U@m7qHF1fzoV=X2}y$ z7^6t0)WCZRZw;`W%EX-Vdn#ILu6i>=h7>W|fD|BHn*PaJ*$PA9iCfGb3#& zYL+eDB{DGOFB{dndU5BLag2FK}b&T!vHtE98~!&0oA& zRxh8#60VZ>HFd_aR`R!h8OSVHjlL^((;NmmeuxYTqBnTkbnu*CVfohZale8Bql;hs zt3Xkx8@=IF>s+=R_h&E8o0&y`vy9$dQgM5+=o?P!C# zP6L%D3sK%fy>_u&@aUB3$DqM^+a^rxnFqh!W!(Q_K(q9VO=oqV<&7@}To<1+mJV6d zz^+FwO)V|mN8zQ5{{;W0`w9=*l0F5ot6TsTqgVAT0!c(1GMq z$KwB|#w^E}V8`0jFwl#IPbiic?{yK2$6b`&#zUgLgC-Wy> z3b>qh$&8lQ^x~ZA2~KW8)_Mzni2LQh>9WvJEsk+E4x#?<8+$B|)X1LswGB11 zX4HC`XL%auAUa7CBnHn&&*WfJJ%ZIPpelJ|?`4;2*=%108X@dmx2L_;GqZNSXKDND z)!;b0JY)0Nby^WSmN&vPYbGKy*Luc!R#8PHLGi4nW#$1UJu@PgTKpTUuY9h z3*SpiJ%Gkj>gn3p27bxYwYH<9y%R&{v7*>I)CrC7)XkbRBiM|tT7~Pihg``#mtEp1 z@Zd=DENfY}0`BB_Y;NpS*=Ox|Azp#UoLEvf-dKT&CBgBeUZCPUc6IDDS$Xn!ao~!| z4dZbDn?Li|J+bv{o&d9D!&n7INz>?(Ddi=#l~pBm6?yDeu@}jHXtL{90Ch{j$#%DR z7JEjOl#lX212J6yoX372yNn(CS5f7#JYbC5|6gz40#;?Q^*?*()-8x4>^p-XqM|4Y zUeiin5fw#5@m6_5K_L-HFtyv3)X^+Wtr*L^WTIke+0Blb*|}JDIvu-PncBT`I@alC z=bTFazcusj&E6aMf8Xh6xH==X&23;0rNvotTb+^A4o6E?U?DouZSSI;SQYDcx6GGD7A{uQ zN+Z*9k9RCcu>$1>M)Q0ICb$3m!-+7a@c0l z{Sn_V-C02`A+&Xwy)*F%U9|fI8|)U0QVPV7{U^Q0q;_lr8P9FcWMw{K8 z3GG;}%-CkQ+l|xNK{$pF>xtV87wsWKztdFanSe8yd0m}JwgRXWJQJy5H#fPz;hQ8M z-)4C6^pYt?hqPRt+GO~wk67eCHW}VdyHN`@gNkeVvkrUo;z<`+(Y(QXF_H(t8^f6$ zhA*mtv=+-*%6^Ni*lZ+QAG64fn~lD)IKHWKm{+=xB|mPFr#2gjR=m0Q(RQPg^GUP) zeT6z=yZq|D?5d|_><(k7+XS^Lk!0gxI4fAT<019iDJZ)w=JCy4D?z>)u8Ym=?_bdvj&_gE?5?rj90h z@}Fe4-9}Q|6Z+4Dmu3EL!{G_(@Ja7o~b^5~QA<)2?%uIbg z6z4Lr4-w#qtle9xY`qtGTNl{#oq&3QEMhr}7YkCN$S-#rPRqOUx7~)W8a?81685TA-%(y0?-AL_4xBgO4Mg6cz=y5uA{wQ$W!%($NeRh8njK7VKKrRO|Gxx<$A-J`L`yK z5X+h8Yh6>*_Mp>1J{feuB99xX103HpWhvjv4SNiCTO7qC9+dN)Jh;ag$-h_gQNLaY z_PSg>>I8*S2J8NVY`@p&=KV=&)&i!pU{Sp<%JF-RUY4Kb&3la`7Y<=687GVN!Qo3K z`J`q|k!QCVDe~axE*;?K9p|MzZKrAO;g~Kn_Zjg6pnTDy5wl;f)j0MUXc}%@b)2cS zOo3}u%nretR+~gTwz|*Y-Xb+37`;KJI4~5x^Duqg#Wc&E`JVZ@-huR_38mwr_ENJP zNY$zw2+}kl0(8v2P?r$ zz2QT;IOHAO+Qr2;a-)05BL*(ltd@QD8@_2mEp*!8qZP)hG=Y7M9*54g!KDyaf0XC~ zv(TL;yI-eydIzla%G{NaN#6OA*2|syG2%DK7xx?90rz0I!O~212xb8Z5)aXBY(54` z?@co1QN!zp=hCK0^K+(8X5M?7iyAQ=?&4L28%xsCD~qqwU#t(*gMlE4Ji^yj=a$ga zy~-D66iz5)BzRE2Q(F2v{=udRx5_sjH5~qj8Y!V+XYt#bQf?0|0woZgV@FuJ^Z}V! zP;~_6ad5Z2i$dsbgx+oYu$WN28g&}-?gK_!e|=~|dY3U4>r7)poLt{W36=Fb8q18< z`!Ls|n(c;f*By`P+{`U}7H#3}ScBBD(t{YCB*NhK;S&K?Fx_t|2jUI|gAk(Lhs5fn zw)Rg2)7hWVW7htxxiTC!2i|iL-IQ548Ou}SsBiqj>YAkQNH9u1_p}jfIVx{GV0h!P zJQ3U0T}E|Cd{OQ@VDKm`P~=|Q2S=(MpElwXv+*=iG?po?5n$1O)O`y}tnW{nq;>Ad z=`8tW={{&ACA`wKS6aV{{$uVx%ZUdKSHf$MbCfY7brc+_`~`n$VUM~0N(4@?8*7uA z&8edXts0SM$j*-&iGHk6WffS>&*~58IM=ik_j#nJC%rJNbfy`3 zIr%L5V|*xj=nGF!e`0<<4AReZBLY$!TseLoxaQLjrnxW7a@0CjRO=6IPTW`FX-Bdc ztZ4d*5T*UQnbus-LX&zgP*SoWW@e}NTUCtR&}?#J!=kacso~s@dH+e5>!^3e=jDQp zOwO5{+iHAHuVr%M69#<5V|Aky-2Q_(CVxbuAeq6);kX#cJu7Qfk-kVA^RuojZZClV zD_Z2oabjts(-rX7vUvII@{)=LHKi=(mq4nlLCo=MNUFAU+YeL8cS(NqgyHRSIY8KV zBy+Ifsc)L-iY^hpX1#}ugh9ARKy3U>bhOF?SoH+v^d?MtGLfiXL5^;Enn8C^w( z5L#Wyd@1trrwy+S_btkcrRAUjqdhKM5PwVQCcl0fySg+P^9;^U;D&|C;Ow|$0fP{; z<6;H<_VuRLILe60x%-&in60Q zf~f1QFy)e|!!bjTwNSq2nA!9T*k|c0HTK!)Agbydv(N!-s(r3m*Lh}Ge->AwE7G_k z+UL_y!HvlUL87QKtErEOS{VGQ<>r80B+nf-oNX)guurO#za2I@IjYPiKmoH@e_~gS z?DL%AoV5foq?=Fei&waAGRe@7%QRWk&2)Q7ort+bx4?d@`TI8W_wD9yKeZH&s8^WR zq53jjt46JyaKf;qF~>^PHj$oLo2Ge854fFa41@62gQcvNpFW2fAymqAj3E13b4uQ+ zTj*RDF>bT-CNbY#R(ab2!{fhOe}a(yTaRktgT-#3n1FZ&DQhFDO=A&j*(SOVgfVk3 z1*wbRuKQ`MMlu+Co%z9}`7Iz>JrMT6?CIB8$FARh z{%QIn*fG!Od>c(E5`rL+*{|0Vq{B?=aV=jMa0@?6=aw`(BsVHYm>;D;<{vj&&&PD4 zqk!se9zJT3`C;&+3no=#45o2Z3C$YC0q2_|X#wROahpB9RF4b91a7Z;%H+gz-Cfso zyuljkPXTo=r)E_#`y!ewWh|kR(qe5zVr*Jf&WlY)AvenQFF|=ivyT)NrlXK*b7ie* z98j2pGzgJ}sho?!RHV*Q?m(h%2IV|5Nidp-G~w)+h{WRUn26N8s1?K%R7@?^3o&kR~8kQm(2y)hmmCNGt%;=+co5)$Oz}z@btxt zsWRsx$sFhLkfS@^|8ZnQ+$X^fELm1E4|Vi@3WrpUJp=z7899i_WAUFg7DcpF(C3l) zb^IcjAM70qQ<&$=knE=S!UKP>_aKIK{3=Mf5To%ybEViC98C6YdUC~W*TXJ^E$%dj z#KU?nblD{nPZ%kdN96Dm&^GUuGfx=aLG_WWHh=O;Q%A8UA_|g;8O8U?11F3G%f7=H zZ1I-58hGM7^pp_~*W#N_8m>Mr4^@UZafsl@T;3+3V{%z~f>p+R4(TjN1^VQq@mZlvG}fR2yBW!IqVRNnx(^;N^0HV|RZ1eujoKJME?GBfGO!P#K^l~W*h z{Mhl0y50TY-0U^urw&ne9KE7P8eHRL=UHw?e8>F2zD2(=&s-DaceC71D_$(BI*5~c z6V;!dNt&#WkyTj@dw;={Y$Gp^xeDb=Gu=-AWWDE4nPSPN2aR$Tl_{?+Yru2X;5>Li zQFV1~W=2K^>o66YG{_QjDG1CM8bh^b!&iW|kWR8_7a`czL1jqx1{+j{%nN;?dQ5JF z`OF#|Xpbr@FHw5Knq_`GIx*X%Fj)K?@@bnHPrpK&wyR8k<~XVeGnA<1DNd zW4>gb?886CJacwV>~QGKF}rR^W=EfJt~$T^#8F7m(Fh(A;IT*7t#*;f?ly6mYiT7abltB>no2QIx!4 z4gK6@Yxvnya&}++xx3G^^&hjHs<2JxUwQS^#Xt@^qe#kiL-rjyPg&q*=C0?G+a3kM z_bq0{aH(>U$IteyTjW)h*wS3-VR=Sm7aG?PrBaVXhwSQxAAy=~r{D%P$r?JS|L3Zo zcc`E2#2AVW?U3P((YrS5He6`24KCFN)dcyUx81%O*5Cjkr5dL16}CuegIp*xXR9C~ zCdhnE%xz7GAqS392|TTBvg#eTE4_^^Lb7w)gIUd^e6nY zc`#cPx?nL1P1J?99zBV9JK5yp@44Onq{#2$OGbz(ozE+;tOm=it}d&r0PE`9gf^v- zwwliQpaJONBG7heLYo>vi`#s~MY5_arj;|UYZK~jHaY9G+vDoqBqj|Za#LB1b9h{j z$VhKbgamdTR3SV(u&`t~>XY7tw3khOd>TE_JIDuhRHTtDGp$xpS!FS*gP+cjPr-FqtHx-5F4>Jus9U;>ZyP4J9R}~ zL%T-K(a8K5i_A1EPphgDvABk`rpd={J`>TP|6gXitR`PSOMJcl?CLY6tIy6ce?tfv zT4%S|=i97U&?1GNmX@>s1(`<`x(90f|MNoMx8SDn|6xo0^n!PGWkofPc)-+2!Ybdc z&-EZ8W7L>*{R_|HL72s*LlRG6L)fXe1Hsggjyu8JN#}u@Nk^M3GJQhN5c3Fr&z%Ql zB0W#A`x;Ziz1Dy;+<>&3TU(fu1JBDjlUe-UP+7hj_Zk?^)dZ9@`wt&_wnw(buk;HL zzpyRI$eS>l#f}d0%IX`zDcxfl8LLTFIB^n-%?*;3EQ3D7J+=v1&h!EnJ1$5zuM(OH zcU}Zp>6DCYvNDek(p8s%zq<1y=%&E4T|SGQ5G1Q9BC>)eWRs12)^TEx3>+AAo=ISE zAG)1$;|qf^`V~XOO>UCM#9Xq6PC+;<u!HWZw)pxrn zT4yq8N`A(u2~{N(%)XA^_WRya=NcZ}X`XhGkYWB#PR&eC&59}4p*;_Ges@@s0a`!0G9UILDl*B;ph=0z!JM_jG_#KG&LQEA{XkC%sy*zq z+}hORt>)WT8s+88-38ZypKb57rZPEknak~u?y9S$224+wrRUp9Y0Tg@vN^aM3)Ij+ zKwuEz)J2&MUm|-^abeL-CFa0#FQl;2kvK!FlCRO@&ABKfSPxK``YR|9i3gTwdPR)V zs}%9%q6O7v>G3zJkV3k0c9+hve>H^8kFa%1&s>>VJG#7bZc%x9EyjB-jBQ2uHuf4l z4`GoB-}eHe}$L0Q(BLY4gxoSA1u= zEG?~D{bo(>TsJoRk{`Re(9Meezm_%B=B=67W;QhMw{pM+*cC_3%f-7N(V3()g?Ge(a9?7D z>Z+eMNYL~O5RO~|Q$ie+naZY?lQC*aK0Rz{9{{y1B!g(EG?h6Pw=>|uZ=f`vqt}ZL zi@p-kbi88_JWC9rB8Ik5MD$f!feZUb{54iMPu2`xb1N1-49Rek0d}P*BWNUr-5K@} zveS$tdkx&?!l55oRLlmDf)#U>*q?J71OG0IxEp`3c5p`@#@Rsm62_^&=so9!-`6FHf7Po-Cvllwe=lp?O=g0 zxWbUFtlL+v&Z#KIMHShh9*=CRff~@3gefOl8eOL1C#be*G10E0dLn++;wVqvmAg>= ztT^E(m66gbA+xhB7Jp?6#fPN`Ej}{0(6|uwRzq+4jPr2^2rpY^kTDh$1Aakqhyn9Q zK%-JS0*j>@W1Z(%k~3g??GFb!+Mw<$vC70HZudkx4lfkrI9D8ut8~&REjWOq z%gh0cR11*`E+wL2RaIje-fwY?ff!2oknLS*mG-@!E=lIpbKFQ&5V*2L;li@2S~?Kt zfuU6Oe)??MOavoBHWwJjK?7drnyw%~hAp0?5CSDV84 zmEz;R&B4ltNzQPkj3W|ev$W`GyALcX>3%bG1bwMOzY=}G&U)0+`hcAZ&P%8d1lQhM z!bpRZaBf1h6O|Q{cwGvtn5rb5XCz@^HnTm1qFu0Xs;t#p){vSe%un~jngfGp^Qp1T z)#D%m7SI+*Y!4%6$F7i^$p1Kp5Yiv@ij{t9lV7ME4jVBK#pM;UhUoB0F_@+{55nr-Wb zZHc2hwhfJ&Dm676JY&bT#9z(AC_FIo<)$W|5>^V(c6e?J3M-Rzhc@#1cI2BPAgoNG7KIBd((A^(+BJi39#hNF5POLpP;vA1 zUFgOtMlWbgRfbfw9KpR?U^yz6-|g`@ti2b>HhVp(i6%F8RGQroy8cuVX}l9Mn*Byq zOPGJnVO*`EVkoMH8iEz*COKiL$6ab)Mt@@gg0BkAn@p3qE(t1uTLL?7QzftpF|-QZ z8WJ9y9L#xJc(7iDZf9y0;*j$6Dug$@%vIwPRYi3I+?w#$e6Ng=7bB2fAf^Ij`;OLBB?D{NVc?02|`*(9zfBq2hF@g77{T0tt};HrzLI<*zg6xM+lxR_(;KX1Ro{%Xu-z_o-6oR!N&=nC-`{5^97$Ec!A&( z1)n5%q2QARpCb5F!KVp6UGQrKzfSP$1)m}KOu=UfK3niPf)@!sSMYg)7Ykk@_ zCishj9~b;3!GACK9|ZrS;C~YQgy1g={)*ry1%FlWKMVev;C~VPb;18C_$k5P5d2NS z-xB<7!QT=5UBTZI{IuZj3;u!N9}0d(@Q(yPEBHCV&kO#s;GYQosozwu zUkUy5FufCPZbO9XIRjVA%g0G?{c3+x+s7a$er3UmXy18G1HpeK+H^a6SV89*PPFOUgj z0sVmfzyM$%FbEh7TnP*Th5}asR|D4o!+_y{0gM2$fssHCFbWtAi~(|ivA{SW4;T;R z0~3G(U?MOHCYyvg|_X76;_XBl+1hxPV01pCNfro%?z;<8;~$AJ-}XIAJ72o2Ob3;0}cQOfyaR-fJ4BOz*E4}z%#(Jz+vDy;CH|g;CbLE z@B(lQco8@byafCn_yh1q;7`B_;AP+y;3V)W@MqvP;4i@Iz+Zt=z#G7uz+1rEz&pUZ zzwn zTZtDYzU*PW7JFILtA66^753`YBP+MetPIOsF!O)z^8L;L?|nZ1*JEbxIp>~p?z!8! z_uPAJ`Tcru3;gvIczL~^GR5#nk=IVI11T|vM}DL~^oB<^dq2e>Nj|agV~p|TBA=&J zy1kRoqbcL`2%SXI>nYxbN3Qv1>q3wWR0#z8a*^<%uUgXJ<0aFgUY@4=C{?xP<$&oy znuXP$ZawqaP6@tFeRjX9-&?xv)0UKfp82dtZS9#1uokoYi(n77^tK2~hk8_2pxgK1 zXVTA~>^t=tkoW)RM(OM)2U>c!c>#^(ku{FY@WY=z_>WJszF*j*+PwAcPao`+f4}nS zgReev$Z`CUV}kd~-7`OmSB2lZuKK=p)hhT?zqYPgV_c>WLAOS+G8}_~kutpY^kL14 zU{_pdJwg&fC!|x-#+Xepag%0GYM-=s(yx=QPfDFUb@DBf4^94f!ubhbPX2y!z!XiE zZJ=J8Mvm~UvG}DX)K%O*u(`T@U`t0)`+!st-SdKUEWYP? zsb@@IL4T-J7Sn%0+L^db`@$G$UxL(`ETK2MQC3-=^k!^zR@v!4y8h@~0kEEl8!xwA zdFgUrYJX^lYX9X<%~v&(2fYvLF1N(LxoUFv_4a|5Pdc*q5Ac&6=peBalaNNm}l(c^G$wZFE9AKgLZvoi-+rIh#TgcQ`PySi1^ zTb4JlbYHAQko#ijSJyWr(e*X`yh1-OlTTtw^8FJ1>^f?x5wOoOP0+$_fq*IN4MWvs zUDpQ#J63tvf|T4&O5SxQt7HE8fxW{lwPjG-fx1)M&m213KeVO&Oh@4UPY6H!@i!VP zwr6U5U9|k2Kz880Ea~%jAiP-CDM0dLC7FNSn%CV8$ZGiO^ak8F3XZ~e0tG?&0P2*2 zl8WLx0|0VXfl$7myOX*O?!AQO1M+c{ zd~Bz`2=1Zy?mS44?+q>a-7hI5r|GYj3>SQFfxn$CDU3IPOXS0ke7xCW8UaQWy#3he zWBuyW$ICj;kxm)kQQAJhep)*g$Uhf$ENmaxcn(^Cu5*x(`mRr>(IyeQ1o zleY0h+sQ2*%RPWS5gSjneY>S&1%;_tX1|T6+rHbZzYLUdF2yDH zh+K@GhzSI|^s6fg*n4&N!uSR3hoHpC>KtL@%8kOs>xRF*V(MbvwOOJs6m#pGiP2p zD=kQB`2>b`G9Hk>uJ1pHBwuIffOD3#mnnHmNrH}X(uYZS|8J@>Q#2c@%Z7%^P9H7n zJ}2kxM&wsVFdJREPLfpD3G!K~OdR6rKk#4vp)y5xEMx=lzOUHGc$9v1Qi%(f=5;K@ z$3Ie;@oT@SBv;s`U$=B#09`g-Y`fIqv;Sh-u|x22Tq;hol+_+XSGn zoODBCPw1xW&zv9eeJ_&4WH0e{4T( zDeL}wR@rku4hEC)eNfnc`jBhmj~gsy)!pw>xX=F6r+)-0NNuq=&$OKZ!N3(A+J9!y zTdGdpc5$bYT1!(>a&k|wWKG`YPiov7e)U`|BS61C3EZM@glm8Ij5DZx|Bpc}O!mDq`Uia|2ypf(y~}a~bR7 zB`@3~?Yoz%uI`!T?(mtU!%w0e-sgUGe+ujGiKO?&(jEt;w+`=dk}LCdOWFNkA}SAL zcKt-!gdVyqd}+6kPw(!#3U(%yNpB_fgu-}Zf**U~5B}fw(-ynt&OK6rpPl62(oxbr zaOXBjdN>JsJ9PB;zQq1$(vQQXrZkZKb1FgUr2rej`u8REyEFwKrc&cSpVSjX{Hm^F z+b?&hx{kMB2Cbm#03J@@DhQU5z`##fMEoP3nk)=L|nLJp<;adru1tF zwCuTKUB@>LwOu9Hg-jV&&pgnE&NdYLd)qax-FV10j$}&)ok@;(&d&;Jo4X5k4?TC4 zY4Us5@eWn{@4O)0WiUHYTagbVQ9F2extPk($$}0Iy0rUG+qF9_HJUx!ej&Z;&>?WE zsB1;W-$Ch<%)QdxaniOpmW#VhN3CsNTfX;dJ4%Y3L}nccnyUok6lijkv{+C)L>}M; zsI^M~vE+4qJ-O}ZOGi&1ZTq^K4zR^mAQC!C_7ChWI5n1hlNuMgr$CLsov`^C-{>C{-59QS5G8v%39g0W?m^sjL}GiV#S zDUH}ZO}fLC+!G}kGJBGr8|u2s`dk$0b5@wodQ5~U5ON0grLfWNEut2k6M+M;9fWok zB)y-}^`oW6r|rkepI~mkqko{ocmKuBAs72YNVAl#WJ14O5-(+uX7G=!vX{>E+4`+S zSaOdm9)3q3hP4ZD3(ZgJH+5VubB_wXWK>YM&_NcO5$m`hj|j5#WbM8@u=~ou?xBI* zR|iPBs;=mQi0nS~HXo@kQ<@!Dd6_WIgMnlB&|tuOL;cNrlYW2yYX7P&gudI~)pkLu zNgKSe_jUyi*I)?G@*~PhBjRt+B7t@>B`Wi%Vk|x?^g{PI{YQq$~0(v zhrzlJ#kXiASG<&-))N|c#cn1QLffVPF%6VvXFRL`EM=^8Ju>!~rbkOMuOyi(;z^#Q z-x<|lRNm(O7x!-HCF}Qh<1J;qYWVSI_yGgPv*ha#tPWi}pS#e0@lZAVZM;zS+=cxY zq5EFk0HgOFX4c)m5A421joW=akCZI~#;J+KsHvXSv@5+Qq9u~*3JqR+5X%=}GGTV5ud&XOi^x_?`*G(@LY9!t6a`C?|kK z!8F(t^4w6rPlxx$p~|aL`uHBd7Qeoj{ygbgdQUD}(&C`n$4 zJxQB>AF>CZ`hA<0^86ru+hC74(Di#>!To7Nc9%hhUVvJ9XGnJ~tHqmuEJK^F4MA2~ zYh_(S-dFnrUb@&eMD%UDYAGW$1s!zOGL0-P*!G>QvKNx2ePf9V+ti)cH(e|EHL2ID zC$U#ODD-Lu_0S^94rWNMH#4QZyh08xmEOEbNo}#L|9Q$@T45cCbd_XqC&$13_qe88%3iQb`_d@R_n24z9|e&Uc3;zt z?HU@?zjU!rHR$#JP=9FG)ehAW7*I$RG`0I=VyTTU9pW|IGU8L-?zSnJlUN4EHV?gDRe%7y- zuEY_am8_0o{1N~MnF$2GST6&I;!97OvvJagFw6mYcNq( z|HT?z`$d9`>APw0WJ|d8>x3ScSvm;|9`LdtgD*k)w9thQtq66$e}{Vi#X+G@Jt&bH zB7^bC%nqNfQ|%X>r`k>(>iV|*;uhz(ZQp{e7|t6EZiW%d;{2)Yr=EZgACO6haHxI}7)V@w30F%&x8r1lD)AyA@;>~CdyL1=Jx_+(vm98VoU?tm$h;t@9HhAWk(0>68*pb;2 z2DaJ@8#dCp%t1k#7B4+RT46;T`~GhPFLDC<{oe=ko33c)X_AwZ@vB!f>9LXy zHc$1y=5YU&J+R{H`ljuQ#rbs`IQQ~CA8r`Zm_phv6Jc#v6b*3JRn4It8^z~T=(Vnm z;!Ubo|7!VC^~e$7PdfyaaG&tI2L8NW6Mpy3s?<%=(cSFc>#&=BAVqI@t*FfBbyej= zZ$$q$L7E_CNDb0~=r5yhjERVOH%1#fF7^xf?^430q)THjr6RB{QDAF~oqol#FNu9c z+xJO!%br11*1l-_{lV}p+z9_mv|3li;@V|C(Md`+t95JSh`gPegWKMI%3wdNyOa`x zUtQ)iZf30gaKCEH)+^)o&m0_ksIPomRNoyvVfj*Cuh1hL_&FiKVsG&|@LfWHXzy-; zMD?z#<9dVpkL>gt+imo=JF0xVT{Gh#Izh>+ z`%g$S;virFpPXxkr`*te8FqhQxA$Oc@4>d-ZWV}tyazY-vRX)E#CFd-zQQ`GHYU+h zw$E;-v6R%U3)Pe*xoDA`~<(eu&9nQhq*rP!Yw4>c;yjq50a59y{j z$rab3IgoCbuEjwYm6~9_B#7m4U5{@)Jw|I*?uJs5Y| zK}dB#dTzXZ-*|hUuK#7&keDeIH$Lf*aD0U#XWyUmRieE=?6B_FYX5FjvxPJxZ)is^+HgX(&kgoF$4K7b5M3(kP#hNHQd-zYJF#&_n?qRFG53INj8d6U zi9N4n)cB0?^@{K>P~)-&pNxQ?h0_VAr=6bGqmhd7wPgu$s!RC)8hjFPaYceLavp7p z7QdFX_vh1=*jCgMndIX=mbNL}QjuUDWATIbcge4-=>7TqU)=~!)g?a?%OmY?_t?rA zLSokG8H4S(e6`O`Cpf0Dpe)=MR5c_zQr) zK==!SzhL;&!Cwgc>EX`+e@6H-y*8%Td_$$nA64@4^@o#BR*-06nO9PFwyngLU09sU zhS;H(v_|x392gVs%ArB676ls^ znd^s>=gSyfBBje+T*L{7u4+Tj!z`59^25p1rJl;=F3!znbVC6F-ssOhvT_Snd2-9i zFP6E51bCqaZ%PK-w$(8*ToEr;WR{3bo3khP-Rvo)bV-qHf>{AR=wm-wO4cuNM+XkBY8vH9%WQmTcm0BJMbnoh#|N<<4bN%$d&S^C+UI?5T-JF^|IYFH$ zoYpWk*K##)=W4EVtGR(Qzk{3fPJDDBnDj1%x!L8(JjdDStRgzLFwU)<^F5sNy>8BJ zobx8eIq5!p%OWu`V6(zGYzuB*BwAGuFjfz8R$Do%huo~TaaQe|)pq<7VfBcc)nhn% zF|gXfEO*Zpqa_h6_XN-PB+F-finZFJ1tob6GaV(l4QVLsY1|Gu1D;WMg+GhuEfLK= zX{4B)l;Mm&aowKdx^=mAd!EbK!)0`jl;J>Odx;EFh=cUJKf49(BZ9(IFH#w|{)Nlv z;WGBSWxUK~{FTdih09peR6VP)epOL(BNARkyI^cW=GO@Sau^OA_KgKOOIl-VR;@zj z*QpHa0U&~LE94C#qhiy{qJq}i`uYZB{u_?oYc_?y2}54kLBfHL8AWapc={4C0xw-E z2C3d*W_B$Rlauv_+y&0xLRIKfRG0)RIJgRjX(qpaFcr)nlB6-$@J}daV>uf&NX0mi z@DVGf{$s`&O5xy~k0_iy3-<}*{4X{jnm?tSE#`kK#GYz=&WQiR)%X&(0l*MF~Mc5EzN;y~uJEZ+~D?nnI9^X3RREkjJE*PY|!4JFOx6)TbXX9`!F&*S!d z(WLr?ll?k^>;jkm8|Qiv;fUKn`XyQVgshE4V1M&v%3zG)ipPLnw4k8CfkK94+DymB zqJkyJd=(e&Gnb*@4|A_74>m_TFz_YCAMak$GOSXp;8hIz=fRnv0nz+K}4((LJ86Ob_y&;KOD zFgHpr0y0Jq=UCU&jD#4*(iA&_B|+-qJ$VAlF^pw`!qNbKoLkA9^5$|RfJ;Zl3?q1Q zioI;r6c?PTFihY7@?T$h^|jXzU{#~(@{T~W0qdONPu>&3Ha03IahxIs+yDf0$7g%a)Aa$b6}3l)YpS5 z;Vq{0?}16`&;lG;C0hLEa$ex4{yos*GR;S}33PE#o#U*ksdLU;Q-!p}Z2YlhB1A!x=xsgbc@)KQeCos70nGU9mxQ>O-rfOnI5)kW0*sDYR?ftR zq7qVT`c)uY?ntw83uhs19T5;H#gR%W+N?ljI_x>_g_!^K+h_GUR#2+8)P;}yc0)Ni{=dQ9l3bD zOEJu{9Otq%%aPau$c9>C6ptbz^F0X9sPQ+dHsTgwVc4WJ;rp0XVmIUNYB9!jKjZCT zJY;;p!(au_cA$NSgEL7>0%D3cI#)HoP*e-3UN0NcZUr8s8>FMahdEioxCCSYFO#;V z@og3Lt5!Mdnql0t*^qHNR5VzHs2t7_$p2AfOUIYRfJvXjcqGLt7#7bK_Sv7A)%Y)23PbJ zK&k$WTP2IZ_5!DvXh)_OS$l?Jk~O|9$5~N}0>CMyEGAAu3H$N6H!WkLUII`}Gwb_x z*>%k*0Nhhjr?&KoDDxHk#|kkc8NAUZX9WrXZ~i z`8Ry)N-;zA=8i*wCXMMWgv-x~h8y1IVlyV8z<1<2nv#G5-US4$yDSv=o{YEy5bw)^ zGEmI_5vxYH2>6hjk?F8fK&nA=eWMcvfIBMNNc^YU_@LsfMkgJHWOr28kCIzU3v&u5 zw>k=oN|5jgGpX)h&=fLu(B_sfEddFiva*{4KI1w;DTIGB;+W5=Zn*bQpjY4*ZsigO z@X=Z^LG>lxf49XDc$8zn?32F6kM~+KCj@;1VwB0zavV}wJ9sN_mq&Yo=Y$S>3O#mg zrg3G!X#kNiIU@@R-1D@Yf$V8=cIe32)#Z)zW}*P_L|NYj!~Y=L7Ywic5fH@iV0Umo zSz(ERe+D?&r~wBd<~%LiO$7Xln@{KkYSw$)U7mMRfjgq6i!iv`chJeZwZH~+urp=^ zuauXrpuT?Pnuh!wlyn*2TPG$?7*bGk7TIo`TZDqH0tdx5+G`-36d20;2Qz!pb^HzF zj6y0~hD$dG%zU1Zlx7W%fnZ0EL8z=dBI40btY( z0xDjBVD9GWKy&-33cF`*d1LFe)*Da&c&>8Ag@d=MY7nh~Mu73Ui8SM9e-@2+YJ=z( zB)VzCLRI*yT2Wk%jNqPfgTscSEq85sEz*X`l*kgHpozMmC)@SWg10J{NE$}sE)f#A zvucUKM;b(N7Wk;F1(B9T{8QGlz&|DaAGKkwExTmNJR~Hj=s-gJRPNLyaql`AKig`F zoDFs#tEdo^$~lv99|hQC)^-$X2j%!E&gNF$3bpCr0o!-raa$5aymz%ISu@@0Efg`3{mCo92IQIrmf_lbQB8i(vd(>oxU7rHH&FC*RT%ep&k-eFw>h?s&Mp!#jTou9TeS

IAGM*Yw_oO+2s)k9Q{eRNvu!FZhJ=3KM5VQFv-&Y)3ASe)wc97>(9T zIzZZ_pk|0{KW%{{2Wv*}+LebqzR3W@A55wupz5fF!Q z^RQL==6QJYHVV3sH1O~>J>szIgZi_tEXg(_gDS$9RO9S;6A$7ii%-_LIH}g zKYJPXYWQ;?0b5>4$e(PXNIqJ%_`R5Klp-3Lr}p8E`{o@aH9C9JjAR&hyk$RdonQ+5 z-9hA4d-{t72%YYvn#SV5$uWeCN5%cygR=`V-?1o0MNM|TAp9(*{m#$gFNzfC7|o&t zYQ@xopJ>WS1HOCRY~OHMC3DdBCB5_CceJnk{@$e5zK`D429RstXMBk}N`3BKBii?U zFHX%|ootJB3`#sd{mTONh?@NO27H1{1f$&$jeQ}D#{)j-eOX-ScR9Is7dc@n^~%za z{ps6RTS?Nw&bxFHTh4%VS}TP+=cC~mX>2CW&f&OcJPEv>Tl`rpIlgZ!V!G$qT_sHH z<{U%)&`9VM3F&s%k0RbdM1|!(sF4PwmuD7MzI~?>cm|evINJB@zyAD8tB+>B8ea1l zE1A7~KB3yz3++ziT?Tkga9Nc-KcVwM%|H%AE{|l!P?jHe#g!!KcjFttq&<9}@>{nNZ;A$XsC_LtDgm*L)9vKo690sSB1(Z0= zVGLOfGIwycGjf4Lod^yK@{WK^1H(^4p6-wWWNd2#`T3s<^xdiOFsH>oG0g}rVcx!{ zP0mIbsG1WFMfit=1fC5rP&Fc0`g{BBm}P-jPRoD5#suRt!T*A72`1hV|J%v}g`8G^ z>z^|HqxJqRL-o*pRZ}fhGabCDxwb6O#cBOdoE@=IfpF`5!~DG?{2^~>pQ_eAXs@o8 d&7Xp0fj69f0DVSDM_Cr`m~2DnUQqSt{{gC)J~aRU delta 8459 zcmX|Gc|26@`#!UeCB{B9G?wh4sBFVnvL%K}l4WSIv{<4fnX!c!OQTS>Lbhn6VkR`% zvJ^w4MQ`?XFqF0M8@=E6cm6o%KKFH9_j1m2p67hdArB$RLr5A1szX;-5CwoHrCmG# z96*B<36A#W_Q!$$wrwOJ2bh@vboITW0Bsb&?if@8RN`=%-oH-Ze@-y4PlIqw!-PPv zJ#xat_9y_rtpF$>4gE{|Q}drT7b?X~x>t1VKMxpC+Yve)H+QslIHx@^!uQe0le`+n>~Z^c+Ym(KcP zgPrN6lbNYdE(c8wkw1*-=u7JC)17vrf#NA|`jVLc*)RK&UQyfRhp9{$th!X8YcB{> z9crT>1bSDUbA~7m@~hqobb*2`B=VtDmoPV|G_Ng~`a0|o{{nJCX#zKaqd~Ba)Xgvj z&?;5#rV`chrl^eF-`deUj zHl+a9-l-SDt@nxAIXqHXcLL8zqt@Nb6kR}meJt9++SF&QZ4j}}fAV?gB2=GnBTz(j zadL$ECBr+WZ^rZ$retIq#C);kRb00Jli$Ir1G5Zn2lW8cOI1%=!doDUc7xKB0ml zMPXYrm76nEsYp%m)(rJ%q@*BhR%BLuR&o|Ii<@Q6{-9osR9BQ|V=dSfq4Zctg-3%v z#fQz|DTPpc+4*;=T1KQ;?8f01p&;e<79t{9cxf=nH`JE2V(SN3@fj&r>gYR4BJ@i+$Y4KgSAB5 z$&BDufxgV88N^o^#p=iFR@5*Sjkpa_+~(WTjJTC@`{7;{cj~hkah*W+TrGnbetAA| z{`7(w=QZcVlJnBLUzb9S;@7@6Xh>NxOu2B#ifN1P{vxr{6_mQa(pL&fn1-m?0-MaqeW`uau6$%cC55+$Ee z&$C2%#6T0VH6wTko zBua{IuW@nDiEOOtw4P!Et_T2A zo-it|fGhpM`4-$9kJ0%!Z0>UY?utv`%FAGEBbA+KXLfES3j~huK&=q#UBOoMXSmOQ zyJ0Hm4pw)il(7T(a2;r_qn#JY4Wi6l1>!5p7+g5MvV<`;HC1m&y_F<|;I{00jvF&K z>Cj>4CGObz-^IXjMHO`omGuqziaRE~L3ggM!K#AMFjwDD=97=&I?+Tc6+!OE;iK#U z4N=u7{oI)u@jThbObo2kYOhA15xgD89nT&gJ%08?{RvX_t)3+?iOESK=JyuSN>lqP z&?;6wJ*cuaBssqR(j~Kry}}9R&j%*SO<~Pq{Z=TreUSDDZsg#1isPh9s@XmlWC@_CIveL)CNnq5fBj zQCAnjGN1hU_6OT8(sb4exYlcG;Rx}`p6ibTM7?-Lec;vQ?lTRqVPf!&w*AqgADCX2 zpJNHQs;R;2ji%=!4@(~Kxe{()$Txz&VUH1I1YOg6vAXNaq&o_iOEHp29$MWmHdytL ze%4qNXqDqc>q1AmK+e@e>Bn*>{IxZNO^ZA1MFQV{sl3IdZ5?jJ|;p4l3Lw>Cx z>_sy6@!8c!-;*|6o059)*_GF)-UuG)`!3-Y?3UM4C1iEvwRVA`KYqCP#6P$Jm*8_R zIZPOd9}^Y?pJQ=MwmcGqpk&9eA_>iANofWeAe{kIT9Uf``mb`MEIgw9LsKlz)zbm zFZcYEs@_z5Z+#Sd;`AExVE4z!V75VX5p7$TN6qs`V)WN}P+$8dmnY6Pr}_%2f{4}j4|87M7t06nw#+j{x(A1As39B zjzglx`QoTUt}8=yQ_peYZzm%Z=-~ta4ZoN$0`t~TB3$2nApCY}0CU&+XOXhWrJu2z zQ$%&>$ed-+1zm3?rNqf^OyAD8f&ri@{J!p%>*gP21YqqtwtlcGQsN8e55e|f@EYmR zd;t=xCKk3hgxjpWwj!Q)rupDFfGdIJY%J21#)nkD?2Wi0mi#N|MQu*Xbng2>ay<}1oR4*y_3#Elgth}?Ep2Jss9Y*j7hBOPW^6Vo(Z6CW&( z-55}CqRYQ*H!I5wPga>p#?K_%`X#$x%$7xlVg(V1;m6_Uo~7k2g2+~8G)aNfVg-_c z71|WkY5Zeh5u5LRy9||_SfrpIAevKim zo^MRIRnmzgq9kE*k52FUlo&g~Z&z!Q<*wKi_!c4m=u2V`=g&_vkDn<|Xd_RlDNn5< z&sRsDsa~FSM_wNb-Y^T^+E(6n3k)eBU92x%Y%yKjFEDr^FVE?SCI&xP2OJrk*?KO=@26^GFF3QBZP3WyUDUA~$_Z zC0tMx;sr&FbttrUSw}BP<1Ak$g=sMYQIKBE)Y{#~ANlHgYB9oTq6fX7kP4?KghLxD z@PlW}%iWumPENO~Aj-sX#~uW}eHA}_llN>(3?gLOc{$K{NPE_K*~@}MfTsA4_7j#+ z_hYp5mml#o^Z~J(B_KzU?B)e|z)9h~)v!#7UOSbUX*?<-%4@zPYgl|6?Kwn-qXW(- zh>)8SM8EtnVr7`@0f~|VzZn1U-o8cGyI^ZrucdCRig8WX{ir%WW_ffl^VsM~)q5xX zsx#FU&x%)A+&Z1K!Y54g)&88ck`wL&45{3?(c;$l@|2}ExUq|ns46&MuOKty>Fx^k zwAzzMJKp_`mVYvSm7LPgv^W?N;$`wpI0Ymjq@Q_;l|Urcjb7z(9BdlUT6HJ!0TS`I z;jYkKRVv%gz=bb|v}DBZJeHc@X^7^#A0 zTFZG(5tZ%(@}=)3wK%%C&xMAE)UWq6Y>(f15}TvWhtfH3%trM5vpxP$@1Jc;d%kWY z?s9u#^fDeH7zLoeWn@%gK_a9V&ZCLZ&)(t@{L-!hNWIESl^SXfFD9l$AS9n;(k(Fp zNgUJADF1VfD>k+#Fk zrC$Toy#XCBN0(6`N#rEPnJ|8J`O5jLW%{s#wxz$btZr-P3Lee)CJWk4iV()j87h#c zId=`-yaV`CV}fN*BTsIoMX$hjRcQ@*&Gkuan90A-l>VG`tR8=UO*SsN!ElSFMG7t7 zj52sr^Bd}wA(eS*vr))J?($vmesUZ+N@Z+jPAtrdS>l@S%$MyfKK6E@l|Dh#AS8kJ zpCBlzjuonzD>B~^BK9hfn1uo*FIEqvr=f0*zxqNfn;XIo_0KIwlFNsNcuej%B z3$PE5PN1u7^jQZ>W`*OlLLK4D96?QC5ce(icVP6x7cVvjQV)(ZVR14uKtLD(f-jsb z=3u4F^4gd%t*34HRZ!%6{2j}%?wU$X;~;dxK4+Yv0(j)4$pmSJlfP@9%zjgoGV3~X zmiItj3n1$x-;D%f3Yy#PeB$+6_#N2d;V>r^I5fv8L`@cv*ewGb-RGx*h>v-{J~Y3G z-7BX!8~vt<>8v_y0kH3Dg^)KdUv`MDjsPKurdiDMk00`h{;uB0gasu%aDXCw-1}td_;2LN^*k@Qq4OwuH zKj;-{Xj(4D>XEu>cvq!Bu0Xcbd@_&{MV8fs0j3eW!1V`emX6~Kzkmxi$(09BC6FVq zT%U*x2F!SVRP{m4$%AfwPgcp$n-Gu6qEn`@$eyV&;jE8q@(5F*$b0vA-_4e9xAO6Y z698#}*DY9oWq{BAnJ_lo7W_v(t`QJ1Ct;jbq>Wd!L`0Kw_RN>R$#H*RqL^dY`0COZwuB%NXOvmf5dkf5F{EU+>(d}#{Ym)>> zG(wQ1QfF745#zqLM!p+C^8myB(&(uNWOJm5gJBP!YjrmAu)4RU9O-O`vdAvPIB^Z}Vaf2a{o6P-sjm4F*W0rOP( z??Dp*#YyDB?9J{!O5MhNAe}Xu5&5gv2Lj!kW{6_G*n;AZ>pP%s5ha3u3z-}~_I`b| z_Z+f#Pgl{^<^G-mNKeeJiZ3MtFBW6IgHtL2gu`@(v?6y(nDAU<0!M@A4VSecOsJT{ zPx>d3kk}m04Cla4xDcB3B>SSao` zu;&^o6b~a2wl$4SO(N1B%iil$Xb94x?Ps4jS z-f8gts4=psXwYvs&Y*UnsUNxgQ-AKm2q1Vpo&*RXSJT6X0G$w%ZvzO+pMVB#>BkQy za!CL;1(-+w!2)xOFQ5e9+}l@BET69)-DbuD?r@>&St`C|dba>zHhQ5OkfaV;&1}2R<>8-X^7p2Y<`=k*kE~@4FFWgQ3?$_M#=wcx zI|3<$ROX2Q_j}jKz_+F`Cgf$HyuG~6_oin_fcqstW;|rD9t-sN@7r1f;X02r=V-Hj zG))jeO7zHVS?)wP76_TAPxj#r_mH~!gL<7M+!#?D8-wsQULnsz4WtE`t%b8tMy&0i`0_ot{`e3kPr;(xbA2_lh3;aJVk z0C4;g9&Dg0#3$5yev7niYqC(^WoT@OIv0NEb=sAY&bm0UL7No0ruO7thw2&sT$HfW zC}lLIm}T%@je|XY2Kx5hwZnCQ^8Cy7X0Q0^@IW#7FIVyy*ds-@BfH^Z)pkK0Z}*7? zHD#TW&_vzc8zIMw53{}b`j3{UYwh>NL6?(Vni?8y5Li+8a7}_J39c!u42lgO&=?3U z&a*omiU;-gPhn*}Sa{&MZbmL_GR%xdwCGE&-xFNt!m1C#6?oH3%D7+hDtz@c9?MCD!kl_^53;hZ&9Idee z-@m_AzV|i3S#N!dM&6tz+u=`&_=bdvy^T)_&ikTKP7)N3Ob zlUx5et!k7Gs7L4iHmj*%4NXS3;=1NNf>G)M7=!R&!1c{PU!|(IQ9OFeLywZI22H2< z!xcb#7x_l!IV`|nI3KAQ?*Zzqevl+!mDIzR>lYddtXW(=p7rpD=QXM3o*^=VN;c$< zwouY%;Mau9IuSHB1U{!xx7H8gAo=h0rXbyJptKndQ{Qh;Uq8q-2NZ9Vrn5y_uZ51b zckHzk{aL)rQhH|L0Ukn>tx{D-vtyb<(ic@~Ld?=`^}-`cDC?(=kydvs6kZ`?96d!# zT4dAX3xx|rqX^1E*6&T}R(DT$t$&8vDN(t>_G^_LpOvNZj2}`Ftc$YtM%_6lTul;< zu~kZS&J_mMYk_8^bmY?oCm@^vlDm(5dO*mktV>1gbhBKiC}n}kN62?{xNF^U0I?sE zrS@f}1!*qX_G=8XbS>x_pJ|kE-X8C(EU8~(@F08YJ3zqbEf2qoD12=QNMlcOh=Ba( zd)&QQcl#t>bRv!YolQM0yev=h`}1j#-_4?|X@su+{7|`k@YnZ7uO!`sEBB~H&AjXF z;jiuq@IO`S)ELW%m``L^UU6H$`ryNMd5F8W%S1W665JVOGkQJ<7f|NDSH`0Zt7OE$ssy|iPs8GkvVgk> zwW(y5z~w>vMHcdvIpY1kVLVBcKN|6?CFu#z?@V*9JUk@=f&QJOyb>cynCNBU!XRY3Y+ko zPnyBJjahmA2EVL9|KLVapOISxAmMbgwsBXwcMYjafj73@ZFwoeqpf2~`J(n(tjN8Dyif}wY ztjo2N=uQCs!Gf#Yy6mwaDSu09(ct6~O8n$(@N3t~9~hvHOoDL8yBvm?Vs$8Bn}f)( z?j3({V;A=y?Z=cX?RIlZ4jJJWobbmPLcBIx6Gw*RDQbZUbM#Nq_rEpZ%5!am1D2RP z4cW(SCLRPuGd8|GTYX`2>r!P#g()c)z{hpkEw|~-=kVOJFSHmAYZ>rg*Z;~`mzBKYLgOxyTpKLh^ijxW*C2pe?JgW2XyAs?Y5$Rv?ycD zn&afuKuuqJ7irL*5iER54hX#bZGj9FxSN~GvzUT=03qIS^BjRVOZ-n3*+|U1E{6H$ zHo%iRBZqqmY(Id!i-A~EKad6LvTVh#O5SO_Ky$H0>tsC@?^G%4I)CtVl6blFla^@Q zZBn1N_Sg3oYP&+ay!+$d`t85EL5wQ6#3!gZql+svm)Wj`aH7x;8<}EVXXE^S8vX7( z>~G;$V3}3BM;N<$$7IcKMJIw7*P;-+3+w+7xKzj?LKLne*3i1TG6TmuQ#{bXmBQ4+ zQTQL)y3%?<3)3CoTzCBdzVs!`4Ky(Q_r{@iMKEC{(~&}2gE z`0%9ajFxkfBA*?a>q< ZOoar>EdN}DU~7i8L3{pG4+UKV{~v$PfHMF9 diff --git a/.Floppies/ProDOSFX.BOOTHD.woz b/.Floppies/ProDOSFX.BOOTHD.woz new file mode 100644 index 0000000000000000000000000000000000000000..213b4c456f9b9c6345141e0535d7b3426cd3ea50 GIT binary patch literal 480768 zcmeFaZ*U@8mM7LT|iC*T%=k|Fd8J^@)jzzxVh5{_p)6@Uuzx)HkN)VR8#n&=kN^1FH*fyQpZv+K zv9Uk>(?3;yV*Bj-v-iKT_{-n;rQtXDzrXQ~zc-@4F`^%h=){Qr(TM(cBdQru{fPb# zBlI;V|Hp`?NAzSw@e%#;i2i>gDv#)|{^Di*{>g}bFrpui=*)?(X-ut5N7qXxzzm(-Fvl z+Jg03NZ!)lc%qC4bY{dbRTtN4^?v%>O(-^G6Rn}aKTKa-*^|&5+%&hM31$2AW=I(B@xm&FVsskWR z4u#XNvpr$f7^WUzTHw;nP_^3PKDc0Ow!UQhpi_@*R+&Jc9xx0m*b1*!C3*FN&0u_! zjaj{7Gr|W+4;Yr0aR7$VO{;hin$2Wpzn1OmlKZt(_A3`^S~S1YGB_L-U>Szi zc_zzI6rm-+CF%IQe)1Sr<_sk-_wk;9oeY#H|ar>@&BZ$?=t)^>xp9-!pC5vFj=4 zjz+Vxv!k)B^yPJn&0@1|o~bZYmDyQ=H(e(n6SC&#VJ$Lm)vwu9<29RQWk<7Ks;$^o zOh$WLi^R2hCS%FB1>Z|rbwcZ5%2dDuV}xgtm~pW>l{RA2X7Dp^=jbH2^7~YImHlK$+Yv;Ije&C$ty^*K>{K#{AfiVQvbh-yT5p|1 z;ix~p(jxMDdrr1MdOt)RLCi~^Rd88ls!<>oOW|lAI`g@ z>sI@^O0RD(=r>C$A%oNHs9rA!`gOm5ogvoQY0-|@hbO~p@ljvF`O+Vqd1-w+7~Xc9 z`il*VpJ-?NO`ML}GK-6g!?w(o#ahzgYkiqt$P|{v8olJuTGb6$&I)QD@8YNAR7b|l_Yg^LE=Ed%VGPTxTW?94Lvhl$N{}}Jv zN%O-_hg@#12^&IIZz9XUG^|)FJ%@?yX}B_E?hk1hm&-Z#g zP9<0^64%_WtZ2Jki4(=~&d2?wRwtKZ2XslbazC?FV&}^% zx^jhX-xr;oizu6`XKOfM6?+w&-{@OArFLd>p^o>-l9A46iKWcau#~B?!LgZrWdnrcZFh7GO;&4I(_-Ly^DNTC*MqOa@4?Nd{hZRuX4 zd2`%oZ6=2~R-TctEyX%&rD zK--tOFrU6_wFRuJsRs92%2pp`8ZA924j4XZ*I+@hVn`Fd&3zEO9r+-5Z?{3(G#{HXM_*<;8U*lJQ9~hPwhi#dwUar8#A+yi2j6R@S+YK;>4Oag_P_JY5 z4ukuOa3QLQqJj@37I8=&F72fC<+d#zd>I%ri8tAPX%4AawkI~x1| zHr(!+?k25_g|%5=r|nGmHt6qaM*_S1`%!CmkU~UJ9He{fxU;nxVz)M6G$G4XESW-} zAeS(w(1Z<(w|K`|3w9clRwad4q9Az0>h;s&#C8hZ3W?{)wN`z_aSevA0S6Z%-vfqXw<}(Q;cG&`Yhtfd{cT{-s@2zE__`4An%wt* zq1!E9gW)x${ko!W2ZQpumAJAOKl|9oVl9HfPFFR*wpg#>>MM=D2c(N;v;Ep)eO=JJ zl6$4^)!r?U`#ZTJpVc<)_?*_xNdhr?zUl#&illvYpn7fPDVE9sHzrM)3!JuB$Ub{)JDec!4 zeGeFl=C1uU%kULHPWibLM>TU<8A3?<0jKr7khA`WoT*7kQ}U1WvsAvvX+0q+teu^Q z5DKe7h(f1eZk86c;(8XD~l)$OL`*gKY3q78`Rqjd{#J zImWU|1L+$f_EYYo{Ed4A)H28?m5S5YSAbNB&dl8KDle$)K9xs&dCX+XAWADc=`=OY zTBa1HA*xa7hj;G~u?YMV5 zJ1!4M1{ogr1=-o*DmyWk+wI!%xZTa+zTz_rL!yW)>YwUir4xl*Z6Hb>U^Qp%G^`QoW?rk?&% z>emn%ejm5T>-P9d%~I2~7v){9uE)LSj<}B81@POz2@pix9xM=-5%WK zDivHMcRmN00S66!GEddxX6oRq(4-F7w{_24K2k9+7R^OfQ9b&fV(Y&D3J#2a zEXQd3_r>q`J6-A&FQSEImEu|~D~9tdaf$(eFe!IV3&z2Mlf@aDKO5XHV2i^b4%+=os3 z&DQPois+|0yp{l$^oi0E;=hyV$Xh-BISi{klHciz-|r~u=^kv^DJ2M>YSKRbOnyk0 zOIpf4V=Wb-Egoc4>`N{PS7{;pGu){e^eL_SxdIrrN|d)~X)g)HFsdn9p9vn?`iV?! zItxx@Ks-d<4~d5qto=+AXQzh^lL+=2Cc2WB#7zl5o-V_q0K$Z}~UROBWEFFh) z&E9dSMIQI$Lbecf=gQGQE2(6phQLa}ASY8;rtGN(*d`;D5sad$>2fMsl~TzgZ>G{n zqq(GqbDdu(iO?IWmdCBQT&Jg(2T8hI!-Jx(j%wBA8XmQp%f&En9`(A*H9XGF-aJ^= z<@(}iG+eIN4~}!W&d;xocH8CZbS{r>7byJb;DL6(e)M`S*B3{>?{a-{h@#8&`Y{-| zT*E_>-aKU4ZPRg1~=!&rmKp%>kcf# zMWYqsuur+MB@GjZjA5VBv=5#)ndVAn+MS;{rUQ^WX7F+|u##SLFf(p!&IB!w(oHBY zkolQdP9X8|l0cbE3vvHLftes>jPjCu)=zobs4R53&<_W(r|`TYSm0`L$_)qur&lSB zG2hLb=5oVuAy(riz7vZ~EGdTFMhuE%Y3(-8W08q5?Sx}@P#mUAM7W6dAuNn5Yg~8l zAqhotjC(?`?kV$Cxg?M@=DZB(9ORzhM_&V*hTVLeQWkhFu7LV?O604OGVhCn!7uLs z19+mM1-{wUD5W`3DvOl9Y@jt6c5`$2VUDs{`FY+ToR*fB323VgEt&bbMkmM6x$dTU ziz>`obYi}{R(WzxH?j*$D#=XP$_@wgU_O`6E-gbUXi2L;v$K$rdF_xLf_lDy&liMw zNl@3Sja;Gc5SFyf`NE(kuQWRaQ%9Zy1AHFRxEAj&$R>xi#6M=_IlWG{T9+IGByG+~ z)@HLYXb5<_1!eLXQ~M!Rx-a0AkyP=f#csJt7HC$`G#HJZpz!X-X}dDFG|zSFe5+Z* z`->HF`Mx~B@5{}~GJZb?A_J0eItmN=xh3<$<`Ua3N(&m5_Ppz~^(z}>Nn_`Q^NdRD zIvv)6LUb3U9MODGGMm@LZMx4`L5=MV;em4tf7B^0>xg->u-Y!`IWYa`Egkn?T83_s zD5@`K2hUVuiwE|PdLchMBViEf{E_U;Dt8}=24CMaW|Yzi2lOeu)2O6-%H&)tI1oKK zV?J`ybE-vE3d>J^&xEQTro_nx**Y*SoV~y>rINWxt|vlU2bfcHNP9CrG6|W!>!i=N zm1f@bU1(lbpH!ej*ystlV`d49dVAPn$w8lNOQMHM1w6L`v&AtnKv{KIHMh$e_NJ~ zHZZJr4ogt$m?H&zo0c8gYULk9G#WDf;`EgC5DSpWdxB}dYrkvT201Nt9@{!>$q2Y! z81Ne;(c~kM1I&@Y`r@a`tg6}KTE>XKWyqLz2sD}N>Cpr9d7~Bbhe4rUxF@~aP135h zZ-QZ#qLt>7QQN&fsQ|s^dgU!opw8q^L)NX zGL6if!2%VO;9WA))0zY4im?5P6Nf+ zw2-;WZpcnS+|2QtIHPDt^S08ra!s5fHJnX7XswA&pH3JPp`C2Mnam!fLz1J{U&rY0 zp~!SX(℞9yKS=oMei2VCyE8p?QcIq~^Y{X`?l?Ht0`JVmF&XO1*{AW7@Eyr?Ll- z7uukLVTYVw$y2Dacc2IQ*N1GG6z9!&4r%9eb{{j{Z0;LEq5MP4YXhEpx*0LbPE}^V z4@xC}{5ZNNh@S9iwN92u zFXHdlT!;O58`&wd{=-_h?2ow4;xya|wY&iaiD!aRq?M){=$^lTy1PM;?vK?&(Y;_x z;*ov{cgfY%-f`+Mmx^Gi7z?NK0smzTEgZ#nTs6FLdK_x^V~q~%IVK7Ne-z%yccO?)dK<%xYN~@B9+y*{ zJ%6;C?xc3yZ=}Mcx9g7{57XM6lxOe-H%PxI9`;9D9Xi!<^EI+`Q3GGAKR8NoQj2(x z`?hFa1n%2w7Hg}%(dailCgPqgYoGSg>M5TW;mZ1X(xE5y=!O!KdWOu8B)#V*;nC)} zZE>5FfbJ>=Ft0@P-~6Ovie$w@+7rAR&fK)$N@k`|4Mw)?j%=PKLMK}$zmalqvo{?l zwASm$Z&2GQg0vy>A+kXqim1F!sPl=Y-+R*EZgSsCh``e9JDVqpTJ(IoMIt2ky~>ML zE=(rrdHqKj`z`%k3UM@L=(~K1l0nDfLVjLZ;ma9R~+CMz*L=vn&S3P10Vkd;AU09kV@QPSX zyEBQS1nO>ATOwL32ZF&+sCr1(PY=B;d00xMd%@OFKMG;Ee;S7AR2^38FqTYvVV-~w zW8!I|K~~{FyctH2!$d)9hV!m62;7xsIDRDIZB{<5p#1{q6UY-$$~%-4M~+~Mq!Gk> zbkSLmYbqD%c^2p_$l_>;Jg@JFRP`bmB|Cw9?f7ln1mf+;zP}&@IZoH>4f^!x@_OY! zAaG8Wfa5y4P@0YhN&~v!1=U5!UMt+svdwVj;%n0RL_oUub~IND;kEaG!E81IFkCh4 z7eDVx>GW;PVvVK=_zCZyv7UA$a}R!qEk6{Wc0Y&R(_o$~N{H&`?M|1ld`90^PK#wU z3NPbv4fH^iylSEFq(eQ&yU(dKFT3N|xbKJM#PRt{dP=gY(;6zOf+WpW6!n>3mZMIO zy!~@I*Lt~X z42`#9{qt5HD;zQ<#_f_iy)^D6%dS$etPILWbvjf5Hlm(L*Ib@Jq+Vmh;~H~T9%Px* zbe|$C>>!cqP*t}S1@#u!tjtk@6ikS&s;8(~<8w8+3&0`|7c zNPuXjvWP27O8!p&$Q5LziyQ17=vMmjW}g@q0{8t?ECSamhzlE@wX35@M|Qy}FX$#n zk%M@?>#YuR>!+S}8bP>PC6c)`OUGmnn4w;$6Fe?d&LeOh-HW@@2@rZRbp;L53cAOW zb+5Owhbo7Mp`%mp(Q!T+6V9X9MI>{pC;3fwn+4Vn?7YH zO-9c6BE^16-XmsP*)uFhZ?w{cAwjD}(WY?vEmpaOK5v;m1yi?>oTixp4FQvBhRj3; z2)LQ5BExnKaD-GQ;03yJ~WldpdNdqX(XjD1R-G~me*h#O~QX*H*(+G7BS6b*KlOvJ#Cp~_41ow z5C&eiJ3zN`HMYjM4{J4VxtlCg*Robh^=`_n! z>s~rnjnSu7>ZnEp4tE5Mt`jJYR@+I>X&#Xh@!(D}0_V!58s$o-JsBQm5pX+W0l~tl z@<2fFU^GVf!y(tvsV{n5>(>28v3inX>i$~!Y{=8@u)tR-C+PS^R)O%JM+@<=KNxlI z5EyrKe7aYS9_Npa>G)6x&|C|i+ho{cNC9gkVq!C<7K@^hc#a+LJhf0cM({^4WXqtqj_1v8bKo=dk~4%& zs^KGsFnbyL^4NUu=k9^cS6tz*?rA9KVf)Mb-~dvGPiMa1xE>_-GkN-H1|tD3I;2Of z-0KN1U!D5DDd|ep-{?KKY~C+x!RLzO8Vo-pFcgc!4r%Bb3~%c0SAKS->Td%BnEW;D zkRI8NYcTwbz|buYJEX6{@Re)-PYAqH^|ygR3$`ncYcTu`gW+us?7t6bqerM_@LWK3 z4bq=L)You&1;Mw0bl4+(#c>VNzhRJm0R}LC{~8QmgYy5R$SYNU8yJ?scExcGhMy4_ z7K_6U>1+4xCzbcBKEG1+w}D|1jJv$zxCX<|2n^=putWM93}3bK|D?PtReu{8hTU9O z9M@p@8G)g=SiE+Veo}M4JpYOxr~KTB>l>AsEe_-DH(Q7eEX?yLDb5&c4e$ov0gH^s z0+tT>i&|T;Juzqwex$IrNbjK5O~iy+ z7v7J7eZMZ=K2W-`WD3@&l`{#NwC;67vQ4po6;mQpLewct+q(%%zyb&Ku|C{O3gX0W z5=%Io(RjetizzzrN>;>iI|>iFn9&%TREBRPy_{V%dim?cI!80vmHqW(^5E?3pbs8b zE0=e|;aGqCCeK$-$>tAAc)t6cmVc2B$lYz;9OAb-vX_~UYb7SW0b5CC&Om?qD*Xf6 z8RNWOgPPWvLOe%mn~YK8UoxsUxV`PJ*KlVW)|8<1v>~f`BH#-Pkk6~LlDcf{$EVHM z4Bj*uxSTrfAMehTAfqxhZPfa*T@ZRH$S6%ar8rfbHbOvv5prs}Axf5&1qBWtOREzz zGvinUD?t;y%($6x49*|NT+GxRP2R^DX(t$(U!$Q*i#zsKfSCbT@VKh7t zGmp>AG=P{$B+`(ks_M8}9s6-wJ*{OXG`znGlWR~jo#N(|vHa6c*A%&P$8E~iAj8x& z4*7kuT!O3gi&w|TaH?z67~$&fRLd}s{=At3Av3nX#px<|cY5fP<71kU!C3#%h`h_! zf{*@^;(;p|JP3z_kuY_@UCjb3w^|e>@j(wJoL4**+L!2eyXV<#kMZDxLA{0?hI$F5 zjHla$0xaV}y1BRG<;YU%&>cQ)Q`B*?NguM|d{Qj+anB*2qXXe+PHDwE`ChBjt+i^( zDHD=TaUAaxhmrJ-g2iK0rqnwQG_p*(UJ&s!Iv+aanZQoh1x8%b?bg{|Hd;UQHNyRt zBArJUFviEe)h#sV*M{375;)IVYWt4W`-dgHZy)#rT+a zfT4eI_68VAvK3oW7K+|g_ZTy#qZv0|%f<7~oZ%IP;ymgHdf$qfck>1Zswq858-DOA zeP{iI#K3N!9UfZf5SVYl1#&?&j3q7tK8jhjphP zp5hDK^f8L2x@6;cxW&B+p3et%nWeln_eA;u4?pjA1A#o82UGh>QW`7%Fm)XNaqQ3I zKnL^R1|?G9IK8B>`}r~a9K?^|0X!IHfzRoyVZtza3@d}Q@f*F(!xK4J(_KJT47p_;`C?X|c7OrLfqxE2^)1!Tge><_@D zQ>o)uCD_n>GB{0v)6TFquTDwdpn-jMe4Ks`7`I>HzITHm5)1<{u&=$VS{G-6fvw%R zt*^J7d!Kb()w#pN^h&T6@jgHswgLM}y6q3AoM$!WJPiNK|{l zwFDchf>9k&1=&b;+k1%!yV)ARkQjO*LZRD@-O%kO_{h>N!=9o{I_}EwJ=To054(sA zvEPyggC1*+=uI0+;!)@ZxREzxxOodPJmw~E05&Ps-j5*(B@zYqbGsuI4cIZA=-BKT z5BsTr1MnXxLi;f{yoF1tfF8c9V?PvcZ%7STCmZTLtFYUXl=VSC&zuP#)KGKK5cy(9 zQ3UyBrv@|w?mJMlhVa<}GHl;hA`14o7T$h(fY>9u;={{WzdBNydSZMwrE$4rHjN_r_$K)LoJa%WwwD1F< zZ9qj|k-JSY^r|Z}#iu&8UwYonFJKOxLwIqK{}%&T5JC@+VL1+mp^3HJKz2ZpBBiY6 zsqVNJOzz6(7b2K@d8!!4tP8>n%9xf>vwv*7$k7uZAYE{i-j#9AKYrZGFHC%A&PoVVZx z?%U|Q!4Qr_4uEC2ngyoUs|eO$6=r1;$_s_99%->T=5+V%tX(85rh!y&NVu}~gr{2P zyt3Sfzz{f-p`NGl?i{bPS%!1PaDmq>6!9$NbXstQrSy+F+$wjU*DXu6PJTW!ThJ}k z@a)`dUaisS7G`yMsx((&9?YsJjdo$4$x{U|4`wcJ0m~ZAW%T;l`}e_YFpbF0f_Nr# zzaTj_i-b))r?zl=24t<|pYXI~0y#^}DKF1Cp;Cd@wN~`TMc!bjWgl$uODuRhegAQR z1w187A7q&QasG8T>xK+Ejg+q2e4mi*pz*gX(XtjWT)#e(jw3;-pUS z{nC7aHEv3RfnTZ^RE4s=?40jGT&HYlF=E-dJbYL6yDS5k$^gJ{={r?oi@}>yoV_kK z%Cgi9-pFSo2zSGGvMxKQNSIrgwuw_`TaLPMrBZp3A|jq9VV?v8!&XS;l>FrEM~E$B zf^Je<+k<3sKxovCRs_it_RRM%dfk~*w2?V9KNI30bfsKrN;0BMk@b*+_GAu;{1kD^ zkwHmM@&)T8Wz7q0j!KdsFG1y}{mE7~=qzKmsBM%Q)KQckTbOi`!7XIo;NzZwiRkQi z9n<>>nVx%w%x)pGb|>H@OwXun$SKNdy^}H;4q7Kp&i6ZKGrBHbe@Tl()2${5!tPwTxF z`UrVZ5jpQPQn7z121!okd0y`4ygho5cc)n-}GnlHxEx!rzjX(ou7ra zmAShVnA#FavES_@!+9|(^qB%imL}Kh#!8d$Bv0gvnwY|*9?{=w?jUnglV0f$>uEzV zHe=`$bJ#~M`$s1Rk(hrWMlw_xS@0duVeNd7o{t!&52gG~1Kk8Q`bdVJCv*Gc<&bRe zyFq#o48G~UrDZ0#8;L3U-CFR*BSd#_R%LWLurz2bScC23+e~xqoY$d_RlzvunWlrQ z(k46kE$rKA{k^`W5s8e2#5fW>U?fzT34UuUsblMhhsl;`25UX>jQ!4#oQq}=LC{1D zgb9aZ>rwMt`h7_>@KPdS4vCLMbn7tD>-EFz#8xUjb+;!?AqX6b3Oxr8e*#bU>qxhe zl0=6Yo>njng|;H%wlp4Zn;dp1Vg`Kyv8HG>DdReGG$F19r(PFrv$BDp(CbGxq>-ux9cCNT9DlR&1 zgg3>7NJ$Ay@<#OJr5}%)jK&>H((106GQOzB`q-v5?Zh$nV~syE*2=upQWcrbSWoPz zGR?Aj!E<0Lv08Xdi3WA}gtE?DhEc9i%INZ&S|-z%IZjz`yRFvm;201mL(nL#$(Lz>bKJmeA#O0aqN}v*Nn;%o+?< zO*~`qH8>p3tk;fAf|ls}#o;1Umv@}EHSC9t`#0P-P4>a^TA`)2;hcrZZmG0tnW(Hh zDawm@5nq&@84D*2h(TVby)XB-J2h5oXNuyijj}xilbHpJlNF4;rh$D}u@G&J-_+P` zs#9{Fn$@(8n%UKAW<#E%m`y#A(P(Bj*o9)9EEDa`&6RDC1@7-;kq7?Rtevkc=9eoJ zYm~GaMaXAc+wIJ7O8@L^Hea;nBbhHn$YH^i2&xr_JAkU#imnTR&5S1-?siVmv1fLh7IAht3Rr@6_#ep zgqYPYS^43-<#)Jm2WQFj>us4=@kZ$dSyZN(y_mEu$8>uT96ANffr*LgtKyUzERrp4 zq_sIL+)^eq1K#&MMxwNqS8AP(bSGUsl<-@;*{$qi@rDpE-wDjziFKzmG|lX#t(q6~ z7&oTV#sWF)g%UFf3)X%o z2N{Io6eXFhMR?Ujs%h=mUK)x(_>AHXXQ3iQ#v%byP3|(`7j%9`3qMg}8(l^l<8-i+ zH9DJ8U_**=Om`~o<-%EqySoaJaT7+WPfxk4B8ET5zbq0r0m%l)3d%(S-Kp3vvzMY< zMe=DRoW;jm+;K-*#rM*t$XGbb56^TdfM?V#AtGa0__eD>#OZx) z`F3O-F; zwa$9|)!on(WRSob)JKivqo8e{oyY`T;$OK_eidD`|>(x_~7DJ&OGUWyRxnKC>q*>Cncxj9(=c+)ZdESGZ^bZ-J_)b zTl>k2sIDKpithKnNW0PK8ypw*Kp1v6TW=!Wv~7UgUJaTiC+}I$IPO-n*Sx9pow7bX ztxtbamA2WLC%yDt1KKmdoH3jRvv?9ACZx!QX_N3C%W!t^1{jKgv=XAFF8PYyHRjW? zRe=`N+y-slo$Au7Qi@w$%TCNQOHz00>7A|=F7A>wp_rdw6iW``nqJHRhnYaB+ufFo z2CsjyNbjcIWZ3|)bn}y8hu5&rIUUGqO<8)nImO`Wh&JM*Xx|1+ z2N?e?2$I$Gw&3?Zi5mjLaD%2`#yi72Z%%J?M&%cl&D>Bzwq-E*TTn?debO>O%chNE z7~8#w;GE&t!6%&QjTR~o16G{nwQwS%As;FP}pxr z3=>f5=k9^cS6tx>Lv%cK{|gLl z;i%Vc^d_x~85_0GXECrOro*guIIlR?i%Xfe|C!gRKiw~IrRs0IBjpP)6qhf0{x0Ei z4Thgy?cXlpJzxM&%&x)k)9LxYQ|b4BVR^ZD#c>UWx2y6`pZXp!m>rIx>o~F<*OuYm zNwfbNOjoM@R?C1dFJ5t6gW;!F`?pJY4;UN{^EDX$8p{1wEpnymuY*B(iv#<5{?BZZ z*~on>E-qfTW!{dfuQc^NAYD|euidx5D&qbc{BH-T`~?__i`Q+LuT<^dF6=#E0FC== zF#I)?`>$H$Jz!W~yl%_9U4OsQ)c1g4(V@O}-~Ota{ny}s4;b*p>$c2Ss`hUe_8u^( z9gANT7{21iDL;4ODBq6o{T>umMVDl|#!=*-MNgB3?&mnRJbmBc>2H~FYZsr0mC7~V-uMQ z5?HZbhCw$k5_Z_Paun_>`gPUVjAv4JriwsZJF5g`+dnx&RL=yLW=9-1k7Xg0OiJMiizr%Eoj)OT@Z&A}~Z7OWJ3M zqm?7}akv()Xg9}pJezC79fw_!y`!jUI1uNJAvl*K5$>$-f>}=N0ef}^AAqs^7hU~e zg`dv~0zD4L)3!}Su-`?k=>7Y+!?9Enoc51pTt26w=_l=2(fLfW_>otV|D0MRJrBW4 ze$OjZ0evanaWCJGna^KIXxjcXy-Ds!{U@i1Sg*HT#Ce|+o^VJ{VM`Ahw2KzsV{$wC zvPWsL)F38Up4!_b9Dh(if#N0#sN#}6pY>njg*5GPFP3QZe%DVNwTmyiv8Q~wT~^%F zi^yYKnehTWKW;BxX!#=I68aFeJmFkU#9kFYuWXqMueyS@TPk<)XPeQNUCP-8A?+l} z&@0OCezti54vbbk_4|u%bT2Rftg#GZ8T#R{Qj%lBbpaG-LnIbwp zr9bFlcV+EG$(X%+F4=^MC{A0aHF(zpPM`Ei*9w5yfVWzacY`5$ki=dG!+N3ClF=3I zc!|-zquE_b^}Pv`79e}O4DQ5d!}FKtIA{LJpx;p{n*e+&kLQFz26 z8nGi&E?+y!kJIO@GtMrw5#}Bq5L|JUr%mf|5syrWtbl9KcFwcr#P@3LJ`Cxde$}K% z+q68h(prb3kB#gE(aHo(uCPe6IcyCuAI0$i5BrlZl?j$tWGw?mV7DunOQqa`)>Hxw zpS6^{`yNuLL7Cc_co{VX!0U}&?*T*bfDOI@2K60>iuWaD*bP11OjB-^RJa2=wHSXU>H3iJqEq>lDo?nI{ z@#IwjC~_}_KC@XVjW_-IOQ6w15nsv^i}rT$seEYw#M_q!;0hY|U-n2^`CR%0SEdvI zw9f(JHj9PI)|0l`tx~+-2a}tL^KPSoe(13k6*UF>!dY|%Qy^m8 zYBdTXfhRMQss+K%j#HR;VyD;OBj}!J)rj{j;-pRcSmn#vHL9JAcuytNtU~PsMUSE! z*jTV}+?kQH!)s@nOvIYe01`eqiLgN$hBI6=8nr?JUBD8>5bfGI_c-GLLn6T#%N@UN zoEF)~J}b)xk(Zf*G7QEunaokXy*ZuR$q1X%d1$5`SYs!cmq}D(lc|$+2tGS+LABt*OYOTYL-34c0T`~Po5kX*dk5`3g){c;m^EGv?CvHG zr4Qw8HnPp1b6`@J!!*4vY@(0g;9Um(rZV$!Z-8we*2vV&RztZRVOlA83Y&qA*zIu0 zy1k2h*phA`tH_PI;AltE)>hOGeV9n=LrL})Hyl9*GpJLKDDbVMp_@|1O^)$+FA3Z4 z$WDD=zj_h2j2p3ZOnxklgE3zF`~XAY8#DJffvvJSqiGea!J6x*QnTRvIjZA=1Y4328lF1}+lOk7BVA)=T7xdsxg@qpQJ4)rG{Q9uNTvRRRs4+Y9!K@~3?{ zIeaVjU+yLagY3Z@mf`yZeaD{mYkVH-1N^1(dB51}+iwfY_T?T?xW819D2QBAKJWA$ zUP0~OOm$?9Tl+jEnPuOp@Vw``W0cY)9_Ee$4)f`2EvUVi~j}mW#ln0@3AHJY2YJVmuTcq!o%(d~R!d zm{T|<)Y#`k>-M>FKb;;n4SvXzj=?Emb!usqTbjOK1a6n#{Zeo!<;T+Gdn`i|eG?3) z3GOhLXmu1e$)C&Jp2Ea>^-44n8w7ovU#g=bl1`IIJxn5rf$XIuc}L2oLg_|5bv8&K zNAzBf>R@N0tJ$t+yHu>xI?OZ*`7n3`d=e${{qsVs=X2-IJDqaG4fL(k8VKHV{?y;> zWb#chawIgVfq-|;0M|fB0CIfP=JK^VSb~ENBgg)-t3jhV@5K&FOf`atNfgK)DjrsE zm*_^X7f9xE-Cid>*aNRepjupe=Sbw;lD{0tm(LZ^mp&B17=2KgbOV&~Hx)XEdUGfx zr%8X=pYA8lJ&gd!&hjEqtMkAnZAi}%{3@rO*n?Z$IAMj6bdfEP5c_4i(@#4gE+W9$tyBia` z(33KXKUU;)DOo8vHTO5c5*phjm~dJrALAIM_27m6*5*+cBX)=wjE{TDB5i@bFS~pq zed$^|?mQMfGed2eu{F>i3DO+1QEAz-=>+~yTl^ST6W@8++mii)wJk&VasdYle3}q|0}oaf-c+0a>@;oL z+HyWFKEJ?-XjLvHI<-Sk6o6_2uj_eX=z<9}{Q!m1^qs=1&bc%sVia7V-0cYR^CDQs zL$p8LEpy%zyinbFd(`Y3^7bK){MtGeehliMX6SUEO~y;<;tgf4KkT2 zu?*4c=0j||*A4cCI$f_wWF|C7R6^&zexP=U6v(=KP9OC%KB_|dj{>!Ny@n#}MY#cc zjvaZrV#F89RL+%_D|60@m9w)-!rMAzQpdQ<*FEQwe5E&NMRuZlT=^pA4)2{;Dm~B9 zMZ%DjuxOMeJ7gbc$%~T)#o>LtLDVX)L%bI9Fl855;-T(20p)Z|&Uc5jEf3Q` zjLx68hZms>9*U03ZtjI z|A&h9JK!c?dP)1A6=;veD=+oivStM;6orW;v-dMfZfmDf3CJc0-pL+HQWnVRcHSqZ zo_aQyy3|vT8tcY4i!S?-_-91-6`}h7*?ZsKw6XMW{MGEPW@Z_r=}^>A!sFe&Gm)A} zP)Z4pvJs&KDHITK4DVqw1r--~G(im|NO6p19OK6=OOWD%WsLEo1Q9}z;(!Il_;*OB zGwFP%?aY4L-QV1I95_D5=MN{z_2K-xuIuAfz7l+i$am`3Qcd+oM;Mih0AeUG7%-S@ zeZ?yyT)?9bUiC(MtX&L{_muCrAXtqv{`m?1{NpEn+o18?WN2X)HiEB)+O)bU2K{qr z2*Ueb@+;-LSCxI~0VW4Wycy_afr8{FOHaw*)fj>$Ie3AHT&srW>UB1JK#usKgu&KE z+;*W|4LE92w9S$AQLmBw>MAq|^XmfAt9V7)u-O}FlTgmZCl59HYvqANPr@J*3n7Xy-hd=;n_LdqtAm-tW^VgWh4Ta4hh2r^iJ3-bHP{*~#poXZ7bO zdX~gGvFAW~4$g*MK$XM-Pcf2E+{>xl=v?5CvvM~UQKUws+Zzhqe5tP_xMn;Z7uk4P z*zZP9j}N)gDPHK0a4E~NNHUjKv|{fV1efVE3QW+b?l1*j>~U(l+T^RdN0VTSobYy_#5O z&`nYfVu0nD_eafuCkw1+r#N|Cw)*?^%W;x4=DwHIZv}XvpgopCD(zSjG|`tBj3LVw zwq?BwCRw1%kpu*@wnu7$GAhFBNDy``Zh*CRh5CAQ!3=29%*u;+!f&@GAe&iS5R|$5 zni=z=;$(Y3DL{_tEqFd;T_5|C(LHfvb5LMm#jk>msDj9$cJtjz+<@e+(p=$!N6d|g zMvd@s=%C)CCOOgr6VZ7Jr=hhA;qk$sP?L_p7sRAGeW#z~P}@k0 zK0O-vSP~(R#6`-m!QbOYR6_RJzUGgF%U<}NHWjLca?on9n>Ci{y9c8jKij{7<8}qBoOi6>-+i`n>1SU zGAkm8O@bfS;Kbu9*rW{`r{{tM{D6Gy<&gvC=u&w~HmvKH%;rQO2P&}XRHUO}XsH3s zUnocXdX1-lT0GS1_+)LtGhitsENwvFN=#!CR^-XT0^9?W8DOK5v;ch2^i%Z@cVP38 zJN%O)yx$!8*`?!KrZKOnO(x7HH|~Hxm8ZvR?M`O;zoJ0+qi^gUaQb+y#0}5SZ+P^vd@0*l`1f-z?p~v*7!|07$QHrb*X?_ESYa00uyMbpwXqDcJu`@%MuPa9-Vj z;Zq^tTD$K6!}U?(ttH)mIpfXr4Uk@4>-o)y_3r@ix72w*NCD~9&GhXWm_AkXny6pL z-y1Lh(yMDFZou$c1pD79^L{V@&Z`?Rd@2N7YxkO{{~8zo>6PtfE%Wb)_wTFoelW~C zZs-uN$?PYKegF)B^y&r-zfZ0~>5qJz@`r1#nn>`4q4z>Uy#bt@-fC;{SLsS8uvr@>Wq?$Dz>ji>gG|sE`Vd= zJyq%jynEoN@Rbk=F9g23R36o(iMrHf?MPe*2UQC3c~M1LhLGcD#zzcXzw9jh`kf8c)*4H7Z`t>C<$DsZJ=4JNeIcZRl@HzY>5l}1y3XE#5w&bX%0^jtVq#+`EXoZ{$i zmKmL8_s%+6q1Yqx=@vPt!>wzSOpHtYLA*WeT{77ogN?TvtqfMKi?P_= z;jr1RTvQXiAeP19*^ep5eX>Q3J0y% zX;3tARUx~Fh{Mrd{j!tIMuo{hmOL1tyCe7t24Z zVU@HPeJS@YfO_LKH7w@%v^HuB43-2vr$(`J=Acm0;`PFBc?%rrwMxv+GscMRv#2n`r^~Etjv+O6SS$Cm*_dou`zbg(~YGhxhTi0 z_3@L@_{pWV5ai)NU!9~c6>A`L6>e1o@PH%dzh?wuQzanHC@uu-F!ZV>8||=t^6Zhe z0Qy=<&dtq>2NxCS9zU${HW+z77yuk5N*u3`E0u*E%sW_V#mn8eo^HiqpQ$8B9^0|L z)kFzLDGMPhHm7be2@GVS!6sS6S6s^h!Y| zFzPXq1b4y!k_s8M3GreDb3jq($2P3V;|>+(h&CB!DLTt>EZ!#d0kl+BEQ>Skc4uIj z#mgL(B6<|1#4Ti)W0obRRRzMn?~|2Fkcu=j~w*-Ro3Ew~QUD^z1T9!#db) zl`Abm=hL-k3}h;WVMW$%F9AN4mE}xkdByF8VObVi7~%086Ei4O3BZV@)Lb^s@GRN0 zi(s0jy-TC1y^{vUdlRCX*Girf=8fzNmt{?KiUoV@;Iv~tJPjA)yAP;My67$sOF(PO z_Kesei=qnO>AIfKi?u;}Ccc|(oRd3ceGy78ImEx0(@RTa+D-Fc4!doW&Gb2skZY#| z7e+`|P0bUc>2FS_bCuSz)8~iw8qq+`TeTs zI*Hc6IpCb|UG5>-3byKM_l(QD=uC&rFP*_wkZWzYPd#Z9!8t)?cQ6d1Ejav-Cm!)h z3qqba&%w6}@D=|~ji&rgVdqMt>1QyQ5L1bC+F3PF%9@Q)YqMx(FyjoN!m~CCwX@FS zV%MOmu7K6rVcaRVhhcRc$=X2?yMaJ`EzIkTc7yG%X3^9YDL&!42y_COqEcoT17dkG z+4JraY z1bTXpMOega7M;gZ)CsQ}C{l7uA_awVp((-uEVX>AMiZiD{r_=S#!KhXuM^uM% z$AYApbBXzvtHYP>MvEtQ))M&=cn%`FtKj8QbcseG;obq+FI70^cE8whC1Ifyd+AEA zKI9Z#yam7&bY=(7JXy}U=Hj`{Rxs!U(%Awb2ZX%!EclEVaKtkrI9zoGK~Av6Ia@o< zXV1o-Qu)io7;!0oZ*k9p>H8bd!#n{R{D_zP{b0!FC%UfJMuv>w%9d?rlYk&nR8Ue3 zxv0~%qp%Q!mYqq0#4*;o5;Ih`S-~j6IV@3gyQh>UQq-Q+ z=?zA`&aBrrdB|=7Q5{GDX1b`wkc6`|xiW(oc+dwR{IdZdy|SRp&}E|q5j33&A6raj z%S_kP)!J=-*3fOv>Xe?x5;n$*j+Vz_GI78vt7V!l6)| zn$!no=SkV1AXZVdK(DjKX&;a9c1rm<`LzEC6q^@pyt)t!o56djfq?8Gb8pf}|8a7$ zHmTizOnoJozv5{2frk4}njaHH1@~!JTme!@Zuv_6BxN zQ&26S7~QZOIF8z9)RIT(+3cQTv4cf3Wm|@{WFV--P}x!-o~5&;jyw}|Aas#}Dn+lM z4eWzc9oeMG722G#r`kPT(lH4cEoHOl%UuxC$x^aXVGL*z%B0|I7RM#&n3AI~7O)y- zW{d=pMFD*DC~816mTXF!nX$~w=rfer3`PPXt23L8Iur+pF;bXCr!%6Y*SYQGqFF;?*&|;po@=w*pz&w6* zaiOX<6URvliyDhWqUJ`x)TjJ0-e?&~RXOme?r%);H^$M+0W`o#ibl-y*oHtra`m8qZx+IZC3>-6jq&5;foMaPu&8w@tk$W- zW_?dFjpy>dJ=yW7jxWvgy{F{2eK?+nsL$|Xd*ijVJPbV?y|Qpsg&8i z;P)@w1B%jP9}+gRBb8MXQ8h8?)`nnlJ zPK5y_Ahxdh;)8?6<;7%S52BPz(z(VU6h0XBL&yNSMT0NNeli#Mb^s|$_IH5c*Cw#9 zXRN<6v;9wI{J5~++4+S%;Xd7=Bf?Pa)DMqOnt47S6-LGC{wOXUo?OWL)Oj7Pp#r^6 zA0*iUakekT*dkrNe69^Rw6`1G-cyge{3S5f<1?qNq|iQ29_%-_Z{xag~qRAex|NDn&caWAD_0^5hn0WfftWMCwi6=Y4k=oc?fMsl$y zp6rp`s~k|ji?M*oPCNr{Orq*9k}oX1LowmK;CiaNkA)NYF_Mbfd} zB=9Bz`TBQaIc5JG=_lg5IVPKLd;4Qs5YmExy-N`9_q7LdE&-X$(-#d%F&P!}ebrxO2eOx`Y{~sO8x;swd3;}m zOt@&+QWZ0>EV4e)tdD>-=f?2WtJ;v+Q^+HQoKtEHAG-iAF?ml5sT76s>pnIGWZbulT5sCWGcT+Ey=K=|jRdlw4w9Vj6&JhXn%dj$NSfSSN<0`$EYc%_LV zo$E_dfT$^jkbgb|tezhCo{nlt#Row!99Za8`o3{IC)@bS<7)2UfFN+=w2aM`f*8yv&1tg_N&7PY(+UMm8?N ziku>!_p;jlNh&qOy1l*n;bBcsuoES}i!-};S8SfLXo*Y>JFGfjyYg;oh^Wb{2h~ZP zG%w@YP(3?QMu$khL5l#6Cn;JSrB8Dr6^X>e%hF&pQq_qAhh{UAL6H621q)tym&GXn zn&xE(y~2(twpu*jO+Mcq3^hi^2c=^ zK<<)_rbu2MT&77N^m}8Hp9uftE}or)GkB7Zv|H|vVkpel(cI6D7|S!ASzxkr_wql+VEBKTnH$MF}! zp0v&Yd1lr*kRkCt6pr6(ELFdgh@X#$O$POzg7Cco`1FsJL~>(W7tKW1!N$QoU5|_!b@*C_UL+t-?XrB0Rz1FukF1 z9G{~v80k`6$W^NWGK5FBaJX{R=uP&h{RcV9M0kmsFx~4v=>@g^tEy(Xg>yu0w6D;& z{KBx-kJCvi4&V0U4S`HVWk-!KEL65|8if$sQt~@xiq9*O?fqcb$xnQcT-)-E#sT;D z9)(E#1LT}-2^4vFf-4MGzc`jtds#FdPdv{=A^;G{y%xJKXD|BHiNY7h{Dhnw@I6Nx zCnDXYh4WTJ$(Drb^E7qNKaX&{$N@Re@VV2H)<_qAimK%pxIE#T z9UzbKNd2`uCd*gZ$aYc0#YxuS>^Tm~r?f@`l@zdxE2Q>v^#1cANM8;cjcu7s5x8Lx9Ndj?3j!FhYP$V|9>awb9=0J{Xs%eYz%B)yUzlyen607ZW_>GKG_qlNi9k z6=1r_bVFgO6oMep9H{c%ZLItTWa!KQR1F$sUUtsOt9shzzp7&JTW4}c+k<%8tM z(_-MA${_+uE5^QY$>u6sIW=OVmBuP~y$ zo|f(I7Ou`)ek@^FO)mpyFgMpn{|ij^%zkAeeq}TZJi`GK@@pj)c5;6N(fZ@{@dIGU z?@rEJ-oWJs3?Cf@KQ?$x)USUvy#d4N&eaz4k8rsG!zaSo2f$F+ot(GafZoqJA9=*W>@mjrYz?R%}n&B$bow~c-MFFDD4i`fB9mfZ&f4#n`#+U7s~ z`#xfX=Raa&f6S&n00v-j@(VD0d^shd<&WR!AFIU=fWbFEp^Xc_K9HF>lU*W0X*vlty)IA6pZsTXeV708B;P#J(4+DFlV&;X>dNCa5Gl%vcr@PC!nfrB zF0Jh3vbMYy8nV%<6fVm}wmn^AY+*);w!Nr)vxN^)PqUyT3kq6Q z&`Gy6TdLi!4cEp)6bYf8@$mdm$<~Hw&V?T)*+wW|w1mUa>~J{l?>cr-MvpWSU}DEi zq6In7=TEe<{lio6d%23Ftb`G;!~C9+!3wPQ0n6gg8XEVMrG=b- zVe8RR%`1=VIk*9hqr#TMI0!|2yl?YTSZo9e!hkI#`KKZ-MD5-@P~DM51rI+)?)U(e z#-mq&o(>U=TTJd-?Wqtj7Q|r93q0l9OplRKRhk3QCw}hLKvARgrW*dfK~Ep#8ibOl zkE*$SDNGXsMbRuvjfCdV)VK+PrAtY)Sf*IzHY>~0-Ydp>#BBBxzgK*I=$7gGSTV95{aL6dSi_% zo!+=Q{(U;VU#VImysq}Ibb94^-{bEUpsw_ukpYYiZ-arsn>3o->GtVt*cBBWq$fms z(zn}R@{Of3Yf9z!TrLNqF9y;^(^T_lH_7XFfxe}~vmO?e@{mr4m??Rk+-AbYa;of+ zQfqrlfmwVNFr`3=aNF`S;MjJNN1nCXZjB#i*TH+cw#zs70?C~a-wb(@yU;2SWG&(f z?^bOx5PE;%eki+J+nosCPuJ4@wWUHLlpii_Y=mO|;p%wFzm$lHQ-`6Y`x|TG?ZQTA zb*(Y>e7QO-fC3vQ!@byveETA{w?uw<`li_6zOXhxbFLOGo_ed@grC zv~hnUwgjGojx|332H=kGEil|?0+Aruw>=iD*8V&d4$OHgTaTFi%B$-9qnlN4<`Mb~%olu%XkrKyyeSg{L6wPu_qxKufbasU{6fKdooBBUyrZGf8wKxZNS!EnbD{B- zg7@Qsa*G&iR|?(_#tKmI7HM7rL^M674vbZRTDp46-q?bCY@{kypomz34Qxa-#739O z!t0xDqMSZLZK{7yB!MD3cER*uANxH}GyLg#b*Vn-g*Jo&G#d5kMj*+WatDAWu@Y1k z=*?9GofEPVnOLk&5E9ui_7WHWGc*fUi z!DGV2rql1 zz${5`aD%K#BeOG$z&y^SGtC(EF7J%a;&YnK20bu+xGLh)n}H3I2@YFl^uWNvpmUi_ zuM3;KPO}e*JKf>9Nw0q$nE{QysR27cJ+l|Fnss`!&TO*i%z>X;nSABn}K=qKwT108@e$zB0Xd_nHB)GrYZKNVhv*=r2w$ zx~HA)@q`^QtWXm9nRY8UrYOB}Zw!%-&d$%>rvBy+66^`ncZUJ!ujHTQsULFr^uzu? zhv%)XD-|4NhxoJO=c#kpf0FmR{&GIbz>uDT^e}l#Nja5U&r~12-BNDt0M4T?*1N;( z8cZ2i9$hwvz%pDakkD7!pPm!znkgJa$58k$O}8GDen{?ibO%JoPtqzpK2UEHSZ`2J ze6qR;zP-Z6I~xJ1=_Z038-9Plc)Q>4k3$co^YhMM&v4V75 z?tUQ+A%)g93-srbFY5`&>fMntTp9Y;MkB@V-Z>AhH0AfN8DO6stQj0XgJGax#~%BD z*HPL^YMIPBfQgyesW_Mt=P0Q@y)(t?vKiWdnQ61$(CJ`V-El|n(9c>I4YT$cqh-Zm zU$*Eh2K%gSWqD=AW(MNs^qRuqEK5Y4EZGJ2%Uzxe2JHwiB4RvNH>pEO$pX8fB9~d7 z)r>@nyN|D`EgNaYH#@1O8Id=iW^$9# zvdb+O-2<^{Pm0%S^^QRcv#P;ob<>`r+uI74oiz0Rwaok13|6-C_L?CVfc@T;>_5l3 zc8^}W?A|3)yARX)=|Sh*b=m#Wr<&yD=eafd^QNZPc45dp9fExF*~yL;zO~j}Yi_D1 z+v!Igvv#JX+^KZ9*bB50RnFy_|c)ERbwciJ={Xj~q zm#Xq67<}lxcPrGcPi}C}}OTvvvq+Gs%pZLk*ddv7$O*&ob{6 zTV(>6dz+0VuVhsRs7?@cUN4RX;8NI?ouz5Ks}vWlu3i$k%41nPgA){{2jyTGFZ*=8 zR_7|kvKhT`5_9tQF(danm-v|Wr8UF|2%6VuC7{{CkCbhPI$jd=uFo}0`U#y-yOMx`jzbb1A=205$QK8*2 zB~J?D0q_ye)2}m~R}CKTU4X_>>8gP*{#5v}S<*BW2exO7cRg2r{>D?^Rr>#^Ja7C!9nka^5>n* zoot6T4}0e!4V{GNp1}J@Ec-nCHW;!&wOp}8<66KWnr4hmmb7QG;Q`RoAhdIsgZCy;ongR3O}0k1s%f!Uy;m^NW%MghFzg7XW<|o93`XriXeAiXi^MSwZgGI; z3^tj+wUe^uEvr7a)b&i>7}xYPQ({Zm)DpLy0Z>7k&8E$>T}e^`%AJ7(6Bm7LaCIMJGLC|@+Q+Z%8djikL`yNI zQqRB~OfjZ=lPxh>&Y`=(Tt4RpVVb<1R@S`zwobV$bogz zyZ6}a;Pl1+a|v(=R{Y1!^$Gq0UB|im-YLT$E#m`V0H-fEVEBdLev0G=zyMBPZou%-Wd5BE zeEQOGJFd0f4j{OfWca^-hknqGW^jpJ^%)A`f>w?x8?Seq&@%!aQboshL0xm z?`-HDVEDDw+<)+p<@Kq`dlvTK^kv~@#QG$-e5=v-gR}xpUv5D9PHcU&jCX+a#|>vY zIDNSR!&_qeX%Zg*12}!T0mDa=`FA$-0Wi$_eEyq};nM)%daEA*1F$~%ZD9Dw$0>if z=G6FCy!@3oRcCA=@@qM$9pvCR(4B7J_B#Y>vzbNPT_GN#!%K;|UXV9w(_?mH%x;SK z+$Epf9|(ay8QXJlFgC`w?B*%eCXjfj zrrMUa3J%J?w8u!|gMLI)En!0=X=o(YW$V`x@W1$!{aQNehq2fupBrwnmSkbl#8U-= zAnNNH|EjvMF5{%d&cm^Q$v3ENh-T94tuxT$P{YPAPAF+`JYTQ#BX)_E^D;CX*LX8~ zFj7YKSF(J-59=Vx_oDIvX=d?Ae9ML$3H_+gFHB5k&EZUgo0j_YI#)|Z8z`}wJnt5v{+}DW+b0Lq6k)u#&(JQcmhi%@re(` zNa6s=N4Al~b}UZ*6vN^fDjM5=lTl{2BguRm%|s59vDjg9KN`V`NQBBE+emUdfnl+2 z;;<0kM`BnZaqy-Tjzsq3k;vg;sT7GsBayvKB%eeO;3_qi%;&cePz`u*Om0VGSUiqL zqp<`rX^4m>Kxg)W65l=&i6KZl8c%FTBUA=_Y9f({5b;=Sdpinx48kay!DI0x5>Mvh z(MelBzCl;C86jUe!NtUMqxF!iF5xvObI4(Q)zI@vGirSqWjY_fSfrjz=>x9{GzeD$Yp2E z<#4eGj~hbiu;AX!yB{it&wx&1aBYcxIVf}vmoj7V?-X^o1gDFz^7-Ldvy>UrM1n>l~h&^CfWPk7eDiS5<1pFd3~1x3esR+%^?&ck=Ls}iRw z^fzbtU{ZPQL7{z@SJMr3ZPJRZF@9J$<(y9U?rA=s4;QGz+Q!BPbQ*Lkq+9vCTz(nS zbi1n{h&#i!)$!yv62EEd-pl2X2b5QDgFz|m4~O!03qMm&Gu!dAZa2}`z0lC!fXm{0 zWnO9@W^>YMZhX3%+TKQ^v3Ptpx`%G3A}E6H#uIyJ6ivj@*xp{^c@&Lg_u}y^T23UA zQ4YwoUcbUa#Uu!ZZy0xLcjSB2ol^&=I^C+YMXTe?p;jN2x#r|#oi6jQ=%DjNsACUWk>28mN zD2O4qs2`&Z0gousBCc4ep_S8U9S~5gPQE`4;rQR}~I06*R(ieHMTk(7h^3p?Ro_E!k(VEIBN*= z<*0Msn^?dL7_aFzMj1 z!DI$ML{BG*y5o}0MiiGpriE;#Y*`^?!cemg@tT1ezuw^TUQsQWdA-2vG3l6G87O6^ zCv)t<_^z?lW}=-^ypt^Y&`g%4^vCU9ptQKWGGkfkdMf2b%F$c)+A~PF-ScXc4$F!` zN>?uHni=YNav!ilR*Zr)-US2@Gc)dGK~cp;i$D;S3K?Bq#Or)n8F@a=by-ZHloPuM@J3Y6DrJH z@`&#Vod?!4YW!OThW8u3a6@PmWMu4E$}Mm-a$nW%S2i!z!iX4iqXIt+*b%4?)%T7X z?2?GUvAPl@sI7SjOnWv9WMs^T1LlYpkBlMlG4B9(ev=Tq^H6-V%F03%0;=HwY!ek1 z4;p0Dya0ZQqlP51S1E_r;b4O5++yyj663=b%JfrdZ13o(HYO83)&5hRYtJS%k2qlt zAocxV0F+m6gMqh3OK8bSNV8Foo$DcPtEVk3$_8IqpCP-nUACR+ zp>eZMVuY+)wc8dE0SU-NQiaWq87QhZ&nigD> z8TA4cXk7<_%30Ba=n(LF6Y=p9$^ttYl(m#HW~(WqZ?}tx4m<{d50v!v$}{6bzd! zW8MztOTv^uO%jvOQWk-wfpeBiwoD%Y!|4^V$&b_7iA8>TN*!h&4$^~RYnY|Y=Nqok zxH-V5x|9Vv8Dg}dGi>$GXe@(eejqo=w8_)?^L7p=+v!w)UHywzAUBjA=^F>`EH{7X zlRqe_AI^8v1KE%phrQCKN@njUdYJHb@1+0wT&3=G^!Tl=477zm>vnDjMyVAHRnJeb zgH&L8NSXsi;ErE5A7^f9f02MK|1mJ^zy7{5@_%FOzl+O*;7W?vSRukd6?!+1df+p9 zN?9f?%XA1B-?+$v3>_Enlk{iDRL3~j#s^uD<+AMe(&0&wfZYD`vtz1xzC!+qNRfX^ zgJ~Xhp1u8i2y3Y4pShg@B{e~!?>U~tJ3r)<%?=spVS_*~=UM)NT%*r+U78%8{3t*t z6c7Am<)r=Rv=K~2-?L@_lvfiUK0mJ+z~mWu_s?&h7W|?hHJQ*%rWKR>_K){BX$GYK zZ@=C@O-iRHWQf;B2AiTLxJHyz$pNZXk*Q&W7||v$mu79oh&DK&%z2fjw*Y-EkJ4us6)_449cJ=3WkVxT{W=o-E36r%2nVS4D#G~!Mm>5m%C5fU4t4Ab!6h+xk_z5;zzY zuyFL1IPPtziX&(3v5leT80;Uhl#Q4Ohukb2OQQ5~y>9g+E}jF_T%|NY=mwXsPjA83!oalx-DUk}!$ z`#~?g{)!{-G517Ya+Ft02m%m-*aCi`aqk_|q+grBzS(H{wSnY6_Uz3r*Um49O(KzM z_3hyUq^tX#$o~G@k0+_!R4NjW#8Y5532>cUg}b|x_)ixo0ABxpxF}fy9`+);*~y@p z-JOJ*cCBNv7>2#>>ppSyn_T5}I^YJj7tYTkSMO^TepmGUBi4k>`mJ5AUD4cL;^AzJ z6E@bI=S4?+ToxV@iZ0{PwK`d6*i5<#LPDAGg#WHm$wcw~i2N7e4|J}UEv;v1@+D93 zL&e<47Gj;Q$&#tpmDBJ)3T{9zDy+VwHIwcIwdRHg)apj)Uz*9>c-h$GOR73{4~q)l z4(@u8_7~H3%QQ4wfc_zjA8JKKaM^^c8QALN!A8>GhHKgSf%uPDyAf)iyNfSe-~|OA z9uV#%pHM??C&*>9q`P zS!*d%BazO!C@{_It|hy7#c$|uR5;OwclpNJ+S<}u=f_5duz9y$SZg=dkX_YszUEdu zb~^iyY2KBmvPJR>Q245}DTIKJ9EKN%oe%7C?OYL!{5*a8UH{_WTKLz1^jqux-%;)Z zU^x8+vAZ34s!_)I5hGIk+@gP`9KptQ*6@S&+ z1e9)nxC5Jy+~J?xs(k#&`~5c-fd9rDXQmTl!cTln290-8_5kMJ8_&KUU-bWHiyr_3 z;IFy?!zTv9r1|%Q0q|Gdc=r9Dvis4Wd;ko9zv_miaIM)7fC2DV-At4I`(Oa`q#H0?liE)d{QwvMf7J~b{(E5f zk8Q*M&JTJ`*^>sdw_oVKyz6Z7=K25TFTlU|gtGwnt8S)m|2>et0mJEprG)$uNmpzX zKldr+Y(Hx{k5eno}19 z0F+;@mH2;qVgHejQ~q$x$)ZOB&Lm47*+v$NjEEy>&=JmB8K0nsnR5e|=!|5}I z6{oh?OlH*1EIwoH@ljIOW{E(!n2d@x7|j}d-XL#9&H8A|?TZ^Qpa*CP&d$1`6a^_= z?Y$}}ZJ?<8_H1QtLk$iqjp#VJUdi$EaGuLgX+ z1c%zUY=cldewRQO7U@SvBhjLU0tF^4qEKfJ z0Nu^W>?Tv#zj(z%kE&Mt2(8F@krM`EjM9c9MsX1EWg~tUQ{{#F1=Fa@j|+huGU!+5 z<|Bc5f|1mKvJ`9NqFxz__X_fNqUlxd`=OAmZdPSAcA;vrNsK5piIBM&LPK4eJ<)T2I>EFh3tu*SdQ`pH{)-=j-8sq6`l z@e3ZARvg|6dCTjAwzMTF;0p}Hs1>pY?o#_ISrJVRG$eYdJ42bKqv5!1L%#jq*-8Nz zG+o(n{Onbj=bSoDS0r@Dm6<^skK#ox>@IexFpX(KfXH-thu1!c&v;A*XQ^rN;I1;9 z^mvU@rebWB!=_G2-)<^a5?^dd6{i=1?bKqlnS^Gc4!L509r6m7g@)gX=zyk-R^YRSS(n~loGvF38tS1lvK8qnbJBafxHPh9tL9@>kR5htB{~kgyB-_k zRBzTpm@Ve+VyEJp9k|f!F+rfP=3Sgs8F!b}jLTU@D~a}sH;!3+MV($W*in&F%|4HY zLjjYRS#*k+A_XYY-GMP{mR;d8NHf!3v2-EGXp@$mke+bZ+*giN-+3kn*r6vj9M=q* z(#UF=UafbYQ=S%;9uT2+#xm_G^6}*9IF=taY-ESL6LL+tpEXp|lLPg%@SS>+JY0L>DURsRw4!a=Bdm>Iz^C+~ zt8~t1kgj{$^{gG=9V7pk33h^R%QIMm!cW8(c?EHNGdgI9o1K_wp*>}&u~A-qNJDN{ zIIWn6Liv=+o<3BcjT>ZrM4l^-FI_vQYQ`1bXcdsDB1}KjGG^@`V$hw=E*4hHLv1OP z0BS6?mmA6#`WMikOPAcHFJmG{{(bCp{gumF)(~^N7_7mk6qm_B8;$bIhoYmKbZ>}) zWoZNOEBuSDk>5DG&f!Q&#}BEba~OVJNY3t%c^4l#M7|;aHfSWeYq7GeaQ~qicDUcC z>QMj&O;^@^KZC)D^-^YMN^g%-bhyJiRk3BZw-%vNsvAUCkW5L`+Q2wug*RF=aI)Q8 z6ud<@SzM7RU2}yG;{hM)V-#J_g#~Gs2Q_+&W>puKyK0i2$@q>lO;t+zG9@W!=3Fg% zR}b8=w{Sjd^&0K&lrHN;+h(KNZcPPeEXPC{@GDr_gi*AzGo93|%iVL)O_mDITB07t zR~+btAZ^1}7$e_GFo3iHZ=`<`&CgawZVaePCp0|H8U&r`vJ5 zYUOXBnce)D?1qB0Q?RsOc8jy8U02ubF3=?e9`gr6tP9kAY@LE<&85L{Q@ZAgX)S(s zxW>Un(ImX!HdQyhnCZlcA&Gnw2YNz9TlS@!6#sSpkmFxA(j7Hy8=pVy)QV45`4~Ox zS=tSoruYM~#h35Eb&lXg$~9;wwU(QE)`94RMkY$_8MCXHK6E+GOG+7fk#%N5q&;Z? zdPTu(tkrG*t5#?)w$-#Myj(ryzqn6QG}T%Sb%uiHe&O_NJWe7V_z9H_bq`2n(0Qty zj$uUKOd(-*LXUf*gYp_@3ca2esm5a`GetD0WQq%3Zd1yn*6MA zK-z(rc2HDqLc_XjXspS7T`h%PVC5j7}1j9>O-EK$2gc?F9xN-ifCO>hv0EG||upcyw{Zdh#L zh|p%6o-XGZq{yhwU8JRBM=*tVrR;}R;L*d>|M8D@6760(%sXyyqREIUUZ>4 zN$mMNy-vW1DlQ*MT0Mc;E|fI{QsIn_M@)!emg;6wm08>3O3$b;MS@+{tL_TJnKQ>u zmcSUBS3z;c0l27Naw>BZSn6Lr{aZn$S< zmkj}f#4Quz3WUXz+$^P%T_s!AW=dV5(h0mD4B!;v>P+sndT0%s-w7X#H#-ER9~TzG z)Aq5eQ17f|N>ord+*#c?eIbx}iaa2L9psCob{=&7OF7&vo6iWbo!Nmqz>2l_8U26M zbWjohPa}LLyllG~&`bUczWn)VsCcHfpOC^SF*;Qi`R-!o{Dns`#URfq6w}7gZYCDq zEQp1}dY4-ruGz$R{`7^DC*23sQ!(Sr?ws$slNm+WEu9q#KrK-`XwM+$E?4_01>J9p ze+#D%ZD0IL*nzvPY&kDdjm&hR6+Y`cq1~dkwBh-y5DcBx#3!C7t<$3G!Jtl$$-mQw zDwWx3n}-K9|9N4J7Ei-YIIX(6`X|t;$Cka5=@f&{pu24+ggBCaCv|t${xw+TPT^G# z;ZmK@o#BDHMr8jA;PZ`mpE`H^y+d>%+h<}eOifefjl)oe%9?iV?*{|e)dA~R`sa}W zGcq$kjyqk7o|&bxoLk0yMkdHvHG|US$yQsT28zz9rz!@{u?YQt?7iPlBH6nC|JR(e zv$MBjGBdWBw$=M@e{7R+kO&Rj93@HviC7RpsQ+R2v}9y}o70kk1`-h}f>76I7$lK~ z3Sdz;TZkZ#h(!g;s`^&{8qrp&e^CCIy-0Vvs#x_{4ewX2AJ2N$b2uCtrCN@dUNLHN zP=4(cr^UFV6rtXUA9fsYeVFD(rb)+8F=pZN9>uVsc_^K?W`kA}q;dF1NR^+@x<+@V z54kZaTT={W{5zBz(I1UqdS{wRttOkT(R`dT1QmWeK1__ZG=?D7$?!=8vf~cV9GN)` zQV=)N(qiMpyuT?YRD8SIC%1lo=EU-njn3^9QBhs-fNss28lc8}b2dV2YVGmb`Y_mlh>;&V~ z^IIY-hSPI-&!SoZjqlWVkaW7mM_7#z6mfLK9Of6*qwbC+q~H_%_yu67zKQPZ?IxKU zDc!F}evKd3bhL~f{=1f$D3$!4L>ca{xMYBsTSqK`e}xE)!EH^ z@k#mrS$1^)mvF*-Gz5KwIMD|JOv< z;<2exL7(Val-gu8zGO9P((>sIYdW=oKGs-%jWr!(%IH~>!+$-%^^?EUg-g%inWJo=n7S>G)13N(X<@7snd{ zFhnoMa8wiul+bpZe!reRbn@waCjEXTW-@0T}s9DL3WavbCQ)58QgO;3$ui{ZSSAYqTk&KRU)X}Kn- zjxR#3q5+B*JMxi`Vbv}jChCs)9Vr6ujE<~M8Pb#EQ#5QcNoyuPx-gB7JA$E+v3WkL z!n6mUijB`}+#^%i$h5yUPtW0TOKWIkT%W}Z#I$oY%*98B!xp(}R8vQfv_bb`dU0pR zZSq^mxy5+gGaZIn*8F^|J|B-A;?5%jmx^oSt2^^{1SQ7kosk{JZlyEBQaEh&n@A5S zMeRd+1um`49T}2C$;y~V>#Q?FHNG?G8LDTGilfk>iQ)rgnZ@}~rxV0t8EMhaIGi2< z82AbQ3o#rLM}&JCkNw2W&SqIlySQ$lh(`oTZyaDh7=J-n+Wx>%JUzqZB~=(X>uhJK zS>wMlA^A4V9_-+yjty!nso7t`A>?1he-Vm<__uf@kN#lApcwpsD}~Jrw3W5yEz4|1 z5;gNtLC@n8GCbU}6|&)s6)xo4$^!ytY&Vb0I`v{N$w1-LWWK;6kkW$5-4%P z(BHNclQb&bG2`Q-E~9hng#0=Cg0;3~tDMC$hM?c=SX>38yuI8BMIt}8Nr&9Ag{^RM z<*l>H%usP$yuT;)jsx1iQg{7q@>2iB0HR8@>_0F_0ZTxTolH2O_SZVotAtC{;9uDMM{;{#4q%=0FiW8oUdtM*35816j#|T}AaZ*1D$?J+mw={fM zQH-XG)R09wCdZN%%e-k0o2zh(e0N(t?)I#r<4(U;FQ2Y8>ti0z5lN8-lN8m*9PS}| zeE0~f(0n}YlC(P96)ZbHr1mTh*)2gd zu8&BdDALAj_en0tK9ZM2j!La>+V^Lp&wXa z29f?!IEc2FGq@>Jq7id@eFHkcI#GjahuJZ$lDUI|K|*veMdIKrYWW2stm{Z8Zh4J8 z%oj2_D|O14sl0_^_H%5xz3Jhe1?EK#qCU~XkiV-=dbawEBbn$c#4sxoQpFT zrF>NDAIOn8{JE(CgIw8nCi5!ji9icRt3^|hH!*C~bIIdkhlBZz|jZvLU zt3I8D<8E?J?p8A}KQmwnrxYHI%I$Pa?I58}ONwhKhZIT1=D4B7>{x1aUQIA9`KopF zNFSorC^wy+=GC$@71EBlTT=OsAv{iuw2CP|AHrUnrba8;{Lbj2LLT!sCtJj!r%1z! zX=_S@j2A5q3`)gnM|3hgKjaRL^l!2FwYG13a_5^f%qGrIV{A5Rh_8i|bZj(MbpH@@ zGw$vg+hHh6qKn0hF+;c%f3UWbXHi4AaEi^g!_3ZW%Ic0NLm8z!Y_L9$+h%8A~5}62A7cSOl&;P%&c&c83iVNL_x%_8O3w!Xc+rf z?#F}H+}VB?|CO4=pL^0Yi`Q1(@fl3J4 zj*hS{W6{>JZJ1P}8_eXtiZYT(%q4y?^4s{x`K_!r7&@}sZO3N|v=oBBBk5Kap3A}+ zgS3eM#LOzA#f^@E@8LLR!Zv3vO8;13x`kX(C1*w-aL5>D#(pSu#?ag;7lXfNmFrn{W(}Wm%h)0gl`gx7BUhz1Jp;%fQY|itE zM#qz$)nZIK-KouMrz-Q1gVxJ&QzeMkxnyUE*77sgup5y()yS|VJRX~Ns|ahVHM--^ z5F9d|sZoducLbXwb9jC(i$QYKM1?#zLphdqy zUUbCaaSBT!N3BJgh>bxAIV2X^3&nw>b-N=4ETmc zTDo*!%=TSk#V@b|VHwvtnFsksk30HfhjopQQ34L@8z4#mh5!iI8>Hs1wbdU6e(mks zDd6|+!~g8n8zMp>00ZA|0!x{$mGI!+5P+cy0`^2?;7`*6Fz^fe01SiE{I!+@VDN#k zj6W4CVnG0gCXG_YFz|b~_tX%tWf-tK<=&ZVQ?M%$Y|G@B#qKbvUBRF^@%D%1q0B80BuWXm z)uOnEIb$L~>?_@&2@Ssru#Lzas*{#Hoo=LCRa>Ar-KwFU@WvFe#4kB) zD-)>Py@_EVohFHuYd(iJv}JiyJPEq@^u;%SbS*gKifs{TGyjLC-;_BD#k!eRX1cXe z8Jli792&l4j%oP4x35~C@b)2G4S1{7zy+uDTn8TAXwTrgyTtdjV#HCzOq1~!4*Dc# zjXR+A2$oUgO0HZ7frzn3h-7UilUwfC+>=jtx{TCJWJ4>YlP=-#cPzU_eP?t~?b-Nv z!GaX>Yy0eMadNvIk}72cy&jj2zHnmBIhM(-P-q*M@i{fN8OQQ|A!{~1|M7seuI`}L zb|#*PTRUU^Nv$kRX2@$Z(~29=`tpg@$0uDP9J ziG59DW*fgd{{cR0nt2+^P*HYW`P>R2b1hbGFdA1a@%3GLJQH(TEz7Ol*a-T|e)g9Z zRUFNP!>m0{@`)eDBXHOOC1RY+7K%M;Tlz&!d#m1PfV+@bY5kf_(!XxA%iO!|(LZd9 z{^vI7_}7`eKUeqLWs`@&S8ZN^K`|xjWswUa9 zc9edEG9jxwoaYj)F8)(1YM=<$3v=5VVW`owqSDwxScpA6JuSJmDQ+~AdqF=aWnshG zZb@P;WFvCM-p$2+c#Sy`n)>c({NW0TMmFH*QL=@8H(M;lLdF$>vQqH`c972&$*lq` zBU_T9;wchkrDGZNxeS7e?%lRBYLe%ig|%GUrF@YqQ7eVEQ@)nx5t6u-_OtL=+>DLQ z;;OYA5-wP6`B*z1qWi-Th^4B%qI7P^@knpgf0-+tTQU93I`4l_ZY=tS!(?>lH?-|JqQ}_P?cbtE*d0L_eP}9Z`v<&vX==m=CfYR;&3h zHsU!$4DDFMx802BJ&o!~d)KqJU0WqLU->7H;8^`T!%5wULh*-8E9@UNw9M67Jl@tJ ztMscH8$OH$Eq_D*X3+nBGRd{oq#$r-?6PUDkw6} zX?}}xrrM+CJhc#7J#0Q;xkku(h<2j3c{E6CPw0sM2hL)KS5I0e+;MPph4Ue+aj1d@ z!`x(T6)pS6jWN%BmFvX*+y6Jz@-SNQa17k4yhM*`{~bHrqF*+(^T8T2$`65hJA@ym zE#59y@>ZkXM3ZkB-g!UUeu>7inKQa4HUdW3#-58$uAz&X1A8{a5k(T5j0m-wx@voA z-zKZ3Ei_ge^#$}?Ef`c1qaL}j_qIzi>NRJ1I6olhlUJ z{jlj)KggUAp%Y@uq%kK$hPVH01QYwghLVHTMw8k!T67O*YMhIxgeH-b4WtNLmgE}E z*h7L!VwL7Zqp^R|(iNI~*Tv^E#jt8~JrL0sr{yOJ1gr4jbL!~H+s=zd9ji4_mr}2G zrS<$DjYZRD-J!`bJvJ876q_1kdN{4oAh21cw;6SX&=aHHo=7CNmi`>yd??6cWmg0l zZOP~+H^AA68#YVrn|ABG|P=~6JhAC&CmmF&pp|YOh-<}~bHnEaF#oC6ggV)6O+_&wR9Ns4;zmv<(SW~90 zYRyT=A@Oy~NU^<(&#Jg^)-(tIij2jOA6T-u15f_gv3%DxI`@kQ z^o!!p9d2Zu#cgla+ZummNPDEvmKSWyNMtr1cb<~j%rc9xoetAw))~iohSYzsEn~v88zfZg4 zVVkFIscT%4Ep3>WP`O+lq=sR6@Pvb90i#7T`TL$LD0e&HD!-#ZljcG`gW-3Z%R&%@ zYM7eXYBzr8C7E34?g!m4ikOxoh)WJ@G@6BoE1)#;85iU#J1keiPbj(Epsx_FE!EQ2 zUee&RZ)`wN5P1P;KPE}Mg@%9=43f7!4v zdP4Dr9b8)vFGLeM%4d9Yyb*~e_GI!+4eWVaKl8iIk(u0(*<3YOBw|X`R01$mYj4I3 z=PiTx?6>3CxAfomcmi#+h6WAovj02&`L5+7tNbrHD!vf=A%sL~@K6(tEHi(Pbn5;_GtFdb~|`1Oyt9~fKx zAwS-+9(MI`NL@)-z4gc`S23Y~>srbkq`S%e=7CXlE7CZNhFJeMI}D?)EK@ex+^jOl zM2ieG;t2#EH(Msk=y!|t_+)gq5~gdTrxgu#Mo%_s2F*4-^tUE#^!=m0)zUSh!TFH- z)vFfQt>_HR-_V^yI%cZOzdB=oW4fza2zg08D<>lSTKETxiDS>R*y7>w5gpnk8wp=z z=Zs5uTj78u()kSy#q>Ye8sWwf7p*^Ns?W$*$9#*pxmn&xyxNR6=!M@B<@yj}iT|K$ z)+4?LZ{`E~wG7b&-%Sdh@62CJ5s_x3(?F^6M(i|^BFh`ZdNY5tx9v-At{;SP&PTfI zhdUiNmkav~al&3@syj^mxaQjn(uLbfTl?;u^Sdi?C!RsccpgLsm!;@~q}Qq*ayLnSbpJf&!s#Sh+}2<)MI zfgsi143+H8d~w^e>p5v076Y|b)9J+Ap|Zp7k25*mO;f;g-3 zn`oeDV5gj+>yD=5mCsi@I!mSMo9k>NpFzp)8FG*)(3$$HG}Uk=3%O%%+nwMF?P8Yh#=H9gu*7=wJ0m9u!arK_0q|LAJfFY6Sw%ex5gaqAZstf@$gyj?3k*L zFT4!e)IQzHan0&I3+zT$xXEKb|KwBqHJX-*(Z1@$e8@sb`@_Ofpym(x%WZYX>S-F; zSi{+6vVlhD0=a5e8(p6KzE7c*^beob47Ot*9ruRjq~(OPv_mX%~`( zaG9zeRH}}+GhD~^YA1=BzrI%?+y|xLy1nb>b5FGz<@N4H8cCPz{v$_~PV5Ab13$O7 zyPdYD?PcawAXLa7M(rN|NrQ~~PRhuhzqHZl7L#$ZnA$?!T{avJ6xzW+YaM6em6Oil zM%?G#4Ck5c&2qKtuNLZu!3~^thYyM+tjpBM9VUO&Y{xcV<~3I!BDHc88e)RILwqx5mOE~sKzkb<=DqI_!L7lx)aYJiWl%mC<4)E z`&{G&^W#a!1{1qP`wSodnc(y0KyYk)e9rQ1_QmrxGRA~M{H8OJtxVB`jy&(gM_+I+ zc1y^dm2`YdjnB2V+osv|Vgwm?IVdJk$js#0CA*w?5VjS!iRIiXS;Y9Xu5;lSMHSs< zD@0Fzw@*ZV%<((Oj3lt{>9z&2%;dKB^QhcDyAG?qYt2$I2_Fuk$RE&8Tiw;wTxqt$ zTBX>-BK~uygmV*e=9FA*nV~hx>dIQXS=glr+h17VADQ(ZImi|dmGZ>Qe!hc0P*VAr zYNl&duDmY76A0{9soGggzD{jXC#7(=I7%`R{0#fRceyK9Rho@TnX>$0eDIk+<+hWqMU^1Z~w6@MX{RPwj?y=>#bfK%P1bW_PMZoIm23? z7sDHEv-MHC_+v5AQte>5a4TApJF+J9r=05BoSiC|_d9KBrNr5t>r{qax6hzb?iZd) zBC?x}k`@bT!x`((q;3D8y{H?H`Mw z?nwz|4%oGW7&6`}6rCkzjzq0y5|)_I?rX}Hkv@p?k1>+_mQa>#XvFqo#w2l>Pl?zl z=gRR9e&dXCgN)Dc!SbhMO!@qDC;T1#0v+l%#0m)Cm%1`XlB#w<^w z(fw#7ScYRQ^H#N$_G((b^b>TW(cC{tE}Jx)4$UTF*fSBf7Uf7Z3bvvlanf=$l9T%3 z(uvX%%3-mFAbJxDnmyaNTm@CEAr%u57d;2kJ6&@z0gq`$mge;8`K0|mhFnacix z>H;wE4io^xmuvi!uM~iRcc1_mzChidd5HiF(P#p6lRjC}UtUoF2Ht@JVE9aBe?fHt z7fJgz`#3D01RKC?$5mB8W=vz;`Pq+-601`gLj~S?%OA$_T?4(Lt5n> zC;-yWB<>5UUjym8jokR%w)qY9z`*`@)23%pq_^>h*b}C2zCyZZSmG>tDt2;>u}8@pz?tm}JeMvX?d6X$&lp`Mzg)>r z*QvCwX(c)bIkW1xAj_@pb)-;6u5aY0DjZg@%rd84b^%+dK&*PYjd9H^l~gKA%eZ=( z?bb*swcOR1TMel+Wlb3sxm?#o7fF6+KurCZu$7K#W);6)`6)Z2D;XavrX+b|gWuIT zOF=8CDa?kmEiMHuF!?DHr!~#6MuYV*v(lKgrie05s0~EHA~|a1j_Td1w$_{@m_h;8 zG0Du6HoitT@)l`MnuP0zNp-V(*iC7d8J%@H*Cpq3dUa>oHbrK1^kR`xvbr*6#X97w zO=^_tX04fp+G1B}l8}WdhQbey3uLx5mr9lNdz~i-wT8`v!})AoRctlec$r#hnU%|V z4zkJ`ZQcQXR5y0?5{P@Z2JS+uos#{w6phBbQEx0>4RG$ad)L=xUhG*@Qn9*R$b*K_ z^kSqGXWD<15_?D`{1hE&HeiT&gxKOHNw`$9?KLIk-MYTLhs@i*DM5V1P<+C=O?ZOR zXJ^^}jVtC;Wd-ys?$T!CuaD!|m;Aa~De*eK*}#ydP8UXB1g%KrR~#*$;^Smk^=LP{ zOZWBs$PgB;Da_9PpeMRspbe7Pu0KYhR&EqsXq*FfZ$;`U#pnd zZZOFM*Dk)93gT`%*W62`jzXSef6cevYPo|4xrWp4L^ha6t9;}ul%PzMV!x zM6~`YQKL(Hr_J4Jbq5cg`3sn1i_Y-79V3o5>F%6j9U|{+usC{{b~x8};y4~fx7LrG z)IoInVCS^xZ05<<>E_JwguC5wqRuaO>NxZEc+Ow+(fF%sV!gGMOb2$^z4meR zAe?Am+dJ54zQYG0gb8Ok!Y?okMC?cIy%>w11kUzOGlhIBQ*y>Sn@40YO5xNJWa_y_D~;^JcAL9~k&- z8VsN5;m=@#B|Rb2pYTf*gG53zT2cjdx>#aArzvbSQCrKVS}t!GBdP?fl%2TO&2E{y z+cdXwA%11c23&-dC(Vds@5GjFo*4J}6%ig6y;Kf3wpyc_(Wu^RIjK#QTaIr`V<(!B zJS|^JBFzBRs0F(jOF_TaEE?M~*cGg*T2B%*ZBa!DYB<+GXqZKPDy}R0-Si;JgO&oiL$7p8Z2O= zx{T+6j!;dOi|NSkWq$qFW`i3}L_*2nUJ$jZ+(^6SF6K658Z==Ut~!#+2w^t=zQ1K& zDlJvqO@8tA@0g|~r}nC{Sj623l~oaJflVe%-w?17HjL`1>e7~5UvL#`30agtzS-gz znh<=qq+iPr^d>H}40?)GWRuqAgPKy)tgNz4Y2KuTJIvH+eX*siKRsw2b1+{CPEDU?k_+T)F{kHh>Bpv3gA(p0+YR|* zbB)!hEN6TJbl7au)9_gZo+lYq)a=NLGxi-B_pA}nc#XQw+EYl2Yq;Uge zZE2JZqHUAUCHG2|rwsPky27>D^z3Ytvdt(os#U{dYnsg)%N02Uahj(LzSl}OT0G)) zD?4K@DpF~w^0+gfZ`$&5Y0{XUwLWb>WwXhHbWxejCXJ>flb3f2&$`N)jFM}pD7t$> z>mIYUXT_A;eoLWVsT}1tJ8u#KO~vtbms3qu~gN#(#!6Lw#Ghf4yZCQ$7bjoP9Ivx zG;7$Baf$fdrqj!4P9L14GM);1qKqwLTjmn1wxtb8jq+pz)>mWZv~5YJ(PNWcWvrso zU?o(Sz-+2bu7Yju*F0s-W^q!3Y?3f+_L%rmB|n`x$@#S+n->SU&s%k`sn zGm~7U$j5wkkdmb{kE;pO6Mj`-YZWqbP&PeZ&vf!;elz=%u5z`MCX5)VG&iK3q?$8I zXIZJt@c5`qpXByfjarKvQ|al9#yq`{%Qu^H>Y%7GS{9Sq+?;*}Dy|mN>N#0gFH6qW ziyEqNmc@1TEUVJMEj~lq)Uz(0lT>P}l&p>A70>WByrY;-=F~G4jFQ`AHll7itt(ZnrJ}Z|D^l`1DhD`KIdd5g+76`3nhV4?zZN0UtPPggV zh30HS+tiiLPP-l5LTRO2fo2Q^L(|kDNV!qQ)6tn_oAR_Wt+Np;jTU{FZ7mDH!0#(~ zp_`Qb{-6=2#!F}DLf!NemHprB&-IZ~9ji0x?Z|E;@&`U1#I)3OaQF2wo`7FRtYN$H z*Q^Qm5L0`5uOo*+b!r4vP`fBKp*U=C6UV2l=`3#Cdrb|!K0t>5iL0#h9!16fGClp8 zjI>FUd-5RSeDFt!J;PHk*&MotGM>sV;YOSR1&R_QBYb~o_!ND)jw10u_InCl!>FHe zyFRxEMUb%5qgRCw75vQ(!76SY(Y|~cCR`Dlb1fIQe}9Tqoa*583%e)0pmH_;U;X8? zoK@AfA;BrdS`Lm+?xFf`8WAoG1^E@}Xs(q0=9v7IsKD`;@u25v_!YrKN|<6(JG%Er zgf*${qu3u|_OuaZcQGW`NGo1)uXEW7Ln!>sb(dac$J$Nq|J4)C1^o-&j6(Z8;bftP zds3jA6z~Nuju{{#-8ikRPIrx`hnZ>d<@S8K z+bNU`kgR@CSIZ$SRFiASlOw$HEdQh^Q=QS)94E=-Y26A&Kw2ZDZBx{2#iBJ!A#;j8 zD4uj@)w)!7)q2!0t8|>SODf83^8z`QuN9O=8CGhTQj{d4U&~}nr*!F<597+R)14>T zyoIo0MUL7#!f8#NUkN#5Y^^jD(=)k6qQr38_T&2A-X0}S)(&f$wWeaBJ!@R$`$`Kn zbvw6G=KJ`Mk55yO=JAZGo2xyZSvW}MmurTV&M|jTnma9?9^jg1w6Zv5F|b*tU|b+| zvPzRXJ3it6TYRJ%H$4TL7AyKZoT=q!rytKO<8r8e!YU|+K0GWCa(SVMK@~n^h%!yJ z4)T^Y0T{Yo`T`6&yoq|6>{^`L408=z7Iq)TwKmoES)^T8k3vK+?XUbBcQFAa|Da2A z@GHWmN1ocB_NTqa4)X4?%jIF=Ul~TKL_AtO;n@wVJ!pLVdG@{gD zRI&12Y5_>}PTw_!T8 z*5QQ&vQ~nJ9@q%?_wn?LHO}5#L6r%v^8YA3g+3{1O$^LJ6NJ_6w4T+CPqFDKW|W&x zmz?@wP#4D}FKuZ>eROKQZ2N;S{T$E2_VH89gJ`wt$;N9+s+B z0yk~LDK$5K2KE&i}`F<2e%iy>iOoggJw&E&sYu9Nt<-;@Zj)( z!ix12tQUZRPddhTlg!$het3w?)=88~jX1Ljg)V(qcjNw?^|YQ1|BU!e;T&b1Cl83xe};dJtN+MRam9AT z$hi(9Oug-75-V&wJNYP%J!K<$KEG6aRR2QpI*uwvDiJ61D>BYNxnCoDb-Om~YP$KS z+cVS|;IK*xZa;0X&YS{SX->aTy-rwF_IjkUcQ~G2V-qPooP}421o4dx=NnQ+x4m5| zm6UbFI*#Ki2p+Pb6I_KjCZU)0i9N+OXG5{HOK&H~|G?wpkZC?O0mTn?S@$;$ZugX8 z>K;BKb|H)Y+9oRx>WPS3p(uy<+`FZSbN+SML;Uk~+&Y5PCo29!(r-tCKVj?mW{G6# zC2QG@GNb7iMjgStQ1*u*zz3=GgS+=r9DFqXZ#ApG9f&=ILKVHq68rDq1JBD%bZDe& zf;{NBc~t|oH+Lc(eehv?$itYSF!J{!kDA`~Xl>q(RTDo79R-?8^gnkJ!6DT5&C5`I zEm2Wx9-LMi=9AXyV(c4>!5>8Vi40q(tFJ`j6%9Afa<6tfTQytaxY4nA3|nkMYqQ2# zf0z3Ki68FJi*+iRXxHlVe5MRd`Ggrgj5as@i@)u%w%zjK%b1$qXQFFGzoo}(zty|G zVCXQ)+xGjTP(yF24?_*eSFXf{Ar@(@)zM0XKCRN*&1Ywgh|i-9tew^#G&OLC&vOQC zc63NY&DE^moY0G`X>7A$2sOhbYSzTs`r%M4UNLppT6d*p>NIV&v!G_7Qeo>&{`%b` z8trx#klo+9u#F9vp!$%8Lo_dayJXF0H1SKh`wXDFRr&%9nEu}p?aN1~Jv?Ck zO$Hbp6U5gTeJZ%Ji_NQFqd#SHyDVekka~oiEGx#hiIgd!D5-`I!t;tv;^#B!#iQ^u zW) zZat)$DD71yD^uSy38xABhWd$!B-)e|;^82qyT~_e`G9!U*wdyL+@s2@5r&_@n>IFL z3tR^dVKGb@@RRIGvUo(ycV?3CjK!$Ku;ycKR)6&X`l`{~T{!n)%9l?VaH0E_ zZ9FA@Zn{jKaVxIfB!)(HTzaH_#zI<8%4*emq^M_>Y^z4C{<_`oPx&XBv#L7vM6Xlv zIgRJD^G&3gf?#)@*XV1GAyd12r+;EC$8z=Wsh`i9=}`|6bW2Mr|0Hx!(qk_h3>H2n zUuU;V=&Z|#o;Dg!N$h{f2pU$eAcy}ZImt-k5Z*=nbr)X3z6l4`8d)S@wFfGbPKdDk z32JoK zC`Guj|HU7%rqR^oQ{1E1TGJ!DIg{j7F1O7=iTJpFx^eFuHUqxlp})e5Pc-(NmkfQ{A>$Sl=U1Hdp)0(y+~he4%M0T{$0pvP{H)O%s* zEngVY-SQiM&T>hlaxj+Zp-H4-e@G=#3FyA{z$8}j8c09D07*=D9fkqBQ|_HPk?_2u zX8S!gBvy%3YCW$3=EiU3sUgim5-AOuQ1J;mm->Z{)LXBq#443a01RRg&||l66DrM0 zvL*|F;kIFrsHD(2E}&)T(eFTu0x&>XDS$zI7KYBHer3y`k^mS6D*LOA3BZt*P&8;6 z#HXP@3<{|Vbd#>u??BHAz(7hc0E74}2(%0;sRF<-P}yH?OaO*#ma>4BL3|nnS_YL0 zbd#>u??BHAz(8g(0E74}2(=6<1%P3ovcKBcH89+=bM(R}viDxzIg0pME{Tc0ofhlf zIcx@e!*BP?>$|^Wuv+!Y_6lLj87^!eN!9zVB! zkJNj2(0iBPDp>V-`D}3j20=A{Wd#8kyoood69EjLEAG#&-y`*_V5oWd%+~;huhhEW z?`XC zK@63Jlqfyu|nf-lQnGq6K)D zH7UVoO?tD>?fV)jnK!=(ULt$~+H@t zf6r?G7)0RUtw{kG_^e6%ezKrtxTM{IAD&D7Dj2-MH~I8H%ODm6AM6Ui5Usw+rw3pd zxcV;{JeT@aFz{KE01O`#E8c3>OKMZ`<#AL| z$l={$f+in71{M?C04M@51i)f~uT%LaUM&EF4}{Fz01Gk!7`z~4<`d=ob=3r5sDWV0 zI{-rf1XF&U%0Kby{xIu{aJS>fntb%gJsHak z*qw6k%w2Mse&%664{IPA41(Yl5gn_4n!ei}){p$T?}BP-UauD{gZNC|zNmVCNcmYO zungi)l*B7s1L+4CqF%5-?u*p@nHTkkfgflC7{p`XPs;)@c&lLP^k?e&i>mjB!5aii zr~g!}hy?){qE!%7^F`|Z%!~TN;0uDF8W9=z)3g8#RWAs){7hYcQT6^X1biUe@=wKz zSP+1r>ji=MU!?BOyr@46K_3Xj7m@cX)2ecPfKA87$5b8i4b0T`lD;Mw>( znSa{V{bBG1z+~z>Pm}Z4)#?vJ&i#eU0$?)t4!}_D0?)>$$@%MQ z^@kxC0E^l001VwO@N9gY%s=hwt6|{YCuI0&P5&+K;P&=j-lj_l#Q3nI&z$ldush}6 znfo*~{KacsE%vjwq6+e1OrRTdi%ItXg-Vy_&09i`OqIyFNoZ@2}b+- zH)wAZ#Pr<+3+w%1@PU}Vy8we1L~h&x7`z~I<1WAu1u=bh00tk3>AMRsR6*p%9e^PK zA~)^=3|$b@cL!hyf|$O$07Df-ZrlMF0$}H=y8uI1{FuIb=dc;@4U6x%d*?;rU2`BB z<0hEuy8xZ$Lz95F;wBoHz7w>3Xwv8Usc%9$f4$!!07DeS>D>ei{k;`2FNnst5%L6J z@PcTJI{D>hwx*$&P7Ge0p(4+yoQ|_I)0D478*ZZUtRel@6c=gX3S+D;_zTf}6 zU((N65J>Q8ls+@4?M9x4f4N_B&HU)yjz6mLsn)=jQaAC)=npBMMk#S#BPH|Z|8jiX ziT}_wkbY>f_%uokXtBh{;aut$7LdFP!%pyNlmHAjfQtYOd>SRteG{LBzV7Wnf={Ca zx^K4+1D{3-z;Np@@M)9)47U#hpGFCElWrXbK8+ISCfz;^d>SPH!>z->r%?hh+&&C^ z8YR$8x^)=%G)kbGbR#g_JBQ7HZ+Pf#yy6m!h253TrGI>qA*oaWt5g!xd5w**WNRP1 zMEC@XSq1#jcfi7zzLYz2xDoy_3qcqukx)H%?vu#xhNk~=cX5v31ybKbDv_$-^BMqB z5%_#-vPbH@Bz}Mal3+bG01RSLcrNv;V0hDNo!0;`+!73uEG0j0(*O*&1A|1R0^K*U z2Zt)*h93P6wAdd8g;WZ< zNn#PuW4A}@SG5eJMA}mWz%Wn(dW`jlK_!&{72(4$(Biv3|w zsHC8qBo+ZZb_HM{v(mpd3KKoID@-98Lb42)&OBB00aHQl#ATL#DqV7Pr4Fbs@k zdPFN$Q2+)=A_3i`TZcg^$$~M1SOoOg6@bAiQ2-cjABJoej2U`FD^^he1_+YeYB%ZL zIcx@e!y-9%?}^!dK4=vmb_9ITJ+5NWir4s{Z+wRP!qn3B6X@?EEhs+h2t-=k1n2!B zm4HYK(ZK9Ad<~=@V1Pgj-c7JD)E@>Zh{5aCtY~Ec82BY6f9+LMHzIZ6U(z2235c{1 z4S-(50x&=z22WVY1E|p-1}TWa>(#7iWdRtnAktz0QWrMS9|i@8v=9w|Uc&+~kRS$6 zSjhvZ(H{mCh{5aCtY~Ec7_xu$NQ(goc@QT0!=L~=O^F6TuVDcg$Qz5n8?Za&-kFnq z_DgQ|1<$TXiZbh!1p-xXG|HmqHAa?v^Dn_mgiiqRM!5^Q7v6m(BTFy6)rQySYu0*d z%z7iA{XK&VKH(D(fFTj>0vJRP|7DXsQty2rvWw^&|pBM4fo%Sa9WxCg;2AmzV>YEKOS>6Z}r3D;Z$Y3n@<0dEz+FnH~MWU)u; zSHZw{;d*KS7(PMRUs6Q?25+x5E0StrJ{zn!C zV5m01nBg;pEtB0lhs}U*xYsH7uH4TxXOci9mhGtl_KF>ZPx>kw{ruDrznaggIZt*`Z&xaL04Mvy zAW@k>_if6_(-^7oahe&AF2e#3^zc7z?dNc;`YSQ{zo_T-vE+#=vVZAIs~E#;1K!&7^L9pM$4L~CRLm~kjLLzAYBa_#_(7O@J zjqi-s=a;|RQ?FX(r(b*S9PCge60ic0dIMm)u8)Wk;Y5$rd)fE^Lp4zCsR3ZP9T)-u zu#uw(+W*L8kJPV%!50AQc|-!B*Q`*>kO13teWY9wP6)tI3xKgquWCgq3&0RafQ=kQ z(Edjz`@>N42Emv?BmjEN3cwHzfbF_IQmzOm1YoEJ!I+^}wIY=TU`PbOMvfwA|09zE zF!+MEG-epEJLTS)d;AqIy#(SeZ1wUktb8f^Sdzu1(yU6_{If>cFL|~NULt$~5mKt^ z^ak|5`%23GpTY`77P2Zx<9Usx>}>KYzHxBrwV!|h3{q7Vz#xM9FPRj80kSf)0ETNd zJg_$eV8B!o0K+Baif||ZgVjm`7zQT!>kVBE19wH6{fWDLUeV`*2Y2p$_e~;EU;t7P zsJvuS08)tpH-Z-HdbkGl&ef2zA7IETz*y#zaK$(jfPo*+02l_P_&#<7V2~=nSVj!> zU$Q9xgT#u@f|j9=iU;(T01TJ{jAbqfSByge7!=klfMGy_?`Nhz3{r^-j2XmG|0SCO zFhB~%2wH}IGCqIr-Z^Xre8X>@1Xc(F^P=aP5UM@hU=e@L?u9CY|E0VT%a zHIROQK_LP2qT(TNZdm{Ze$i7CEO-(_{}*fuz#xIZyy&@P#VZNGAjQF0=7Ma+IS_zB z0fBi@@enw-EC2(BgRzV_`oCaR00u|`=0(pXD_*HT448xfV}=W|73V+z2CD?D3l|T8 zbISrSWC<{45J&$PtX>PlfZZwg&fK|B?`^3sVHh8V1e%)*EQoV(En#mwj5r^L1o~b# zL6`sxQV@9|j{GlL6@UQ(kry|?!eap#Fc6b>QMTe73BX_lF?qKL!yOMp!uT*GFhCNA z%L`ToAeDf~i(7>BeHaoZ$%2@?3#t|AKmZ1QJYxjwYsKfGfA>uy1(6pb>HmUR0T?78 z@J5Sv^hs}U*c>lIu z#jFCpV!xA(@Q+!@1d&-RD?hK{lgNj_ON>t-F2O$DJFe34Nrt3SlS-koO3!O-ge6x80x=d^IYl|wo`f!gGvPh7;b>WYhbwQF?AQteQ2>HQc2I9gBI%& zTLU}n52-{7sm^PF7E3H%d!*hA;RhHXDb!N~z%VfNUovzq^{ZN}H(j{%8UTh{fT3kw?^*#EAPBbs7;YT~ zDUJac`pI}O?+L(Qg|Yw!@mc7*f|73;FkA{?7>wZiS-A#=yS?8eDUnDR(0#jgNF@>? z3n1->*I?ee2GV!?O_CCYgak0$J`7m`jAaI+_r6vHV30rz=)T=P3{nD&W%|l^FmDRL zpnymK!|lU>5nwDc7`^wkA^-yN+1vEJgaqR!x|?8UenByRZ3O`s_=#=+gZL~6Omt)XL^pupYo#uH z{WUP$@riB)KhZr4S}gG??cZW$`H5}-sW4<;TjLr?-%oTa_=#=+gZL~6v{+ewq8q^Q zwNe+pUH}GuqT2{s2JvYSXc_p4ZUBR@n7_7$01W&@H-JHW7KB;`exe(|@U>DGzFq(Z zexiF8v<%|Y(7$EC_=#=+gRq#twuS%<{6c>KgZL~6vG>hs3WYh=Ctb?_476DWCWAAJY>SCaLv`+TT3;EQ_CYouh} z{QVT$UB7Dq7`nbFfI$rPU$S{F^$Sm>_b>!~F#y92kR$*@wdQ3&%Wz4#A{_RIArOoP z&TD{{K`br=VCdGO00t4nf7#@@)URwAf-wMtSOoOgy#|J>Iyg!;=gRNKMZdM_vbZ0_wANo@YbrJ`*vBmA{?Jf z{i^PpH<$q3H?aulu`ARv)Vcr$5yXGlWPccZLG*sXaPJ&81HR#}b%uV)^?e_Dg5D0>Jy@9I!8e;-5bZa1z zTMY4Ew%H$sU=T!dU!&iFp1uZ#yAY0aVd?Yx8+sR&QLTD?VD0T?pu{-t52@F8&(_`! z6rGzhb`7K-V2JuaRE8MhzihKV3_c%-%D4$61Yq#iK)l{%;fitG9|ms_#OvJw7@{>0 z$t{NXFWc-7gD=<{$$jq}HUqxlm;Zq;#2e+V7|x6=z4TU_zFIZl>!~s8jmW@DgikJ8R< z?i@gRJCJ$&s0P7UMkD}w%?fni`0)&Y;r3zh1;JRRSG6LQ1z_+dSkQgD zeHdy%FqRPsfL^l#Fa#1^0K=`rQ1b@Bn4wp-B9#SThz1%r-c1^?JLTS)yX-p~#Bo3W z8yeuZ2n3tQ-hel{@5+oWAAbZwE(RfXAshW+;8P^sul+J_K)7Q33IQ1S6iHz7SRo}3 z;QjtE@F|i23}UGNl1%{^_!LQCkNp9NUC2a#82A)Ppqq3_xMCa%z`&wSC zham`p@x;*nM>em4;cmwtb@})s5OOg91_e#@hm=o|1iEh@AvO3D*FgF{MN*Ydkp#vv zf>Qqa3jJZ=QzQWx2Cw~(EDFHDr$_?bx3AZ_poRW0@F|kOSmqOo9>u zmMR4Z2>5^aPFJFW25vS%2?3-Kuz-NCyJ)afR6y7m-waq_Y)gP`fwBENq`IfOW_qi3 zf125yS+%jx`+01{^YJ<7c{%*zmhnHJu0H?IjBh{K^)bLl=@*6dU$O4rzTh)pDEu!d ztp7*2?;q?YKe6ZjsrC5}V2J+Wmhrb|{lBL888Bpjam)B0fz4;Y!2IH{_t&iZw=ek& z7z)2Q?EPok66G*=@++*zda`ZisENLdi9H2#{VWre*i=G7l*yS z;@rPO!Dqk_|HWbN9{~)}U)(bO4!r+YBtHX&t6$tQ{t>{y{Nk|pSDgEIDEJH*;=efT z{R4mj_$d9dX87AP|KC`h@=r&O2+B>%+%wPWTl9Ci6=DsGsg@%1xX4q_Tr9N887^bq z1F!espqY2$T*ziLbmOQBhw0JBf%caLe^LLxmW^)CY;SLFZ-O(wNevz(14?vL7dQ*} zKl%A9RpEc7;4E-@dIlO^fNL6q>_eRVA%6`L|Cf)cA;8bDfs9hf#M3*V8sUu`YhE~?Din+T+-IW!Pv!P zSm`^oF)k9hM+XPcOoRDd!K-8+$?K^IkMue*3ML zdECo4I^U51}=I22=`W_4b4IhKy@4=BQ`}d&wPet=HU;uysVEFz$nmUZ?pPb&m zR70UZs@uLD%IABD%U;*ZL0(aY#3{9dEd8;PG$ysM_xFMr(E6i%1CgCU-Myq2s|MM5 zHz}mxv-gxLxE0xy-WF=jv8Hq7-qrH@OwJQDIF1LYckjqA^~!Uhn%0`3jbZc6s_^Zf`)@l zc=-*kDQZa5Ohi`uP(XUpsaL~(lORKz!m&4fY^=U{Inu-p?;kfr?T=FPkH5b^nWU1x zSNreDk&=|ig27?x{QJ8qX-GG+$3ywKA!!(C zuPL?QP~@*hF9$l;G5Yd-J@@;{?}w-UMf+%D^>97 z>GeSM`*U}(To6qp$*$aqHwVcvS;7jDVXjR!>apr|R2$qh0@Zen3REMJ9yUmly%=4( zqbRCPU8DH|;%|=%H_29IK;O#rZJugl6Et5<g?8ia z^zQByK~9Us)4G!3Ywa2`Evd7)Xfm8c+U;qRX=M_tJrtGhPTM2obUetlI?UjD(5>-N zu}cg@E}Tr1gIc+ctf5^ zSO7cPOnx69eD;? zfG^38vgGlFuqA3Gx7new$d)h#4y{;&#N7E1np^6zZ=lQ-6<)3Lwh{@u zz`@EHx66&;99qb+=s_ap&8-HNa&sd4GppZN!@E5WV!pVxJiq44WT=q1T|5PBzgFDID%ErY@SH3z<6g-vx*} zuF6qN@Y$*)wZ7y>X96#w30ohTnP!;&ZJbw z>)g2B?lFQq9`?i0a-AAU4{X;~vf+z{5-Bris=>7JZqPp%HpuR%LKZZt8wT%xDsgdJ zNu(>uTU1kpyP9z4?{sS2CQIhTS{EM%`%1SfoQ6YV`WDeT@+dw8=in@xiG-@;unnTK zq;g$plSrkVnJ5n=Xo4Wf&PBC(ag#jD=j&sR=v6XAqFkwt*g6wx2O3ea-^9yYFD>Nr zRlxD>tcd1oIjSaB>s+3usdhVp=G#Eki3VDi; zXIOqswJh?n&=KeFP41Y?!DY0U(|1_qTj(SQJuY3{b*zD|Pw!>fjy2as153~{b4a*3 zFBg`6nOP=t&$+OLw8lNyBSpcXL&#ul zG#Z(^0yRrSmFHJkx7+h9%LE?JD2nQSTecm7>!4om5T&5s?fXu#_zJc}U=4rEHN&RG zaar?{IWM-PBi7w+Z#=hT(tmf?EE~OwqImPvN)Vo&{a3Vb17@~Uxt9x^3#ZdbvxLdu!~^Pd5OVbk>SG^yIY z=KKCoxzHlWJB3WPLbVifbCU>O*OILf8xgXFNF=1>`Bc$gBO1YO1=FrU`AtNCNCVWpzcshbi;qHVlQhO(tLnGx||6Ay(VG=3okvPnOE+bT1~+Xh3| zyTKZu*b4fGgN8J@LnxMQOcBCdRI$5mFc>~NZ84KXfNQk66g!L(jTViAW;fS7KbR0y z4nU{hxVa8@0T~gk<}s?tT;SbiH^@tovr}m(DnT_J|H<7NwV#m#_whCe>eVwL zop|_0L+<7?V7RvnA5D{VWG3f$qutk@=Ek*!&?({b-ZUxkO;Sjm3h7ij{RV;cPXfX{5>y>KUn1(x(eQ9MVeuj> zcKayJgbQ4VDhYwGKUvNtqZRC$PTqmHW5b^bmpYsh_xJe*)2g1`gxZbZu!oKSU*4n| z!G?wkd`krE=%>3ku>eJZ1$L;{#It1@??!I1kPH&>9^P${7o9pCBS@whYy^KuiY$PG z{|AQ6^Jk}LKX4=9a6Ee2?FOrDyfJ+Mz@ayxDAQ{&BPIUu0Ckq`Pzj<?A?*@vQTb?=1Ps4ZyOyFV~3j?(@lc;R)p_Ek@DZl$~??2Z%WOZL~V{~#E{X>5U( zOfhAT+@sc1Ep>s$dTA|HKvw6G{oVxG&D)T@P^$DFS}avMCykEUzP8JrJ`^-kq@iYB znxuRs8-mtMrUmnoa+HD-@iMhOP2PtkX)}~cX?yd?$%Jyi);^kO0u%ACXKt>s_p3gfA)9w!{I~C-Cb&NNt~wd?j8#A*TPqK`6pFj+w_Sw zgTY_|)1=z>LaqC&*os}#?P8^sm62PZj*E7+Q!bY$c&`dE46|jZdHNN*uno0&@7Qjs ztW{ME62>kc+1#mCS6$ARgGzB_!juF}a#Gcmt?{0)eQaa)MXqR@DCIu4#yPe&xlK-Q zs2xKiQM|A0Y?&#-sOQPz%8JXjFKFu(o3*;HGE$Ql?HjBhR$TX*$LW@v(8%kq>-SZw zMN%xAgNi8kY1!PDp$_S=@^$`Yam8cs_^hJkm~FSk)lIfWAN0qZUbZR?QLj4mBi^@d z^*KO6pSG7aIr~6wq8tW($dk?cKIYvYxVc?H55B~T2ZN0dId91euhPQmK7PzutP^$k zW9uqtXBl&@nqdEPpP!UXd#;%6tNRqcY5$Zo>0S`zpDv&`?5TkM%MHWtHSrxO{&A~1 zu7I_tm>;|xY{~yBj^B;PN;TUb3(jgfkBj+teKDOU-zng%X2tZ);P~acpB!X9=_Qkf zJn~g7_1b^D@%uq?tN*Ur*U(cX^j;1iXuzczi7FWJK5>L7t^X6E@7~>?Nz&lveP#RI z{hO3lG5o&|wPgP0qh|kqGgVO?pt&y{e=OV~4(e2WrF|o$zqx-SnNr7h>c}Nl?o{U+ zv66Ds?G9o~rM^j1C+Vg@`A)=u^wBfi-%YE?+-F7xgW)g0uw|D8V|}na5GsPn=V=UU zBLy4S?3CLll?02=LI@SM4qNmV{fcAc+;UL(>Z%oT*0$Gst?|0%bqEsUU?$wlBDTUh zSETiovBCac8oAvQpKzmKiI9AYmuT<0~|_nUIvXBUeOU(q)y zt7^^Z<)oq)Ds62PJvcO2g$71YRfP|jEp^(2=c}ur3Tt4FmE(2C%9a~eJfzXOGnBRc zX34ra@X#2@^r+%AO{`eO5#it&OP^wh;7lo{fR!FSd zaE@|Wq2=e$>bFWDJi~;@kQ;Y1k1{@Q)Jjm)3O{-fn7Uj*nCf;Nz}DqAm!QPfVZ2W} zgr8cn#d5!uEnk4|lHl&B6&T{Em2brNskPBpSVvEi8E`LkUyY z8`2fz$GI%_7UmDBDPbz4>ELI+1RO+vhd%R=A!so?8-ut>{%y0;t{nIII z{>BgdWaLh|^1fqs);Wv(O0wBRA>(p5;b-tj6wt-Ahl?%x+*)ELEoO4;L}nL#&Y35% zC3^pAWO;ll$e^{r#2zDAd_Pf;EMaSPeEnPV<`GYye%a5#+iSd3{crsQEHoGfMFCQVCWl^ZN2hE!~u2 z@fvKZ6>Md=4O8VdRHp6JSemrmESMM9qMq>tFNxsLes!IqdWyd@U`Up^>@G$ii!QZp+wE zVYpzWY?{fGf?CVhEcSi;LJ3>>^#-y}JO9)KbF!afh5M^hu-uGZflu>_|8Y)c(|Jc+ zZB@5EqIgy`drY<_X@Pvi4HkUBY=zgWqT=LAjiNGgP~I|88k^J& zu0qunu0e|ZI=4x$vC!VAM{Tsr(?p5i(J6Kb@uHt%y^d27r0@abiLhFOoRiR8O2aF3^Rb1E@ zQdU>F(dd{rukJRrCSe;^`7LY}8!Lk!`na@8XT-Dnipk6S$_xxxO}0)3CcV=;aw_1G z75i{lai}{6eid`NIs?8jos<0xNFTNz0AR>oWj_K#Rr1+S^06lr3})5>5}AozIIJ&( z7D<}-d1#^bA7s$`np4X@EY?i797nu8PG30MhCek`<&`$KX;`z!KDw33z+QdTIf6eo7>xznL?Jgu_-%$ zElNwYB-v8X3(o2Tot^fDrIz8tMPrGD)rIAdbMBUpQ9zciIHVU;$s50KmT7Gf5a3Xo zlb=gfg=QO*7XD3|!O%5hucyT>_?Wi&)ijP<_wQ?8aN<1_ZgN-|hFdK8AAGFYOsloX zOu}?sgTGP!AZ>rao1RQ_2w0a%riE0P^P*-d@dT6Rp>4ZJ?n+Mf!)32FY5og}H=APG zy2+Gi^J||5!xRu7fx+lm;Z_WLbc0umnzUoaDmA-h#j#^0To#MitXX{ceX%?mxpo`e zxQA0CpPpXzno9N^5zP4=l{KzX!B1K=R>i5(>SjwVdJJ&8IjSp7)@`A^&ia--a;@n3 zfnvvxCBi+nI%^vx$Ec#1tK_877ksW2dS3wyth!eA+X}x68V*MyE%HOv>11dS0B*xY zk6oM+neYQAF7i#;Ve!$%zGQX~KHq4gzGJXRnc6MRFZdGc7xNmW8hY*nrBO8MP6cT)wX2cm+YR6&w$~od&T~AWVol( z8c)x?r`kwRbkH?4p0BBPO||c_uY(wMOF|U<8hH{6hf~-oSv6>LEqE~xtsw|X)5~8~ zORpD7r`}Y{B&8*ocX}>wJ-vIjl$EH zZ<%(M;fELdY2)@bO*^e-Ck&RO@%u#0kXSeo=`Se73SREZ>(jg!uq?*Uz4jWa0%e-3 z8R8lgLK6#stqayByv@%w6!TV)+TxuvwI@6UT2I?pYay+~YSZ3{1$b4hg_PP88#<3V zn_`W}_*rQGJl%Y$p$~6FbIOE4?U}P%DT85 zr~XZ-LRGdb-k-f{-g35@mi{ytt`b*?kHBCuIfhNLQO3&6ja9FTbJkLcv54@tXEYu) zi2I&2tPbw)ISM98Qmhaj#x^9}`>j6V=-1fY8qIiiRz}v2x^p!;YJ^ zo^Z~+@kFsxM^dBQleBfGixT*;w5!nM!zdsygAb#C)2xiw?;Fa8Az(aVp{*$s8(PzW zph|hoM_|m*r1hT;1p4A%zy1LheZ00`lNG@Sao-OCd#u4l=(Z=g2fXI$PStl`o8Ufb zpT~V(@X(&(!{ZL7kG%(!Oef%hZJz-{wwwJ347GXEdcPcA6<&~W6PnlNQ-2_h)EYKp zp4q=^kU`S^n%#qDvF$zf-1J3SF+<|3rU80lL^1oh*()K-h{Qto+7oTz4xx|kYxfC( z%J-U>P<}XJijO$YG=5o7$jGdL5?GU#u9=qw@{|YZ8O@7<9LU=vtrM!y#1ogVgTK|I&BEi=WScU0}DdyXnGZJw?+YY1Tgx5beG9;oO zgTc7rJdj^9-bt@GsBhF)9qNYH%a}xFOQ`5|+XHoju=Gn{i7xE-nCjTQzP(jnV+`_I zxl`ZJcE>gEx|6_Hx6JxtsVco3tqbd$8qK?R%bXjw)5c@r_;|<7tXDUV$72xfuv@zI z4=ly#J5@Ihc*??oH^1PvPC>A3a~nS-O*XgA_CRN6P|*N3HZ(US&_+90VsFbO*ki}- zZBl$G)Ijb;EV;CaC`_^Du8ej@>uu>oE-7-UdVgQ3RKTpPH5?qn2cmc&-qR1mj#U;t zY+Dk@^_L=MsBSR>hr8;jZJ*%mhHs+*2Buf)D+WB?`HHMdqY8Wrn zbC{8j=Zx?i_HV$XC_KaQ>ubGyVoeR%5}~V+LWN6ebT{866QRUPnNkz0MJO5%FPD!f z9DQa1TI^TViRX{!Vir%iq{VY6Y{gcWL9kLN7Jmm*s}@gDkIrxj zm&WT>ri&d!&(Z8yg*K zzHcqsBkR&7{9CvL)ZL$0GsL6ukEco2^1yP`BsL}*w8fTLy+yaRx>qg2yCdEDddZ^} zCF9*fwO4U?qEw`j*WXZ_BoubnpCUoDDL8f-KB2%*1+iK-M$ASi-Flx zL1Y@L_hPAO@`3d6zIs2Z7=ie!GFm4bZrtWu-zYiVn=W^r5E=e@Gcusi({O~z@4)NR|#>Ua}p4MPl|i-3LB@y0%o81Sp6&wyckHU0<; zv8?*-6-#O17lmxe=QE%Oawcb8DkUk2{eQRSe*A?coHi;J;8ZhPjiW0I}<_rhpw>H=WqT=Ee7NRINmY5~jNv>4C zf(bO99a$8$V3SR@;<{I6Lvmo<1jjLSR)b60?}}Y&cHFe_S`+q+%a>%Ci*wC@(Kcf9 zvJw7`66@tT@7UwDl*)=4Mnh~TKh2d*2Adzxc-~BTI<8JMmRgI);cpl;L=}Eu@E;Ho zQUF3iYHr04T{|Rw7Ra|_v4O3TwZtsXq53k38AIq!!l3H#<{KXx7T8b4TfS8S{?UFw?sgWBgD;3|4i&>aFH9P z;z~y9kD1$^knQ2()EIU&W(rM_0fni~U^2)PgK7G<`w&T?L8>ue_)$4`8|H8N-INBp z)HHnB_IeWHQjOaTGG-E|D8lvXwDc55Cj!@?YV_dCg+npZE)a9^$s^R;@ z0=nDnPNzwK9vNamo16=Ap0Jnf5DCV+^erxH`EsEib?16Yj+!%hGNZcXw^j?~N6SE+ zV|irxf>&*Dc)42`@dsnRsHL3F+O4V8yxIuE#_4ij2Vd{ z$?LkVIRCp`0YjnRTE6x2bHBr26ngfyM54=YF{}xNt_UU(EMZ~PQg0e;R3eVTzacJJ zO3zX|lu1@WNiOUQki4m<$ktLgjzWhP&u`v(eFTRdP_wa8G)jiQP*j_>$xc`*VJd#1 zc(8ChNAh|u@eF(G^^|gf-0CD(%$edDs4xKo+8Va28n9=uwiKY&qLeWgKd^op4Dm!9 zOp}=J<&cN>TRHVQbvt4#mlyfj4CJD<<>+ksjuSnOtG0?-&KXJCPt$ub>ys1^Cn3nO z1CrEpS_C->9Dq9!Eme?GN~3_~&gFlj4uI$u zUQ)BXJr_CF7Lgb7G5h?>0rH#HgmsNQOJm>*lS2ypGuI8XIGg`#NaHYj8{OD3u&tdAg$cfL4$c2$dD{p6tkmX{-_JWpI8XG`xOqKwjURf;&?C4s4C z0+6hQ-=YzC-t>HdcYmMOFD&q$f$157B-)axt>fGM#PflE9w`F}50>@ggSRl48Xemx z8-jrw%&DFnwjS2o+V2KMPc-h687Gd&xMs`EF+gjC7Qyva11&LAI2<;G-MO&a8S#M= zsnHfUUG!Yxgel-aaHJmsBnESCC;~n6tVw_zJ%EqXuv|2OKbQW*G${d&kHBy#x|wKH zNaW$?fN5OLC11a#-Q_Khq@%3RxoSnTh?h(6bKIV^aGg*J@JQ1ZA-lA2c^!S!5z5H zgi}}40n6>K96+OIFRP)DP7I%M#FCf5Kd0cZo2JBF>j-B&3He7R!D*6gN76A-Udk2J4ORnxxksLo^k- zF&*BNi^tJ3|4kM>^B+E>eIbx`yank*0Hh0Pz4X=j1?B-X9~(*9ye zvQP7Madlhp6p4ZJpjg_e-s9%&zN|7etgjB36RAnGtCf?%uGn$wcR|H+O!d@ja4sGt!`GWC#vCig3}w=_9kgw*{W_6X3Wg*jG#>(^ObnT3T%%- z{hF-mN344UwOc*SWio7=MA2l14m1n9x@t1J_c;9;R@YHxYfBqcjt5&m)>O<~WTXj*vBxwb&&o>^&X zFs$M=JuKq2ojqy?RQHK3o8mLxy-7W_vj`vIg^R}Vt8KhF*?bAim2=jqj3SI3PL zvnBU9dwAICTI8_lsx!JgaMcgS2P0sCi(WA*3FDqH^f(8T_**q*`3*~WVlnTMi}-EM z3ni}j5}fsNyCm7MJnInaiemLDBU?OZ^{P9*cw(82?@Wk#%t!qB2Fh-Zl zK{acOk=;VRZVgg_velZHb47m(Op73@ZaIKrqwd%Rc~(bw_aOpS0}yN^3k5aqvRPs5 z0K%w8W4Jt=ngkcu3YLcEnOh3!GKYtFnbP^bQ=_D{!+YkwrREgt@>-L~)eoi) zU5AGc)(8hK*VGtcdQ1%yz;St*$vhw>++y`;Igbd z*0~SAy*eVgqr*ds-?I3r*&&c8M<0Y}KUpe8h-(rnpOLJPt2c(HVa9)YN?r$})!|)# z5^4x{37(If4Mx{^Dbz$J1F%hZ;k0lY$c1W|PO8tx3z1AI5tYaan#=GlzEHisKD)qf zgP~#>O?DBn(_$s6QW3P&4QyBmqAlbbk#kd1s1Gzp{!T}c`aMpB_B3qwg(RkHDY zLA+cFg+jNd7g++MdN_VfX~OuF@Uv8;Ae}Z9wT{#VK(16rnmwG*8s!Pysh*wnrlL}f zV9xlUU#+*I18ZS?uAToWPdi{szL5dn3iUxtL$Z0il`pKoz)fi~r zKUykXe*aU0A0BYN3vj@tD>LPW%gcjH*TE(5BAouu1Jssx#@I>rAPJ39(wF>V?0Mq) zW{`>&81|LwjeV(|L>Y5zFcA+%cM;n~>Mrt1dQ3aNK+Z2^Yig*9*I7wk(mDuO(_H2r zA-=oIb|#(59>oVfZIEjtBYT8-q`;RSPIk^2Nwp9rYoW8!zeFFgbLK>WJ3;1M2O2+i zO6}-eUKm?0q_OkY9h!OUcE(;vPS0c4z$O{nRq}=OzRXRwz?V<5cnf6EIv#@_x?VW)n2B*B>9p2aaQVG|#_m zv)128M=2KQyBQ}8GYgiPV{>}KyUkv+>_BX>nFaQ%Aq}C9m~2tvWawdr zv_n2=<*jjGk@uog(E4f?xnpvX_=#|<(avAd&d1V=WbRiN=>z0EZR;wJBmw=>ajT9d zxtZA$z?9KMe!i8QMLk*=#PKcfkeZi`eKLpApqFl4(|U@h~bNmdPA0QOQryZzj5HGQW!+rr*G9sc(ky&Vd| zPe|&#b^0e@D>PqQwb9@31%>7pyyUHtroAnCfjsp!P5D3FN#*%0k2y^R=Z5u1Hd zrS7FQWb*|uFtK54sV6L_EqYD8TO=;c&2!kI$tavWMB=N|5@PV?Pc)k5Rr@vTHK6~I zU*12d0sl_chRma_HWCh#VfwvdXol$;y!NMQHF}o68jL(Ux#j8e$dz0$?@dEzT&CMm$9d+8 zcAiHDle{)Fx#*6ONeZ2zb7*ou>vlz-S$?fOxrkU8kMab4#6p)W1lTq$&}iY9XJScZ zIo2P$GQ9!9w&TeI8u2CfRG;ONK7R-!i6rv)={cWFDKo#0$9{bgi(a2AUwS)2-lHv_ z+~t3LkwPCKtoG>qMjcz0UF;nFBc8^2IN~0iXEK*OEYn?XJc?YYlW2$G_y+(*VvsEX zp6HTID6wBV|CQx(mdrsfzkKk+h=ndB?dX?2Hn(7Q{!0P&EPP6cb_M<)X(~kf5e)C& z-!3iplj+Y&~r+n@gYus^AM-5LG*w8_@oue6X;+_r4Ani~UKUs$(oTPFC+CTuYgMwRp!U2M@r*EVs+ zBG?Hd@aO?H^>xO!0@Qs`#=}b!zLIO%FX$;8z5E zj19P*)sdcd>v?Q-)RV;X8rF#^`DC5 zXVwgf=v2M(6J2Ba)}IdCg>a=?KW&qxJbzQ^cP_Ym{hBYwQR)C4WkXV9mRon@O20{97cmAUCPE<{UFde_D&=HVCXCryavmI zQnpP|11`}4&)s29CqoK3rRb5;8f(>T0SGFafo5!|h%A%98HW1I0=nCsD&Bt-`C2>| zRY!Qq9|scIawwaP+p_L>*@pzONI}z4$pLTciihufx-RCCYs^A44rxpxVWbu!dI#}# zu)NM!p3gB?o*O@2h>xWR(nP7}S*A~L$z{oJ3qRw-=J`sWO7^K6r^Q`N9zO*BMB!b6 zGitEe;WRrJi11v6(LxlRTt@nSgn&JVdW+@xGfFDfXnu*~-t`AIoDW)IamtbhbnJ89Y1o`;Bg$V=PWZ z+tU2uq0wQP)g9{eOF*!F7+8QmrCtFeL*g$K@BY(h?7DH?h~PBXXrNdrXRqUhbbmxs z8IdfMZtJ6Vv)N$^c_CQpHmFj8ZZ_$QERzb${Z@E1LB(ONIHV}x7#_LH$76w5H0EcB zu2=weJ8^O}>|FbMsh~=CfvdSzsFNc+=*PN3KMA}QvFqMYsyBr?)xmSQj@mC_Aa3|U zRw0Wq9&2^+M825MF$_(Ngeb<-^`QpP71G84UsOX2w3>s_Q0Wc3T7+SgAwTZ*7;@MU zyZ+?GfDpT9aWcgQ+BcxV^@Jkcjqh$_u3DI%$#sJId8?j z_>g7eoq@fO1O0w1pixVnnaNrb3p3-q*K>RjjSZQYBwA+^<_Ee-E&76%r=-Q4bIe8t z-`}p68OOm9aeit>n+2+>kKbE|IAua*Y~k3AXnm7P9NKJ@x%f6r&)y^p@2EL_f$~&t z0v`WBBcA)q&@r7bgLzbTupV$Obctt!Li&cX6br^sn1eJmefYK@1FLusBA-cT`XU`V z3?)us8LC>UU{OjF)gm)soDo>o6%a~ZmWzmG8t?&Akx4p>McPlHvspNCHUOSHIVKR$ zK&_;q=`Qa;{mt;)d;xj(@NSs@{zaoVrhQA=g{bdWsj@-{SJanf;J&8O2@{`@|go7LHj^85$-;8g|zCD~0H(Yed- zG?OJ#^`$*bIZhuEIcgRuzD{IV8FrEGI-ultw z=7=d3dH5KHw2{}-QwCDCUn&ipdxH{VY~sZO(zRtIwvH{^mi6lPex)-2hG(TJUpn4e zSux@s#zU1_BFSmH%ss>Glv#Mf0WS#3W~B(hZr}FR3E`uFuSmSIOH|Bjglr`Ud+mON zQ7zUf<4~ARjV1w0>4@q7s zt_-}5y3WLuSly_&->jBay+giH{=Nt_d#GaUHAfHMt?R5Oxamm22i|5+J!t|jYnlaa zL!i@?__2G4)h&7xe>}tnN5YZP?2X3uI<7lv@WiWWmClN>I?(%GQtGQ#Ae}d};tp=E z>mXuEM1g+ZYvCKW^WGF5^Z85j(m(0P9z~b%-@&( zz@>%6dx2U%+gm&WZa*eWKpbKj#olwl;D1ot``DWG34LCp8`WEWQ^g9YC5L@+Zx?L9 zaK~2di~C%D?}>Mpy-z&>w%4!j7q-9PwAw|iGPS} z6lr`VJ>j8wbr+bE&$2Jl&cr=6x2WX5uC>Bv)2#`r1p!(@_V`sJjVxK|1#?(ZfH35q zj%X!qE-aO_h57s4o@O{(-Dgp63XGn29CUUbK3jsy-pCS!aJwRKc|eUq`b0F9u&=3w z?d{ptuGr?k5(_FlE4^-@riE4KwExMy3iI$fc4|985a3BmWBavP7y^}ls8#KWi}z_x ztpO*9npAD6R0=Ab)IM$R4J0ssA)Sx4WQRRXYizEkWZJirR0ahS4$I6r14XVcvOH_u zPo>?~^Jv!P@Mdh*TmC4OfPg$d8GSnHwS`uvBR#W^ub8ZZd7PUl{8!*|iq6MuUdk3^ zkJsyUWDlflHp(J$!J5u5XHvk*z`Ho7WD(Wn&X02r8JVM5KBHN$V|?BcTSW7-9U7Jv zddjcu$rc-pO;q%UJ$GZB3D{aI3GKHcgY(G2WyCVuxxD2s=*x?Xn-*x5JOH(H=lq;c zyyPS2mzVK&Y`@h`oDVL4XqRa9LGQ#xgl;De*>jq{Xtnu7`+)=x(zm_yi*_esHcJXJ z@S^bpewKG%Pk8k%X5lg-WQGDwW9l1z?ADU=KIWu1>E93 z21ApE@`fj`O{)U>@8pZrGI{@c*$_l;sr9WM0vu=UR%pL$C4*?~3(cSvg6Gcn?^Du! zIi&ELkw4X*#H0mDSYS1+ha%q75D(9l!a~g##bsw{Ua=yH7yNyKMmLR9G5S8}vaksQ9$QPNc=*Y3ekdU*eJXnJT>Z6XipKh!o2iQB5$v|>NWgS$cRfs4mi zO=U54zPuOazpiapX<&}1D7^<^Q97L#=7HnhtP?|6AjE{tM;h>?U4X2ev#(4 zXAo*8%?os@EO4I`NCNxT2fgi2r1Qu?%M^I)3kGSW05_!M<>#);QP%AkcY9;byEKYB zU#d*Dea?T`YN={Rf^X!*OHtG$4q{GBDM84g$cz7jmu(GSlE`TZCdG5agVf z=NY&BC(Co;O+R31rpr3w*-#-~xGBfq-n>0@ zr?csDIt$9m>6^EHWBDdqcni|mcp)sUM+VP`btH`*>cb(u!(&4EQ^Gm!Czb~9a4Ec` zi6NaHL6Jn2efU!Ggm7)-m1J{pThG9~`EEs_MaE`bQ%MKVJ)s$9)bJlwueg z;ygjq#C0&J4kn{e{j9HEUsuO`>b3?HWLF&WOaIU(Ucldr)(P=ywrI;#ibH#vfm zIRSXIO-d{^2!hNt)8+VnAw(8a-^aDuxZSRuMpCI1n*-L|d9YRJX*)%hqj{02_D|_d zrHX_a^_wKszeOU6Xif%>rwG{a>8Hm{z1K<%rEE9T0eckUC9clqaA%1%jIXFc_QaS?&KHtm?^<4de&^WNuUi>sB13>n47EI_RU3oK`+y%yMcu)PKZ12gbt_)8Q-3kwTl8EkLIDGr)> zXm!m#&AZLLyMn`+8JkCG&*GxvM0wU@XXb5AHao2WE^7+v(wqxVF8)9E-uE|gYx^7h zYkIyqPn#mOlb~sa@cOwq2~yJp1p>Tjf-(e@q!6%x7{C6O z0ZSoZ@x%B{!6L+13NaQK+joSWxgxm)0RZxBk*=o4SFUU~j1R(5#kX9l z5$ol0wW8T1G%Elft)i)H5`<>GOlX%O*xLsFS$&{2UtX2QTwkjni+0AnKj2me#lt%F z9%aaR@p_ARQ{j*<*;$E&p{C8;UfwVpmp7cVa*3`1m;sveXllH7W7*a)-?tqHY?-M& zFt#aI9c-408rq~;UR&E?`{P51=lQW`Slbg@w7zyE*SRm9?$n z<4VIHC;wn#|84>e+~PhEhN|k>-Cf#waCry*fT~sXEUh}YyJN#YGWd_|k8C*H0j>gJ zkOj2hYp|cG%I!8V?%7L6kdRdsN&kfGA$IFem$QR?4>XcVM`wqB{+33=2&O{tpt>t! zEnZgq(&9jG|LcaBUUR>m%rdVj9Oum%%@%l;w_tq#kDrR44`ptu#|Mm&gumh9XGhf+ zjMK=d%{%A|YK66HPACRp{a|jdQ6uM^)0igjuGod}<5&L^VE}G%AL?!Y__zFDqgr&X z;{RF;|Mpk^i7)`SI513lJDi<4OfN4}o40k3LO7LPk?b=vcYD}e{-4kL%{Jw}>u*{# zKJWA0X1Ivk4n0^I5Mgy$j!}6sHh0_BkrQv=Giph&=B4_pwoiK9w-$>UwdV(*WgXc5 zb6`Q`V1I%zALtYSQ0N^jT2fGwK~ z1-z`;GaS05Hxr0GFUo zCp`%>0IO}USBAaGxzge)Fn!Hpw(f)4`Ff6ou`a8|wA?839avZD4+w8I6Ra5ir_@zjS8$FBui1*yXwO*~=bSwgFS| z*x8l*Y09(9^PS9y1C#{(m3#Z8C^C!S>VM6aO}x=Sh(KTQG|hkYPgbY=+chVsHssJn zGnF5HEy~WY)I8!(sw3CQF{U0?Qo!l7`IVp|FtsQ6wbU9Hu4=;K!uZfRNqv z?*f267F5*6T3&BJ5WnBQRT+!IMmpF8*I_P9NVl3wr-xcJ6dvxI`nPF*Z6m+_;}!Ph z#*fwS>N1()(KUzoWa!LK3z7uX2Tho0nzYR|H~2J~I!Ze`yJ^e_`dPy;qz9@O&~OmL z99PZ9yw{Nj^D&($@wI%D%*diLFP?ly-0bRcFYTV5;`U{qQ}X-HlheL;@@^3se9~GC zgMB#9I%aiJnohCAR<}vI#{-ujvz-~sl`yf1Cy7~-%CpfV#bII5KnW>LdOHk39j(=` zwR*O-(IMfPGpV)ETpA|jw6g>cSp>pT7#-kIht_IOk)Fd{HcWVAO09KZDc+M7!s!(x zog(#2dJzTIiF!P(Dy2(#l`~Mv7sI;*k)E~Y6jPuzr#Ppno8xmBR@dt_P7Z+DI8)ih zbluZq)!H5?(+8zb!!^g( z*Z;(g%$$-4ulIH9&iYSp^xvt$j|=JTtNtwz(%;5!FM$Kj-#Od78&Cb0j~3vOM>3Lg&NO0adVjFkVrou|=c`U^R&RGvgT*Tty<1sySOgK9Pka0Z6|UyOoyBBjp6n?R%cj*T zYO`Rkfb0;A?A`e6$6>M(y-&s@3z>kJk(8=T(+D#jf{Hgdx`Ne=vMoOAk|*V%y;= ztk=oW`uFR@RO)hgd0oAHsC@lUb*4t^9*?h*5x!5=oEJlr(V zVM=}4Pni7V<^`2ItQp$-{Kj#xmjB{LJaM|kMX2y~Q+p3aT;z?Q|Kh&6wl2eAEy#b} zG{Pj2|C%)Drxk&&U&}9kEvSvg zkYOv=Tn{XQImAU%J4Bqp#q>#FWU2)&dFM&3?)zr;0D{-R=S?Spry_53Pn*K5S^cbK zj~`XdAAbjKINPYJRLbpqlmGja#OA->zrMe}Zkjf(rb;7*VHCks$PF%HnW1 zt68&xsFdn`W-|Zu+|{7mAQ_$R&oEXNwQ1cGvq+9Z7^r}4R#2q_-(r9 zGd=v94d~>-W&_(h%75i}>oYcH*Ii%F(%I(o&C*;>pQ+3;|A%jnI@ym*>|fo^<_zym z?1kgTut6YXwn06U4Of^$_aKC+dWPgPei#i``B2&;QHOj}^%cK#q8HsQvV{c~zrV^6 zi1KOP^B@QKbWdUUXehc@VcNeP7|cUgPEcdv9f89t82JjvQ+#$JZ4?NemBDDaYuW%8>t3 zixAfYaSe8@&RKD#wAJB5B}FK!F9>B8c~Sk2t2=X!)FE^;ysUgZLaM3ZMaA)Tjkp~0 zo0r2%rLx8!rt8p?hl<0ow!uG^@81tMQtscbs;3_taXXN=t)wq2*Y^(u#2s7@EBlqN zYqJ^alJkqyP>RXa%HMNRJ8IJ0BG3J@O@KpI`=tYv0Be)1*A1v z(>A8*{pm1E9se+C6RCFdhWw8AX{V$1b%od;?(;J~dBYyp_Z7mSO%K7E+J42ckx6|+ zJWW@e$AE`3fTdgyLtguip};vbUvX{B*k1XT%irg}e^6NCtM@lI#1Fr}I)hYS+*BOb zfK@Z!BVPh;cOU%SE#6ilwC~zD!c>b_Bo-(XNNL(=LcVDeggJ6?5<156hD@Ut_KltG zpE7_tfq>I@q%RN$tLqJg|)5T;FpkhAWu+u?GRa~#o zk{vV06jgP7Aj|9fy^dPThdZf!fWiI!;^WayWl^xco6h#P!@EMKKkPthCT*81>9ht< zI~7dYuZ7TAJ|L$T>$stmf0Lq}4!A;74;y;Bo=Qcp4ao5ny7=*7Qa^V4;hbS3=aAqa zNAiZ|WmT+0ws$Pv=V;kkyjFYnyhV~Zv z?d45c6(#;Qt(mHJEAM3RC`QQgO7N`A?uiFjyF_c-Rh7$o$&ivA(V7+>#JI@Zh;e@D z7cqfv_;BUBjAG$|OH0&eTqfu&1f6IG@0^<1?a?vqlM}u`~Zu)&Ay8HqXv(z%8hA zig9_xj_OH^dvXK37e>MG0)0${>=8@~KekD1y=@b_!4PvN7zxfkX9ao0Z`fo`{53mr z$qQE6WHOFq%Km^w2|H(MgJN9GnBJYwz8tQ;o09(IHr1JZGs;#*7HTrrmPan>V}K?z zKi%K?4*vl8>u8)6i-kvoxqhpNKI`_%!?5s0L z=CCh;68rJ&e`A7t%;Rm6oBS?#LXG3Gcv@8P&(i2k8zs$M`N>^Pt`1OkuO*eB1@^~G zi6Nuv<3x=ya1WxLSCIA%wp8t?Uoa(p`vji{0r|qbO=QF~a=ugY4q6;?*|xT8nHgj7 z4CHdzd^6rM_}((+8$n1I5$XKp1{w%AMKy%{!)q zPfVQ`z}{zIziQK4>Fs&uCw|^vwGzfE6J^wZJD{W;_#$wCzEfg;N&|vF8a13n4n%e5 zm^v|aVQe0_IQ#;FJ@Yl6?QReXVOkTo{3m{P)kx|vXA811%&M5k0f@3-pdTAsMc-67 zQ-R~N!pDUHIK2H1>gqR+i2<{Q=r-_B>4Wrfn~BA2d)e#tI&U(MhZ!C0e<&`qYVo(c zIm`cRwxoC3PsBR0e&6n-=a0D<6Ym&md5EFN9Jk4KkO+Vpygs3`dr$@Vl468-;EwlhYOXg�N_=XFO+8T|(3VWq_x` z<&5FPg>d0o&EU72)N19*lvt-rl)1EEeV0@EJ}#uMejn5Usz~=F-W>FCl3dn!o!xtG zhkyq-075BO)+&VAMnZhUWMPa(y$;tpEE}ZG?igG3#!bhv>8J*^-PF-Tb#PGYwTB$M zPwMcyGI`K$XdHH9L*t#ya)WoLGul2)bp@w$I3rq}?Z&cBXIS3bDHm1UdMaiWQUH1*FF_JZjT7d4-MVM zV1!q2?_H4u>`$trrNBj+Ww_5N(T>GEpgjxu+ATGhHp{laTLIFSv&rP631;JT0_L@+^z-9 zpK8sZ@l*8QasMQ=>?4=#Q$zYl^In_k6igg4&%x2JF>j&-$0h<3AAw;P3_v_TEDs^3nRD*?m+p5jvvUcktp}W31nmmL$?K1zHk9ys$vrZGpw>X3Wefv%W1l}+rIRQ$9Yyt-B&3beG z^Xs4c;aG~oZfUz8U}Go>HGwYPf>0&>lv;1!xh4`&;8cIA56DC@g}|vrA^aSmSzAsK z(~eAsb*a;oK0qjCf;S<3$`b`3*#W&dV6s@A1AqYgL~^-wWjwl{@WBybzp|)tUDL(< zn|fybuk~!Y_v<+jIKU#HiIiFIVtv##W*o3cG#1L^vW$?BxZk>J%1v$2>M$tH6+ne@m0?m|$f z^6EBJOjthV@s42m14cuDW#P54y0qYCJibC11D1^ua@LvwbX&L~_1Cm6%CHZVcTE||1 z(#7WAapZf<4)GQYw^JSu98K;+$+PFPKbr zJIF>01^Xx|3{M4l24|$RKp=vW9b8cXXimj_a19-TluD1u9|CM|ibgS+uJ{3@JiIhu z0~lWM2h0#YJBBTGLZ*u;KPZj5AMZ!cBr?=)eq0!4_#Geg3;-HV@cJxkLrsf5bPQ$6 zY|suTG~AO#%l6%5ZId0k3?7@)xJ#PYhS(c>Yvj;LdJbv^j+W`#-Ei4Gk)~D7ZZuFk z{d&zSE)Tjz4OlZGO{GrBq{S<%_y(~}{>nO=ttPN~z_%Kj(SRyOVny%72U7}2u ziVgjWPDgEM@RF`gkUMoyZ@KY!^J*DiS*8A@XSjU~9{rv$zz~sCcvB~VVMo(b zhRr(`AM&}3;rmHnC=X&m$=GRFU1JG#-{($b3Ku`SSjTlJlnZN2-3;ii&2*>%Iw^Oi zVDkYSnM_e1b+h~=tfOy}`!jY+g-woR<~dX;s*^-{##Kld=!OvjA=F6(!-+u5p9E)* zMVZ?n6r1%G@A`mT-hTSC%LSaIf9ox-8`rjiUgp(r8%n~Up<*S9%o@UQ-t;ID*A|-c z>_$J@Cz<~=-pt%Udq>Wu$)PT1E5}_GL!nFtZ;)Z55Qz_6{I3DYC`+D<--BB)A z1QIkD$jaucpIz?`lSamtF^1e_0>>A+u7UI?JwxL6!K3$wYg!`e zF%KbFH!dAbx@;R@)6C*4cy=2U$qJht&I@mNN_%6mOEWU>lS_B}M8k4!vrXRVv`K;0 ziIXF-q@z|!tG!45ZC08s9QdLwuW57*d~I3(Skwjbu?cv-q$4$;e6_gTqVR45P*zIi zVj0vc>HzySHE(GR9Dig%z=gm2=%`f+pK<4#&!!fLP*H<}-U|p(`^{UsOWu4pgx^serEd?2b7UdlNYB6 zi_c=Ie3gtQUqK-N9!GpGuVw-#78uL0Y9Ak1f=-{iy4M#WS#a~%iC({7mY0gFnXZd1 zD(-&L7aP~3({yz zvE*ZgFbKUTnk$VEM-AEojcP*)IUb!X|@y2aD$vliVfAi!xS@8x+V^e;FK&YATEh8=g9xUf12?t`L}DXIo_^xn4-Z5`aah~r7>;Ww~8jobH`Y0)4EY;wu{?Dzi6JA0oZC;<|(@! z#CvpZHO(uVdvt$N#D%SHtq6_4+`VD%RSCQ%Lwmwtc~mBRK6lBsLC%8IH1ws3tz^;I z?4)TKS|`lgAHtp+u$^_{!2NyD(4%mEH*z0~$_Pcm4?%UiTYG%R=PMxxyr z4B6sDZ}h-xhn?E)gIva~ZL?lSuhppG3ghsZEr5x3DvciNl-h0Hv__6K{LWZ%G4lT8 z2*mAX!4$4SI}Aq^1Av&V*&Etjx&%uVd%v=x8M!Gd57nC;yfuL*x~@EGG0HcR<3g_8(%6r z(Q*c&U{Xo!wK6v&_T(4T#mZX}S!yw7M-MW}gniH|1bxo01QYgeIrRzZyh?MOWSWfA zX&+GEafm6LVXS+DAF(IZ5*#t`^X81#1YpkB5m{}-os78CA<|6zEFO#&22&73@9Lr5 zDW@Npg`hG)`+;gp`S~w|3$7vxI9mFNT5!t#L3#^Od86lpYFBmweL{G>M9AS4ld=@S zwyG-D+U1Xf%#`PjqsBq_hRB%agZ}Mc_ym4&b5oT~cv7usRktWpyA$z=(G`1{AzW94 z6gID>RZjI1B`SHA9Cf+m6LO0$bTgxYm>G2?c^fYez^eX70QzTP{T^R#zoDMgx}(NP zMi`w2L&ba`**fP>sPI)9FW1tQ$*A=Z6++Z$6RjmgA;pgU5(?~n8$_fP>6`~z7jh&a zJ&284|An6wQkl}2z%TDwSZF-xMbi26XsBH-L@LovAX-Q!>TN0#%Y<&_{w*V&PVT8T zQMf|FWvT&?zR$aPtR7{eJcFY0-FZKI-#X7tPLrqf`JLDb5AxB-C?7nR(2J`GmyWmV zxyZ?c=(m&SfhZdp4E^Q1!om$1rL#pG_tKJTDh!*J5jcny7GsUY3!q@C$|8>aRveOn=laZLU0fH zC2i8GAXk?j{D^EHapvd?EJ@Hdx^pg0QRIp-6H>{qvEj0!i_6Rvw*WxeoN*t{okgFG z5atPrCzw*a#Kk#LJVC=NA7t;Wd(34~Q%ps3fBdAr3$!3xic)pVKme-sZ3;LiRYpg-v`-=)|of^Ls< z;w#C?m|YG5>j~Q;ZMhV8Qp{wxciEBCu{gV%|Ae*K2M$}hZ}xuGWqYx6;jhCabj7ns zPAb@kUEVS;fXEvo29CLyxzYWU{Zx24lpqCbeZt%1f*=<$KL@^be=HiUK`e; zuo?2t!_bmR22h5b&PgGI-vPxH7lb9#Sx_yimYg`Rb{9q{W(%onm1_QrR+3BrjV0QflVOr^^j;I$m!0tY(z9Rr4 z1bcvP2VVfS7IrgukisfVV-DNTs$na`Cg;K{hB!h#nIhU`DZK!~6w#;=H<4g4{~Bpk z?ReylM|K9-71%~%3w?%~8OTH#MifB9Dv*(~MB9Dd($*E2Z;GP_0)ykqZ%G-?*uSkN zyG+96twP!}7ril{o`|jt7xde4#2S5;Hr-$weeh)x#RI%v>@DXQs@%L2q!)wpo2Oz+MK}aMaEU**X#pYV!V#~_CVaCOK$IEv-m)YTBG>THVOus zm&R+HbSGKx_R!f_ZLkLK&_%YyQI%_I?OZn#%`(@CweHQJVop2miEt4FVywUZsvP5Pjrc7v*UiZ)rC>=R{vXtcDMht61% zig-?%eV=88+7y@rVJa0%7MFQU z3-rUNVVSHM_KOxD17a?0jbv%8oI_1&s>Vmx zRZ#r0dIsD89;&?8MUk&ZzqCs%7|W4Lbb&b^pGJrQz@(rb7?CIE%B=Wne?u0bcS7g`x3=SB9h*Y+A=cE+o@VG z(n^bGC*c7Z=Rvb#;U#i1m*6Efc<}8RkiTp>qtBEb(a4NEp17q}>SBx|GS3BoP`!zQSD zKH@pCL?uQ(vTY+#HTKy@&5>qwG(XpB3m5$D^5#$+CC60br%QjiK+bCg~n{ z<8Z{%Qc;#PqC6rtmjU~7AZT3?hBqcseG{**;e?&3a8_JTYK6(B=-JTL+iUm$b_i?M zWy-Rltw_*a(So}lXj4hoZw)qk&6TR4v(}w1lC_&hO;5ez;@X>QkPTWXKQKOsGBWyQ z$+_RqRF2C0*1$vCbZ(n*;@mHDF52lK4|tPfO!dCM4nUViwkpx0_D7V~U~;*s`l0C1c{eBC15(`FX*xVj zPHGZ#b7ZacKDufNdWJ^;?%#%QH??$^d0TpEOfVFPBweYUC%7@<;y zpvj&YJ9+1eD<^MbuUJ6b{PgRl^HvjSHXzK_3$7(9fB7%; zt{ix#xlt^iJ$xzWT-$kjF9XHZ`B(I4aL^N#%weJb*D=%WqIaonOiF$s$DE*%otUMU zpN~T{>$yq~_vHj;Rijb@Q+}RLE^@Ihz~wsxvDQ9IN0OgqkZBZ(#VuCaCZwQG((%uu zhok&|L}uPX@1cK8-`XL)(P!PxELA_8%a|7i;Z7$`*`#VHE&5761gB2KsJw2=be^GV zZx=#C?JBzgt%O1d9NsIW7izre=7v8(><|VOFkAR6xd27Cy8r<9QuHrnLe?}Ajnqo! z4QT#^CU@v()%XZ5xe7*B9ynW4=LRYJ!mtTGTN+U~vc;(EQ)WBv!bT&GcE<6~gKeWs z#>JLs<41 zPl&%Uob@Ns7JkOCT6kstC#Kqx#ieSA3Zb1u_1o$dJD0)jmj#G^sM_}sr!UASU+`${ zMy8YNw$})CN5DxJeV$gSKr}zb1VDd(q0;C)bTviKe!Rm#G{M%T2vCYK#T*F5CzZq} zq}b_p44Ge05RPyvc0wN)h8b+#2hcJ$d zUh({X2gwbY7(|aoKF`6x=No$d68}URMl+6_E6aRp_egwKo{F)dMRmkZ&r+T&1LBtL za7YpYUXDyYwiiq0qVB1vloCuPh3yG{6@}#7VICQEfNqx_M`;?(rsKIGaB@qgB`Cwl zaFUPuj$*##mxqbV{+H1tvlrhLd@hc^@5EwfND2gFuvr%}XFZ_wIm#zhumThA`c5Gs zUeK{Ib3bsr5}Bt5%woaJ+NJ2EgFd0L#Aq7NWsx|n$(X z&l6IBB+L9C1@<{?B;0?3yqa%7m4jnpk$KenOSo(O>S^l_%KoNe0B4Bgq1uu$$(PGXoQ`g4lKDQv5aZ zQ=57Q0v;kf7aWAGG#vi1dUl4Yj#d7h=}1Rdj!F&RV!p-l+0btndus3l+avVBDPU_;N82b@pf~#Dt=2D zA6R_8Q=r51J0BN@1hD)0U>8oRTk1d*P;&@zUdlSl1 z0waU_c4N3xbah6Qxg}QE!}c2Kb~9VRWoEB#buka6tp?pKN}D8J(^Zn);t+iPr-l5Lyqv7xw3t~plh z!qM1CbHfKtWbQjtiFb6Qey!5q==ay|#!kK0C^X!1t=}aGPJbk^ntrqBpnRp>(qyGc zACZ4DOae1#VCnGNFo}ST9koP1$q?}+5Tv<8vQ`7jg3u!CF9u<8nz^bTZ}Df8Rh<}nF7HRU}hbjb7Dtmp^A+TC{?*6LzEY+3>qE)4J4z^!Rq1PES7=?{iZ8JdI3<^ z@7%TwTZnKZq$Seug1|VR$MiJc7MB_hMK{IWIVb;DWx;-=E=6)s^lv}DdinD zRqOeYLo#3^FPP@Yq>1p1E{85ZO6i@BOui0Yu}#B51@(kP?;-k7cL8*%5@zm=-Dr~}=h zs~wII*ZQF=klL>i9Pg9T$2s0BQ1HeqN~4ga^3B59dT@itHMaz%`kRM`hhZvHMeCS$ z5#CH;6ZpHK_J&G-$JgM)`wBds%6XMkcU@GSCvD>mCm&ZmRT+|DY7@l&ki`m#--$I?dns(pRm7+;Yn>IIl0S;^3lQ>g=a%ICx{hvTLpD=&V`hp|w|fjXURoP%s*faaoDW|>%DF_9K4)#Z!d7HD{_-uyfQ>i1XQ5ol@cmo zml>fxKtVh+5bzJ|Y(9q92UsaLYYVB|CzEnC${>wlF5XWR`3Qa=sFkP4FcGOR{y;Ex zTk|JGv_TIFetaUfFGS?Ld^Jd=|=g@09LfVq(Dfr!! zs$3`Z>Tf2sOL39n*PQSJIb1)HzXKX3NP4KXuCIAdO$@>Y`3nlFwf#cjdu%82K3u1eDmpq@cMO5&yY9YG9j$p5PBJx*Yjjhch%)KjZ0C(!6>{j89>y3jO2|PE zGUOu*%?*$11qc?hzE9}&YAzF4lwSx>y=xh$pc8xnA17so`QawEDRK1_`Q<3&!PHH% z*4*H~=kkQJV$4Xs5U?8%pN+mxXFb}D%`xU zm_LSo%o3s64LODjCxVzAr5e|h!vdkJrX9mao2CYE&5*bFWhD@*=0Aa#2 z-PVB3m2yM$oIVeh&TBocbQ;bQkxn4Ok55{OP@u^n;t7+O1k?Rsqu)3kUIrfUL?A`c zd9+72>&*z&9@B~NefF-Go)&^27%|l*bAkLrsC~)>8>i_unh&7aM!1LPl6O4}N#zD{ zM(RA2Lz$~w{&vcet%_97M1#Q~Q6CT;q8aU;BctRX3A9q9^ZPKz^@91!OSE1e(>!wd zaG8yD+ua^hVhdFFyy1@vd88JRfW`zbc9MA{TK^Ma0A0fe-t8L`2-ZJTQ*Bq+ARwVk zgUCwaj~wt!`*CFY<{qp+X2S3dZwwaJFvAP-s7>-qj`VTun@aiw;6Gkp1E>V&ca`hf z{`GLXSKMu~0=&~&q%#O)L^3+bCCK}<);!Td=|BZ?tkvrR zr2QVai92tG!*z+EoWv&Z=;S=#H!4JS()Mh?i^K7BsMj|$;OUm+O$p9_-8P7!Lr_e* z$Vs!x`8Fm%Gkqa^(R6UtVKcQMrbfoo1{^3rb+>HV5I2cMSLR~Um{7)vD@WFjrGqBA z0i&4P?ehyJbcvFTg&!ZPLt{u>pH`Zt0LM#yOr_l?;b$T$G_}xT5SC5QcO+3WJr%!x z50T=Jvdus48UCoxR{udi{@+e}gsm$SUcJiYKfrKQ2qr;YPo^A(xxSy!hf}3^e#Nq@ zC1E)3nahig@OE)gu?SVYedQvGYR2~AZq&h1`W~(0@Z$Yv!@Rz zdnISq3H8l&vp2O}-JRD!oN6(=GE@nRr7`2}Yvj3#(i}eo|1yt94fR*hRLQA`hY#&+ zV9we{x3`OagWjnOE3@23z3%$}!|_9KqF1AYw1Y_YPf$fXl}|e2NFPLKiuTU(*j*mP zHnZkC%v?{(d(sK$Li}=D>H!c)`?TNb=J~~u2zgw>QKyr!K<9h{RW5iF0Dhs1%p=g# z9jOy%gy|@Unvs6qd|r^;BfDLh=`iTrBy*OXbOs#FyB(9GxWbw4=CYZj1Nuw;;7;m2 zy7I;sy`3{8u689-Y_`pOb5_1&gkvK;Eow*v+^Hf%Q?0h3UZuuA zU+h3xdtUSqJ;b6WQyve5XsdQAs%~2B2Dxv7sj9|=EMft9%+DS2hA|B}m8n1bC>=~Xz*#V!qWc<;7f0x5s-)-qT=ay$&f6y$H34V$W< ze@?M!56+iRN73q6EcUQ$8o@iPUQ?M51OJRgdefX5ADR~ZH0l{b;|}Ttuik)@GAE<{ zcp9CthL(2{c*&;O^u1Z)<4AOtUz~AnOo7Syz;J+&CZ$8 zm2K5#e}()LVYr>a*1eD6XuV1x%+5*^Kf90HQnnYi6^*M}{(B0i;sUP^ynD_j)NrfAC*mJvHH~1k>CI>dFU^igN z<)x-2hbD{pPi;1vMH8|yr-yb=uJE4#Kho}N{$JT!K~Gw#Sf7%{V-yb^?mi+*9%ikt zo>R-Ky65%wuJ_g3l>E;L6A!U5i&~^+e-fiwf<=$$S%eSc&&#sAEE@|(qc4$t{xfO& zxwn`9`K~p#C>C=C-w(SMjj0K{4|n(SpPxQ&zj6z#YSEAv1ckZ~^S;JlYbY=+9M<=+ zF#yi0i|x}l3AM_K9-Dr#-*}#Hz&Xz?e420m&qu`8LsIqhoH7=4d;Q&bSvG}N<7Kxk z_%T3J&@+HIgC8JuK}GxLCi_XsvB+kAhE1!vNmp+^O@q`d(JOi_r|Ja=6*-S(gJ7FQ_0f127UT0$5x2)`=-Tv5^|Wm86X zn+&CVG$#8i0ZTMy>K7HJRU<78^+cqRzsAbRL5gO(`cU#jPvD+%Mf051B63c zU}iD={=4Yy`{@k?Hvr(C0h;E2L+a88jG-C;u5#QzA!q+3=iy@y%xAz4xgh7-9FB$GR>_MDIzK12*l>y6D7d$x}BTG)dHn@Ns zR8yDa{P#EHV>TtO|Caqmd`CMTc^3+gLm;7=_a5g@$VnUZ8Gg8^B4AXz$U(f{H65ME zq8grWhv(a&eW~CK=Z@MxW~ie~lSi~h$wRhk!B^`xVn@D?=nL=wKa5NPhsx9vWA#8p$f1M$fgb=^oss%CzY^j2YW)(+D^h0hmRbuVG8DY{AX?HTIZvT{I`y zSEE#PsnB#at|itLa^ZUWVpwOlRWf-UyBa9FJ(!L57ock&GJx8Fys_=-n|7KJ!8p+; zBeq&s8VDeu@F-k_+?LfYZgWHKkww`oSgwU`qpT~}euhs%y#6#bXe4lbYGS$fbZLKO zQ1C&gd9xm}x10F^#(sUElW)gmXW;>B)CKELb;g=6VgaT42pg@8DVdt5khDrG4 z2`D(WrBFcF!Tx!hZIH?WN+|Hl0~R=7DHJShz|T#;d_uPg9wKPk(ar8OE}7KINKv;eX6axwcFIXA85qDm z*9S2Ctj_1i*>Af)9lh#KdHAD@D$%uia=+%=LL>J&OXORLtxoKhp4By-^=hKanU3^3 zAxeqgnrrsllZ+?3Mml|zXJJDG2^gcXU+w&B{*H}r6tBLIO9mWddF)v;uSf&X_qvf?yKyU{kH<` zKO!anlZ4l1Uyf>i$f?6tvQcm!W#VM9m3Gq$t>wqPAAjh13Jxq82h;^>1Pd957w{JtA#-p8oqZo9_HunvPi0v7Xi5Q|DB%2YKm-t}jbY9e2bB z3F}k)%!02#{_0Y`=4b4g|L!$ngyTtZ`G{~dTYTTq7XmTA13CV^}WV4BpouFVc%tna`G)jll9a}0G_j^caK zgH{}SMpt>NIW@szJ{#8l!W z8LW5KTNO4*92C1XnT37mtT;p8Gzm5Qvn{N}P1073o`+I}FkDj{Qoz!Y&zv9^U)sv1jgB{O$qrow2xFKUBH6x;u#!4z~VDIydhX zmn6TYnml6J%{97LafY1B2kO}^^W=p4;W0M}qEk`dY;Lo5Fz1}%mOR40^0lX)yI5tn zYo`BROir4);%%4mRd)syIGd zY9Dnfg$KpCqt$qVyrsNvylZC7f3Nw#1l^H*Kzn9P;yyR2tUVEbkLR{(>~irW!PdDt zWx~vd;_VQ3vzzce4b}68keKv6kJJCPnwOa-QK7T6b$u{2s~c3VSwCt>2W0pK9rxEZ zn~CI6yti4|N@n6f_|ax*Be0dK#1oywGZcBz=$(>CXRE#m*s4?^j-hk0~q_ zjnlO}vxgpGkqvOG=|5z)cFPGNkSf-FD3xfmj<`*-ejItV9`Seg!1=a)#vd*3`n&v# zY^K8=vq$w2yHmcn?(#U!HESGKmu8dQyk@b-qwd2ev3>5o%}#4EW@5Tmq|5)>&;H&4 z$NpN$l^5EF+pu*Zl-PowJPtsK~4|P|Hc-6kJ$F5lChp})Ay%dfjHAxcRFL$N!rqMjaPgBPIqGW zHGktDQfBRcH;q=hn=DQ3ON##~sEwYc|9|VQWv-abOA}L6Q&I41@B%ZHUMWR%%7neS z`~;j*=6(aGPdth_P{X=bj3o;i#dhR>A{lO88BA;JsE&K#ZTg#a`@f{$f=Yj}w!Yc4 zN9jhVUR0YG?C&PXAcZq6_H2)OwoX*o6%!Lr2un#Y#G=N@do&p!_hVqaWu8JjrCR-A zJG|1Y;38pcl_G+vBu&O`ai)cwG(pXD9c?}>#bS5#l!rp3)rQC9($NN;C;p7n@dljf zc@UDmVKN9ochFU8tu$HQV^D5ObHE%Qlk1uwjBu`V!%a4%K*2ui4+6 zu{ia9#oGNwV`9>oq+^o5Xq^QqHYfPSsiU@D%9+zsy4qsb7vulHWfrfkP39-&=gquw z@@Qfo$ZqJ zJ^uGZyoX_NvG@TDSQL+1PPW_B3cCY}KA|<6p^Z)>S~kkYx~SlM!Zc8Jrd+#Y7gtb+ zx!Q_QX6s2aj`zrYZXb6UZZ@2zmYa&yP3UQfb6_@Y+|sIxVpvPw(-AvjfYzBK37hs* zSn1AjdQd(AoRI3#&I(0(nkZ*hPTX@e+ormfcTjsh;R%Iw*P4`-)-v4PAexBn2m$ol z4&iX>JB_f7b0HQ6gk+UZT1cZ;U#-QZU?a+b0QL56qRZV0@qc!S65YlXNc4n|oEE+|(?UJ7H^oT=cg=Fq)7mtDYeE@)(j+{y4lvED8R(r| zQcG=9PWQu7osHsOgWZ{1HycgyChlTg(@vLdyM_F%7B36tpn*iB$>17qd?@b59Ts;q zHW&u0%hhWxdfqPJTj+uzlO$D{r2`dhVvos~ThgVbeVOnIF5$>BsXQcC57}G*C+VV2 zk<9s}9={SU_-9l_W}0LzOQ~SCycM-?NI7<+zEqFhUiH(rTd`yRJ-Qhi6mxk~fJL5rb(u|tSubO_ z-MB4b_-et=6^poTolRwJ0#nDF_ZlA7kt$jcjRvPyW3d@ZV&pDTUT={ufa^r=Ve{au@u)%wWym?w$PpK5n;Fjhfm&_qsPA}qS zbuBl4wlEw3Lv~REV0ibTl@6gQmGS3V<3+bvSGm5MXMPrJP1`R;lP3itwhzpWcBa9I z-?itR_seW%DZ?DN9*g&X?Ecacvzeb43ybOp26wr5$eA8C=GQcNV-h^UrTS9Stox-T z9UtjHimXm4Ww0N%bxZ9dz0j#kM$d8Xq&5FbwpB_lx7Ds?OWRwWAcq|>Q8EmCvy=2JDFL@Yux~rccw z?Es&R!Q!$VAzy|=Qc5$&Zj@82_3-m8R>5j~VA*|IFLT~3a0eEVL!Zs>R4(z$8V8fM|O4)UKIMEjc}Q+PS>39QGV6HL9v(&Z2y|;bh1SLRfV=%uC!{IBZX? z*8zESpnxmpp7ZPB1A~s`yn7l#)wmdji+(fj2aW!5{FA@-Zp2D2Eac4#*7L1Q4M>36 zA;9OwOWxvYEw%C)%Tl_~>AAkmd$L5gdp9?e&E&!_bC0`SdN1v1BpMn=dgI8Fm{sN} zGPV43)3()p+IjU-$k;U&=c2W3OD$!Z;x|XFS8>sQoaLXSA9cwd$v0l@lTSNo@@e$< z2A|m!r`LHkzft(j|L>x5YLi%NrQ2&ql6u`2akPZqvzPhflu8+v{*kgoH!bSeR(@ut zSDfKhe=DZ=-!?okIhia(~J8;_*ZVoLL}_#5>@ zVY!?ADf3jMdUkQq5^1G!(_8LmpfF7#VU6URuQTDCx4_S&t?pv$^eD%-t-l}Te>@^y zcIox>=AyIfoBKy=sj-+#2<`B~zjLm2t4005LW)!?=YB=H)xShuNvg$0H?zF(Yw^dU z?Acc407w_qYA}5}AF)h{igwTPVR#*H zIYB-fs^u;(uWoFq3VuJb(u#q?PWX)301!b~%Yl8;OzbTStDL)@a{3F6)asTgHOGJo z!rA3=qY$=-gOsO;oN`IdU*^l)qh){6W>>02L*x5ZIwLsvy*+V`>)Fb}VUFKZO}3U! z%hC!ysp88fwKk@bg9@{;qKQ8|?lC@FR>8ATgTk9_9E2rJ%ev9ROVMvIkWz>VU?!HqOb6qwfXWmzbt@& zjf_W~a8X5SQ@z}#a?*p0+Wyn)Mz&#}@@9MOp8d2Deten*PY)b?EB?w)IZ6LFe6%OU zoav1Jr*2l&N-aD8QC#kRbIKF^GqJOO+Os5{W?mKSzc;%6Z(e7F%(1Ij)L8P3v@P?? zvqH?8c>>N>bQ{^@*Inv|mwdCQ%6V;;C4Oe9Sm-Ba;g-6rbzlyAn&OVL&QxY|2D zSg%%-!Ft`!7WQ&E=cF$?=ZvkWl!l`JUOfgXAbejS1w#)cB`MfJt_YPslck)%ZDA-L zW_T$eaCOxNr&x6ZM?+6EZ{*D26q84D*b=Ar*n(Zv@R}U-_hL9Vmu-ZXmv8~|t+rH$ zM7gk)eMH(`;>m_$)t-yZsgyD>EG~SwmLbULnE6I4S~nYNG4poRS|L&QK2O#i(&S$e zzI*EyO}LS}<<4&HQDwLGn%!@gNihDA1W7icj%FZ=+&~#FT1T~@j?zt`J1176o?zHX zxOA>vArhk~&)*taNfK}A$-li{#u|5Z*`CR}yyn z#H~4#SO-zzWx{17!WN^rLp*75E7hJejxr}C7Ps3yx+qCSN+tGNs=F#W|3dV3YS!E1tf%Idy?g*kI>fjP*+r@)M2A3L4-})BzV#BC5dS`0cNH&-PSrqNX`2DrY;{Exx znXc2ST(oL6m8Nkvo1gXPU7NO|6w4lr~9)c>>fjQAK7OrJA2x!}IsSshk7l zeZ`E|rQDp|bonBRwFZd6)K57hcIRSHTY8Yq`jz)_RV;{V4H}=ZVxOE{<1F`cac|5L zOM9$hMcY;LKI>wo>751n1i;JBaDr76zq&T zVnT|0R7bPuB5Abbj2?ZvsGU;lt+Vso;DvoUyKuIaIp5`q?&G?h_%@9z?>_Ez-8z!9 zcN0!e?JIPaumzo5NbFFR+DfHFAv;}=8k&nu-ZM35+Bt10Nr}5byl2?q@9^~=5D~}S z1*sbp3T3@cg&jeJa;=%CYwm{gFC{ubmC$=9@1p8v3S_%3F}JC<|0m8YtG~he_u@NU&OOyTj?iNAd^&hBJ2evbY3hv4|Gb> z>toa^{iLQH(Hqc`Xm+(LM+R@sS3%7YKB3GiK_2sPdT}Zz>D9_vi})bUf|EOXCT-Nu zrecNzy*in1`-2Y>N6Ch0ZYnD1ye}8ASoE|mZw77>5VoyOws9OJx=rh1_r043xlNFL zU!9WpDY2-G*K{SlYg3_~uP7Ds`4=A*G@_E zGkL{9ZdRvnPU^w2x}^C*gI`ov6g9uGF&KvI!Xj8R^ue&xeb!jn>Ha0Qf>oP)ZN?_n zt%Asy@7fIXx6yVKV{3P8=sr53ywma^t{t=^G0yF%IB_#TyL3AOiQdx2TRkg^h*eHO z*xjafq$YUCRgeMdo*s2SiSD$V7G$r*x^7C!n#VQKoi@~|SOUb)uC-aS!?J?+wh8AA z&+LrOO|G`gypBjT*6N-+rs$(;%efK}!-1O1&gzkHIqr&7Sp}+GBWi0PFt&4Yg51@` zg~zPPtxbsfAd|R(JnM0ExPv$o6BGFDot7SR#SF7wQPDCv*==lh5W`=)VR71>VA}!w z-tIHj6%RGq2_6%hgaJ!L!sujYw}IHdk^T}6Cmxb5S~%GyrS7cD;0l!J@-C@oTXmmfa*XGFa8FvuV=X4Vbg^`#lZwx2&2s73?4f zemnZ>3;$;Fu2})UcJW!!Z3Oa-u8Gd^$#`` z-|lhbLE2I4B9Y|1j>fZu2b7?KO82hV8Zq7kLW9XZZ^4sEI4Mt!EDR4o zf}EYbQR%Sennxcc_j@Omomed9`AbaOTd9|-+!R~JOX3t^z$b1ztm!#ZRWjbd+FeuZ zQCEb8O&!{k13YI-z#&VHv-re0*9rnJaanK`MxcB-oclL&zxzMk~Ob*5){S9h}F}BNM17-et;U>)K0`f(Nv{J#ZsZomao{*FqTHi*{V! zWnftRk6rm^ulcFU?(4E>6X~05h|xDJ2K(*K4u1>XVU5iY!znwxSk1I1-nF4%_mvF? zO*YZ8b6O+KPSnclM6>;`U`Jd*aOes@_nI?Eic^7JDFtGRzal%qSlk-Zp2;H|TsdP3 z4+l>h+MPgP=NVTCm&=?ss4MS*%M!2&w(a=s;7+Vnj>H~SnNl4sqmRl#3;IpMvIz)-~t%y+wF%4ab{W$>;Y?Fy26xp z0!$e*f||oS0j#cNg4=a{cNRGjfE}mhz?-EKDNy^W zjINaHE5RTG{F2&I36w+yKUvS-tkoQ#r;6Oj@OD=o?sAQbf_MGOz>xh9f9*LWjPs#F=Bj=jl(OyUS~W19(v*p+gy z!rt5svJ6uSz8Nk`Y%spk3G4vTh0o-7b^%h<>X_QIQlnJjR!Uq=8`KB38IaH%p8(nc z`ohCpmC**k&{PYW8z85RZaY{iW6Y!14Mbb2vbx&NPNQL*Al?{1e$8qF<+sL`#}x*7 z|JR)zJ~r{z2-TxExVP`(H5cR>J9uM-!5cxn2s{jLy4eO_*v>Y9=mtoK7zEX?Z-6L$ z1~41uxU=`W)1BSp2<}_(FF?xy^liD~vsQoLOKxO%>#v0{Tq_K~MFrLjaADuS2tT_X zu{-69>+Ve$)}Q;2vj9cq$Fb|_&^m&IXbi#M)GB#v@nR`-1ac|BuaQ3iBgo@$X%1yX z5JD`-l=gVFAgTz2Vf-w}jSP4Q!Z32_f83!A4AqcWyY`Xci*wkF_zi=s zJ6HNT%YphX`11qb%A4eySP!Ex?%ZN(7190yM=~@S`U#x*-ai=c-);)LNy}X2SWr5; z&*JA$^h&>L*huCH$iP5ggalz2TH8Z6=#zT?N7H*4stDI-0b!64^;K`nz(8RXgkflD z58t3q>KDNP>ZtcwKp3v7cA0iEFc1_0r%A(Wd*~K@QojfW7OD4HKp131ebw7CFo0To z5Qd?pJ$!>csrSRsfBnId?wW7Bo4!>;A-I;gDtSY+lYumZh2ZpUc;<#~aS5dFVW@`S zT4o4QU)59w1`NX>3`0wM_y#gCAR)MxxvIj4XeR?hhzh~!+wj^Rx`hl3YzVGph7k3L z-6>yOcb~u+`#JxJL8m4#62W0O#K&&k(Z4d#QOpw65IjQkDIgjQ1BSpOM5CAf$34ox zAcWu%qU(nNN8k~nkEJ{MS7czQR$5Y1M(j@c;=23z$^4mKdH-9!(96XNAwf)Bdj`VK z_mgU5?`+UV9!4V=Mx0x~M9t#@PzDAJ#m_E@fb- z3M8B+4G{6DZViS3$3hT>aS_nhuM7-=fIt{VrT78;TnvMBK|S3Mrcu}BjC{A20T~hY3CgbAW0pBVO#|C^(zAdL9h^pQ7L{vKZ9WiVfbe< zef#1ZHY0w+e=Jqrz|@aaF9fK91x|*}?_#Iz)-Dn5Qd?(J#+&Z7zmL32aXI^Q1_5cWMDw5aLq8Zw1;mX0|P~15QZUzeHC*V z7}zRYGYqfop<7%6!$pORKZ`+_ucX)Xu3fXNV8cM>&twtIl@c0{)Z zxqt~I1d7{*0FU%xUiR1pD% zBf}sWkLKQB7%+rJ&Mn}`(5K&#Udq5AAXNy%X!Jg?mvgCKG&0}_1z{Me>@V(228Jpt z)ZoZ4P{$*=IT!{Er{Of|V*QTvRT&rrwhCbwiQETwG8hINryvX?mHow?T>`^(#a7Av z+&8)H&UU#F0)->iV8BLkcQB+OgoM+#i@6%18ksA?RHB zBq+(iKtQ*kOrkBAmV9>ep2~g1MWnd7X+g(P+ zqq#j822eK$t{FZ74l*!Qp>t(4YM1F{Fbo)Uu6zO%18maz~2j}Z^J`6Vt2|H*WGu2<}dStRq`|K zp6A0qR@2`tr1OjEw0iN}qKqy)d>GyoYNf0|Q&H zLKv999sXeCveI6fyU3FB@F9fAxywwG|Ko&D1n9}!}w|Fllnz4usGXi z0b%%K+8)|U1_q4kK^Vr*g3QRkhA_Bh7+TuHH;{pWz^ZVXbp0??F}P+JUfV;rkb!~1 z>JWzOhXKLhnqg>Z58prr27;=>Y0~w>z+!OCFub;hZXp8$Mb*C)7)I<)`Qo}8zG4z= z@%{;Xk@ecDLI@FRRg7-D-E&EYP?@@8@b=Z7z@U0<6dpogQN{Nljr02@kiIQmSQQAQ z3SqdKzK3cx7zPBPV1?0fQt+;C85lyWfWnbsC|zI4crXkh92R2#1T5%fU?A8kgyBl^ z9W&p)Wd=ZH+UxL z3eXMPL6>$&S zMg|5D;RdH~*AD{=W1oi=_Eqd T, must move out + cmp BSX.TargetQTrack we are on 1/4 or 3/4 track + bcc .1 if CC, C < T, must move in inc CC: C < T, ON next PH - .HS B0 BCS +.1 and #6 move in : X = Ph(N) + ora BSX.Slotn0 + tax + lda IO.D2.Ph0On,x -.2 dec CS: C > T, ON prev PH + pla - sta BSX.CurrentQTrack + bcs .2 if CS, C > T, must move out - bra .6 Ph ON to go to 0/4 or 2/4, then wait + inc move in : Y = Ph(N+1) + +.2 jsr BSX.SeekPhOnY move out: Y = Ph(N) + + bra .9 no wait *-------------------------------------- .3 bcs .4 if CS, C > T, must move out @@ -113,12 +119,13 @@ BSX.MoveHead sta BSX.TargetQTrack jsr BSX.Wait25600usec - lda IO.D2.Ph0Off,y - bcc .90 + bcc .10 lda IO.D2.Ph0Off,x -.90 rts +.10 lda IO.D2.Ph0Off,y + + rts *-------------------------------------- BSX.SeekPhOnY and #6 ora BSX.Slotn0 diff --git a/BIN/CC.S.CODE.txt b/BIN/CC.S.CODE.txt index dae0d6e5..b9933550 100644 --- a/BIN/CC.S.CODE.txt +++ b/BIN/CC.S.CODE.txt @@ -1,6 +1,25 @@ NEW AUTO 3,1 - .LIST OFF +*-------------------------------------- +CODE.Init stz ZPCCConst + stz ZPCCConst+1 + + >LDYAI PCC.FH.CS + >STYA ZPCCCode + + >LDYAI 20 char *ARGV[10] + >STYA ZPCCData + + clc +.9 rts +*-------------------------------------- +CODE.Quit >LDA.G CC.hOutFile + beq .8 + + >SYSCALL FClose + +.8 clc + rts *-------------------------------------- CODE.Debug ldx #PCC.DEBUG.L ldy #0 @@ -197,6 +216,37 @@ CODE.PushFromPtr rts *-------------------------------------- +CODE.PushConstP + lda #$A9 LDA #imm + jsr CODE.EmitByte + bcs .9 + + lda ZPCCConst + jsr CODE.EmitByte + bcs .9 + + lda #$A2 LDX #imm + jsr CODE.EmitByte + bcs .9 + + lda ZPCCConst+1 + jsr CODE.EmitByte + bcs .9 + + ldx #PCC.PushConstPAX.L + ldy #0 + +.1 lda PCC.PushConstPAX,y + jsr CODE.EmitByte + bcs .9 + + iny + dex + bne .1 + + clc +.9 rts +*-------------------------------------- CODE.PUSHI pha lda #$A9 LDA #imm jsr CODE.EmitByte @@ -266,6 +316,17 @@ CODE.TOABSYX jsr CODE.EmitByte A=opcode : JMP, JSR ..... tya bra CODE.EmitByte *-------------------------------------- +CODE.SYSCALL lda #$A2 LDX #imm + jsr CODE.EmitByte + txa + jsr CODE.EmitByte + lda #$20 JSR + jsr CODE.EmitByte + lda #A2osX.SYSCALL + jsr CODE.EmitByte + lda /A2osX.SYSCALL + bra CODE.EmitByte +*-------------------------------------- CODE.FPUCALL lda #$A2 LDX #imm jsr CODE.EmitByte txa @@ -277,22 +338,90 @@ CODE.FPUCALL lda #$A2 LDX #imm lda /A2osX.FPUCALL bra CODE.EmitByte *-------------------------------------- -CODE.SYSCALL lda #$A2 LDX #imm - jsr CODE.EmitByte +CODE.EmitByte clc if Pass 1... + bit bPass2 + bpl .1 + + phx + phy + tax + + >PUSHB.G CC.hOutFile txa - jsr CODE.EmitByte - lda #$20 JSR - jsr CODE.EmitByte - lda #A2osX.SYSCALL - jsr CODE.EmitByte - lda /A2osX.SYSCALL -*-------------------------------------- -CODE.EmitByte sta (ZPCCCode) - inc ZPCCCode + >PUSHA + >SYSCALL fputc + + ply + plx + +.1 inc ZPCCCode bne .8 inc ZPCCCode+1 +.8 rts CC/CS from fputc +*-------------------------------------- +CODE.EmitDATA clc + bit bPass2 + bpl .1 + + phx + phy + tax + + >PUSHB.G CC.hOutFile + txa + >PUSHA + >SYSCALL fputc + ply + plx + +.1 inc ZPCCConst + bne .8 + + inc ZPCCConst+1 + +.8 rts CC/CS from fputc +*-------------------------------------- +CODE.CSSelect clc + bit bPass2 + bpl .8 + + >PUSHB.G CC.hOutFile + >PUSHWI 0 + lda ZPCCCode+1 + sec + sbc #$20 + >PUSHA + lda ZPCCCode + >PUSHA + >PUSHBI SEEK.SET + >SYSCALL fseek + +.8 rts +*-------------------------------------- +CODE.DSSelect clc + bit bPass2 + bpl .8 + + >PUSHB.G CC.hOutFile + >PUSHWI 0 +* >PUSHWI 0 +* >PUSHBI SEEK.END + + lda ZPCCConst + clc + adc PCC.FH+PCC.FH.IDATA + tay + lda ZPCCConst+1 + adc PCC.FH+PCC.FH.IDATA+1 + sec + sbc #$20 + >PUSHYA + >PUSHBI SEEK.SET + + >SYSCALL fseek + .8 rts *-------------------------------------- MAN diff --git a/BIN/CC.S.CORE.txt b/BIN/CC.S.CORE.txt index 59eae110..ea79dea8 100644 --- a/BIN/CC.S.CORE.txt +++ b/BIN/CC.S.CORE.txt @@ -1,14 +1,13 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- CC.Init >SYSCALL SListNew - bcs .99 + bcs .9 >STA.G CC.hDefines >SYSCALL SListNew - bcs .99 + bcs .9 >STA.G CC.hTags @@ -18,30 +17,6 @@ CC.Init >SYSCALL SListNew >STA.G CC.hSymbols sty ScopePtr - >LDYAI 4096 - >SYSCALL GetMem -.99 bcs .9 - - >STYA ZPCCCode - txa - >STA.G CC.hCode - - >LDYAI 1024 - >SYSCALL GetMem - bcs .9 - - >STYA ZPCCConst - txa - >STA.G CC.hConst - - >LDYAI 1024 - >SYSCALL GetMem - bcs .9 - - >STYA ZPCCData - txa - >STA.G CC.hData - >LDYAI 256 >SYSCALL GetMem bcs .9 @@ -78,25 +53,19 @@ CC.Quit ldy ScopePtr >SYSCALL SListFree -.4 lda hDefineBuf +.4 >LDA.G CC.hDefineBuf + jsr .7 + + >LDA.G CC.hLookupSymBuf jsr .7 .5 jsr CS.RUN.FClose bne .5 - lda hSymBuf + >LDA.G CC.hSymBuf jsr .7 >LDA.G CC.hStack - jsr .7 - - >LDA.G CC.hData - jsr .7 - - >LDA.G CC.hConst - jsr .7 - - >LDA.G CC.hCode .7 beq CC.Quit.RTS >SYSCALL FreeMem @@ -202,7 +171,7 @@ CC.CompileLine.1 jsr CC.SYM.Store bcs .29 - jmp CC.SYM.FreeBuf + jmp CC.SYM.Free *-------------------------------------- * TODO: AOPS @@ -225,7 +194,7 @@ CC.CompileLine.1 .28 jsr CC.SYM.Store bcs .9 - jsr CC.SYM.FreeBuf + jsr CC.SYM.Free bra .68 *-------------------------------------- @@ -256,7 +225,7 @@ CC.CompileLine.1 .69 bcs .99 ldy #SYM.Q - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y bit #SYM.Q.FUNC beq .60 @@ -265,7 +234,7 @@ CC.CompileLine.1 jsr CC.F.CallNoRetV bcs .9 - jsr CC.SYM.FreeBufPop + jsr CC.SYM.LookupFree bra .8 *-------------------------------------- @@ -285,7 +254,7 @@ CC.CompileLine.1 jsr CC.SYM.PopValue bcs .9 - jsr CC.SYM.FreeBufPop + jsr CC.SYM.LookupFree *-------------------------------------- .68 jsr CC.GetCharNB cmp #';' @@ -383,8 +352,8 @@ CC.SListLookup >PUSHA hSList >SYSCALL SListLookup bcs .99 - pha save KeyID - phy +* pha save KeyID +* phy >PUSHA KeyID.HI tya @@ -398,9 +367,14 @@ CC.SListLookup >PUSHA hSList >SYSCALL SListGetData bcs .9 - ply - pla X = hSymScope, Y,A = SymID -* clc + >STYA.G CC.LookupSymSize + + txa + >STA.G CC.hLookupSymBuf + >SYSCALL GetMemPtr + +* clc Y,A = SymPtr, X = hSymBuf + rts .9 plx @@ -410,88 +384,6 @@ CC.SListLookup >PUSHA hSList .99 inc pStack rts *-------------------------------------- -* int main(int argc, char *argv[]) ; -*-------------------------------------- -CC.MainExec lda #$EEEE - >PUSHA - >PUSHA int ret value - - lda #0 - >PUSHA - ldy #S.PS.ARGC - lda (pPS),y - >PUSHA push int ARGC (int) - - >PUSHW ZPCCConst push int ARGV *char[] - - stz ArgIndex - -.1 lda ArgIndex - >SYSCALL ArgV - bcs .2 - - inc ArgIndex - - pha - tya - - sta (ZPCCConst) - pla - - ldy #1 - sta (ZPCCConst),y - - lda ZPCCConst -* clc - adc #2 - sta ZPCCConst - bcc .1 - - inc ZPCCConst+1 - bra .1 - -.2 >PUSHB.G CC.hSymbols - - >PUSHW L.CC.MAIN - >SYSCALL SListLookup - bcs .9 - - phy - pha - - >PUSHB.G CC.hSymbols - - pla - >PUSHA - pla - >PUSHA KeyID - - >PUSHWZ allocate - >PUSHWI 65535 all - >PUSHWZ From Start - >SYSCALL SListGetData - bcs .9 - - stx hSymBuf - txa - >SYSCALL GetMemPtr - >STYA ZPSymBufPtr - - ldy #SYM.Addr - lda (ZPSymBufPtr),y - sta ZPCCCode - - iny - lda (ZPSymBufPtr),y - sta ZPCCCode+1 - - jsr .7 - - clc -.9 rts - -.7 jmp (ZPCCCode) -*-------------------------------------- CC.ZPLookupPtrAddAp1 sec adc ZPLookupPtr @@ -501,19 +393,16 @@ CC.ZPLookupPtrAddAp1 inc ZPLookupPtr+1 .1 rts *-------------------------------------- -CC.GetDefine >LDA.G CC.hDefines - - jsr CC.SListLookup - bcs .9 - - stx hDefineBuf - - >LDYA ZPLineBufPtr +CC.GetDefine >LDYA ZPLineBufPtr >STYA.G CC.SaveDefine - txa - >SYSCALL GetMemPtr + >LDA.G CC.hDefines + jsr CC.SListLookup + bcs .9 + >STYA ZPLineBufPtr + txa + >STA.G CC.hDefineBuf .9 rts *-------------------------------------- @@ -667,30 +556,29 @@ CC.GetNextChar inc ZPLineBufPtr CC.GetChar lda (ZPLineBufPtr) bne .8 - lda hDefineBuf + phy + + >LDA.G CC.hDefineBuf beq .1 - stz hDefineBuf - phx - phy + >SYSCALL FreeMem + >STZ.G CC.hDefineBuf + >LDYA.G CC.SaveDefine >STYA ZPLineBufPtr - ply plx + ply bra CC.GetChar -.1 lda #C.CR +.1 ply + lda #C.CR .8 clc rts - -.9 lda #MLI.E.EOF - sec -.99 rts *--------------------------------------- CC.IsEndArg cmp #']' beq .8 diff --git a/BIN/CC.S.DECL.txt b/BIN/CC.S.DECL.txt index c5c856a5..b661684e 100644 --- a/BIN/CC.S.DECL.txt +++ b/BIN/CC.S.DECL.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- CC.DECL jsr CC.IsLetter bcs .80 @@ -51,7 +50,7 @@ CC.DECL jsr CC.IsLetter .29 bcs .99 - jsr CC.SYM.FreeBuf + jsr CC.SYM.Free clv clc diff --git a/BIN/CC.S.DIR.txt b/BIN/CC.S.DIR.txt index b9ee019a..a10cc225 100644 --- a/BIN/CC.S.DIR.txt +++ b/BIN/CC.S.DIR.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- CC.DIR jsr CC.GetNextCharNB bcs .99 @@ -20,17 +19,22 @@ CC.DIR jsr CC.GetNextCharNB sec .99 rts *-------------------------------------- -CC.DIR.DEFINE jsr CC.CheckSpace +CC.DIR.DEFINE clc + bit bPass2 + bmi .8 + + jsr CC.CheckSpace bcs .99 jsr CC.IsLetter bcs .90 + ldx #SYM.SC.DEFINE >LDA.G CC.hDefines jsr CC.SYM.NewKey bcs .99 - >STYA ZPSymID + >STYA ZPPtr1 SymID jsr CC.SkipX @@ -53,7 +57,7 @@ CC.DIR.DEFINE jsr CC.CheckSpace phy >PUSHB.G CC.hDefines - >PUSHW ZPSymID + >PUSHW ZPPtr1 SymID >PUSHW ZPLineBufPtr ply @@ -63,7 +67,7 @@ CC.DIR.DEFINE jsr CC.CheckSpace >SYSCALL SListAddData bcs .99 - jmp CC.SkipLine +.8 jmp CC.SkipLine .90 lda #E.CSYN sec @@ -100,27 +104,37 @@ CC.DIR.INCLUDE lda #0 >STZ.G PathBuf beq .90 cmp ArgIndex - beq .8 + beq .4 sta (pData),y iny - cpy #64 + cpy #MLI.MAXPATH bne .3 .90 lda #E.CSYN sec .99 rts -.8 lda #0 +.4 phy + jsr CC.GetNextChar skip " or > + ply + + lda #0 sta (pData),y terminate string - jsr CC.GetNextChar skip " or > - - >LDYA pData - jsr CS.RUN.FOpen - bcs .99 + dey + lda (pData),y + cmp #'h' + bne .5 + bit bPass2 + bpl .5 + + clc rts + +.5 >LDYA pData + jmp CS.RUN.FOpen *-------------------------------------- MAN SAVE usr/src/bin/cc.s.dir diff --git a/BIN/CC.S.EXEC.txt b/BIN/CC.S.EXEC.txt index 95ce4a2d..e5acec3b 100644 --- a/BIN/CC.S.EXEC.txt +++ b/BIN/CC.S.EXEC.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- CC.BOPS.bMUL CC.BOPS.cMUL diff --git a/BIN/CC.S.EXP.txt b/BIN/CC.S.EXP.txt index 274c398b..455ed560 100644 --- a/BIN/CC.S.EXP.txt +++ b/BIN/CC.S.EXP.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- * Input: * ZPLineBufPtr, Y,A = Expected type/qual @@ -74,7 +73,7 @@ CC.EXP.Eval ldx ZPPtr2 local : expected T/Q bcs .22 ldy #SYM.Q - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y and #SYM.Q.FUNC bne .21 @@ -84,7 +83,7 @@ CC.EXP.Eval ldx ZPPtr2 local : expected T/Q jsr CC.EXP.Get Check T/Q & Get value on stack bcs .29 - jsr CC.SYM.FreeBufPop + jsr CC.SYM.LookupFree bra .30 *-------------------------------------- @@ -95,7 +94,7 @@ CC.EXP.Eval ldx ZPPtr2 local : expected T/Q >STYA ZPPtr2 store real T/Q - jsr CC.SYM.FreeBufPop + jsr CC.SYM.LookupFree bra .30 *-------------------------------------- @@ -195,11 +194,11 @@ CC.EXP.CheckGetSymType ora ZPPtr2+1 bne .1 - lda (ZPSymBufPtr) + lda (ZPLookupSymPtr) sta ZPPtr2 ldy #SYM.Q - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y sta ZPPtr2+1 clc @@ -279,44 +278,46 @@ CC.EXP.BOPS cpy #SYM.T.UINT clc rts *-------------------------------------- -CC.AddContCharP ldy #0 +CC.AddContCharP jsr CODE.PushConstP + bcs .99 + + jsr CODE.DSSelect + bcs .99 + + ldy #0 .1 jsr CC.GetNextChar bcs .9 +* TODO : add support for \ to next line + cmp #C.CR beq .9 cmp #'"' beq .2 - sta (ZPCCConst),y + jsr CODE.EmitData + bcs .99 + iny bra .1 .2 lda #0 - sta (ZPCCConst),y + jsr CODE.EmitData + bcs .99 + + jsr CODE.CSSelect + bcs .99 - lda ZPCCConst+1 Push PTR on stack - jsr CODE.PUSHI - lda ZPCCConst - jsr CODE.PUSHI - - tya - sec - adc ZPCCConst - sta ZPCCConst - bcc .3 - - inc ZPCCConst+1 -.3 jsr CC.GetNextChar Skip " + jsr CC.GetNextChar Skip " clc rts .9 lda #E.ESYN sec - rts +.99 rts *-------------------------------------- CC.EXP.GetNum cpy #SYM.T.FLOAT bcc .1 @@ -409,7 +410,7 @@ CC.EXP.Get >LDYA L.CC.AOPS lda ZPPtr2 target T beq .3 - lda (ZPSymBufPtr) SYM.T + lda (ZPLookupSymPtr) SYM.T cmp #SYM.T.VOID beq .2 @@ -419,14 +420,14 @@ CC.EXP.Get >LDYA L.CC.AOPS .2 lda ZPPtr2+1 Q ldy #SYM.Q - cmp (ZPSymBufPtr),y + cmp (ZPLookupSymPtr),y bne .9 -.3 lda (ZPSymBufPtr) SYM.T +.3 lda (ZPLookupSymPtr) SYM.T sta ZPPtr2 T pha ldy #SYM.Q - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y sta ZPPtr2+1 Q ply Y,A = T/Q @@ -442,7 +443,7 @@ CC.EXP.Get >LDYA L.CC.AOPS .8 jmp (J.CC.PREOPS,x) *-------------------------------------- CC.EXP.Array ldy #SYM.Q - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y and #SYM.Q.AAARRAY beq .9 @@ -477,11 +478,11 @@ CC.EXP.Array ldy #SYM.Q ldx #FPU.iAdd jsr CODE.FPUCALL add to base address - lda (ZPSymBufPtr) SYM.T + lda (ZPLookupSymPtr) SYM.T pha ldy #SYM.Q - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y sec sbc #SYM.Q.ARRAY ply @@ -497,13 +498,13 @@ CC.EXP.Array ldy #SYM.Q .99 rts *-------------------------------------- CC.EXP.PushAddr ldy #SYM.Addr+1 - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y bne .7 * LOCAL jsr CODE.PUSHpBASEp1 ldy #SYM.Addr - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y eor #$FF inc jsr CODE.LDAI @@ -515,7 +516,7 @@ CC.EXP.PushAddr ldy #SYM.Addr+1 .7 jsr CODE.PUSHI ldy #SYM.Addr - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y jsr CODE.PUSHI clc @@ -523,13 +524,13 @@ CC.EXP.PushAddr ldy #SYM.Addr+1 *-------------------------------------- CC.EXP.PushDeref ldy #SYM.Addr+1 - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y bne .7 * LOCAL jsr CODE.SETpBASEp1 ldy #SYM.Addr - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y eor #$FF inc jsr CODE.LDAI @@ -541,13 +542,14 @@ CC.EXP.PushDeref rts * DATASEG .7 jsr CODE.LDAI + lda #$85 STA zp jsr CODE.EmitByte lda #ZPPtr1+1 jsr CODE.EmitByte ldy #SYM.Addr - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y jsr CODE.LDAI lda #$85 STA zp @@ -558,22 +560,22 @@ CC.EXP.PushDeref jsr CODE.Deref clc - rts +.9 rts *-------------------------------------- CC.EXP.GetRef ldy #SYM.Addr+1 - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y jsr CODE.PUSHI ldy #SYM.Addr - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y jsr CODE.PUSHI - lda (ZPSymBufPtr) SYM.T + lda (ZPLookupSymPtr) SYM.T sta ZPPtr2 T ldy #SYM.Q - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y clc adc #SYM.Q.POINTER bcs .9 more than *** diff --git a/BIN/CC.S.F.txt b/BIN/CC.S.F.txt index ab2dce82..d8e5be10 100644 --- a/BIN/CC.S.F.txt +++ b/BIN/CC.S.F.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- CC.F.Decl stz LocalPtr @@ -38,9 +37,6 @@ CC.F.Decl stz LocalPtr jsr CC.IsLetter bcs .2 - jsr CC.SYM.Push - bcs .99 - >LDYA ZPPtr2 ldx #SYM.SC.AUTO jsr CC.SYM.New @@ -49,7 +45,7 @@ CC.F.Decl stz LocalPtr jsr CC.SYM.Store Store f() Args in Local scope bcs .99 - jsr CC.SYM.FreeBufPop + jsr CC.SYM.Free bcs .99 jsr CC.GetCharNB @@ -93,12 +89,6 @@ CC.F.Decl stz LocalPtr .7 cmp #'{' bne .9 - ldy #SYM.Addr+1 - lda (ZPSymBufPtr),y - dey - ora (ZPSymBufPtr),y - bne .90 Already populated by LINK - >LDYA ZPCCCode f() Code starts HERE jsr CC.SYM.SetAddrYA @@ -108,10 +98,6 @@ CC.F.Decl stz LocalPtr clc Store f() Declaration / Start Definition rts - -.90 lda #E.REDEF - sec - rts *-------------------------------------- CC.F.DeclGetTQ lda (ZPLineBufPtr) cmp #'.' @@ -167,6 +153,17 @@ CC.F.Def.END jsr CODE.Leave clc rts *-------------------------------------- +CC.F.AddTQ pha + tya + ldy ZPSymSize + sta (ZPSymBufPtr),y + iny + pla + sta (ZPSymBufPtr),y + iny + sty ZPSymSize + rts +*-------------------------------------- * in : ZPSymPtr * Y,A = Expected T/Q *-------------------------------------- @@ -188,11 +185,10 @@ CC.F.CallRetV sec ror ZPPtr1+1 bRetV bpl .20 no return value on stack - + ldy #SYM.Addr+1 lda (ZPSymBufPtr),y - cmp #2 - bcc .20 SYS/FPU call, no ret value space + beq .20 SYS/LIB/FPU call, no ret value space jsr CC.SYM.GetSymSizeOfInAXC jsr CODE.nAddLocal @@ -354,7 +350,7 @@ CC.F.Call2 lda ZPPtr2+1 * Return Value : call & put result on stack if in Y,A .5 ldy #SYM.Addr+1 - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y cmp #2 bcs .8 not a SYS/FPU call...Ret value on stack @@ -377,13 +373,14 @@ CC.F.Call2 lda ZPPtr2+1 rts *-------------------------------------- CC.F.Call3 ldy #SYM.Addr+1 - lda (ZPSymBufPtr),y + + lda (ZPLookupSymPtr),y beq .9 pha dey - lda (ZPSymBufPtr),y + lda (ZPLookupSymPtr),y tax ply @@ -407,19 +404,23 @@ CC.F.Call3 ldy #SYM.Addr+1 clc rts -.9 lda #E.FUNDEF - sec +.9 bit bPass2 + bmi .99 + + lda #5 leave room for LDX #imm, JSR abs + clc + adc ZPCCConst + sta ZPCCConst + + bcc .90 + + inc ZPCCConst+1 + +.90 clc rts -*-------------------------------------- -CC.F.AddTQ pha - tya - ldy ZPSymSize - sta (ZPSymBufPtr),y - iny - pla - sta (ZPSymBufPtr),y - iny - sty ZPSymSize + +.99 lda #E.FUNDEF + sec rts *-------------------------------------- CC.F.GetTQ ldy ZPSymSize diff --git a/BIN/CC.S.KW.txt b/BIN/CC.S.KW.txt index 66815276..c5e9049e 100644 --- a/BIN/CC.S.KW.txt +++ b/BIN/CC.S.KW.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- * Built in Keywords *-------------------------------------- @@ -49,11 +48,11 @@ CC.KW.IF.END jsr CC.PopYA >STYA ZPPtr1 set JMP false lda ZPCCCode - sta (ZPPtr1) +* sta (ZPPtr1) ldy #1 lda ZPCCCode+1 - sta (ZPPtr1),y +* sta (ZPPtr1),y * clc @@ -85,11 +84,11 @@ CC.KW.WHILE.END jsr CC.PopYA Get JMP false location jsr CODE.TOABSYX lda ZPCCCode - sta (ZPPtr1) +* sta (ZPPtr1) ldy #1 lda ZPCCCode+1 - sta (ZPPtr1),y +* sta (ZPPtr1),y clc @@ -106,37 +105,40 @@ CC.KW.DO.END *-------------------------------------- * for (e1;e2;e3) s; * -* e1; -* while (e2) -* { -* s; -* cont: -* e3; +* { <- new scope : allows for(int i=1; ....) +* e1; +* loop: +* while (e2) +* { +* s; +* cont: +* e3; +* } +* break: * } -* break: *-------------------------------------- CC.KW.FOR jsr CC.GetCharNB - bcs .19 + bcs .9 cmp #'(' - bne .19 + bne .9 jsr CC.GetNextCharNB - bcs .19 + bcs .9 jsr CC.EXP.Eval00 get e1 - bcs .29 + bcs .9 jsr CC.KW.StackDiscard jsr CC.GetCharNB - bcs .19 + bcs .9 cmp #';' - bne .19 + bne .9 jsr CC.GetNextCharNB skip ';' -.19 bcs .9 + bcs .9 *-------------------------------------- >LDYA ZPCCCode >STYA ZPPtr2 save LOOP address @@ -187,11 +189,11 @@ CC.KW.FOR jsr CC.GetCharNB jsr CODE.TOABSYX JMP e2 lda ZPCCCode setup JSR s; - sta (ZPPtr3) +* sta (ZPPtr3) lda ZPCCCode+1 ldy #1 - sta (ZPPtr3),y +* sta (ZPPtr3),y jmp CC.KW.BEGIN @@ -215,11 +217,11 @@ CC.KW.FOR.END lda #$60 >STYA ZPPtr1 get JMP break: location lda ZPCCCode - sta (ZPPtr1) +* sta (ZPPtr1) ldy #1 lda ZPCCCode+1 - sta (ZPPtr1),y +* sta (ZPPtr1),y clc @@ -227,7 +229,15 @@ CC.KW.FOR.END lda #$60 *-------------------------------------- CC.KW.SWITCH CC.KW.CASE + lda #E.CSYN + sec + rts +*-------------------------------------- CC.KW.BREAK + lda #E.CSYN + sec + rts +*-------------------------------------- CC.KW.CONTINUE lda #E.CSYN sec @@ -287,6 +297,7 @@ CC.KW.STRUCT >ENTER 4 jsr CC.GetCharNB bcs .99 + ldx #SYM.SC.TAG >LDA.G CC.hTags jsr CC.SYM.NewKey bcs .99 diff --git a/BIN/CC.S.LINK.txt b/BIN/CC.S.LINK.txt new file mode 100644 index 00000000..7ec6aed9 --- /dev/null +++ b/BIN/CC.S.LINK.txt @@ -0,0 +1,173 @@ +NEW + AUTO 3,1 +*-------------------------------------- +CC.Link.RTS rts + +CC.Link >LDYA L.MSG.LINKING + >SYSCALL Puts + + >LDYAI 256 + >SYSCALL GetMem + bcs CC.Link.RTS + + >STYA ZPSymBufPtr + txa + >STA.G CC.hSymBuf + + ldy #0 + lda #0 + +.1 >STYA ZPPtr1 SymID + + >PUSHB.G CC.hSymbols + >PUSHW ZPPtr1 SymID + >PUSHW pData + + >SYSCALL SListGetByID + bcs .8 + + >STYA ZPPtr2 NextID + + >PUSHB.G CC.hSymbols + >PUSHW ZPPtr1 SymID + >PUSHW ZPSymBufPtr + >PUSHWI $ffff All + >PUSHWZ from Start + >SYSCALL SListGetData + bcs .9 + + >STYA ZPSymSize + + ldy #SYM.Addr+1 + lda (ZPSymBufPtr),y + dey + ora (ZPSymBufPtr),y + bne .6 + + jsr CC.Link.LIBC + bcc .6 + + jsr CC.Link.Dump + lda #E.FUNDEF + sec + bra .9 + +.6 >LDA.G bTrace + bpl .7 + + jsr CC.Link.Dump + bcs .9 + +.7 >LDYA ZPPtr2 + jmp .1 + +.8 clc + +.9 php + pha + jsr CC.SYM.Free + pla + plp + + rts +*-------------------------------------- +* Input : pData = Symbol +*-------------------------------------- +CC.Link.LIBC >LDYA L.CC.LIBC + >STYA ZPLookupPtr + + ldy #$ff + +.10 iny + lda (pData),y + bne .10 + + phy Symbol Len + + ldx #0 + +.1 lda (ZPLookupPtr) + beq .9 Ending 0, not found.... + + pla + pha Get Back Len + cmp (ZPLookupPtr) Same Len ? + bne .4 + + tay + +.2 lda (ZPLookupPtr),y + + dey + cmp (pData),y + bne .4 + + tya + bne .2 + + lda (ZPLookupPtr) + jsr CC.ZPLookupPtrAddAp1 Skip LEN\Symbol + + ldy #2 Skip LEN + lda (ZPLookupPtr),y + pha + dey + lda (ZPLookupPtr),y + ply + + jsr CC.SYM.SetAddrYA + + plx + + >PUSHB.G CC.hSymbols + >PUSHW ZPPtr1 SymID + >PUSHW ZPSymBufPtr + >PUSHW ZPSymSize + >SYSCALL SListSetData + rts + +.4 inx + inx + + lda (ZPLookupPtr) + jsr CC.ZPLookupPtrAddAp1 Skip LEN\Symbol + + lda (ZPLookupPtr) + jsr CC.ZPLookupPtrAddAp1 Skip LEN\definition + bra .1 + +.9 plx + sec + rts +*-------------------------------------- +CC.Link.Dump >PUSHW L.MSG.LINKING2 + >PUSHW pData + + >PUSHB (ZPSymBufPtr) SYM.T + + ldy #1 + >PUSHB (ZPSymBufPtr),y SYM.Q + + iny + >PUSHB (ZPSymBufPtr),y SYM.SC + + ldy #SYM.SizeOf+1 + >PUSHB (ZPSymBufPtr),y + dey + >PUSHB (ZPSymBufPtr),y + + ldy #SYM.Addr+1 + >PUSHB (ZPSymBufPtr),y + dey + >PUSHB (ZPSymBufPtr),y + + >PUSHBI 9 + >SYSCALL PrintF + rts +*-------------------------------------- + +*-------------------------------------- +MAN +SAVE usr/src/bin/cc.s.link +LOAD usr/src/bin/cc.s +ASM diff --git a/BIN/CC.S.STMT.txt b/BIN/CC.S.STMT.txt index 2783a988..aeb31eb3 100644 --- a/BIN/CC.S.STMT.txt +++ b/BIN/CC.S.STMT.txt @@ -1,59 +1,5 @@ NEW AUTO 3,1 - .LIST OFF -*-------------------------------------- -CC.STMT jsr CC.SYM.Lookup var= or func() ? - bcs .80 - - ldy #SYM.Q - lda (ZPSymBufPtr),y - bit #SYM.Q.FUNC - bne .20 - - jsr CC.GetCharNB var = ? - bcs .90 - -* TODO: AOPS - - cmp #'=' - bne .90 - - jsr CC.GetNextChar Skip = - bcs .99 - - jsr CC.SYM.GetTypeInYA - jsr CC.EXP.Eval - bcs .99 - - jsr CC.SYM.PopValue - bcs .99 - - jsr CC.SYM.FreeBufPop - - - clv - clc - rts - - -.20 ldy #0 func( ... ); - lda #0 - jsr CC.F.CallNoRetV - bcs .9 - - jsr CC.SYM.FreeBufPop - - clv - clc - rts - -.80 bit #$60 - clc - rts - -.90 lda #E.CSYN - sec -.99 rts *-------------------------------------- * X = KW.ID *-------------------------------------- @@ -88,7 +34,7 @@ CC.STMT.CPStmt.END jsr CC.Pop Locals sta LocalPtr - + txa bmi .5 @@ -100,6 +46,8 @@ CC.STMT.CPStmt.END sec rts *-------------------------------------- +CC.CPS.New +*-------------------------------------- MAN SAVE usr/src/bin/cc.s.stmt LOAD usr/src/bin/cc.s diff --git a/BIN/CC.S.SYM.txt b/BIN/CC.S.SYM.txt index b0999ccb..6f7a1335 100644 --- a/BIN/CC.S.SYM.txt +++ b/BIN/CC.S.SYM.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- * Input : ZPLineBufPtr, Y,A = T/Q, X = SC * Output : Y,A = VarID @@ -10,11 +9,10 @@ CC.SYM.New >STYA ZPPtr2 T/Q ldy ScopePtr lda (pData),y - sta hSymScope jsr CC.SYM.NewKey bcs .9 - >STYA ZPSymID Y,A = KeyID + >STYA.G CC.SymID Y,A = KeyID stx ZPPtr3+1 X = KeyLen >LDYAI 256 @@ -22,22 +20,32 @@ CC.SYM.New >STYA ZPPtr2 T/Q bcs .9 >STYA ZPSymBufPtr - stx hSymBuf + txa + >STA.G CC.hSymBuf - >LDYA ZPPtr2 - jsr CC.SYM.SetTypeYA + lda ZPPtr2 + sta (ZPSymBufPtr) #SYM.T - lda ZPPtr3 - ldy #SYM.SC + lda ZPPtr2+1 + ldy #SYM.Q sta (ZPSymBufPtr),y - lda #SYM.Def - sta ZPSymSize + lda ZPPtr3 + iny #SYM.SC + sta (ZPSymBufPtr),y + + iny + lda #0 + +.1 sta (ZPSymBufPtr),y + iny + cpy #SYM.Def + bne .1 + + sty ZPSymSize stz ZPSymSize+1 ldx ZPPtr3+1 Key Len - jsr CC.SYM.Link - jsr CC.SkipX jsr CC.GetCharNB @@ -46,7 +54,7 @@ CC.SYM.New >STYA ZPPtr2 T/Q cmp #'(' bne CC.SYM.NewV - jmp CC.F.Decl + jmp CC.F.Decl .9 rts *-------------------------------------- @@ -166,7 +174,7 @@ CC.SYM.SetAddrL jsr CC.SYM.GetSymSizeOfInAXC ldy #SYM.Addr sta (ZPSymBufPtr),y - + jsr CC.SYM.GetSymSizeOfInAXC jsr CODE.nAddLocal @@ -179,87 +187,55 @@ CC.SYM.SetAddrL jsr CC.SYM.GetSymSizeOfInAXC *-------------------------------------- CC.SYM.NewKey >PUSHA >PUSHW ZPLineBufPtr - >SYSCALL SListNewKey -* bcs .9 -* clc Y,A = KeyID, X = KeyLen + bit bPass2 + bpl .1 -.9 rts -*-------------------------------------- -* Input : ZPLineBufPtr, X = KeyLen -*-------------------------------------- -CC.SYM.Link >LDYA L.CC.LIBC - >STYA ZPLookupPtr + txa + bne .1 - phx + >SYSCALL SListLookup - lda #0 - ldy #SYM.Addr - sta (ZPSymBufPtr),y - iny - sta (ZPSymBufPtr),y +* clc - ldx #0 - -.1 lda (ZPLookupPtr) - beq .9 Ending 0, not found.... - - pla - pha Get Back Len - cmp (ZPLookupPtr) Same Len ? - bne .4 - - tay - -.2 lda (ZPLookupPtr),y - - dey - cmp (ZPLineBufPtr),y - bne .4 - - tya - bne .2 - - lda (ZPLookupPtr) - jsr CC.ZPLookupPtrAddAp1 Skip LEN\Symbol - - ldy #2 Skip LEN - lda (ZPLookupPtr),y - pha - dey - lda (ZPLookupPtr),y - ply - - jsr CC.SYM.SetAddrYA - - plx - clc rts -.4 inx - inx +.1 >SYSCALL SListNewKey - lda (ZPLookupPtr) - jsr CC.ZPLookupPtrAddAp1 Skip LEN\Symbol - - lda (ZPLookupPtr) - jsr CC.ZPLookupPtrAddAp1 Skip LEN\definition - bra .1 - -.9 plx - sec - rts + rts Y,A = KeyID, X = KeyLen *-------------------------------------- -CC.SYM.Store >PUSHB hSymScope - >PUSHW ZPSymID +CC.SYM.Store ldy ScopePtr + lda (pData),y + >PUSHA + >PUSHW.G CC.SymID >PUSHW ZPSymBufPtr - >PUSHBI 0 - >PUSHB ZPSymSize - >SYSCALL SListAddData + >PUSHW ZPSymSize + + bit bPass2 + bpl .1 + + bit ScopenCnt + bmi .1 + + >SYSCALL SListSetData + rts + +.1 >SYSCALL SListAddData + + rts +*-------------------------------------- +CC.SYM.Free >LDA.G CC.hSymBuf + >SYSCALL FreeMem + bcs .99 + + >STZ.G CC.hSymBuf + rts + +.99 >DEBUG rts *-------------------------------------- CC.SYM.NewScope ldy ScopePtr - cpy #CC.hSymbols+SCOPE.MAX-1 + cpy #CC.hSymbols+SCOPE.MAX bcs .9 >SYSCALL SListNew @@ -288,12 +264,9 @@ CC.SYM.FreeScope dec ScopePtr inc ScopenCnt - rts + rts *-------------------------------------- -CC.SYM.Lookup jsr CC.SYM.Push - bcs .99 - - >PUSHB ScopePtr +CC.SYM.Lookup >PUSHB ScopePtr .1 tay lda (pData),y @@ -302,64 +275,35 @@ CC.SYM.Lookup jsr CC.SYM.Push lda (pstack) cmp #CC.hSymbols - beq .9 CS + beq .9 dec sta (pstack) bra .1 -.9 jsr CC.SYM.Pop - - inc pStack +.9 inc pStack lda #E.NOKEY + sec rts -.8 stx hSymBuf - - >PULLA - tay - lda (pData),y - sta hSymScope - - txa - >SYSCALL GetMemPtr - >STYA ZPSymBufPtr +.8 inc pStack + + >STYA ZPLookupSymPtr .99 rts *-------------------------------------- -CC.SYM.Push ldx #7 - -.1 lda ZPSymID,x - jsr CC.Push - bcs .9 - - dex - bpl .1 - -.9 rts -*-------------------------------------- -CC.SYM.FreeBuf lda hSymBuf - - stz hSymBuf +CC.SYM.LookupFree + >LDA.G CC.hLookupSymBuf >SYSCALL FreeMem + bcs .99 + + >STZ.G CC.hLookupSymBuf rts -*-------------------------------------- -CC.SYM.FreeBufPop - jsr CC.SYM.FreeBuf -*-------------------------------------- -CC.SYM.Pop ldx #0 - -.1 jsr CC.Pop - bcs .9 - - sta ZPSymID,x - inx - cpx #8 - bne .1 - - clc -.9 rts + + +.99 >DEBUG + rts *-------------------------------------- * Y,A = value T/Q *-------------------------------------- @@ -525,7 +469,7 @@ CC.SYM.GetAddrInYA lda (ZPSymBufPtr),y pha - dey #SYM.Addr + dey lda (ZPSymBufPtr),y tay diff --git a/BIN/CC.S.TYPE.txt b/BIN/CC.S.TYPE.txt index b691bc93..a3eb2099 100644 --- a/BIN/CC.S.TYPE.txt +++ b/BIN/CC.S.TYPE.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 - .LIST OFF *-------------------------------------- CC.TYPE.Decl >ENTER 2 lda #0 diff --git a/BIN/CC.S.txt b/BIN/CC.S.txt index 6285504a..ad77065a 100644 --- a/BIN/CC.S.txt +++ b/BIN/CC.S.txt @@ -40,18 +40,34 @@ SYM.SC.AUTO .EQ 2 SYM.SC.REGISTER .EQ 4 SYM.SC.EXTERN .EQ 6 SYM.SC.TYPEDEF .EQ 8 +SYM.SC.DEFINE .EQ 10 +SYM.SC.TAG .EQ 12 * SYM.SizeOf .EQ 4 SYM.Addr .EQ 6 -* .EQ 8 +SYM.Def .EQ 8 * -SYM.Def .EQ 10 +SYM .EQ 10 +*-------------------------------------- +CPS.ParentID .EQ 0 +CPS.hSYM .EQ 2 +* +CPS.Cont .EQ 4 +CPS.Break .EQ 6 +* +CPS .EQ 8 *-------------------------------------- * Zero Page Segment, up to 32 bytes *-------------------------------------- .DUMMY .OR ZPBIN ZS.START +ZPPtr1 .BS 2 +ZPPtr2 .BS 2 +ZPPtr3 .BS 2 +ArgIndex .BS 1 +bPass2 .BS 1 + ZPCCCode .BS 2 ZPCCConst .BS 2 ZPCCData .BS 2 @@ -66,17 +82,12 @@ LocalPtr .BS 1 ScopePtr .BS 1 ScopenCnt .BS 1 -ZPSymID .BS 2 -hSymScope .BS 1 -hSymBuf .BS 1 +* .BS 1 +* .BS 1 ZPSymSize .BS 2 ZPSymBufPtr .BS 2 -ZPPtr1 .BS 2 -ZPPtr2 .BS 2 -ZPPtr3 .BS 2 -ArgIndex .BS 1 -hDefineBuf .BS 1 +ZPLookupSymPtr .BS 2 ZS.END .ED *-------------------------------------- @@ -104,10 +115,14 @@ CS.START cld L.MSG.GREETINGS .DA MSG.GREETINGS L.MSG.USAGE .DA MSG.USAGE L.MSG.COMPILING .DA MSG.COMPILING +L.MSG.LINKING .DA MSG.LINKING +L.MSG.LINKING2 .DA MSG.LINKING2 +L.MSG.GENERATING .DA MSG.GENERATING L.MSG.DEBUG .DA MSG.DEBUG L.MSG.TRACE .DA MSG.TRACE L.MSG.RTSTK .DA MSG.RTSTK L.MSG.ERROR .DA MSG.ERROR +L.MSG.SUMMARY .DA MSG.SUMMARY L.MSG.NOMAIN .DA MSG.NOMAIN L.ENV.INCLUDE .DA ENV.INCLUDE *-------------------------------------- @@ -207,6 +222,7 @@ J.CC.STYPES .DA CC.TYPE.SCHAR *-------------------------------------- L.CC.MAIN .DA CC.MAIN L.CC.LIBC .DA CC.LIBC +L.PCC.FH .DA PCC.FH .DA 0 *-------------------------------------- * Called once at process creation @@ -243,21 +259,48 @@ CS.RUN >PUSHW L.MSG.GREETINGS jsr CC.Init bcs CS.INIT.RTS + + jsr CODE.Init + + jsr CS.RUN.FOpen1st + bcs CS.INIT.RTS *-------------------------------------- -CS.RUN.LOOP jsr CC.CompileFile +CS.RUN.CLOOP jsr CC.CompileFile cmp #MLI.E.EOF bne .9 jsr CS.RUN.FClose - bne CS.RUN.LOOP + bne CS.RUN.CLOOP *-------------------------------------- - jsr CC.MainExec - bcc .8 + jsr PrintSummary + bcs .99 - >LDYA L.MSG.NOMAIN - >SYSCALL puts + jsr CC.Link + bcs .99 + jsr CheckMain + bcs .99 + + dec bPass2 + + jsr CS.RUN.FCreate + bcs .99 + + jsr CODE.Init + bcs .99 + + jsr CS.RUN.FOpen1st + bcs .99 +*-------------------------------------- +.2 jsr CC.CompileFile + + cmp #MLI.E.EOF + bne .9 + + jsr CS.RUN.FClose + bne .2 +*-------------------------------------- .8 lda #0 Exit Code = Success sec rts @@ -266,13 +309,13 @@ CS.RUN.LOOP jsr CC.CompileFile jsr PrintErrorMsg pla sec - rts +.99 rts *-------------------------------------- CS.RUN.ARGS inc ArgIndex lda ArgIndex >SYSCALL ArgV - bcs .7 + bcs .8 >STYA ZPPtr1 lda (ZPPtr1) @@ -297,18 +340,24 @@ CS.RUN.ARGS inc ArgIndex sta (pData),y bra CS.RUN.ARGS -.4 >LDA.G hFilePtr - cmp #hFilePtr +.4 >LDA.G CC.InFileArg + bne .5 + + lda ArgIndex + >STA.G CC.InFileArg + bra CS.RUN.ARGS + +.5 >LDA.G CC.OutFileArg bne .90 - >LDYA ZPPtr1 - jsr CS.RUN.FOpen - bcc CS.RUN.ARGS + lda ArgIndex + >STA.G CC.OutFileArg + bra CS.RUN.ARGS - rts +.8 >LDA.G CC.InFileArg + beq .90 -.7 >LDA.G hFilePtr - cmp #hFilePtr + >LDA.G CC.OutFileArg beq .90 clc @@ -322,7 +371,22 @@ CS.RUN.ARGS inc ArgIndex sec rts *-------------------------------------- -CS.RUN.FOpen >PUSHYA +CS.RUN.FOpen1st >LDA.G CC.InFileArg + >SYSCALL ArgV + +CS.RUN.FOpen phy + pha + + >PUSHW L.MSG.COMPILING + pla + pha + >PUSHYA + >PUSHBI 2 + >SYSCALL PrintF + + pla + ply + >PUSHYA >PUSHBI O.RDONLY >PUSHBI S.FI.T.TXT >PUSHWZ Aux type @@ -406,10 +470,36 @@ CS.RUN.FClose >LDA.G hFilePtr .8 rts *-------------------------------------- +CS.RUN.FCreate >LDA.G CC.OutFileArg + >SYSCALL ArgV + >STYA ZPPtr1 + + >PUSHW L.MSG.GENERATING + >PUSHW ZPPtr1 + >PUSHBI 2 + >SYSCALL PrintF + + >PUSHW ZPPtr1 + >PUSHBI O.WRONLY+O.CREATE + >PUSHBI S.FI.T.BIN + >PUSHWI $2000 Aux type + >SYSCALL FOpen + bcs .9 + + >STA.G CC.hOutFile + + >PUSHA A = CC.hOutFile + >PUSHW L.PCC.FH + >PUSHWI PCC.FH.L + >SYSCALL FWrite + +.9 rts +*-------------------------------------- CS.DOEVENT sec rts *-------------------------------------- -CS.QUIT jsr CC.Quit +CS.QUIT jsr CODE.Quit + jsr CC.Quit >LDA.G hLineBuf beq .8 @@ -458,7 +548,7 @@ PrintDebugMsg >PUSHW L.MSG.DEBUG >PUSHB LocalPtr >PUSHW ZPCCStack - + >PUSHB CStackPtr tay beq .1 @@ -471,44 +561,17 @@ PrintDebugMsg >PUSHW L.MSG.DEBUG .1 >PUSHYA - >PUSHBI 14 + lda ScopePtr + sec + sbc #CC.hSymbols + >PUSHA + + >PUSHBI 15 >SYSCALL PrintF - >DEBUGOA + >DEBUG rts *-------------------------------------- -PrintRTStackTrace - pha - phx - phy - - >PUSHW L.MSG.RTSTK - >PUSHW pBase - - >PUSHW ZPPtr1 - - ldy #1 - >PUSHB (ZPPtr1),y - >PUSHB (ZPPtr1) - - lda pStack - clc - adc #8 - pha - lda pStack+1 - adc #0 - >PUSHA - pla - >PUSHA - - >PUSHBI 8 - >SYSCALL PrintF - - ply - plx - pla - rts -*-------------------------------------- -PrintErrorMsg lda hDefineBuf +PrintErrorMsg >LDA.G CC.hDefineBuf beq .10 >LDYA.G CC.SaveDefine @@ -551,6 +614,78 @@ PrintErrorMsg lda hDefineBuf >PUSHBI 2 >SYSCALL FPrintF rts +*-------------------------------------- +PrintSummary lda ZPCCCode+1 + sta PCC.FH+PCC.FH.IDATA+1 + sec + sbc #$20 + sta PCC.FH+PCC.FH.CSSIZE+1 + + lda ZPCCCode + sta PCC.FH+PCC.FH.CSSIZE + sta PCC.FH+PCC.FH.IDATA + + >PUSHW L.MSG.SUMMARY + + >PUSHW PCC.FH+PCC.FH.CSSIZE + + >PUSHW ZPCCConst + + lda ZPCCData+1 + >PUSHA + sta PCC.FH+PCC.FH.DSSIZE+1 + lda ZPCCData + >PUSHA + sta PCC.FH+PCC.FH.DSSIZE + + >PUSHBI 6 + >SYSCALL PrintF + rts +*-------------------------------------- +CheckMain >PUSHB.G CC.hSymbols + + >PUSHW L.CC.MAIN + >SYSCALL SListLookup + bcs .9 + + >STYA ZPPtr1 SymID + + >PUSHB.G CC.hSymbols + + >PUSHW ZPPtr1 SymID + >PUSHWZ allocate + >PUSHWI 65535 all + >PUSHWZ From Start + >SYSCALL SListGetData +.9 bcs .99 + + >STYA ZPPtr2 + + ldy #SYM.Addr + lda (ZPPtr2),y + iny + ora (ZPPtr2),y + beq .90 + + lda (ZPPtr2),y + sta PCC.FH+PCC.FH.MAIN+1 + dey + lda (ZPPtr2),y + sta PCC.FH+PCC.FH.MAIN + + txa + >SYSCALL FreeMem + + rts + +.90 txa + >SYSCALL FreeMem + +.99 >LDYA L.MSG.NOMAIN + >SYSCALL puts + lda #E.CSYN + sec + rts *-------------------------------------- .INB usr/src/bin/cc.s.code .INB usr/src/bin/cc.s.core @@ -562,65 +697,72 @@ PrintErrorMsg lda hDefineBuf .INB usr/src/bin/cc.s.f .INB usr/src/bin/cc.s.exp .INB usr/src/bin/cc.s.sym + .INB usr/src/bin/cc.s.link .INB usr/src/bin/cc.s.exec *-------------------------------------- -PCC.DEBUG - jsr PrintRTStackTrace - >DEBUG +CS.END +*-------------------------------------- +* Initialized DATA +*-------------------------------------- +MSG.GREETINGS .AZ "\r\nA2osX C Compiler %d.%d\r\n\r\n" +MSG.USAGE .AS "Usage : CC

BZD4EHdDH`hk;PImw?tIW1DK%bh>HMMiI8Wd?ajQ zW;EV60#{IoLhe_Z4ry??|P8>h+UKp~FxK)K)e+o3I9>PZ& z%@&vTVOc38w3EiL9>s1a-{qSYLvtdIH(l@}tma<)3XebGH1wkE=_Bs8|0qZjeTE36pq@g!W>np^=>?oGF# zkUv8UY2MK4Xk4COM`9m_eR4lkK>8Pfo$TWSbRlwS|H3OH9fj;?%X)=ec$qX0XncR= zP2p7{0+xDgQvh^HLc(jb=8*OPFKikzzcGr8tS{bVMOX47zk{meV!G7SzQsFGI?}(* zGP}vNy+lLsNYjw5M&8N_-EGJPfsTiON<9^yOA zuyFgg3>?-MKIeVm3)&arwf|9c(S1ouzK*tkvhWm+g4JPNE`Ej2xA>Wo&0hmUzK_Ay zrer@ve@t#}S| z5!qmF_eOzu9V|@tmY}ndITUJvnAi$u6hhXCqE=9=S&eAa_;{?JQ7wAm)h(ha+uuhm zdlkNFy7L$$sFPc>OI!ICiywnp{MDc+*$Xe7|A42*s0D_g-k1Qj>^l)6WDHc(;hSfo zOaJg-HU8!=me4Sr4v=iFro=)B6B4dhr<0TL&V6f`f{Vi2O#)FlxlHFa-7VShGg zgDBn@3*^v73~{nhQc|{?5zq9oj-jafh9!;%hbyE_P!usIsqwQLM58rX&Bm=1HEr+# zsWOQjx4$S_^3xbxpFUD?@A2wgNSFZGVN&v*ND>)b=S-%vb`n&zeFvG7EtA!_r^VkK zHifD0HhIoB8(V@cAvbkK+EGEg4$Jkr*8GGSI z1{dZq?lExE;*C2*Y5H82*3Ba(qVw^CWZcjd%4x@g#1S+Vsi|i(tZkd-;|@PRV?Z%z zNXFPKB$nb{KR@$?g=%?2cM;=nUQGBwFDonpR}E%J8jkm0s)pW3hLzBp)cE?HqF8k^ zFKrew-l{ag{HDSpvN%NA+qfv`$>l@_*ELS(RKCbxRdlRf??TOqQWqkrlRr z%fjV*gABPV-BMO5QtG&rdL|{RflFbl*3Ep`0!5^nMy$rIcZuPuCj9hWqS>#RafChV zh6{nl$Tf_IaV_UD&$&)s{ff7%vFaPqpg|GqC=1I5#SYok&We>x9typKV9h?rau+b6 zY(wtmD!LeZsReJiTZ|gpN`#aBb2|#T7upHgU4zOla%AVCfQ^9UYm3lLRJ3_&zZ{B=nUc3=hbXLr?CcG33$G2v`69|GIE<; zds$Z({!G5XXLFxx#0(8cnZMZa>ILN zcoM+hQQ*Sg`Izw@Q_=qeMd|6{N#b=cTCl0zLvQ~{6&Q|UrhRZ$5t_kM18(^P4$pCOSQ;*EJ{Yk6h!y)YBo3v ztG3v3=eJHk`cw)de&T}!l7X~vC}=17nT5Q^K`yE(h?g4_=-!BJ`>5V#e=q)C9Ubmki{Y3 zy+6P}`biW-q9roXWD22<11B<11rTw{T+A!m%<`J15is4@1MlP^F5?EII4m@K8q&`| z^xPE?Q5gW4iR7RnhZwRMgltChY$3V8o~}Q)bJnw5NLirDM6)Rf^rA#CLglesC1854 zzA2x9nDSgt-5dadMrm@ofTh#r^jwx6&(r#O$k8~7E$_I_#zN#CCASbA(@@ZSQUS2n z|Bx*g*7(J&zQRjzT9RK_Vkt}N7J!XdErEAXiGmlhP3uHkTFN@utXYH|28azW#%ax_ zDAf`?zu6=PK>W)_&SBV5#!Yy2Y-kwnHTi|AV{XQ(PlWJDC@!)<2#nICPt4dzE3lQA z0VUpw9iIpZhT9k#npGK7#9WS}eM3wOma(M1f`o?%2T(76UVbSGu4Gvt4G}wJa4N~1 z!rX;$Ob_X*6wv$ykV9C`c*R%acXZ}BRSiCF(uS$yS76~$F;*S1693@{3{ywc;&H|P zVNnsQP=Rf3$!y|umd<&I$W~G)q7FaX6cDD4s>i91iIJ)XT=1AE-L{%Zh-ieYFkluH z=fYM#&8nnObQ8L9G?HwJ!rW5qtU?wDozaqJ+c=aSi$YOr@IOG-__d5Vi4r`(>1f0t z^mc}r>46}>QPesdzC(;OuUGgIux(jR{z7EhfQxpB#%Xs9$H9?8WV~}YtYpDVWQ6D& zmq~e)0bwi%?G58rSd5JK4F}}{%(NMoVbN%Uc%P^20zgG=!S`b^a`^+pQ5Do! z5cl(>ub5j1W`cm9Cy<)%q2VxUI*0~((oxfGW2S2#jsnv`RM3;S08IDDa1fa8(Gd_Z z9fS)#^AXeS7!D$)!^1($bP!tf%t}nRYdDCS?s00mTsZvZNli=_`Xp&GJ*Z?X$tE=h0~Q>Qo`~~>dful4ZnsnSdIOj<* z)(T-)E}!BT%qz*CJr5=+^WSmnlW^qhJ&d0eBNspr){`td&jC&JgW}|0j$e%!B`8JmNovurLYn;hneK5TW`E zx7UZnjOXFr;LpiyO{T-`WaVM_LQc9jO8&#xL=E8M&nHEwQ@+G$%PdyhFefm?j~_~m z{t6dFn5Foy;WP!=?O)W&j)u)ym|Kh>F3g}a^TACs9>eVsW<$bp7Juc!=?0YkBs(|~ zTTM=tkUb&eDLnrf=uHqHrmG<0rhyMdQ0DK*sSKs;Pb+9xs{x+IMxX8Q6C1QSZe5jzXu;?M4E!*WjYlFy{N9yjoSA<#!H?w6rGXFkI zDSimaNaD?~!tvm(1fGr874_s81=1qEQwp0lYS_8N(XWUGgNE!9b6Ym7feR1O-gy2> ze<{p|ZNv(`JbdCu_I2r*Q-8d=Q#3_u+4i;&zzG9+%p{2IvW5raZIU?*zdJ2p>@Arn z1P(9C+tI{_MImM$+h9Iz5(*S~j4~k=R#_HMmMq^UAT30Q>FyDD$`BYPO$IiU2@kSK z7#*R)tG}^CsiWZAE}Bv#$Vm1f(&3DqimgCn0mN3I0rBvY?Eb^X2poIB#~b}kQX*p- z8&_3@^hs=s*?m0Cn2hHa1{z!`BrwOfij}6Umjk~Fga&{4?n(#*f8`BgT4qxk4}|g4 zWQ7e7#5AUZPO$#u^+=nXFo7AI?d*Fn!|VPb5&f+Sw!{C zl+O|eGR?y0r<)9FaW+nih4ZL+xWTM9y7E2R7R`XPHb;8t64dJC@f(t zwM)4T>_~spC?bd}lU+;wEh8}`z|4;bl=1jNxniZ+P8<+t*5YTL7XvKIh&kB7Qjg)t zT)E?U*kf=qCG=Iig}c>T&K0j7DOpVQ0N_iBz-drneS5J^ET07^>INo9Qn5@cD;w$HTqs`>4ph+Q&Dc3k zdVGZCCQ)a?#q`(+F89t`iu|!0?uQUGjsNI(ktV|zs9VTZk?=V0dQUJ4@kv5K)~nn3 z1VT=CBIDEU5|Bm}0y_ps`;4NM=~d3mow|5q-L1m&Ul5}so|g^A z&Ub3}0Ap6!;$9Vg_yuryULYk*-^Uu1@FKS~M1<*>th88dkCIi`Pt1U;_K1@DuW({~ zpJ)z#g=1m-nSe~M;)dxuqyBZS)d8ke+#4#qWSUuh6;!R zd*IkXX9)fhW#l;iJ8UG>zRLr~SxA8RFPX(c-t(k{oiy$H%Bc+P2XX;+EHfDw7!S)D zx5}GB$n@bb;dJK$dH+)dSNA?rsFhtMBz(+_rS0c6lZNNrA&Pq8UrZa}Q`UdYpW*p; zh(?zH(O?o&3i^WfQBn^u%mxq0tn*vb;8^&Vkd*scQ6roHn1uq5svezAZ#fn^knk0^ z>etMwrf*b~3^p#v4Gz`=1&9dKsQq#B4V&U8RJ&YcSKRv~ltzRgFgLQtrUwf7RslE6 zBzv6t?-T%=o00Z=VqggRK!hJ+!<5NP&zal!!zd^U{)yI#OR2zfyaIoASKuJiH{|@t z3WVq|If_G0Rs70XgAFbaOO_+;Zwko!A`~p>k}N$R_A-r^A>}qDwtbi3Q$Iv@)y|l4q$ug=0H677g&}BLChIu zRZ$1mGeom0gvBi&wo6=It&yuT9%*SPm&WAaq!aBlvBdET3su9R2s%@EM*e6#7Gk($ zk`coA&VD#>Bl8cqT9HgZn52f&R-DYZ_6MjUix=u0>JfSfU9|J);OaO?a=o9Yv+lpLqJKqK_(_{`RUuk>km) z2-O4%@M&7V3PnvMzpXAZaAzrymhec77-8pOpup2wS zH96JILzu!DPo-q^!Z8Yi*zqF@=k#Gc+k3kDQ4|EhWOCAubyHymk9;{GiU2Fa$eH-& zzljl3vY`=yQ^bhEay@wtV;L|DP+VQ_*}y(34{!dP80pGqx&_@xb)z?6??GV@dUh)} zj8V{B)(V)!;CWm=9hZ<$NWyF7Cc;Pi_IO61QH$0@fa)7P@sAW=^Ud5_p_C zWHBX^?@Dh*VN3qEoTVOOZek`3hWK^)Y#4X=oD+P@u(E{R_P@E6xw(0lgE8Zm;et2C zxI7-!Hda#2yd7`hc#g?^M*J#im$;h12?}FtZJ+)@v%2W ziz{r^|5m8Ztx#YC36ep_B=_(1t67;6saQG|H1e^aiH`*&+|7K^v1_T?9=EJ*1@|hA z85bQCgKBPPI!Ws&DLId_nA|8`;%JjSWV&7o+wi}2y~C}ma2FeWgu96{&;?!0ET&d9 zZohPcLApm7VuX7M3EWKC2>P-$;aG@)e|b<$a^1(2irCDQ;y0r=57%hR|JLXMw?^8n zq(a!}`ml!~4Ggn(bcYHM$v!CWHErvr8ENA@0oawFcO$_aL z36DP@&PaQiQqh@+QQa5cbSop7_*aUXS4cMRwkX2=-Vi1KS9p!R3MWq%2U?>{uc>qA zEwsUI-gZg|KVp#ZI-?3bfNS3nE$TPaxMQQgJ>qW+Y&(=TutT7}a7y5rP)re4C5*!WKiJ!euALr8c zaT+@^99AdW(st0DQ68Do{exrK9s)9c=uXSAxsZRlVJtZJ5i6+aV`__1XH&C%F}vam zLXMCITn`&MiDdLGsaTnWg5hw1=TY8&@h1DJ(qx5yv*985bK>eS4`wVZUEy?rEw7|? zLUtQm?r1Gv276%xaAxXlF>2D6Oo_;&tU_{2`91zkbg@A^U>J2nzG6LxIlur&`dW?6 zy<$S%H!QpP*zoL*O>{^TkOnKM)afxut8)ttVN#~)HOZ}xCQ=A;zp%Bi z0e^p3OmP_)+GHe3kWleNlu+g z(qSx}4Ye_SI-w6&2*!|Pgp$0KBqNn%G$gfAO8FAuAA{+*DR7J`8b^I7hT>y41^TLD z$nQv1ES~4fYv+}RzB+%i*x3qOKmbJ;9y%pvBUv*=;o z%xbD)kUm#ob$c}gh+Yz0tR56ve}NmkW2#vnt!l5V=arn#aTSlp<9 z$O$YLh?%26mO&p~8G}p(3Z$aGuDPae4Tv(#Re*3^V@)G?BZhejs0rr5deGTW=ms@6 zuBm8-(igc)Kc5?`n3R^pKXJv!Vn}6)lBv++7APP%BI+b6 z7#Av_=JgHEN+;-`UE~(Hm=aSJJihb<4rx z%M?IWZGAbhQH5LON8*{_loLO^-%uM=Kn%Ex4shT*C?b_qzZv_Ehlxk z+0Fv*q#1?WuFx`4%eqlqDWQJ7Lbz%r>{bBN4WlUM&dGs&4E-G*q;*u)J2@%N{FfMF zy2}G!RZ|5W$a=R1-|{cfa)V1jHPkdf8?m&E5>f;RWNcLk;aQD3s!-TH8a(4u*s3H- z81GdGpmS9+f{mk?6$6fto7xoe3i6%;r4QdUik@!LlMEsEDH(_Ypy_6r7|;Gx46eRk z!Bd7{uPrixyK3pv4IvLGq(oI<_24KR$?B-Oy+Dna_E z6kHW(LD)P!nhg#47@ko`*MR#(G`4n(qFq2x*+~DaLR`gaH?(sUZ8(b`8vf*_+}UiLuMm9HYGziROBfO5T}pc+Wj0A>^GtD^+)fP(Qgg}6$l4tsqR z^(>kEfI{A+kiRjUya2}85>BuGo14C(ybe?eeRDW9AqET-_Mk#q)x>D6Z)xy1UqE5s zR!~hcs#k*p{sV=52PP6emBmf8!@Tq^nOWSUKHQM_yC+FHki0jFwM#o+J(+`EV4;KRrpZf#jo+B*u?rg9OGjIbrT;hB+jx zUxUXDh@q|{?)~T)q})j*g@;eKnjWXAR8v`mZTjo-*UZ zA&_s}bf6kMN3*JlI4{RWG7LE`TQsJ;nK*%#6B_)`m!f&d=Te2AHO z5<>sCoQ9VlfP?8f1<};pNSRyDz#C-mISkg)dT99H5644R>sf{mE3Jofwm%HVuK|Lv z9~psATE76E2>VHcTaSvyx#va}%lNaq{LXa^<#jM4>IX+s8P0o3fD8Pf!7p4xau46| zt0%sqVLjk4P`oj;0k#x>8-^xMlJz1*o8U~d;gTn|l2nxSGG`9e24|x@@oO5OC0mC$ zenCScGFzjJ)S?SQ}LiH86_;Qyd_WB95DBwinehUEe!iA4A~Sj;~g0NFrh zRpIIHIYM1(uMwk%RRa({z(5PCbgm`7-5Qu4^H3T!@^ur{)K@e^ zZR!P?$e;O?@NSMDKK7o&IMrXq$OuvE&c3D&Jnzcdnq|NuU?ia_5Zm8(80Q3yL>PnJ z9BS&CDjSKKx{*}+5HES>#0gj6LCa7*e)xTdCDY)}PB0|5ab)fglad=2aWG9<%=qi~ z9b&Smz*p9gNz!8R8tJ3Y0R%FK;;3T|lgm1ib7+`bJSlziy5=gn0@8<%B(+4iNeNAp zv%HZkAN7%=D5KnzP|2&DtC$0?cS$2jP0?;r=+0~FoVNqVm{DZ0!^vQj2C}$OWbwnv zKsb<%QOI(rdke3gB@Cylf>(rqFi|1obcQ6wzTn_F>!5P1$#}+RqH$Jk-CATAtDr$4 zhE5q(0r1o-B~Dd{$L}HN2oYX!K|s18HL-ucARopZ%9*c%{9a=v80a@Pznfm zU``{z9|#kWo{tobVj4k7+GpD(+hW;a+vH{qeNvBS?S~h=_V-x zS5;1oLE>aD?D*DUupr|UPfQIQ_=FL5D#J$7^PlEvo)l{uD&bCl42qaeX~NVuc) z@X}P&43|XVEGRD_R84;VDSqzr3+wITet{vd=y3@U`TRpx_d2WF#%8LBbq`1SBkn z5f&cQBaJ3)Hk|IC>#hdkzc)Eyr?DQU2>rZ~^ z0uX##O`yr4FCB$k01!U-Hr2wW3N$t_eK`nUIEr?W7v2UUT#H9yLYIu-0)2L+6DBeJ z(ov*0jUcV1m&t(i=8>f0Eh9*IpPYB=NJ{f zifgxGBvZ>uHz6696-smMNK)%6fZ&!DfhL!}ZY0uD@8(0aQ}{HDq=YB?>7Ki;Q7KLX z@eaf$cWGeOgm+YJMT?4&u2~_gtp^`aT;sLNB`*{>T|wb&q+6@dHLWJ>ZXd<2WInK4 zr;veT1!U_-k&zenbsH42ih6Jw#5+96@SVRn{3qS1kTlgmzY_2AB%%7lr30k9TOn&M zCuAmT%zPgwG+h?cDk(nKj{!L^SP+g!(D zWPI3*9Asn{0{9h0^EQw@6D}*ZfwMruEaVS`x671G54s3iVKNpThIzzGKGZMYeSDOX zvn!R9G2C0&;RRcR*{dqaU2iVTw3ER20WPK;T>soffu-fkn>f3O$0-DEdoHW5ZNje) zIf7hB|0D&Hmti@X;VBN0FR;Q>m`@WBea;g~DPPunhSDjwTH&yJ2Za=n_y76TQ9~yM z!5(47N)8gABOrEF9d8(NXhVW_lj>3Kb3X5df1YHF!a-jf6LLd*$)lC*`ML+li7@!* z5y+ur{zfS`1Ty-;^#Ss53%!syXA!yLDfqM744GP7`?Z)68Ne?*PYL7oU8`903Q#CI_K_V zqIwZIk#2`=wak= zY{PG|{0{F_ z>tT~kC?-#puS4D?=stK-gkKDbn~=ScgyKnjW->A@;LU*i!x*K7j+rG(7Nd|w!;OPN z7JHgTN=rgoxP~m75+2_rbt&6@Y#MGKlVov`Li(2}b}MJa3|Phu0@wO<@Ye3IRsgq* z=`n35H%%4GqoXhELi6Fb<%DW(!WvGug3*a9;rbRC%o3exQ(VsUDbDdptxZ#qcP(71 z_ge)>fFoTU{Geempi56ezV(p4gFLUByb*}udEI1g9KS{YImb^yzD)qT3k5X87majH zM#35hGlSTYyx!GfDM44XS<-k-Uz!lT+?)d8MlO>I&smUvu|bv^vxPkI8J+w9T=tgV(L}<7tXKxPXDi>b$!3p_$3BFg zI1T?~$u^={ctX3!6Q(enzf<7y6GCVa(gQTbNuJ6P|RR`e!|4i?9V)&#^MJ}~EHM;gsvN#mjwU1T<3@rh0X;di!{Id@3!Vaqj(@P4< zLm%>}E~!Fj1Fy*Xkg*bOh|<~s`0KJcCS;@F10=DIb$fUd?u}HEUeD7oRE7VIOY!Kn z_mEEQOh=Ii@x1TFa97A%o_%(F5;DNW(Bb_QY2iIyxfjE=Q1@Uto*Y^;z6Vm+V6S@~I~+oYmKUrr?r3$4{IU6J7rqUMLhY;8_kbQo;MXav8rGPD}=U zxQFR3Hog2N9D^z%W7i2~>^jL>hVB&a>-@fP(6?wIo2TeqD@N|)tEcz0z`w<%dW&WTz+>_*w`&q6c z`kdARxEx6<^_o(t=Ii7UbVY?_(ClH3F~a>v1xCH9CgiPxs{nd6L%{mDl{|q0H+g;l_yGcR1O6aGogHIB!2f=FhGR7z32YE|mvu0|MdN2kljpP+~B? zIoJ{rc@Ml+IjwZud}Pp(yCLi7W0vfM0SXPl@B9R@3q9~P7&t%DfeM`9ry_47k!NC8 zBLp+Qj==x<2c3nyZb!ykcJW&m%I--hHED$#N#u}h7|$2Zt}%p0$oWc(7i5=io}N2T zfIHjdj!qOVJ0}{m$<0b$2xAP~myn;>w^b9j7@6UkCGRf8iu0Ve&w+!s0dekJ5o7Q_ z&WYjE5}?3P$69*vlKD7E@--s;1k@61ZKz?;PG=UT&83b4U#4i?okO-m}!His>nDo zogWyV#tp__*^RpafA=$-GMFLrMS(MAwxEX=?oLv+naG^$X)W2w7G}|UN8)TKBOALs z9$!b6d=pE5CZX^6RRqkD6_|v)3&3cBb9s%ESD|$C6z-JVyAUYgUgb!y zc0Owr0mZb)(8b|2U3oLxro5bXZ!!bc1JkStpP^J$S+hW~W+a7#WC! zRV=&mA}`R?vzDmPXd6IUDtqkC0CytE>QhF-sO;aY+~r%t&9#=8Ypf*P&UINQcPz4O zlbs-O1K!qVG35w%FeB;i1To~6P*xi`af8fv^J@0|XW)>3i+qpI^LiwHrz-K%j(3eQ z>J%Srz95dpMeiEJ)uDd)whQo&UhsvRMT=W5h@u}X+{m4QaY4A_f@q6@H5$406`~^p zzC4jb!30>b5k!~)JN|C8PB*e-l*xl`{MfX6VJoS;wsmqVJ!OdmGiPTRwjfByTmNoM zy}=UU`Hu*=y^erLGOUBwL0nHsb~}jc84U<}#mJ7NSmFnTW9tXTAfsiB>~bj&f6U)~ zQB?aZa6mvTf&McCjzpO&Ec9X3#s?=dDnnEU{sDopGJX;Y0KbJ^42OTmK!7){h)WPX z4i{X8x2)~#ar`tlb@+I^^|BbIp2&UJ3^yt;OI9DerhrL+;GVNIg{rShLIG0=IXpNv z9^9kpIQWVfmU;sdX_*18s`B1??z)DeMrVCP6AFN}8Z{7j)=AlT(G@YVEJp^V!~JWe zbYN8eW?jH+F6RGH_9pOA7w7-@?1qF*HX+$$kI!yGuFXXVVK)aPgkv`sS2zO*C`!1G zkc1EfL|FnPfXJnm@fN&ML9_}&1<`u9R_tY4d)QiS)u?z>TNU`dpPBi5b`$*mU$1{( zFH3elb3F6R%rnn5&x3boPKas|v{^>LV(}BgT_iTpy5bxX?M6`gNw9ydcR8}{kl>ZUJqMTe6Kg*cL-QOX-1Ozx8z>*of_B)rqYG$~|12HXPd{Q2g9tK=h{2bJx7x|?? z{9MJ>UdfMr=gRTUGaAWg_9B*j{MF%ZGw1VfzjI{_U0_rs=p%cFm}c~@QsQMT;dS4; zGOt>y_k)xfkj`lG!YR3g#jn68vFl2iy*UCeGjORQ07&90;>3*Vwifz%U6|)}xA7Og zcWGIx^%1bY)*y7}w%(W)$QSfQB4FfHmb!sY z_`&6gy-w|!jeOM)E|=$eIof62WNhO1`~Z*G5I>D-#hpf{MvP52WHnm6%bE_|#?2R8 z#ilOqzkr9?-Ty~5&kla|1($oojjXt|6tPa%cM~f$Wokjo5OB3AyU>g{ywZv;gjk?l zD2cmqNZJ&!DP^U5_>&i0B@ox%c*8!(T(X}hUUc~rZl>Yrn3OW@RzB&X%awirBUBs! zS?X?sw@v2)5L9Cv_icRMFYFp3GT(U-p1*hU6Bk|HSe1is(B1s~i;&0e;qjNiSRK@< zhg|WkvyjgKj(=bh4;kp8#UR&Ura^EM0U9C~sAUn;9zeN_{uo|3s1+D>DII!P>Y3KI84R-*Qgf`&pFqCJNG@kZ&g??)uZn|73ce94s>d_wL6`?20V zt;h55)F=B)lqNZJ+S;aOW`X!eSEuV~#?N1Z8Q>W?s_i)KW_@kwA~+#GBt9GYfmu$e z`VjDp`XyBVIaU93)I9h!7;!=}#s~SmNsuCl-|K4N1x7*nSaNjR1X9VgQ*|1oMz` zjwBadl_17XeH+_B@n)bb0#ZUD1Ux+tOXhF*DU+5l3j&}ZfM7dqzwdqdF~=W_T@}9c ztdIv~3zqP1#HB$}pqOGM%lxajGrW+Cl%!|*yMz@{b;2ARZ6w}vuQSb@{V#r#S<9LS zX-$z^N$RIeW!8^rI2S^{I(R_73&0Y|rm`DhCqHlz{DGB$zkUBy)cyJx;r#U@V)f?oz-n}J= z-n;`;Z;7(EIGYJiqKOZ-K%Ao!mzBaF>8EAJLW(2C+2P=C1BziT)eaO)u(EE+K6*UF zK1N;uU5a0JrzzNa$UsM=yA$zett7P(;=x%#Xp`!-Y=|e2)Cz>F4B;MV~4NBZCJ^migl8gH^WGO>0t7L(2C)5KaQd~(c6>t720z0YxFdR#U zoF}P)UScgL+|WhB?2z@s47`AjWmeDvK}bxg-9q88JMk`Wgjx#-g0vRN6hB9|0tm8g zK@=S!MUPRd6_Bfy9pt}?(Xup1f?`N0MQatJp&&(^oGehRl-RLIP9d6)lg(2n@FD#* zj|ZZhc(a9QDv_`6nZ1EG_198Dlh97l3@ZdVu@TCca~d{+o>s4s5G)>YPQM&|jU+)q zD4zkC#OqhYN|;4sPMO+SjwjJ-+7Ri)7M!EDAVfRy7MPbgwB$io{vSXlLf(@v&Jdmg ziCwPd#TLyK53x^N|2WxAe40hG$1gyMVELGH5npZ590Qin5~zuJm+@OIT6PE`UHB#d zRdTPuT8BB&sZ*y;0W*eBWY(4FkJzg|?*bOS@NZfU_UjzzO;+53v zO@-4Sn^8??G+2C>s)nmb+s;SFX|A{(MiKwqGSnUN?8Z^-JgS;4g1d4d%i<_?q)#VTcKck#bIp?N~~yA|aU>bc@sQ;^`ripV#LfY}bp z&yq{nHiKCYNvJ^d9Ef})iMh^0Y@NmuA5>>Y2|EwT_6RFxWXhluWPMn66N`S*57QRq z?>(Vun(a}Y#1NB!@hp!C6(N8839TSMJ`do@h>(y+Wkh;3rtKkyj?wCt2W(G;*b$){uaBzV4Nzsq+H(6Ung68Qm_ zDc969shpSs+)c|oSsEbv;6kL-7l?6**FJovJH zix6YzQK!AAIHIkbi^Zp=;aBTZBG)IYly|0R8Bto99Je5)Jff}Q@P=OoWreKmOhFVJ z18cH&T9r&(7co9$3Hc^DM39G6CctUA#7B4&-a_@~LL z`O|r(L(4;enjh!v5@+bo^aa!8K=2wP5LIJGyKvvPULopGBo@nT8N0wb*C?U@G{n1aa|uA%5>*iTznbhupU;-yKS_ z8kIzN@T7R-w}^n9m=M{9Sq3Q48ro%njU*{8CfST;3n)f*QnK+|#LP}i>D>*K&H|}? zPHJA_m=u>$8{v8o>;f0322oojiFZR&NvZfGB9N2ZBL#lFfDhT8;0~pv8=WBf_8wYZ zYlhMoz{m>ib8kM7*|N|Lh`!zpOUdrtFF1unZ*RDB6x_LL`gwBt&U`jf$2G!y?@^Fj zpr|HE&^ky7!AP!92qUQt3hGE;*h8Q?p|~NWrf6CN6;3gwzec;EXh%0HBLDcP9aPmq zG}AE=U4qiMQWEsVYLqE(%EPD_H{E~++H3*M@GvwL)GL(PfF=}1L>#5_=FMxMvVuxm zKr$)}$!KabrY|G`f+T1_qI{3+!uLq*!3K(DL0jEc3nYS}H1KY%L+1-aLWN-+D^UYx z#Wt?b7?$x8>(LW1R>DLYOVCY3=zx;D7h)`v)B;VG7(spneSO9na7^t5M+kQMX$mvY zN9lTRXjPCbT&g4pC@8;sk2FgG1x+|hm>mfeG~m6f&Q(BNDS>iaWdPMscO(BaRkMVk z8t;u8p%s^?xyD#GN)|4r4uBT)9c7^cXb}N~wBcbaE$LfzsRBsl3U@3I84!)FL)Z$r zUMu>-w35~f9AgEut_lMpwB=z*i&PSG^`vL+jy4b)7(NMQ#O?|uFt-#$Qdv)$Lx8M+X(Hx^YS?Xp`9wIk_a$P1V`6T&)KVd)cj z1MCBYfiD5Kixf$~3)%RgOwGZ6@v&ow%PTGlitt}sS3iDo-FCrS)NtD;4z13PYeD`- zQYAf&d8*zMo&*jQI&NY-4AES~bfZ_{=jWn=vg)~R9aJvUHkrOSs2vtc0E@1;e<8jiHxXnEJ^IP7tByp2l zyEKpK>b)@ON8%l!+#xz&BD%AbLlX~gOE)Mv4k-&tfoKqAcp}Yjq zmfFveFw7F!7BVPOG|w=Q&;YmBSw>a}FK9S_KUd2Pfp!~A88|+A{1gv5E{F87=-EWM zK*j~0@<->hlq+dT32-AjY63`hc*(EP*C=_uY!;43O+;J3R>IuKZd1xv@Y2;TTZqMj zQj1+dS=~URX*NdpR?ukiv4SNp?}an4Cl-)q+F$XY$U-$g3}Mxq)i9+jrRDOK0Z|q! z)26RX5=&?|XtR%b*YM}hJF=rYFm*5g`#K!GAI>`xs**r!r3ppE17?o(YQZ8yp2vd< z>+59!)fMFSdJf`o;K1XKVJC(aXm5-?FeBQT=7}bnR9{?+7lymY$SNGSpmt$-{drEC zmOBn)MeiyxPN7)pL5QA+6_L`qNT{yGE2`K>)uScw{cW1dl*kXaY3bvVj9nJ#k`r$W zkEMZA)ek)+TX;K(^iV7wEUOMrrjyJ!Ee=W{C|_PhhP4e8v0r)Bu=J z!*{e^E>yx;2G1vPwxLc3H>${G3TRiKHOZW4?1<=mthzzBSeR_VX-kkTB2UBFkZJtr zTCFIrQFa>U>?Lc!zo994Y5b zZfe9h3QEZA^ZB8TP&hOpG#cdTvEUfVSfGJX6e0Hd9GN^KN0Nqi1)K5W43@XH*0!~X zI0Vi1f(@Mw<0nsK1xtA6wa~LIWqfjUwKK&A;zal{&T-BP{+nwxuN|aGAdB=LbuD;_ z&-|-++&azY0mDcH!cL^fxId=X;cDkqe=c&Hb36FNby`mTT75Z-F)i?b0yt98tKA5C zdL5BvGWAp<@;ogp=`&fv4UsK3>C<8saCkzh0beINgArmYy;sTE7H-=u*&}a0Z7wis z3Yi0U^7QpuTHTF!mLc~;moU4>?o#hG;Jz;D-fp=ro;?gU2zideK25@%X)pKhf$;~7 zm;%@VhD+@%kr;=lBeUKT{{9nIs4JTdgTIyIJDFW@L);Ej>dMF(p^Usk()+l(4EFbK z30KBFiUM=n2F>L?Xp9W+9VlaLhk6x2vwc5pSiCEWegIcP=7W6s1`T=3)no#gRG0$V>_OtRdy&&BWJk}T0 zCM#h>eoiX|no{EHLeMGx*Ns|6|Ci7v>t)6Rk8A1au2&*XLksA+I4&&uRa7c^jR&sR z2Akd>QZmp+UUI)sD-WyNus8Xin-L4|r`Ky~rnmUH>ovFe96UVUO-S|q8W6xRFAX6q z{x@hP&Mz{h|4zvK-D~JRS+cgdxpNvTeuodcK^v0y2MM=sK4I~H47anqi*``W5B>|^ zd4pD%^EXBOi15P=4RJIiDAPFodi9KfFAomBfB4A%YYXGzxrK!+Ry>yersHF@rkN)@QNw6%bMpW z^CR!t^Za(e6L!^@6`VdkSUbLkX%3@x*Q(`s&fT)K6OmR}fr}S*YWY*)Qf|;{sjY>> zm9G02bD+ReldD;L8afh07L!lcCr_S{a8i()52nm4eyCH+8Uv36g+S@<2S>ad)S`93 zI;Z5BBFMiWTJib%=Mtt3;-)Q{D>N9jN)8CtbqM|x?r6*g-vYzKMffNUC7aE9mgtN8 zz$|bppkf=`3XJ-sX{UTVqJDYg2XY-Zn1Kpmx|z>hl`zoEhLN`!KBk@5!m*5;`pKn0 zXe?5z$Zn@5DB{=}2TL1?>ELydQe4*u8)v}G0~hI0D3`u7gU9f1w`hf~Km@A9E)RCh zVXmNXDK4|rNIz-ec|ZpoUbQLpy_SshYu2#}dq{LUo_B85oHY}%TlGyWK}4c}22_*x zq-(MSP#zYj&L!z2PXuA%FJ~jB@jq?VDl_0`5Xlj4B`&uEK>?(}dxn5BWSJ@LdNz0< z$ocuD@3S5L2g1f&+7+bdBQTr7Pn5tQKFrTNSBg_*Y(b>)LkQPk%|vE_3j*EP@$*ny zOdT8<67=}}2k4TBn~(Jp%ALvuCQ~8*+g8oR|GG`fa4n+a+slMP#-zo4E(O|6610RpwB1DG5@y}28pBOwzi^1+8Ts)pTi9JoL}bo5 zz@OZ%r7wrqfSBcNvMc)?w6KEDsw;+|2i}lb?!;Loh_{Tf69NXgxwMS%o?WjPl?Fore%Q4mXNuE_6_fwLC$GU|aY%qKDI)@(T z0B}T95H-bh&E~c{s=^`HDusqyghzA%IQ=nKQ zWa=tEQXxPjCS2Jd14n*hwq$Wbch3RI69d{(P_wzIJBR}5Y3h*7-vE~ooko|b_cWU1 z1=BnvkdU@WJ~yXHP6OX79-S*$I3tZu{Lr2rJ5aI<+37s6OUs%HahT2q2&%x0o<98cAipU;>EaR=OH93x*A;!w$1}hUT-8&Z9;;u=_LCvW7$h2 z%Wf%EJasRtj+nAcm)T9HAaR)Fk1L5ku3?tpMG8R`27-+AnwCnrV3rZI=55S5Qp_zL z^0I>1F@`7Y)$FE#n949{`}7aB#A7PaUw8gYf|^gz?n+7Dqw6u>lCivHujbEyysX+< zCeX%#OVC7BRKYxx_?f+$yKD+cC1LC!iyAV)4k;n*#DVl7F(KL|HL7*3D<<}UFWr_p zkQeOJGU-jYj_NrW+A&u^@4M3A6{V*Wyo#LjWL16BNbl8X2+1t%8h*`3cF#B`GY$dWDd=gkRdHWn?WyXW=c(l9n6GA^c*qSMV|W zwJh7p-qo^K@zp2}we&8|Y%^L@rcyWsfqW7#j+LN?3rVYc*H2ty)Thsx9mY?3=MdRk zyn9H3gdGWNcFj7Y!A#0u=Wat|?isjwK``@bN z=G=|Zt@r3tMtYCSChR@Pr{1b%)6<7YEMr3M`{}j6x$FUc@2y&C+=J*U?jbsgylKAA zUg3chFQs?s*w#V1hSl`Az)o^~>)H+o_QVQH4j6(xJ$uQ2oEQ8=%bRdSEeUw{CkUqX z`iY{4t8mlAo%5ukLr+Nx=Xjb_c|x;T($&@qFEnV0(w<>_)b|OV*yD-}o*+H)@B;@l zS7jo*Czah=G&FhhC+{zqO@GYqHwfpJqSs8C!NmW*yDC144Ka&qXFqxWH>;@{a-VCC zt2xC8f%yhmoZ(>LVHa5k7QiQ@`4o>51=7AK`zWP5Ep*mbr_pe%Tj3#ihP`RBK3sr>ab3E3g5(`e?zl^oGbhRR_{ zZV5DvPw541srn|Aq6>4U^#TXs;nW+6#B@0qQLmIG;l4IFPt0A*M92KrHZ8?xi@cMC z=D;f_9p=UCISrGiOr18pamLJ9S8&r&^M4Ku)&}r|JGHMuKq|ezQt~-icQ>wS+9+~l zh$@(PC)uk+kQbS_mrw^I<4P7?fQ@a#&8_29JT-RU{#FHH65}e8$f8Yz=9nsR(BoJF zu5d|hppUWRDko~ADq(qMG2GvDy>CI8v}Oo@4CN%OZf>odXWXs1G+fmY&D9w2QxEHo zM_ei277Ahq1!k2#IyqRvU?*r@DYK212PW(*1#2BW>&tfsP$?{HhMlS7gZLmM0GMoR z_RD(3#;!5TQf1oe@9A0rqi;r^5RZly?BgiuaEQ2?YYYZ%lXNYv8VD@;1 zDC|50zNv|Cx>s|qTuAdKq>-1jwg|s|WJz61eiZnWW#UQ@x3}bF5Cb2_0enQ>RLrt6bkVBeg+RV@2r{(4* zb=hz~a0)^sb;0}oMP!Szf+R|@p!kzJ6y zzFTxM&azkP_)?%Tr#+{)MU@oX%aPro$Z`vol_X|d$O5rYo)cn`FS+JE%!SijTyE#> z5tAS-;FKja=aiSvA?p0XMKSU_W8+RYOmBzH)FCjFnA+`r3 zd`5v4m#!k}$qz?BAke5iA|`B!J`4hu^{7-HH@hBF+nG!$Vdb#}7p|D+Q8`cBu?Uu= zdL{&BT(?4CR@bfNrxFp`)5L|*Y~)3=UHAY5nf46q<9-K}g~$2+GYKgNjwME?oS>a9 zd9bXL^6c|H4`}XC+|P`i`<(1I?=)`B@h$SwjC}4=B$x(JY$Di}GqQHZ^Ewnnv-d=B zL6q5nInRc#F3AMZWomhmBp7(ey);nn7Pw|5zkC@QUlC}Gv%D&|miC(XoojuAYC@R7 zW0qeMKPm2IKq_KBqW?iG`%OO0S)G;fmXLXw;~b6G)QtQMe5cR|(#eHS!#w6-sn8OWmyXRP%jYT3na7=|Rnr zH-LrTCn12cN&gjQ9FY9UB)nPXi${Zk8uXJIoXlub_z(y#OgzIg*tV<~r3XVTf`)l1a4Cz^i(o{L7tZ)$KG2pRl~KkB$pc8sL`oTh zF-#)W+(Ox=1+fGXv_a{`lBEjCW{=Q|O__psl+f(c8Miv|VX2CNq$0=Q?X;RAnc5|% zX@jc27klbJ_!yzGiiOT|QRTNJY7fmA;dNW45p?j@%qloPeFU|^&VU`0H*9?6M@P#=)gDwu7k zUI%!Fx`#Pn9uSv!M=9_@ zVx^pZjq=;JRms8e>~->#iFI?}Q!BBNJeu^qBsJ&^xE1Pu>~Y}_9wc=vpZZ(7JuMk} ze*z(V7%X*C!|tl*Uu=$bgq%?MU(VlK5otybhc!WB-Z@dnJb8QU5kzn}vri&)%1c*B z6-Dc1d>P4J3C9p&43OL0GL29J;yWD(qbFXqIN>u06=IQR%AwL{=|d?850O((pK20J z0mR$uza4Wp@|mX;Smvs&a;5CWaaEsQ2*s{>rk}i5?Fe11>RhAO!AGdT1!1=}(VP_b zM~$rXI#Es_d67h@5G=;mVz!pWv}l+TSp-PU1=rT4s4r9tVAAC2i(6q#awUe21~cJk z=KBt7_JOM;DE1Zu6ySGOQ}f!zEVY%ta9H!iw;AJSwTpL9scWRlJ-H)7soqdKdpt|M zmQQ<3^JJ_;6XMyy-$3mzCL^Db%%R6T*YTr|;?dgm5;zM~{Dl9)5I^>qR$z8-7N1kM zDA>0euy2#F7IyK!KdKFi?+(MYL&CLF!4;|OmvG%A;Hujlh70QbK5#krMHB(^vuCu@ zv-+DAn71e}e?njm@*nuo%259kOA~jy0rVXT=sS7b;{Y1Ef1Mk-M*>Z{e%LQa*B=28 zA@e>7G<5trGNIp(s6)tfJ`_;|$xH$Lhywbs0{SrlbO?HWqkXvYQ3do92GGaUu6Por zL*We}rFAmv40sAS4cm&Llf!F8lnc^n`ARM9*K!VyZ}jWI8&=O*@kmkCPy3%(=kCG0=KBQu zyzkV^zn3#h{Xt-TsNCzlCx1a9|6&C4r3l9Cmm=_>$~0!?O-~_IgPF3O!-Uz7UPxiV z@fMN1RWv+6r0=yBnP;3H9g2+?C*N#2t@ON$E^6#8612qL!V|>s5uj2M{}SZev$O$n zq~s)#yR=&f-%>=g$r|&L<-@HMyBM>3Zs+nP(g_YRv()e|IlNudhgYf}B8zSs0D&&? zme4qdIuL1cI?3s3I+-$oDjtxEX~ue0|2gymY}tW_;!^FTl|_s|(ojNoN#ZX2X|s-N zxgm?83z3eV&{#?eis*825Hpj7!V-1`UzZB3h__&p%psF0ZZj4SeWl*Sk|;&-q@+G| zprF+2B&0~`z?+X~d^3~ms0q@96_5;t6EcC$s7g?wrlj?O3bz=B|Bh81aNDkBiBMAt zYKw++k9+hHST2HwozQaPAp|-Dn#-%%$D0n zLXXa4cQ8x7oTnA4MCA*i70QX|L9_`-GFU-{NUlOO#aO8fTvA;3(6gG^j!7gJM+oIL zWW_rA%@8-=&{@9yMB90DgXzGrXuPd>PID*3(EP~4;A5#4UcAEPDZu(jq{1g1%f#IA z!k`c#D^ohkx*EPIQ%jFV6aiNfZ+%X4CM1U&rrP;D^ks60ClW zXkdk&rdodg|MzYpd7L;KVwt7mwR609ttD5!csjzGH@5??hqO5K< zs!e8&hKMp+ck-=Bfk76Bk}e1%uIN-Lu}gcbAg9yiab+hgMM-a)x?j?|UvhW9(*>2nv~zq*C& zFR|P|G;8XC8y(i|)}k!@mwm!oiVKfUh}zCZAVo6m)!EY#2o-twrY@V( zGG_}vyDjLkQ?SBibKrf$suIzPWVA~D;kKaP8l4n%JM-D1AQA90OTB~ovHWCY&e|Ku zHQD*p<=%lQPUQ9qdzofxQ7}ktUhYlpAMK91m3ch;?&V%>fFB8sMZd$kFcSQDf;n*m zWirx1=}~;hzCgYyQ*@Y=-Mhmae#3HahAB_<Km;;&Q)^|K-K zc=;-CQRUT9w~L3s*QkGyl$TCkbQ4fJU;*u8A$XT;V+jl8GI?_<%2~l8e#a_re#R29 zEHp+}35>Lh76ZIxw53UB>RHa;Tjh0!RuFCwntvtwv^GlwK_hBeg$^NmMYqsctx;|2kBq$sY=T>?dA2Wz1gPyeEDjx*K`Zty&7$9 zHQJO=n**v1$LSlHmhHNYcI}$ZhVn{3z9Ri9xzuIc#V??35)!Mb@%(6;b}t{??oEw7 z6a@hM`*6-WGtx47Ydh$|eS9zdb3cEg-J4zXfLsjP-q>8TXZw6mQ8FhzGd;cPSr;- z8-J8Pzs5Vx`9x$V$V^JRBkf7PZ*-c+Jm@K2+2JiHM)py`c_Y;*$(sqtFB*Fcdl970eV=e#xJ`)?1DQn^?K>`djrAIr1%j=~{1<>1|%M z&YNfYHGeah<}>|iI&Y!vo!-Ov{=i-9y+ciZ;$zo)hne2x zo7Q^=nf}ZlS??Wa`V05hq-mzV@xQJ27MtGV12%Y5uSViY+8yiK7cXfNdkYCCNt&@C z&!q8zHYz2UGz!Y7;KPW5aw77 zL===!!KV=g7O z)|rv!u_-!jeE$n5tV2%6XE%Cl%$K70g^k{kCdNlx@AZaEGLwg%5T7P4 zX^wnlEP=8&(yz1q>Y3J0)R~F=ZsS{^xx7hMW2w=iya5i~^lc_GR&P_E5@L{<2+e&J zz8V%!nH%XBra90e+Dy+|jEiA8F6WNLP|$Bt%@Si}Gr!MY=C5Fr4d8ixUQ@4r{>G$0y1kXJf#rCCR@_%1SCqYD#^jn6oW% zm}Cj(;?*ZV1+ zcVW)EbjXp~k^U_Am6w%Ql-CUN4J#W~!Tg%2?*skflQw}uyZPEp-f;s&Vn@OSvd;`j z<-gbj`kco9yUAN<8pypN9GY}KDda6&mLaNGGqAMDg_!WIB$;imKd;yMb$1Mt! z#BAASxNmsb@Cp|1l|Knz)*SVzh9yw$MQTCI;mqTI3wa%;eEy%1x6D@{YgPCv@RD|r z{DD<>6{_-zikeDaWm#oKWlhk>{Db+N&0b$^Q5a2WLj8mNLK?sVH2#8&!Vg$TyYTtlm(6M1HlTCdP zyUOLCu&n!P%W5lXYwA!qOf*{{vS0625Fvr0Si8Eix{A7*dS7yVS$zf3$qIS8@+Ne$|^pMD2f z(j@h}lzvyUkZrOm@cBx7zRFr=o1*t13hJ0`s@{btsAslmdLL8}9L8+ZjXwM+7|v{s zMjupA&1^G_KBxd5V>4wz$XDv45{x^`=%f?{821XJ6Dq*CvyDzrP>q{ljw%2}KtU-> zoGS~?M*B*t%b4v-qX*2cx}4drGJ2o_KpvWBbU`Hm{c2S}_$aA{P4gO~6DmMRm-$8~ zRDj7LqoKfgsc3@9Ein4zRDez>p&@nR$Mj2T(8qG44;0h_A1h=5@Zqn;Y*!jR_)vh!HiwKZs06cJ zWpqIWm~D$HAbj|10avTh2^C4>}0v~OeI)F+k3q>cQ0H7jSq3VNb0MrdeA5?%uKgd%^ zHGq%OIsm${Ur6r)z19IzWGPf#PyryNG=($>Hkhxp9(xW63Pl0oqqH9GX*vqA8Bl;- zhg5~C4=TXiC{>~Cg9 z;iD8}%(mU=g9zCPGUYoIayu{rcjD6aTmfN!y&s9>_5t80U&U(chf&eN=Z$&hePsKwi?3458}y z?WOk{XPhibPI-80QLejR^mumri79ote>7!M3>~H7@WIE6v>OnNdn-3mByC2T8-88H>tcXjHx%>T z!1(XGg2l0$q;6+Wh_AjQP+;zqJ=)-b9ob_SPGAN^T(0$ioxxKYiooQ=MT z`)YITq~I8&?N$@&rQ7iCWm2uN556_qgL$zxqZV0hr9#7etAHofoOggvoRFJqx{a@% zkn1ts!ROcJI(Wm5V7xi?F8&0{$U&NPf|zP=^#y#U1-hZWILHs(6-XKFzRy5=A6*5! zwtDLgq^{yY0!ryB9;PWdD2tb&p@5S#?I71&SgVDg(2+6sIWj@9CP0r28gX`875%Hz7wz07h z7Zyu?+9;Ab3Fdx=XEx+&j^oA$p}2v%f;mp`FPd{x{K?PaTTKnr7i-ooT!_TJ%nqln zEzsjYqe>>o_V(6x7JG^nUPS<9{fzH#$ju!5obbVEXs9Nvs?i*$BMWQWuWfAZV6Nx6 zuP)c&i$AND58jm0XRD1_eohL-<;q`6>_yd;_6pCMlbV$%<+qrv%sH=By{UJwF!^` z6y95;qYf{R`#1c}Nx7Q&x7ce1IgTin`a6CJedWC)w+X_GV-4ZaMUPV~@a7U)-FM|8 zy8pt*OwQFR;l?FCzekgWU(VkRYe(!q)H2(V(@S4wB=21$))|3GvCh5^jJ4C(+5VwX zWGrXqzf{>3ylrZ(%k*!ty5{tcc>I*yf$1Or$dV$zmsrw_9zJ$TZu;O)BUewM)$v(m zp}wT&X-S<+ztC5dC4XhClUhK_*Af{q|G{jT;~OT|MNLko5`|Y7DTiYJtFYksUl!xguHEd3<$I=K3k|gLtET0qIpGAR z2O28rgz=FwEPE2Dj=Lf;?7IW^sS-$bWKb3xav8>i5uc^N$%(vWXpcf_qa#sj=YN}) zTLN>AtufcFIbF(JI%7KC%ld~Ej}1=svs6udH4pUgtEcCtLC)GZJ=YzN2ixM*Apb@t zKR7+tH5V>h>fV{Uwxb%EJIl%hES79?^}%_82ba`1GFNV7-5E{Gn?+4${^bCau+#zq zz=J2bMffLFM0Hfw2YP;OB_AzvD;UlagpU@Ea!xsd;rgf|jL{`50bW_u#+w6HJ_UKd zN=5i3SlemXK`aseS@IFT07H0ZQIB9chDCnCXmN895x$Iz`M^m_T>=ynUU)OXPfHbv zhuL)3RzSn8zkdhrfg9<&3_mSnOqz$gnrF<&)m(7Y5{Qjhgi@ATD;6O{PqnF4#3H7^ zZ;K`uQ4~K;dSH0{!x$X6BuK#2M1=-~h-xq#4kQYklZ_P_2Qt#QxEXtdd8Qhr;#dt8 zheIIA0>>?S|A$0iLYtQ|!vI6yan6~%^XURd2v4|4m1ntv{zZ#9(zqWx*Cem1SomoP zdSbiEgjry}(qW%GzM93(Q&k*r)6&VDfP}uLU4ugLtFx)IgX{{O1t_m>s2ju*=Hpvo zAvE!YZp0sN!atpN)ereH zK6h4bhHLqc9OS~4;vlE+`)B1khBspwgy&c#Lq;*fYTYWm7ZTe5Zg7-Npe(Cpv9q0j zj>e%iD!P5naNoApmgWteK3v?4e7+PTrZWX=u?Q4$^IG-qI`!{*`LAPxN!T^KIW@j* ztD08g8A=PYT_?NIpTn@kjgnHx0+x0|8d}pyD(xy0DS6kB5_aLT#W3W!Lj2wIBw4sshK?rHg&mE*@FJaq&h(+I)yuE^fxndy_1t-LDuUIF^wHIcbkV6Tck(_ti*QZ% z&3tM>juSZ&Zjn`G*VE~Il0V;1lncuNxnJXM!?H==q}xsWizs(W=nfzX7c;#k7siUa z)N0~2dE{!|Ba1!v()K3E+m^S$1#96-JzIzK(2oHShw$`%m|Jjk9*{?fJj@8A3Q(Vd z)c&x-X+_r>blrlG9MIq^s{5$=28Wc#`TjY%u7o3MKJYQaYrSJdgY6;vQv_OX#?|^$ z2^8!(u4nYmP%4lGF4##{pyU(MsfUQHud4u*bihgMgPTDLx6`l0Q)=vzt^#CkjfcM& z++n-LC-{sBO6jLhA!Goe86r5t3j(#O^P)NhKc~?_I2$*#EToG?5TFFOj7g$C z9G4sX#$-&rFj0Rsg23V}{A)Ta%m!aFgY;6wkGRk;Y5NMI{-zEWv%p_Wtm-)h#@h-E za;+gEDKCp(6BxL2;CBC8i4NEeYip+$Qx(__T<{?4eJ@J-`FpiK?_j`6x%(}DR3rXL zjrgt_k=8jp;-AAK{*{NW%ym@3QS8Ttcux)ScMPFMcwde1PdS471OC>PxmxI7I^;8g z;~NNQIEVdMj~}TXKMwcUqk8;Q_UQPGU?yM}w~IaA2u1?|?!{W+i( z5jT|YraPV}_~)hx=R%<>;OVEQ)^NV-vRI7aQn|H_dCErX5Xe-d}{ zCzjswJstAULKgpnqAwM=GcRCzB%9$oT*!obm^$Q`FHGMIpmg;3Tq(#KVzH z5Gv{@27%_OBiQxePbMrgab|2aBHN+^uozbJ?IEKFGNi=ovFl-tbtIa>tJ~14OUyNFa+F zg4PSiOE*71FV_wSIoTSq>BFtd_*?UG3+<2@l||8l!1FY|J%{_R$xX>auz6iKKm?8! z%$6^EkQ!A0hHyOlug*m{9$7bhVvA(3a)B5G_!*+2^dl9bZD`~t;K=F+Ui=5dku5WRB+^5;8ED^6UT zlRvkgx!!f+(#n{5{rU}zYcTWqZ85{*Kev3C|KX?)M;(jGoX#&S$j>o-%U^Aa$>-A- z=G)UJ?=+o@&eUQz`(K`R%+!lyxsDKfYvG!@hpc77gvced;&E@ZWI!SAqX3PfY!0#1xb5t!#E*QI=)? zh4=l_sM|s;pLmvUy)7#F@Km5q!7e2<+4i7=u zh!Nuk#Uxz#cN0?^A10U_a^zo649}7g?@zLhNZ(jOXU~2cp zk2gE@zvwI4Znn(*?wHAwdB;bG(SlXe9S#{RYT1eKjstbs@#dupQL=^ z{KWl9+9#tvIs3`q_?o(^qC9U;W_{0*^(VWcj$CtQ#O`H1=VK2??Oxt<(7fM#_}hd1 z_M7ixrAa&$S6V9D~ZSyCGH`DLv&sOr5`l{mC zB|RR`s%y^dUdkV=uNvyPtLIqGkz=QJZ#Z@2iBr4RpE~l~sokr30AmaPtiH;5mHfNs z$g@$OhR#=EYBsK7A^4-lxZ`nYVs=q_t;v8-I2zP~FdXBxF znf2MeH|J~fGk^Z+vHu-3KRNnMe6rG~n9M95H?C^dl;)nUsw1mF0x@cHejE{wCZ5T>`tyDLeD%l19>w3AA3N7`-c6q?=+iO2b$rzY6GC-QsB-6C z`eyfvGpMD_zw6Zb%tPnT*S}tT&QE_#e0}Ko&+ubl<{|$4gsP0tvGdC^kBK_5`1kdp z=f2+j%;THQCpVjKcRjZw>09ix`A1Kk?{PhMKIXGi=TB?T&Hrq(88vR-Y@W{!r*yHy zUv!!7%iYs>=HR8*PHN9#ded0kxtx7p-ZcHpk;XG|kDh++i`_HMoId@D0{&Mq|Fx5^pZKJq%31`@N)dpIvvR$^562^UdQ9+EIPk!PLwL@&9hbvUE^zI4fBW>p051l&FVm{hxK3I=o?$ZvA%Dm@42UCwUn~$zCql9N&8BB?pYo4(C z(No(mtsI5hXY`AI>PP;ZCtj`}bAE8r1SSx}7!V_i+sD);7C;QjEG{0pplQwWg~e+& ztm#<1D*W4Do;l>&%p8A0g7-w+mNCCF9p5&_otHRDM)EjFI7U||3LYIZz--RUitp`Y66S6d33l15Gt#&737=!y&5&q&=b}$R7*^ z{BHOklOXB^2UvNZ4z6vZu!D=qZd1&h>-gEN0f%Wlx9td|@Q=0zTzqpdC&{rvE&VX2T}SOnPU?PW zTfiJ@Zf$KNC*GD;xaNtB8LsQ)CQ<`8E^KP4Y3g9nHvl)DO;GTQw+<1T8b%|;!3s&F z4&1EocLlL{{wZh2oSkyA*d79lGwVZ0BMKw1M2?OFrorqe#Vx%assY=ST7$FzMny`k z(W}6*Lv|Ip0hyDGl6X%Ufy!D$8rg+;a_{y)O6*M%_R+ifnC*d4rakej*{qz9%>5>5EsBT+9Y>T9E>nEl2DK zWFW+=Sf5op0*=XdU{k{8X1No9lN#_Y`LE+{*%4rtW=x3O%yN$e6yYbt_73Aw;>UfE zpW6}8T(D~rVNgIQC7bhpehCAn!iX8MugKm^D+Pq)J%puPVwan!oG?ThRb{&{CGJ_Oq)nG_vQ z>HCB94N7O7`cpX{wLqk-sb9Xhc~S8!W`A0)9fE8kupdm6I5W`*RKYCA|gJVe9SQ0FYaJLtA1|`(>&PTjwqu z(N}rXtpU3oLB?S9WL6kD1-*jC?+t#xDnv;icX`j7e9x|cI|k-WmiiVyvMb<; zJtucy>f8JrelCJtlUaYG!hYjm{#GJB<##&bv6F;9I!paMjj=&L7m;YU!saPn&6cxh z#Ij%sFnfwH0pxC;|0h2Ara)fWpN%crv8qk%&%a{Qa1ZwU4dGGX;U0n&lnma{e@rG0+_I>teG&dx+y-4L6sbLOZ}g9bnUlFb<{4d*rRi_##~jGSs7IFw)59!xX)P5jaduhUY^a$#buL>#p#FO zwMqk9$G^BaV4qY^-Sj2gc9;f9M~ZErJAZ-;gYF$195PJ99kd;!bT=5N?j_6D({Wh1 zvX~(TSMyGwb27haXRyFDh2MEgpwcvrf4(!Ai6<>dHwM$qgJyEyjlrxm%J^B#tXG)Y z7y0&+j*G%H(D6s#$8Qb1-!IEL%Gh6iVls&_X`BtEZ{$-fcq;`^jn+gyz>4uz3wI^Q z?E!jwRioUM>Xf?@Sk1`wAFte%($c_EisOV4`nW4iQtnDBzuTZG(p_nqa#w2X-Q!H* zu9WV-;{WQ;IwyRB=BgROUFoU_ccpo1M!k30HOgISzH(PufLamzY>{$T%3s32zZ1Ob zGICdPn~@u1)Lq!g&AjO@T&An|4R-~!_!c!V_EsA?CfP@WC)6?A+rH!K_|aP-UND8& zWb}w?op4MVXNC8d{&ea(#WrkYy%tS>I+X&Bk8UvWQCZ|}kd)iGNw_M7LXz$xgND4t zJ4H{ufwOKg2)uop@m;q*!E{KMDJ)RiX-^2jMYllh#L9;)P`clkvOwL0tDs6ZLG4z! z5+*1{fx(0Y3QQTyKGFhpvjj2o76C&2q=)VaWIOS)m~`7y1vfetS!bKtn^raQ?tgo7 z1A>jaoq$8gXs}~MvGpo=Pu+(xv)oN%LK3@&c!73ScrU;AY+#W45bZG>(#GN|s+(JJ z0tJuD((mVgKN}cmdXQf_8_0@(SfL2=!6Urrg+NaHqpAcl;A8x%7XpJ!NB9fR`5htq zQOuR7d(EWU>hUug{lyI|9t@Vamhni~{$y`Z8%WT4O5vxH{KI<91dYKqN&6krtDTS> z97H5QTvt3jIC*Rxvw&w3#?h1P_BdfjgD2S8JpM&ls^f&?#lR<~m;_v zkJzqLU*Tt83V2+v5v`zwf;M0DLr;F(uk$Zn3TR{Bkn^PNOip8BT)jbas+~2fMKFwNG-nFW!ePt&IcIwsqSFZ#-ruqEXuAnxw3Dh0)Z(oGq z>tB!o>w7) zui{5u4HQ(ipaL$u_C?EZD|O*EXj!<-kT5-MdctaaTLcqnrvR~Z3@cjA2OJ0vE?I*q zEL-H;OFLEd(b}6Ic(9HFfD!=kO>Y3|2>`H)IsnOF0V6jKT7Rcn)5iEgZH#gje*wj6 zV`PB?>{zp43!sqHZPwONqdRB8u~UDkY5`+Nhk8I1I5;(@GM3tpKl*yWGcrbbPV|?R zT(RJXD&&js2nvy+*u|2XF3_aTV09zYtfm8Z1f2uom19MM=zzcd%Yf^I>y5xi{)8k; z96OaQJf~+)Jz@LRn9oTY*YBZ=f!mVTEG{CBrM;uG_1eWyVdSpmiw^{IOzZfK2ZA0K zoM7ZmU534Cf!7O(VM90apB(@vdjoIV6Z9l*3fC!PRhxMV4~{T(^2t2tiG@20$q@xx z`Dz}_kA?RN>6uEq`5_)GF>U9;y+NOO*iIgETd*9@Mc>>T9Bj_n#h2d}^qO|_-M0mY z#O;wRTJc^JKfDj02kzrv+!jnT-OLBvj?v+VA|YJVQNl+2gb%+xSZO-ISKl7YadSC( z(rwZt-U5XZ#X&B)ogckD=r`TT-@84S$LRN2jiHa_=`;2?9diSK$j;O6(-5sVGl(Qz+gRn4s6+Qpu% zV0M>eVD*h%jmL!X8a}Ht5$7St6XEchsy4{LAKxHwG9;!ha)V@`CO6*^v{TGDald5A zKHz33*oh3Un2mBeno{4`O*jzlyQ~DJ61|BblgD>DClmZj$-PlZQ!%1-&V_3kVh@A$uzL zpYIB~OGvoDttRCtxE)Ptf$=>;y0DI<3b2+BV`+#Zhbxz--xhE-LCTS)z0&uc&Pt?Jj#k6t!p1XstNsv02Hi0I3U1wjXY=|O? z1M17QJ5-#x^_>yODS==z)|*WS#lu(K6C8-g&_f@RLl>6=traK>3IPrB0|F{jKd~D=V6Q6Pm=)f_4@4dnN>?hG2 zdZ3o|9UbdhBQB*it;H-=I8E`r_XHg!Nl&9M2siSmLQs1~lANUDeacVB^3-Pq(3X=D z=)@Bj?+r%v%cWz3fpFj$McfkS2HsPGr8*-wROa)1!vn#L*t7Cy>I?i3W*_=F7PU8X z{4z=8U|XaQ)+=g(6>|&^DZ@SMb$#un=hiQTcUE^n8N9gQA!YE#zmfwMzGYHs2{KGT zhucje2OsfZ&|x~qr#={Tn|}>w$bSVKBYq=yHgxI3K!q&7m3z$!Cn;JIc-#zl2m46a z9hMA(-9g3H%KW2=f4?c1jA3OC1*fS#bz{J8GVKE%dI(C5 zf0_8%U{1LmK2v?E)kpmAs1XZyDO$F=Pq^vfU~L*)rf^PWLN$bR+t9fLZci<(>xx+f&o++!tz)u2KL7g*eJNhHd1TqJA&|Rj$aIF22%9eGq zL-z){xp-iN*B;oYj_>tym)dU-_kq-{@1+OuaJ%0m%6h3Rw#rYQ5N~-j=#JeiTRS@W zzDI-h0x;L^Sd`u5f_kzAy z@1sA(>jUQlk_`8jFYOP?-jvK)LNcf2AxZhIbU_ib1pns4IwhEWr4n(IA2o_lLU33f zqmzfb9haACXE`c&o#hF&)l?Ws$CG`~;%}V6QlH{0j|4q*cj>C4@IuuzOlO{F1O`%Y zarMT)z-Rd{j|9_Vab@A^V4hR_3t-CiGj-meM8HiYPDd&8oIylK?54B4>S!<{^up!y zkD&{Txn2T?Lv28oNL?|rzL+9Z4|o~H%6SiA3|_%6$xt%aYd_k+^@bWcr+)ah>W1Lt ziG$Y+Uc|D0DHe(U@n|ru3U?VzC~^_3Z>g2@v6#1UT{6e7`*sgD%JKi`dhfWVmac7h zCm|%XB=pci=)DPQfB*@gfFhuRpdtnV1w}eiM3T@26c9Bk9Z|4?9*)uty;(r)qJ&;V zN$5@dcF=P_cX>bl3BNsM&#YOq+FY}CA49(!G(thW^?Rsp++q{bNk+rvgG>|AF)y zg68YMpBJ(I_eCP0#^Vn4pSIS35UA~bhg7&tK_$02#*zPRDmBJ!3i7qGft8kF0_bY{ z9OWjS00acbxh3E*4Z*zN42KFbmI~^+|0XH&+ixw81Qp*VpMD2t#MSnOfY{7GU2oZv z;Q9L+p%91--sBl&E3g8H3jXi@#OF50ngk|C2#GrC?-Ot$%oh*>RUp_(798akFdgy2 z|2C6eVn7~|rIeQc>0aL&5L@}*dU9(JhS?*s%=VwZEB-?H8I4cK z5+X>j!%bTn?2v0qONuZ&E6Ea4+zz;RI>20?kR!Mc243Qn$&RFr3+{|22A1%|=jWGOrdp#B|90+7m^ zKw;1c{rxMg${j9)Q%M$sN~$wxf0HGs8k;lt4}rz6#f7AEr^2rT1x<|2QS1J}1M5M# zZqmPrrab^s|MwJR4K~LE3ZDP0U}o5*-Dd?{e_rwokhfOu*yw+QS4AN{t_Kn(RC zAh^=+H_16b!Sh~l8~^Fq@lWe*fZSeg&oWejec&Um1xo;Pl0(63V{?*!;?Zc^t|Jen1xGN1pi2(DJ?vg(@i1_7pnY)a_lirL{)g!Qh%`%3 zrU(Uq6}izIW&PuTK;WNNAT5J`x8e`w-dNZ)$-{n62^s$9_spN)h(EuP+^K_>c@zk< zw*wF1LDO6|`ZtOGlNl`caJft@W^?jMS_X9W-=aqY%nb2=&p?|H45-915Y=P_67~Pp z56DzV`k##&_nRK#@&Cp0n+8k*lu`5m)J}=Nd-)yc-z0Yu7ai{jV3N5d{}_FsEq5NA z6UfBi6p*RhdjcR+rE?$sw&^bZa}DI**#VjHXMHpOtQ=^+UHXspRpDA|*?-pe^1o^! zum0v45ys~57>F9Op_TXIbqF-23$sY6cZb^69|qCaoy1H?DxR=-r-obP3qHVt4?6jg zAd*tO0+N#Q;BF0Aobs@&W@2KZp2#>?LzYH>Xiz_Id=n$ThP-XN+boFb{R0#=1Didl zYj{}SP^ilNx4MDwy{^IbK~2N=`i8r{g&6Q5mSU@c2JyNAwP1{d#n7rHzXjv1h}(5! z=)b}8E4e{fp>5zv)?RQmz>_TQ7UD_`(4Ip&|DGKB0GDRJ4GuCH^d5h&m%JO}1e1(A z4sMg*E-%-p1q2TLArAQE1#q|gUkOM+eh=<$*c5X||ExKMd+lNKD(L^+P!;w3y@FuL zKQ~gb#~6DD)FjM>y`ca4)&bY529Q2nE(ijU$H4^veO(z}Zd*_#fsH|A#%9kUf06=# zUtMejgDc!U1?r)Hk^=a17Zv1@)Bjpj5pXMU*#+$44{AyX+)-SjY+n8s2nB2Y@wCDH z#N9VYa6fITByc}*r^g?~-Rzqs++D=gN@xEtdki;SbI4PL2J!%x^hms55X_qU={hi@ z2p|#+<6g7!JneI7(_`EQh=(!??+A$D!(i5SmRGqC_v?cH0jO|pD=_)+MO`p)3-Zj< z!8#(I+hMxgzl)^nf8KiIH@TH^800pxA};s8cG~8yrvGtL^BD9t(Av*uEA=$F2k7UAa4V2t;=_kCBU>`+d4r2gFNGCCs`Aw@Qw;;%w7S3_4aT7^vI?K(?-!A@7%5$33pH)% zbzSm)y5etFSP?+#{4N*L1q=R3ekZNR_|Zj{(gGztms)=eBkngY02HW||J37Vk^%Lw z?hYsLOCntAfC0?sWDJ#Zk`siobWEqS)BLxlAk6C^Iz8jW!mhCxEh~Mm?IL?x1I$ry zyJdY8kE0<_#0qv{<>cq_U4K>NU-GT98B&pR=dj!S_yc+`{P^o7jGj0!5PZE@zFL6R)qSUS@3%w*?RJ1VF4`-3mTyp^IuvE=(orTF8sSjbfe2jMXK zuVTnkW9H>uDw>5km|3Ah2hjzshd;2e!trI^TnDCK}j?ItVVx5|xd@@&MG;5C~sJuVhW!Jy_3yrWf@ylkaRRi*=9?gB2+6B3(P`-^8zK5pZ9W zd`OLM*qXw7{X6bz=k7St#*Y*2r}bFik&Ist+8#>Z`MJ^Q!E4^@D=Tn?(FCfJ|DsC- z&za1v;v+Pv@2o$6JMbl*E+D}Y?V-n_3jAv5V$ z&O~-%(;As|$N6dU51`*ydwAMZUE5`b%iw>F)tbzPv+2sbZPg|tt6NMagckJC4!~Dh zIUi8++A(9CK36EN^jVJ(w@59E)!PlMEyk!VYddbNua5Ap(9|Ao{mxN_I-^XajT>26 z$;fYIJbp0c0vU6i8H!Ts@@2&SAFBNeaYya*8u+2pSC`G|jbQyf77akS*KuO}#Tzoz zt1z?3EBo}K?B(@jE-Ljeey>om>(0(V&4>Z#w5z=>(pR_BD)-=@qNzNO*5`ps-HZgP z4oh6r4L#5^%E1HUHMA%s;MzgLZEOQRbMz5`)J&khb`@Lo-t{egrJ7)GMhXxez$3GH z89E2tA4sa48C}C8q`R*+V^jy{JoGP|eG=)z`T=b;P(MR~vjjrHlS1`rJ{u+i*4Ikn+Z2R-e0Fm*|&T*z}fWvxj0}3)- zXzmNAj*&#*iyI$!Z)em(*JvQ35lE`*M|>kSX(qVN(s-&TjZ$b*(5g!CW(nZuAL^sx z8S=?Hj713uRM+u39u%JSh>bNlb~>`Sr#0(EhC`W+hXl-k6;OiHSTXjj3Y?eSyL^&{bIA6;pBTc zIQFi%nB^5f_Eg{9dxhd7D&qZ%v%=-+1+g1-!m_JdcKnF&$zrWby{1 zUgC&#Co_NQcU^BfZ1vaGsP0SQ$r5v;)alQi1~RY8<@E|K?jG~y)Y)??TyA-qEgCk( zW~vOrGGa{i3bI}^vf{2pRtJ?_e0zPo^RhW4sjTO2e>D1jU1$SGyz2`M~IwVGpWX100)A#<6J?m%;QI);E zfmp^<=<$o?pPrrkRd(apowVDA1^(^X3XToI7Y}J|NgBN75Atcw4#A;`ls$u;fAuxf z5+*IHC{b}tvD?8WCX}9#V4J5q7`w_=-V0XtZ|CK*SN~SlP=fNU$u3%}CG|?P?Q+U~ z9KS5-8?FG!uhiJyf?!j=7d(J?KHU0QH_rbx(KpFeX&~($M$sliMNbZ*nWz z7(Zysxzj)}kJLLLnZ;{vt>?Y1Gtd!gDYup!OY(e$kVM}=!hZ1f1^voMH)9R8h&&o@ z|BGU*^(^I3=F|j#41o}fr=rmm!FqaR@>OCi2LCGo-O(g8yUnVj^C(gtqqK^qoSbj&gKJ|biYx+46z@8#)5 z%!KTUevN62tj9Ji&sX5r5MxNjk$+oW0}~~(El3mRAd8`!Uj9sFF%yUw44tRLyGha{ zBo9@$A&XW38pijF@fr6|CT=xcF;4BrhW6#Sc_cqXhG(8nXz2KG+&eL;j$P5>!z!Ff z2xXZ%wKt-s^~aiEWqdkK?#$}@r(X?vN-pDx_hF}+njzOSkFbgo{0*>jF`0+bR$?k* zwb3r}7AeS<2rBbqIo!BrVT|5vWhL41XidjwDYaTI4gENPf^; z>2a^qL+<{i?q-NGa8|4vZWQW{ShgE%r1WE7v}A`gmZKHxw8qdVPQ4i^VZ^e^x&NvB zT$GAW$K7WZWe;)er5na8H-JP+hyrf+PuP}itFB74bK$;DuzdPuAq6bg&%WnYhHZl)kYiS8gqj;C1bJC(^3fT;uu4(4-UQ!;A>A$i%hw#|e?I z0y-v5#EUK2<$FzU8-7=t?86NRg@1ob_KP<esq1BMAv=B2l$Lo3x`|0r=~P>pR2|aP=$MjzS9F_d2PJ|2y#&hoo$gL$$CjC z$2&f@LuaD8>%L(AkH9{sY1<$l#<&Fb33*UFsz9J%J^gOoXJOQJtZn@R%K9fDiYgl9 zJ^u0pg#u@*UAeqBkL>jo5^)|64Y9ah%pOekSOk;jTOjw4!_U!oEZzu91iD5F9 zV$UuJ?Uywe(Q&fi-EO(Nm_CCLq4EA5v`jisyR2VlAZMnY6rVot%dWUh6w}O%i07?~ zy(Ab!ZP$*hswx`D&+VDXsvIzUP9)(}(Y%uJ{N|Rp;XA_}S|ds-T`{jmlhLyCrn^p< z59r!c?6>C)BCQ%BtYO-eT)YP%XffmrL)CqZE*)~2A7Qrwk1{g6VFoj6_n#kq-rB7f zp_eI`5M^RJKBBOy|FgMntHHli2LI_(-hLr8Cji*P_;nWIK>gQtJ`H~4h86|w^x^6f zpIbeYz+weOHUG{rwo>RFoq&)EXyOA1R^Q&iX}`Zb6r(@irW)(pLPMup_lQ}#T@EH5 z6YN*3l8=4RUE5~n^0srk$_uB|ImX{e)_BnUT??G$Savz4Lj*Wtx()Gu zJek8HX{}S_q4I9qHY$kcJR6KLuGzdpjwqp)uj(YVYc`$n+vVf=TF0T2b0Y%8GO9yi z(hXr}vAJ&{nX1#@;2N^~gZo{^6&J$RbXKg)Aio^2XIZ8PYo9>B zd>@TQuyBfpcz)UNuDm_@PiN2nry87ENzPCb(C!yWDwhzo80=+ za$HscrD&5zEAusClX|sZVps;V)Lrhe1djn(gx{M_#~8|j#^VBV4#}`PucdB8jy8_? z{Fv2`h@RN`2vNpyo%}s ze|aN%a(?Jes6!tfre?0b4;tX`!+NYFR&hZ1*?IAnj3GOYDxs9{DjxsZM(ak=)-j(J zwJqXJkH;#W#H-Iy*`d~`k2_DfDH{Dp03=}@%R2%FK$x5XmD&CKD_0KmB7%HK`?7N` zG$R5P$&TuIF(k-^A;9s`7P6im9&-0{D-Dc^1Q|V?p9jz~z)McP>{2|xekSV>6RUibC>hW@s~E$;4uzCO_Hp$s9p)gEpYR?!kUX@82_JKjmtCgxwx#QafFFJEta$?|#j+ZrS!$;BcHQANAZUP2C3W zTVNhVuKkj$@VUH@7Rlz3l&jACSt9?Q7Ht*@i*RbLKBTrVWUxJ+Zq3)oNC1k7vS^|D z${TnO!Fn3(HA&v-N>gHL!zz$8YavACCz#fm5>2n-P+KYvrfoopJ?#dH&D05 z!s4TUL+EB@P>*-W0Yj5tbx<`uKZwb)I=uJmA*evokcBrya5V}!vNLm%-Ge#NnEAc& zGWl7{jvus84piuU(K9|pkEA+MM&KUET7@i-FR@44XOtv|`#_>TK(9<<&vuj63k9Bc zjywP~bqpZIDLWK%64yX%O6AaWD#mH9F|Qu+ zEc*iTJd#PYT;c}=Vm*4FaWnz(HH%XPMk;a!fXZb&y|j#`4B4jY6q>*pmA-l&r`$M3 z{$f+k3$dq0rC>g@Xyj)T0D^fWc7O?)Sz+M{jG<)`!+Ln~5(O;)hcCN{er{q$Q|%G= zYOFK90aLU46iPRQTTT!9d7)h)uN-{<_&o)VXD9`7yqBXblKAt|Gmy|L=^ChR+}ww3 zzGyF4L^XbG)=ka?KPtS2gcx2j%jbtZjicqRi}6fODi7?UF9*Ty${4(QRtmd*`y}HX%s@5^H^Ac3G4@J2#$J1_<%Ddam$Gb0%et!h5=jrAv-0@a8 ziXG~9)ak@?0HV|C5Mil!am2-bV;h84kLew|`Q6~F&zL3qE}>ubdXamCRWybhpD0Mw z-=osJVl+&}E9|fy$?D?yvKK4xiMVyrTRHNe{5E>?7|)^2_{6XK0K)SXb%Z4$>ZOfr zNy();#}A}L*IlE1e9)Bd9~ZK5$#ENuSHzr>ENW}K_w70lPS&5v9tuQS%(4OJo$gYn z4*Tu3SP~Kv-aEa)`alhmdcT(7DQv#wXV5cGrZThqj?#q}=Sygb--bh1*)T>6Nvlrf zKIYIuL~e-f=r|LHf~GL3^o-;LFWoXhi&j`Slhoh=$@qrPW6~s`9S^|}M2L@{2IW&= zD1gmk`G@s*hbP{8G~!iQ+`(2+*Va9Jn^h%fDgg~==ngivM&mMDBNIl4LK@)?=I$4_ z4UJ9?hb$9}DXt}2_V-}*ApSqm!T3XO&*E%PQso3+BuN|QK@A|Xe(d(quPGAGt49*A zMTb6du_RRG#%|Njv~hZxukX01VKf{x&qM6DD#?(-ygTD!FI#4G!rQd~k&CuKXD7Lg zJnhWSb--?^CG=|_AF``%FO3(?XguG_YVJu`E>i5p+>xvdo8k!@FLf;Dy|VCV3e8-y zg=;J*ENP3)QrVnFxf7?GWH}>GGZ7Z(_{^bd7ne8uv-BNQKG&hR%d>lzOBV~RLwq|W zf2=GfQ0Hg;YG<0z+a+cS_;rsA_@!P{>24Vt4ta%BvuMA1^rh@ocBR1bp}5Dn;^GQ( zVh$?mR~>bet?s;;46FI#<1VNgMGA-d9g-U*%#kjbc~kWi9n31k&t$M7^6O;Vuxw8l zs-}NN7djcD(j2A$@k74=l0(n*Z4c^_+-|w|!tn6jy__C(_RGVOsh8`l`S*5GgM9@FkE&6RnvuTeD&o8_hKPM`j>t1sj)x-Dc8=WF##_!{2G z%6-))Y&tyxTqPag69yi2gwbHo4{=aDfLBo5vERJt{fF=nx2?JCl3+{d-*Kt;hy6f?t&QjGkxm&{Q2GpJxydJ-%Nck8)CXstw z04UYW-^E)|exv%;X#OW!syk2p~^gXnVd#k#AC?|>ZDwZY(qh)XvHZfO@$(wNH z&+6>{%I-syrqTJHCkFpOj*fZLYLjBW5L5B z=9bK|NVoRQ?;lYTizGZ@@_ifj-cpsb!24hhK=DpIm_%W?A;Bkq__23 zpOi#8|5d)nue>n6iF|>eaqMX(^h$%Zo(e>%8PeF~_`qb>$|3e(*VD;v8UwoZZ;nD( zdW`n4o~Gno-o>Fgk+T(>v4P*55$Y8l=I2iffqry=f&PS|59L8mF+|VAH!%!}*>$>5 zK||Zxo6V1=suQgCJNwITrzay@m7(bod~Iey;^a|8iO9YKcIB$mxm(WJ#wn#+i49G; zRbD4(^Yxsygv6TC-#vuhEAH_LSSmX%$ldi$?ePhBKz}&acSq!%Z__21^>E=8AY>MH z^WzQ&$*qxYNQVo!?W9OsLEu7~``+;g=0_E}jSbbZy(s&_dF>1o;;D^eGLI>nl~iL= zM?f7Gh#@GLUwnmvX(84L_?H(4P)Mc0M(BJ*bR?|7A^^$x=+MQh@o1>%0B=W+DReFP zw38B=Ha%c{@JH8Q-S;W5o%CyRv6e)`;aPI-hHcl|m!D=cm6(~0nYQk&$px2bt3C(& zMybU&k2TMe2v6LztbHEpl#c>6h%C=y?Rhgr?WtQ2?^H%kJ6g_+6 z6byz6i|bbLk_Gnn(UwKad~!MTEA$R$Q-RbvXMWBqDQL0V`C*E<_no#`HuH!%*6Lm} zWR%VPl0&P8t;hcoLFkDHeI86OtR zFw|e|b$!hiCa^ZkneEJ5hPew#)h~I8FVv9OJ^gGB#{PJ7RQmgFAxAa7(NR)%ZSAi5 zkxSkJD!Fzg{ZZA`p|5mtvKLQS+(1^{>`Y_P3`qZSYq@C{XbG@SxnPPW;Fh7ls1=dg zC*hz=j3mgrwP`Y23XtP^OtmbG$>TGR~jr$nUcBfvCVG&RPtJF zrcf;I!J3uGNLlAz)i1}|0+e&kXTV`<^6}8%NPYQmsO*vxAH?27^3wM{`(`$WW}I9n zD|zMo;24{t4iU^dT+^AIm~YkSqc!c#x}>Gr{T%dfSbtnGj<<`NHHpC*MxhsPG(@@%`m+Y> zI8p-c{*R={^=PV|LL9cjD38NLoduAX}U^@4#Jw4F6b=P&XVW*W4d8L_3LA^xKG#bo-oP1W4?SPa`pK< zZ3+&xBV<5E9T3es`=iil$%Uqt7)+Vh(@sex$eBJm-nofRYgJlv&hMgI#a zY#JGMK)U{6RDN!~KB>3^GVh$7gF@om_+Mc4D|g zhNB{CSCPAM5{tX_t|!&W*7ejWM@36)Wz)U&ftvcT@>JY6>ARJT%U3hmA+(+D#1{_< zca3vmf;qzWQFie4l083-49}&ctjOBBN=v;OXAW_CtF#)E5*%evC(LkPQ9O;-w;z|Q z<7gp!sft5yXlNU;OFB0#6|OW^u0%>~{cvHS^krhm{pv;8F}XESRHSjJaSXQlr*Dm! z-&M%x&~Lqg20|WR#RSh9I|**3L`x;F2aLZpmrCl6u?qFPS^gM-dTb|xVed6qR?l4R zs`*8G^+s1GTdT`Os91+Ad2oF>8 z1&^eG{!RsUrc8Pr9`&>K0={;vfB!gMwkbOi=ur$66)wh^r-q%WiH4riMHz&X8`R-o zCo1!8f{z3Re%8{wP%k19j2GrHI3kpvj2f}bL4Tc}Vm~to zM6b)rOX{7!dQH-FzSXWV`|>r(_s!(tZn%DOM9WHO9rG)4cWYz!I{*QOHTLq<3q>={ z-6H0qgHk?e_R81Ak1h2*QjVgaX14Jdy?8MQBz?u8%NrYq^k*ri^JfXbp$ap&Z!9*o zjwMoQHASHgvRJj}agUL(ZwimYM4W(!6YCU>8|z}c>UtkxgRGE{6@A$%VugrkA8Ecs z8~Jj%o~RGp4023|fTs%B=ZJ5%WxV5T+TLOP5}^M?{feD_#O05okU9d)Np38>X7-z zuWzn&0&qGW^Z~JVpS+4IQn{MzwMwVx3-_R3(zuR42w9lLK#nQ^OPmU!XQIIO@mWAG zAPgqaTRTNtUpCJ)-EDin(wDvC#Vup^ms_u9{mdMIIx_j>%?$)ZU$`yWzg_gL)}#h^ zHjh|W6R|>%8=7wI+*4oIBUupF0)iHzoMijG`DvYTUq2ZC{jKfbY;f9?AQ-%JFmM5M zFV>jWYM$U!$XaeZ$xxB^yO@u&)=f>%HMQd(ZWWp!*`3Rtu^mXY(=oeHHPC@vw11aX zC--TABk;+@S@>mEU%{(ueq%f}#A{UKPD%zC2^a!a2#~m&ISwc4l;TDDIsMTMP+}v0}0Y}v7m6mg?WVJfs zk)=3dzOcOoForooJvC1=@7exXWTVpDmMQwZB!-4?T&i$!79YW%wQv@T#XfxoU0}(@ z9!Sb4a7X?cf7RX-Niw>4IcC{O7i~bs<>Pp?a@6#?Geg(0dNoRU>)L8IiHY#Tl&)fB zLn-T_eN$m3at@`tOYVm1Utgw}&~0;|A7uhIgrh_QioD@e%f@xoTEun1+iUX$-lVI0gYJr7uu zm&tW?de^ij=%>HA{*zL%;)9SdU7x^P zDt-abI~4EG`)mv$D6z1vX9A`Hp6wTU0y7djIp+aUYlM@x+= zvov?!!Fyl1?A3uyw9>zEO!%8ygwi3S!4Y5TYl?3~c#*7}u6Q<$f*wiGR7hy`u!PY# zubSVWL5~YBMlUZ@G9OK&o0MKsxbl37RjATp4y5WXSoU@o$jdc<<9R-^6ov?PJ069Isp{;a{c8VQdD3u$R;`(eJvS2d; zge=?gBsg8vWk^fCn8erhBdK!(q^mA+akBkv{k|4j8qt!(7iArPVgWO_hVl6|ouV*hw7C$TF zsTYqVD3O&qvX(D-*;t@eF=fvN_SqC9w=u_t*%Svo9rRerdf1r&>QP>v5l+u;)lz*K z^RGufe5qSEu3jO_V|iRSG(!;Xdwg+$E$-XbL{qI(2fPwK-bo!ZzYwh?QY2WYr+gXj z(8X5u_8e+Y@v!Rl+IBk>47fC@co^~(U{Qt6irIWE`$`Zu=H-Q?g8R=w2X-E)K4TJm zvC!qi`zJQ%iiYvKsaJJR%ab8bR87A<%9ret4)!dSzzHJ5i$tIo2y5(Sw2D9m#z;(E zF@I~FP-MPmxhyi`QN&Hy!x>%P`*S9vJc>6?s)nnSP4i?PqI$r(q`jfe+ z5Ww&e`%9Pm$!jt9T?Y zMeMzouznwHp@o|M!KTP$nN>mnDW=T`>zEz_I-PMLK8A#@r-hH$??gJ~eC&EWkbbyC zET^+OHlqU)tc2{_nKeGg)EU6TsW&x95Vx5&&u_5c{I#>^^@lb4t~%V+Azp@iB!qo@ z8-~cEDaf5UgBQ#7K8dUgf*1_bbhYl*+ryuNm$pvq_?o{9Hp=8_zf9oJY(< zvC$BOzxH@`!7Cs>wt-ig9R!|g37>@^EfU={lc3KYC>cd5z}_{CSdCFU2Ml4TsB8M! z&za=hQ(eL9MX;lfB59NrU&#x!bfUk|uhQu2Uu+yN&@>({F6hH4kFf&hBGFw;{G9Na zi>x@|#S51+K3Y_YMN^slUf*e%1Ci)u67?aE{(Kz9tRS<)?lZ&NMka`*w?Z-xL_kTf zfy=m#(L8#*$S1EHNcF5 zd8emEtob5~HsYPKxtt%-_mviE>31UI8m%bro0x>O$Ui%G7^`lKHuDn4Yp)jD4c;EiY75)4hf z*9(P7f^L=d>3nl6rhC31&l=d_`*yle0}*lCF;r>b6Rl)*blZdD7Y@C2{OjZ=-?x>k zji}MO$?WQ2BJZ>3yhiLG72e%f$~g*(P;}rY9_qR!u9s}67Y`s273B=bd5fqgNX>=O zj|Oe;&aR!6S1Hg0Qrk0wCdCfUr?}0Uc%A64HjYkuH}dTVD`vWo`dEUPkiTlakC>ih zDN48NRC_g-**Q-LVIN%h+~0Pto4&U@LybW%7M2#P>p-08^awi^+>~L>9F}`460)#! zmAV!Ljnh&chXrq`&QYK*q$^q6f=R_*(;S~~;mc@L%PI6Gcsw|M#QkOd85%e&l7L&~ zL-Su4Oh_@Uc6^Y8_aIgRv(t}H@&KqWob6B%61YTJ<;GFr~@6r9)4F z6MDTSecU76KDSqM{+j(VGaMySkLjB*6QbVZ3gn==VPcOAhToi7 zWTD#t4x6yF(;y=bh$Kl-E%6VRk#}{*bS2Z)aIcd4mYQj&GR%tyoMCd7=3$n4<&HOn z1=@(sIQCxGQXJin=hX-kR)*Q>enjPB;4$SHc+m1*L?sZm6j!IsNXrd}N&S^pietM* zYJF`~`ak%NSEw&doA>vDe&Xt_9(IL3kn!{`zZICYvC^i1+mM975<(d6Dtrw$&rx+ zn;7MXBFTI=6TUa44DJpZFW9CDdZ_(=x?2OmWAZ9j(937BgLI-|u!#HT1>ZAHk*+D! z?gV<}K4v2I6usO=Fc9Xl;(2Oz6A75Iaghu9AxvIWvL!rGbwl^^r|gld`c@D?%t$pi zdEt=&HJi*WIM?^#FnD&ZCAC2NT>L`|a*&K@i~DZ&_xHFC=)Fv~6?^00!RB!AORH`$me){`LT~ljVL89`Dp|(bPy=9?thRzFzrl zMrYh)M7r8_lPuen-rog!KL;EE@^8aN@kh5nyoU4#XD!YxBT5^NkTzZ9zs ztbLGiy>uhM#zj8B^^ld`9E6F0SES}mySk9xOdqS6mPy%q?DYypA+UpP^_FIAI`w5_ zy7#YP%H3Y{7YHcL%cws=0XAMKCS3OhCaxwYQ?J));ZpQV7lw`sDqjWI$C*g;-XdOJ z3kr-)X`YGa&G1t28lZe-euj4+!IAiVIc%?Y7twjVsI^FJ=-aMXYk>z}rVBP01TZZ)d$YbqCr@u|&Ml??BoT3;WcD>X2bbIZ!I*PWCd z`#RN3G*$G(`1s~)lqYvs-+6nrx+Q0r1UJ9`=)8QB6Hp)UY5+39t_azl7#}+cNS3EH zk&a|WrTn^_+{oOOkK*0O4%$MEr)Lvo1+&L#j)6ZQaWiLMJx2m-0#m%IX4VPaffW}E zw|KH$9xWD8)z8et5)2}eOq=E2+O$^U9mSQ61I!M{;UAbZVaBT#g&vu8nr+K&lg(Wc z**kQe$9cyTQ>{MvjLEdwomV}8Zos}n8Cb}Ur$(d@_38}EI#|(f4V*MW=s=FJwAV z@6+Pyf?!{m(3%R<;5oeZj-|7tubN|9INh^Az~n{+AZ2JV4w+-2=K)AI5VPS9o222X z%cQf*J-ikTO^42-?pzpTHbN#bc&ow*31z});P&Q;V+u4#C5<-{{ zBHwz&0f2yvQ*<*g-g1l4N5h|da!c7PH1(OCCCz?sX#0cAm1^NS7qu(0m!hRS_J!>2 zR=aa$TupnIfNXVox$gakdlxTZ-ZiB`%Q*eQl;rHpDI}EWJCXhA;&rp1B*X+QZ$psh z$NbfErw8dk#^GbZXHTL^*PZ)1?bkZ(*E{WhcJ3Ltl=GL~PtSd3WlJk=y1#BI$TwzC zU*3*&!?eUYe`<|=^V*Il3NMJwU7Vntapqf7J~~2jUI*Z=VV!1IcoeJC>t|oj9tMbkzkS-7^P$0yu^H<1p-;3o&bnXdJ8BGxo8N0$ zAbhI1(eVgQ5PFU6BzqQ==}q)Hb(tpGT0d?GDrIFVmUqyk+f^sXMYy-o+4XE+D-)A< zsOEc!#U02`eOJ&sjwdu+M%PY~OtcRT%@!U#3ta~EPQcncs951=&r%N38~VGmCSKi| z#9Tc?uXDcJUa2yYAXwJmvM(a^qf1D^9-o>bvEEQ?_y$W5bv8Ki8gl9|+huPrbL{08 zY{j~Md?z!iD&Ae(ENr=uS6dH^hIxlA>`sC;9(d*5o(vPIBM4YvfEl1M?UsUJKdF-* z-`gACcH+Yi>NRZmmg4c3eZo7Mt|>2S>Yx6EKCO<9PuoLxx_Wt#UC3`%=PYm|C<9## zoc=P)GEAtx&Cd{ADS^Cv8Bn&t2kpMA^gQp9kd)jNorwBpbwf@ja?HMw{;@%N=GKy*wqk~_{Z1(j|I z^r)ql9heux^0bPnE69DSU3cfnh<&wH@!B@MFe{}Gdml%DPF!tUpX1Rgn;F|9KlIed zu(O$n$5de8Def!(>NP%-V;Tag#PdRjl-Lp~zTi!-zq)Sczumd#FUKMtJu@Usc(FWf zapNN*R0;9wS*EQ-YmBXA;{hvxE*0H_z7N@LpZ6$oWIFBXY-YFo<)o5_d#ZG;jqXZ) zUYW4HmqpNwX~GFY|BhFX4*__WZBf4@XiMx&^w#t$L}|t~g;5&r5(#xc zj#~J@bYrjLso9k3#aC1r2ucf$IglUYk5ptq{Dp!79XxHa0Zn|2D{1dWLg6n}1TO)& z#0%bnL;&yb+RzV66p&M#^hI>S_>`sD3%Z})V%7%tuos3|hu8aw>4b*fO& zF#|8WqK`Zc#`TwmJ1jkjuwe>u08gHNZr$YNe0Z9sPj}k;mnJr?3_-I#N+)vB1#hNB3S0?ix(-M)&D89;Ns+u#AYX zk22))Jbmt>M0|J(-0baeO2X+K+u zlGH|?ZuQa1z5G<_ipO=0)*7c$cUr=#VocA5bgwQO?=rSoBhMi3w&s;z4)nL*GTLJ9 zm}9k$QMqlkjKDd`h8rtWdx@A)>#_4cKFE3o-uQ>Zy3zct1fleWB0JtVB6youfV__1)YwiwI6%W>J3rM>r;-pmTfi#eEBFQARb z>%d-VX_@J_+j>?l%!^r{_}23_9;T7DxYXV+J;s^sVr=tbsupH=WzEaW@Xk49m{sj; zs`r`8lZYipW7hb^+N!;Me+rE?=KFRyZt_p+aL_3HDcq~zCme@*0 zSCyFDuB9}Zf5P=yY5Fuv`Olw6MOH6=ud-*RkEO*2wT^M4{l_2?iB48-lU|&Gk-hQJt(nnogeD# z($?82y>qwnv!cAk=HLU@KD~F{e5u&gG0D~OO#1vvG@eMJJ zm`;QeGl-eQEMhkCEis3fON0>?VjeM{2qzX03yDQU1hJTiB%%l_v4r@JAQ4T(5V1rY zv6P4>z9*IuKM>1_6+{B@Be9ZLMXV+gi8aJpVjZ!b*g$L~l88;jX5uGe3$c|*CbkjV ziJyrbL<+H!_=VU->?ZaQdx?F-uf%V}e&ToH58?oEkVqvC5r>H*L>h6FI7S>NP7vut z29Zf*5!u8^B8T{sI7Q?VdBkbr4DlC{Pn;#r5e39~;sSAzxI`2Zmx&_cZ{iAZmAFO} z6W56nqLe5j%847qP2v`Do47;VCGHUw#C_rc@sM~#*oeo(Kg1K_DN#v0Bc2mgL^bh( z_?LJ|ydqu`Z{lEF2BXvvJFyoBaTF(U78h}qno>(@i<{Jux>8TxlDDP4G!S=bD2?PD zc~{<(|Mz=eO!9#=mL}pMAIeA4RGLY1X(27;V`(LyNNZ^$ZN*dC$*0m@I!H(9B%g^{ zI!hPnDqf=AUHTSz89o;u=`KB_r}UEE@`dz~zS2+n%a`ISelkD?ioXn!!7@aK$}kx& z0Wv~H$|xBvfigzM$~XyWrGDqf0 zm{??<%$IOkAPZ%YM95-^lqj*v68TOj(GnxE5+_R~UcQ%Q@`Egw6_Ox7%1T)!t0hs^ z$XZz^>t%y%lqA_Co8>3jB3mU{w#jz+S$0T@?37<*m+Y23vRC%WukxGhm*3?NIUolm zRSwBvIU;FtRF27UIU(tiA(@gT*>X~Hm(W{j4?zF5k+i`aY2Q5s_yN+x52zOzdzpZ_xZffYr|dY ze(TgZr%s(ZRk!NKXiPR5lO18q*e??NLI~m`jju1k5>wcO%;dt7c}XSrm6Yb>69mz# zfaKqm1P?n)aOh%t5ff@a7j3)F68I5CL>CnoW*3$~7XH^8M1&Jrncf*GB4KJ~Np6;e z{y1VdQ8%$K>^oq)sTkvw9Wgc;74y6KN46C1^0)SLCm^4*bq06g+bTv4fCgjJ_SF+7 zgnfPdMd$I9jyVppqVnV8FMi^_Zan_t``bU7`11i<>Q_Y?Q1gfs{^mw^&#Zr2YNo5&rtb;&5Y^oXzG3@T$Lv`4bV{I2i#P&YueM>(;YN7 z*HoaPBFQ_ZwHiue00H7_8=h~{b9)q)OzwoDe3oT4Iu(5~%QXWe_1E!Y#(TuPtS}D4 zVwM+eA-$x$tN50oPSDFQheo$rMFQO8XSoi)u5WXmc!xjiq@LoW6ry&&YRtOZr&ZUvO#TnH-^d}|6KoD40V7!FyBd$2IeB-;YfLtjpXbd zK=;U|CcUk8FLMzDuq8rTYN{@l^<^&3??y7=B!J;_nez#V->T%KAZ+$b0CM)M{kN!4+ z-E^UjxW8iiyl=N6=2t8}THCOt>ByEQ8)0UFN`67ZVr;y&i$ zk;|*=&g6|Td<2t$gPWzJ$<+T05qp6jvI$cT| zUAel`OjT318)l}Osv(Y)Z8v|HtAD(+*}mXtHI>_2xu#RCxA~;#Q@&c&sZ}Eit22Iu{Ay*V*1zlVPAA>9rgMhV)oi?IGopK0*P89Q z$CH#RIzvNOJb1Kn<#yxoQH$Q{bVS-6jg?O|+TUrce6rDgxUq6wCos6a(|)M2^65q! zQMsYfn5%!S-?OJ0Q!X8BY>`(D>%j1Xjmrqg3luILYFtKsxxUi@>D&EJ3^P2@36K8N zX$J^d`DCZPuCaqH&#>=r>>$b~+YdBW{;ARaMCX@JHC9czw7#=)Eh_uc6P=gVcINgj zqrP0*Sw-8|qUR2o{VB*)`9vot>)Q8jBe&#|!-sqI666N@RaV;oz1otoOkSQ*MYT`P zKhWr8&P{f0-+Lk``iah}lVAR+)A0=#(^d7QUajYjU2@zqQ*{|%!XqE_h~;9o|8ioE zVQpu5ntfeodAga{l)7oszV)5w>r$&HH9K!Xt4=%SysAem$$>rf3V@|m)Mb>XR;1YL z8?R(k);Crz>1wXd01<#u(BV>aR{ zbS=30;MK3Lt-Jnp=x>KQUc4Z`7;){X&bKlN3Fdk!3Vo z*seMmmU8WKEL~aC*l_Lgj2V@0HFCzcU2|I^T_G>cJjyM-T*YXoIi~M}YvbF_PS+6h zI0Zeb-~PbKyVRf?+wcFEI*Pqf`RGvqsr9dY;Nogsu4yev&e7;%X3Hp77u*cvYPY}g zuMviNR~c82Axb#6jB;KxzPHy%H5jQ~gAZ5u-vEo$@B6^jIry-P?fSrVM15G_WuySH z%Sh=7TWC39yHZZpM7>mU)ZE+Lpgs(u%_3CJ$f~O^79X{j998W(^3j#b(xXQ{zEU~+ z=n=oGmFC7H{#Psi-l*0$I}W7$FrOUz>eU)T{Tc}I{8gt64od$$M;upOJ|MyCB-J(Z zsPh+ngY&8*(8bPxUy8oD!Fk}**5}B)mg}~6Du+|{hNJr$kD9}|mg{PL&G47rZmc0x zI+aRQ+wj0OAaUgAwbuiv=JRd-&aMqd7g4PZdP-*w26&lyD7P1mL%6-$t4_T+@xo&5`v2bH;${YeU**`I>r_BVeV6x4FPMr}^#EWewF+Lu%NR#05a_RoGSSn}9) zME#imb^VcrlrR}=g*%% zlEqW#tZj7uX0t<g>D$1OhlMP_@FGLcdxvwwm+aeSqia)#QkOCN3eKuqW&S;dDRfAZ;P%`L}F#L^ zb||SNt_cypTUHZUD|>TC0;O1*F5~$d%Z=s6bE~ z+Z*4TNDylM{!{IzD&w^H6wjYx_*1Ob9-~#)y2uoJtaghZoE6A{#z4RjuQfduN^u5H zJ_tgh`(&=6k5v8h^`Y8h{x=fhwA$dkahyG#<7!6kP2lW_=)xGdH;J>4!f9I0J{nzc ze;a9|_r+_EImxY+q_%FVE55m9QPqf+ryWCcIbD;&p=h|F8Ng|cY{O-PX21xo%?Nj8 zyJ&5bpYxlYN>?SVHODpdj;fwg;eXRco2m9pAc_yiv;$MOO$iNc?FQ=AQ;ffG#T>{8 z0oG(K$Oz{tS~FoY9)p-^vKC^dDcTv%tIf_!5HrEO9PXVIIJa3-s;89Aa9)%*JKGUq zQ`Jx^Vdey00pqmXtq8|J`vk4ZRmnhNhND0o_k8)^QC_ z(;eaG?)HaxX-h>4sD-CyRoRhI={^h7a&$m1+10D$MY5Bp3js`9oS)n%17C zZSi*=uo2s)a>K&8kSK-DK2}?E9ZD#R>CZRMFah1=>dNt2)Vh>S1C_kg1S2@LzRYGiI)Vx@b&a5gkKPo``63SWW~$)@$@NzE zHK-+jk~Tk0TbZiWzm}>srE}1PP0_l#>{xnF*|2)Jnuf1VM(@c_MX)2?(c7lBPjyo5 zSrz_Yn~Az9v3L4EYIgp*4`YoA-J_gORGD&*JC`0we+{JHG^IRK@uWGwVSKbuwd1u= zqYeWNl?qjA>wW#NO~YPn;x1D*qI?Ea!L!r__;K4P(D$J=Gj}Y5TX%p!+CH3@Pr4 zrvQ~jmy??_qkN|Ef<iPFt6DW#qJ9E}Vev13}9;=p8D1Q0%e8+{0otI2xtI+eO zQlUP-{eJz%&0F2K@7(QiJGT()94dtBCGkYij+0ccbtT18w9G`Rm>%nTO3uoaDxU$q zCI}q5t<7~TsoX*j3L-D)#-9@=KoC;K1MDN7C!*WK+@SpIaS{~4Bmm4Pz|MJKSVGg_XQ_7UyP&q{7}KK1 z=r^HS9z6IyMfn&OlIQx&C$1+TOoShvKD`M#^M!y*sfp5+mKJ4Wa`?cGB3a@MVV3od z5O5`uQPXouOYf7w#*s8JgyyM9U?@-lY6drwO#xg-e_U=sZmG1?G=M!UOsb0HVhVCf zQE=@>vKat-g{S6;q!P&pX%qz|vzZ3KrHXU3917v!js_FSXiQC<^Zge(La=adgMcKr6| z;qoLUqb5wmmp{T~>Lh%57B15# z(#+nr%4lDzxD;myqGi^-3~3!g%c=Vo;G~f>O(vSiNIH1X1HfLsz@9r;g-6id!B|i3 zI8G_*718uflDhvvl=WaNP0@z8$g_Q>xxK6x4I*cQ^{O-xnZ@qd9xIMr3`!d-C=I5s zu{QK%V4nBrkXZ^fsugM!j}E~;^khVCaTX#YTJIweYFCMq>jJspnYnr5z!mIm6_AVO zTRh>e10a``=^mf(%smde*e2*gWG*U8S9+gB3U-}=lo35Ffm^D(G3d$gEC~n*vQL4% zjTfvJgm5!gno}&5mNfu#5A|bClU-1fTQIECYZ21b)=&|%IYZ@J7Jp&JmXogw4{)s<<(cH1lrj_)Q8=f|i z*O|N42WdabxDngoDq80E=km=jRBYM$mlrEv`fFA7Hb}p6a6PRUmi7gkOibYjVl0=# zEr@<3`itn3(UqF2n7_sBig_dEP|Wu+zr~D*ofW$zmLT@3Ir|WS}%hmErmh0u0mvnJ^q8+8&ya-1jwh(>rscs&Yezxe4TSD>YHY0z(bxX#GDkHR6Ai7ZRe z*AQH%slY@J8we#GkL>lAal0cN-{?(W?VzYQ34PYpe13ab>JacFg}~ivIA1*qYuQFkCIa z!R@Jk@)cT5lN(LduhTi!H)u+>>($p@f5SvhlHIocoenVQ$!0P@+CIV9nBC9{;)NF9 zB)EELQ+b|YHMU6B3T^7$er+l$uf#JWfpDxH8q32%OBP#ba9&B_G|_ti?j0y~Xuq}> z1bsX%PB^d*fPEss#FiYCkKcQGkZc{m+69>CKL+K`%+4+Lpa=uIA8_CT`uLB?{K8^> z(u>Ek?}89Z`}7>3l6#0Ir}(<9QkW~dOvXFMSbe)GZ3}MTXR$hIFJK0#W0qC)Y?pB zvFwhu0lKTb`>;>=3@#76hc8diGUni+hDH;)4lw_weXY;PNNWczqnbX%fXlRu{^*lW z;rg!icUlhpQUn$5x$+1KSNk?Cuj+LFG*^&XM*_>|KC8E5O7)A|kUy+A+b~d@7J= z7|Amy$`C0vD=3u>q-4Y^NWsXmL~@#$UNR8uV8A`nPjxX+YSQ>|+GIg3Y#7B5pNSy? zE?!S+ps{7o&i9DHasZno%2=Uu0E)CyjDq^gi4Eo?+zwzE z`kU{LqZv8=c_5?k^~~+G{e0#uK!3AN1ZWr&syx>&88O}1@hmmaNDj4;?lfh}^YW7&$cp53hQxc1C7sCKpms(@S$o zBu2nSfm#*`+7mrrEU)A&x0cyhfc-{zJUSA{lq!(;85*(Eu}nPNE1P6Swj025tM&|IA-%s~{{bc!Tm`uWlDxj6W9 zmkHKBnPQ1NA%+nf55#Nvg_01Q&4E(a3#E!G8H||A7GH3%xlpQ0C{<(*s1?qYtovYR z0=0+T)-I9*+;nNI%N7Cdk$$Cy=Xndk&IVYc025`1$Pz6bt7JY!6Tf*kY~GJDVC|97 z8f+l-jGT^%i^tdhWaMl@(kSidWVr3Ne#ZFHW5$g)k;}o5PJnT(f#B^Y#z&ShC39*P zKyF(vGCoW;j3?mcj`arI%*e|xz&Er^Nf*v4Hj%qkcdfoMB~?1dMDB%IfWdI>9#Y6? zLj?626$K`gFX_hE2SC8VLaUdkYj%N`t+5XQ44hn_K2fmSl+ok)YG=!!$`|vF(6dTL zPx3IsxMGieuLN?_gi=NO#=fRxuA3Ucu7zf>yk9fWpw==!Me8;-_D_^Aac(froJcKv zDth%K{tWCUASV+VpIDhOCA!(A*`gJ(6;PR9@m-C?n}^TtV;Xp?vvg{4;oK5;m9aa3xr6r2uJ2mc%jDDxTi~+C`m9WL zFho{F)fa7&IpoY=VE}>YRmyy*znL&(mrO?QeC1V~^G%t|u#ozJip=yLn6htx)F*}B zU($sY7iD>MI)4Y)4?;5(eMAh*Zy+~3TCfFnH^A2QgGIp@tW+lr68=FAB*KLt!K-a# zdNrZ>rA2ykcG@E8! zR^)?`?zg@plTmM3@RRpsGGA-0ZO_|#?fc$w)a^e2slQp@mkp)gJy?(J>7-0)T_>Zg zXJjnIrj+%9j2Y1M;YY&T)z7~G5HelT>XL;rUpc?|7834Q17J300IRUpLXHrYvF?L& z7)z0_naJU6u;Jgp^hm*aM5_pw_Gus?dm3P?1(>L*zyePwkJZ4of#S~yRw2T|y={kJ z&rm@`djxWEu>MfQfktO})QL*{9ZLN`C>2~Gha9$^RL{%Lgjw4hx0SIspwu~hsS+0# zT~d^tn<nB+!9hnrG z9-UsA{!se<^yBH%Ca)g9ZTx+cADO&$a!}gfw4rGu(&Ex4q)ktooAzwlvb5^7x6&MG z4QU^yeU6X+38wy`$)8R3osv8ybIRN)PfVGW@Xv(r6Te9eP8y#yDX9(qol82O)R{DF z)W}gxk~%3QDK)7nX-lH}U(YB?J5n2=ovD3D`-pa}_GzsF&a1S4(msao{yOEgDSI+Z zZAt7jLuqwuMOk(0R&z0o@~cNW_HxT(9RJ|njpEpF$D7>sn3g=}IPS}E=QZy0NXKaI z$%!yO5gFyseEKVQCEURQ(lHcaLwKwbV{Jr=UdJs&6BF(2miC%Q(L~GSO>N~r?{8~K zbPmB20`!k<=@apcfN{*>bLqC;>Q>{o=Cv^Kps=)?*TUV$=5=rv0(a}+?jU;RQlK;! zr8X^kkWmNzeD>Tg?tr`Nvg^v#-=H45cC26mO#Ukv*O0@uCsXt&A7){`&OVGolOOgl z)8JpJVWz(xl|`8@k75HtLqn@uYi$HfcvQFQ%_ViMI+*#`u*p?^#T1&%4wqlSGbb)n zzMP)6e;Im?_{S6J%gfb{kH&;VGRO zcwctdp)s$oZp93B@OjQlAhws#lbZ1Wgq2s^u_$hLjJX(um!UV1Xj;X$?pvH$!)K1h zC$p}uHMF)4lda%SX0p`uK{6X^h~j~f_+;ronJwq>5zh$;vqYD0N+&*^QZG~JEI%$I z&|Mi$`W+uH<6{>-;%TK?m%OeEwAQr&rR~HIuBUV?L>D$cbgX$W8~9EjV6|$cf0N!k z2W0Uz&y(mHsa1>AYH_8QORlu;@v4cfE+2TGpIGf`KIZJ+TJ7@4AJ2;wXDZx5s}0kT z!Ymz?A7!L;aFqu#EaxltXH6o0xWGNdoB}ah}v|f{H&R+JJTF+#uZq1 zrZRML1ssP=-im8e&!6}u6g6=`&DNBnUvgo|Me|EGZqxbl4s)=L*wk5m3F>`4HiBdT z!4fxxnP@nl&b_Artz74CfAiet_J-O(&@HA9>if$9cTYtFC5N`*V4Mankc#rs4Al@Po^x`dIWu15{huaOF zTb<1e?3Se>o(IE|=RHrbIF4w`1$g8bVXHwWwdEo@1=JW2gF6w{Ap=ESgLNMmkJMsJ z;)(8rYd$d#E>Qlha3SE}ikq&n?LnAN(cu(2Y{OUR*`pep4sOtSgK?&9zX#HeuMEZ% zIB$i&oyH`dHcIEvfk21D#=(7(m3%Uxj{MbsaO?a%sM?W5ZAFI~4r+fxcl&vGo8O`T z-$E_`F0 zHC;f$p*7E~ZmsDupzA2}d~}U2L(L1TTQ^;Y_DrG`8;2drO#IK?izg3*{OJ`XjhQqaBIdjuc}oDxGUdcZP8d@s7bl zF@dNUUwF<{7~+9EgB%MY-wiU;Pz0=&Ziolj>AdlA_!(rDwfMq1X8oqC<-c(o;*D~A zu{?f$4YB{KE3QoU?N#T{+PbS1-?*GXJDu0dxW)07t0`-))>?jB2J`yo&+os=U5Yb) z3jqMK4QyRkJ`ICQiwoWG{&I%LZn#D9rn+1v+7LY7yv7}ptOjGbhN`c-Tib2kv*asP~W_;K&VSE-QdWpL%Vu1AT=7S1UHZCBAqwJn@W)}nNg zYJIy4TIH4fE~jQb?gxjp;%*=l7QjFd;?*g3Z!rFAgUuJr@_yGqs6bUqf{Sg5bx|pL zaR0tPrc4%It3XA@C76D?A&Xc6)vIn9iK}$)X3qWswjlbMmpQ}*cnj@f?)7-bLtxjA z1vM(H!Vf1nN>Kj=Re_#Ohc(+^QETK=8q7miph+`E;t{{_oJIi`uNaRO?M6LD)~w@}UmzA?t2rWJvk1E?buHwVKQ zhtM8kQVyy0TR?-TWb|kG9ob1Bv?n|w7P>SKZQ7rhu8#q0}f1jtE#b(Yhq<)`rK!qa8?*$5O0Acc8$UF)8_%0zTVb*-?aL0#ht z0S-J}ag8s;4F&EPL#?-*S1+T=&x1qgfcCB3>Y}-uQKpd@>`?0VC{qTU@Bf&yDU6hj zF_QtOfH7!G1NXkf+5alE!DieBQ>xSo^eAj^6=$!;j{@4LD&IDRLmA_spZT79<`fr$ z*#VTF2W5IKnu#}n4uV_aoWbDeAV8nukV84(V>&S&8j5=FHqO2sQ}sm{C5-`&pTOjs zoU)_OO##mV{$t7S+~9b}{btfe1$0+;*BH6VL`MOamEy=nipm5plgTX^?MTB$v}ZI@ zegYR{NN|MiK4Uq{x3dwborOXd21WqSeIb)?O9JopK0+MfP6{#uMHkrEK<mrsYmb8Uo-Wv!5(@Oen?}LqNC??b{vo-s&Ov5FuU>5Y za@6=KBlfRgHGKPoA`ore&fPbwyPM14Z_`b^+L2;yYqkE|YCY3xJ==<8diZDm0cLq! zw~OV{Cvv|>Eonog=)hN4&$tGBe8#zMPwbsf&N^3YMQEF%{3fRy<1)->>UGjKqBhor zY_=5Jta1z_Dxkk;D{nJ0?Kj(RB5jNYO{jn-WOE)fMnFp%fhYt5HpJ4#`Dzeo03<6A z=<#Hu+|~rf^L0x1=Cj?K&vbA8xqEY)-f|Z0lr$?ipD|!`#|)s{M>R&8TcF{-PIe55 z{8<}<>H{03s*SE;#oLBr{Qapsh3_TzhD6KzX%VSp;6zg>xRbLy+IG^;Pq-f z*J}L*YuDDRL*k7GFEtM7HBnk?6URD+8HZ!GL5G3Z!T_uUUEmILNgg|vD;?{YjXeJt z?nYeI0TlYgf;}7V#8OT_jvMQk$mz$yat#Q6Mq^5iqaD$h5~PfP-p~=S`;3z{%Ql@^ za+dpUtV3y3wuC!%-0Nch;zhlKG&`FJ9n)sn?*QKIrzpn_`q9pt^hWFWrz8SDfB*Mt0UYVE>)+ zGr;hj^0Uy90VXeaA~M%OeWe9uevqQyo6OnAAQq~qn%>R74&-`;p#mSaJ%OTc#!z<(EEg8!>uj?2 zQ>o6|W#Ab9Ad5|fh%{`TqLtTdfZRq|4Q#|b?BJlYI~&{Nlv~dnd2UK-tw@sLN$U5e za`rS_A^x}?z)G^X-%=bIYJ+|+Y#p75pUK-8XHVWg$60^zkGH_cdjFjk(#3po#yP}t z)=YkL#~Fa?K*v|Y2CgmzLGYOe%EcgW7 zR*&mc2O zffL`-Adc7~#q5mcHXztIjjbFF`F@52&LB;|5L5!9rsc`GjSKYvbop5<*S#ykB+p@o z8^D8YKDYZi-*M*8H?QOa=*_=~qp%5^y322GHLt2}wVc`3jWk%@Z8_Hr{uAP|?&cvo1z)OD+u{$BpOV}O~3GOILkuwZkQgaM zm&&b97LP>qHRsVd1L+1?t9d1V7N*ZEw{Zy4jgPnR@g_O~1y$q?En9OAWv)4cj%e_S zBYB71yb8s#de3~90S2?nVJZTTe|_7p@4jB$`soee?m2LyXq?+440=Dk-hM-GxsHmc zg~}?As|whEss+ZusoPE&FSVbtt%7OQQ=9IT-_@gWue-R_-o_RiSQwcWs#- z9#nUiZR^HK2Al@*hrHprDX_~^Z-Xt4fM%+p2iXcZ)PlO97vgiuA*G_aTd&_coU@PM z@SK=vs2v_dMbQNcIr~n#;d=TvKH^HPiEc-JX9aS-_rwadY+9MZa)!iBH_LA!ekuaG zVI)5(wMxM?rb3Tr48n82GPrXnuuFe+qFSMY@CUYp>}}`lzjA1>EDUq_0rNw6z+8w2 z%&pGpFkr^RV=(cnAnw%-5c+g`vozPzhB^NO%i}Fh7-jQA?Ooj|dizLzti|DzMI!uu zY`kXDRFq8*N&poj>PS3v7H(}ybi>bbwx5C_D*iLgieth|u{!ouQ)Dii!6rS#Em^zH z^gu2<-oRz8TCsZB@?|R@;T~SLd}%5dwRYi}g)51;xHv9v*~-V@K~ho@zU87ur>x~l z)1%4~xLN5@ixaq#EPPY4Y{SyRhts2U3EUJodh$4Ncg(Q|kEpn>Hd4o*i@Es)Yffi5dLc%GK_7*aV$8yHuA`oSc-I%L7>%EhC2UFP%@h-?$QV zd|qZjR?hSigNK}oW{3s+n{x@dm~2@3_B=T5jF(9OARsJ7T%E(bS%7HFUb*c zY#1>2G0$8R>{r3BQVCj9Qj#TtfZ7NHlxh*)*Si;20Xc*d1b{*bHUeM=_!SvVzkA3f zOfSaUhusXa8Yp!ZU+N)IB?(w1upm+>nvDZ$2EI^(1jnlmaLGK7O%idhiR3gz@Z}y! zow3PKYO(OzSd<~r&0LW{Z5+UKJnWQ*T7Azlc*je^PNYF>uXP10v#MCix{g)QN}mB> zI(MzlvT~KLpMOALQ1GCT!6q_^x^69p5&Y0$*s}f(6+E09iJ|{s<#c#t6uy3kmBY>) z45(;nIc^j|Ff)PNll{mI!CSbK3iE_TcT66@s(2VOY@yV_U^Qs7keeQp z4=|-bO_U)xzpyl0vPgzt3IG=+;4pX4uCnP+yfMoC0RUjG5MaxASesa?x~Ql~1OcoF zU{CQdBUqxFxj8w-CApsUO-l)87T^X6rHb4QLA!t?^q69R!Gdhm)|;TM3*Hf-=XLo&+?alPKrjOAWCUU6PjC)oii^Ef7%z^9YnWm@n0%@7Z+xw9GlV zI#_R0Oeh9mWc)1S^+g|YX1i4)H=<*dSSDDDu%x&vrdB8xl z@kLy~2B@nCXUVCdhGzO)pO0^Ob!2GQ-&%quNKJ5F-ZW_5o*(mP&A+I&B}Pmmz!C-KVCfL%aw9#opb)J)OL8wzX5Kdd4x$t+gji}!K72YvER9z~xGS z%m*S4tz#2}2nMGmai|3IA;7-k%~S%5DD__0&wLCKMQxw;ryJ{gR>+4_;;vXZv5}@V z0aGPxAdbtSh{=7_w22PzUTjJ*n*p}HA51f?pfq`!$B_|i1dEUW8s)DK&(_jtR{~!X%MAp zNgn%Tz5;5S1!wMd$Q$WFnc4Zd1(Gh1aRRwWfgHrAf^7~$6dM=8RP2}_p4 z6U?^&OYUdFgTUqJBtFN$dyJlJSyO`iam z(Cjwfetw7G*`e7ZS8&;Y(#zPCi{tYm} zgP~Q80xTGst3*_SIR&s*K?Z>u6|&LPb4z}Vj4(BK%crsts~0`CVyVg1Egxcvxh?;m zYWW=7%^;SfmMlHkBkv)1ELH@*7pUl>sV~2FKeMt5e;Rg|z&(mnNvm3+f_{Ktf=cte zR>(4^frl`G2a(lCU{xv-(*`z^)+YeiNQa=>nSv_NxS+%dNR=C*rLb8+r|MaNq|a~nimi$n#}Y7;Fru+%HUBAB~CuDYKP z!P0O1Ak*prnA-v+n#+}1J-~VaHo;qSU|RJ(5ekZ-e)`#CCvggvI{OQ{J-C8J>sXT& z($6o^#E-OGqbbUSFF}cmxj(-E{ftgn7)uEDeV&e#xGbdb&taq7Jz!A?CQ;?**ZRU3 z=mgHAVag)XnyR3vj*Bqrk-@rJFyst{!g^Xs;%l8k!JuzQ0Q+}vLa|~1)qO`Ijb70! zH~dInU;?N2Q4qw-&lhxg#fk>{1@*T5(N7vInI zeh2%hq^0_)7zmSu@tDY5V5X;KU^MeHP>bkisHoHuNvX^kfHk2)y&H3K5KP*SB6N18=`BD)GrUR(;ac9`>mnkR} zC6i;*Sg)YuhHB<)ANjq4Vl=xm7`RhtV({2Qf*rsF69=Ps_uw{u6%#MCkt8>gyC*CL z)gWLD9@US%CoUE@5)Uzg(A^Uz9UkF=7v2*VlqGF&Al51a=qX&NL{W&qEO)@gYn53I zFzz0(KB4&I088ix8^wQdIceIwq%17ST9G+u6oTJSF+o{gAvVOAKrTff=QczY)6czB zfJyZALMo=8d#St^tiI=2a4bPSzOWTin7~mF2^|XGk^vLBWPM(t2^ujnJR&kGS`!l+ z7oU*m-qd!OX5fo}jfJ$J@T*tAwyG^@Czl>dw$CUQ%m30wf5^V2U{{Lqr6 z4?iM)u%OF2QkfXEW}Vl7ZR4LemE(Mc%2)=4pm1}?`jk@1ya?YYz&C$Z%1M=p+^P&V zYy%xd_fvU4PyO<}glFQ!Q8ljU&v)Gu7L?Ve%X{5p4zOKAtiVXrL?rF-^EdBFE>V}6 zKXrET^qgYBu6HvbM0-r%mK2!f9oI4qP^vo$a2IM&F|i(Ue9@o352ZT$^hzp5lb>0f zn|GgQ583BUjGTB`*j?%-2klpYd79j30K3kAw?!hV+op$myuw$aQHwYeDN_{fn4t`; z4}4MCMO$NFGfuTqX-$Unt4bg84U<2obeXCyv;L;QI%OB^0Sd6zDix}?ELPZ_j`seT z$oaDC);eV(10PmIgM~ZRpOi}WpC6!&K5*M@?NIu%pTg%9@rECCOImL#2LyfjHSGBL z?)ZuCPrAPx*9P!Q2Md+Ued?F{t6m<-pxwooV!DE~j#ViP;Pz!FGqduf{fpm4(7QWa zaw}>hjV9ExlQTV|Sf&eL?%u^c7X`f#3JoQFE^`@R!jzR{2#ctdBpW2;T!6#<2Fyr_ z06X0HGYx?t1|ps0%D`vWz0uTVFFH8NKLHh_m2MQYOC1$7{X?rUH>13cZ! zHKBWnhAo+yl8?GDx1eKoe?ds>aRW2^^g_3xRCkcpCdU6DtHPS}&UxXZz-m`=zGK>`mG1iQ$bL`6uxHsN;(V0|qIVmO%secorw!6~XRN;)#W z$k6=A_+Yjwg7SZ8HJ6kmG&F9MZnUZB3)LV>H@bfBG*v13$k<}5P9=%_kP#QF6z(@V zGPqr+8eq7M364qgJWpePwU=NE2-z{k^l#(k_Bpz39F;Y zQ_sls=Z%(zeR9AR3pg=644m30vQhx7Z>PMG4wHmJC^lu302|3ugHVXSfGH?=`GL(v zI2afe;6jB`-QDQM{xdp00CQWHC`3YjCd}jJ!7km>BwVVmj3yrAhisBk1E-^h;*aqI zYDuV2WYdI)J3+%9{V@Ue)G!Wvib{*!vlYxBD0Mqu>J(8{_>2ZbQP9GKAETDZh?fP| zFMKtP5BpRw+@Tl~xd3LmSE*D=lPXR%pg!YC)rZKCVs^C4<@NFns#|hvC=4$Ctdi6I zfkEIJ?^^$&l2gGUaM@$su97i>Av(Y=bC*hPAY`LtafpY^;^`#SNG>r5s2<={XQ}g3no*F#wUVlafnUmY2f9E#1`P&ctB1sFn7(vTmTH?q(3GR zV7mmEsNq5Q|NkKZ?<4`t{YHj3ODdpH>@NSVN-<5WQ6&uX<>0sMVJ>D3m!8gz)+SGk z<(`HloNiiHqe>1&h}G-Yt&IuKDahv5EnU0r;c!!!Ri!pH)Tjmy#tF$WkPYWqvvl41 zH7hx-sj)`YLk$~&ZE%5#G#z?Nr8{U<9U^yZR;laNwW{+0o-Z%Fukta3$%}u5`HGK)k27OOAh=OO_XL?gv6KG_ z8F-7bxjarI;M|qUP6ux>T<{jqQUyE0on5XtQOeAOQlTS5L_FV#^mfNtK$6J=*n>z0 zFiVMN3`MNr*Rco%xO~8k;mJw-!=T*JrP9w$F$Dl~x71S}>m8Jv>>MzzE7p^$5%e@2ej#|*dP5aJ z!h2|iPq1MY6yomR#99pWe%C=T#Q*~j(WkP*MBBl0VN40Ygvjou=pYj%-5Z+RU}nn{ z#FYVvnju6oBY?+slFo*`A8Ij1s72_?Ili(7Beg>hf^`lDb`g}iN?=Z`Y&;G}-dn)2 z4}o);#jj&9x?wOGAA-o`ip8=IOUOZFAe0)U^ZrZ>`-lVveXjt+CWdUnU22&K^OOp+ z8bV*-h7rs%z{LteG?W52}1hWu}xbRWts;IEt+PK9sza z{|G%8#Vsogj?wBF!(Lf13g!s+GM~Ls|5ujz_~WHV{a5)Igk?Uq9`({w<)_>y#(KIH zC7ib1=F=t1iG&44)6gOz+Y9JeBeUIrbxyUGpWG{@#I;jy0z93*$gKv+!mFA?oboUr zuKpn{0#+pUTQJp+rj%qKYSMLHc+sauQ%dje@#pg zpVn;SMY!~bB7}${EQHAm6MYzlo@1c<>3vGBGJq^zS-tp`p>pujKR2fyrT$^2n!`Lp z=l_r)PGpEMpc3#ZG+@Ll%YVd3|D|U_O8I}Fxp#q4B>&kz!T6-f$c9O6R4x zhu!_~huoL^0ry@x3{v)OqP>A6UN|op&rJX0^N9JH9lZT{WtXn4v@Mh{=4F3gS-eUV z8FM#;{-yINTd)HE=xGJ!u!`sKIH=BWZx^;y!XnDzZXqa(C&wRg2pf?5n+@`hI&|28 zmrP^#V>w+Ob*ilVw4PX$9<>y&TV1h!x%;wc&C<1NxkcP#OP_+*+&_@0^LThZGZTU+ zf(V86p`q~af7^Am@he2n{f8?=IU+|`7;5^tgB)={duA;z)G(q?k2T_dsK+8aebha> zg+40w^laE7cnhLXqF94cv#ja!Osrjl{|y`8E_ouU$b8@n`hifJ!jfRyfP2+BLHsdM zph;n4%trlC%)PR^<(cBPnLp&v|3(cZ$Z{GjUk1a%b|su~b;9sGj9$ECDa0aot*cZd zRIigfP{kIK+NnA4>1=)&Mc}a$_orpcmvh3nDJmOQi(}(-54KFtkE0AgFBzMpjDmRP zzu6=owi5pXh5kT7%=q6a=^j9L+^0`!472;ESs)n*IA>2Y|RmYaUrE z;LxQP6eYOP&i@+PdR#^MEnf+rcU!a8{c^=KzI^V36c2Ki@`IcgAZUg` z&d~%KCW;}pMi+kx!{hMc z8gaBiu-ky#<3g!uki*0J4RW>v3z$z zIXt<3gPa`_7#ieAN|g?BOd`xv>LFSf0ud(FAa><{Hauas;?H%PNRIAy4^PT2^l|q8 zhg}H&fRs=a5TuDpClsZKbQKjL6csB)R6rz4?-0a-iV%uYlqM=g zN+{A41wlcjgx(?a4mZB<_q+G|?!D)aJ+o(?d1mJ9W_QnK7J^hDNTpC1sahh>%MC!C zGzSi_03wULo{EKyor29-8{162B6kl1QP8da4-8-U4?iC_6Q-|cV`A{% z!mwe&V*bMTn9=@)k(m-4$o-4^4;$F^1cJa;@gJNL^uOsknECuCu)jSn62Jg}|4YaC zCx^dm9cCu_{{zMj`~ShZOw0|;j7}LI`wtd(_P^nF|2JKyjlPNbe=vW&y1?K7ApiBc z_Fu3>C)6JDV;C9$&i^MIL+jK3%^cuV5&y^j|3uBq0|`2g*&6&0tPkS<7kY=y|3w+` z7k1?TnTbwo3k%!-#)tcWzyiSY-$Uo;&Vm)7V2MPQ$hVk0B(q07*2f4GH?!-@!lH`V zk8mPRyLHBn|41S*yWt;^ur?IIu@jPS9sf}X7b?_XjzRqYk^X8cAsU1^w^0ZaV&d|? z{21gtz@NB}{%VgJ={a6N68@t$tLvr8DMpr`p8nLRds>b!QCiKl1y|m=B3f z>H~k{DbCUOx(_B?>_dt~7sI4>Vd+=lZ)IGu%UGY5Hvc7;+3N{zxp^7E?*w>PQC_zE=a8er@<#@pc1Bedpy_B^GXky zZK~b!C2Lslg=bzzB&&@S1EjY}_=t`SWqhP>So&7MjtI6 zimCHmoNrmY|NZW3$-eMZfgKrblG@^+^5US%qH;q(C9W$iV2C4|TzRx|pC7Ng{-Z&~ z`=9pjMD5$=sbf5q+3HqFcIrO;p@%xFLq6-<9qahHT^=jK7yW)?T=nEwz7CIaqWCR) zEvZ9tp(&5Z*Q(F(SM=I68C7yqnoKIWJ+h=!cxFw=-ziNe2T&pixVjip8f|ITlMq}^ zDY;iB0&BdL^P-uP?)BIy_^OJC%`lsK>1*2Pp!NKnE6;U*2)umxaP!#^XS=b^qZ+bR zmujnr;o13^jnP)4+RuldAdZw?dNX8P>(3f`+ryy?9*>lNK4a)Vj+QUw6FaA6n4ZAz zHz+cdT;FFZEW94Hr5bNUDO9Mi3)hn85bymqMor|M@t7(0Rw6Z&(_<8BL+9SV2^v1c zyGC?NN=pitRFxNL`pj9sOA!6->KaN8+&Q9Y#`soUi#YU(g~PNi7-rk!q`a5CNW;7S z*LNo`J`F!-Go|F1*>e<0oq+3VB%hF%vOWaHUD+G-&oyz$Y94E9qXQ3JQy-T4_*O)& zQcOc0cuM6I5uYg_qN6T8cxoASvKzn%z>HR%7fq0lZRhHX}5qcn;=$_lfEY zP&n(WsYOWcQ`9|}8We8qx!~h#}2Rv@lUx+sbchm&N2^YV-XxgIG zvixXD?sm0%#fPQ$Yu!UNYlh@8hUE(Q4bTJtL;QfZ5n^eK7!vjS%fcK=XC7YG*sYx_ zw+RD9R9?SsFuIQ?((v^w=^uUh zyytGMzH8u7K^3=~{uY^RgG65oVcv4DJL>ONIev{faA~K+>IlTqSC#pCik_Fb=<Raqg-{89>nXNf5(UH!?|`+0c| zM=sT>;YyPCT9d@|{;Ct60;Hc)uZy2QTF*KYjg3CvO!H~N@uG&Pd?`vO+4>7vF|c_{ z2Mxrd^+DPJ_7zo82DY*}#z=UB&sxfC%M8VsGa?fF!H9zQ7pDkXvi~f7pGJ@G#-GywPYjWXj<{Osmg|n|;JN=}{ z*JV9gA0pVEU85cT))DAEty%xFaF+)w=hPn^-0d?t)lVq~@lQA~%WK&zgxyb#jH!yF zEc0>OH0h(dM>Ue}4F{=a9o2lD;8p)3*>BamGK}l?ek~a?dI9VxX(jjUm?2i7%Qdje z$n&Ozi~|K-UJq_3e!LR*I(n*}as_t)Mk=;={^H|fz9+XmzZs3%JWIOYWhqyFtNzLO z_}CfpMAml)evX4@bdiecYaZgK)+(g%B~e!%Yc&_A-aQd(W$7p#W#E2VJ?_wEDO=>` zv<{c!hnjo$m&gKlt`66){jxF7>hHP!G|R`azIQCrqMisZH8f!J+|VUM2>!E*XnKI$5JBVL*;BM z2}RA*wYN*}tnjCvuRlBjw6jq!Hs;HuN9YHB?F+bxONP}V7l^`77Xqu=MBS|V{qApD zD%2HP%IQ!J?PL4c8KZf_D{xB_E&lLM%j=ot)B>kr)s8UjyB9rOKMx9PnD!Ngl0Q8b z#q(YJ^nm;)+PvUg;Ae&WRpk1UeeSG?uOfA|G&wDK(Zo?R9>;C_iSNjbsl(!X$}qa! zkpVY6(L9cmp^cEUe^Ds^_fUSuO99oF0#+{t zyj}`WVl^j(6f=YrM}$-c4jj62;84baLn8-N2Qcq*Fz<&jA1-1(q#1vhFfNfaF1cu2 zl7=stz?Zq;%Uw$dqTul#j@K6vvV^uV6JZu$m)Stuzy@2@`Ee6YYy8+G&E? z6M{M}f;uk+bp~X0Wo30;WOZN4>e6}_#0WcWghECRh$ZDwjJvDx2r3L7%2nAs{;P)r zX{u({)Q(U6A)L~=w2hH zNZ0wsoE_y5gNTR;9;KpXtu~2&j01W4W!g8tT+FhRqwG`aB4zn%JZ}Qu?rb!1+!!EwmpzJfP4vsZf%km^^Eer;jvF*Qhk1+NafZ*DP zVX|3*xM?EFHhE^iWjj^-(_WIy3~jx+K#=XN^LzfStQlv1dapB)dQl_b2Uih6+M+!D zBR7_ZrTf-mDa_No(^NjkqJxOr1a8Zt@YX@rQ_}Ijv*I^qLTfgv$f*}f59G6xoUKUC zyP-$TS?%zT@WSBHH_hckH{Tga_VUW2gN_T=y(x_)+ys{UV1rbYkLDI0kg$VmM1zOp z+bH{1nDO?hmY9HE)Bcf{Dl!W9C0Pc@7YDfh&Owy0cH3PImv(#(vFDSe zfy|TOEpMdy!;5-yKV=vXYM>q`$B70$t`z0|LT2Xu7GSEx0Hfyn1r4EYgFsA zohop5@zcx)zI`hLCF{U&ZULA~)Vm%amMidhRgI3&OR@oL?(GMTqbX968i6-=&L93R zHE>e0i)`iZ&@+E`wbLO%`bPLL@>mMVtfegOBggTKQ%!BBg!X>;E~Q}U5Ea^7eLiDA zPghW0RcJ^m|K#sp5l)@2TEo+Rw(QyV3*JY4ys7HSMVW^Z8>nd)^}JZFmX#b6$*z?@ zQlow2fWb_y{%Vw`04@b+7s7bI4{^Am3#2bLVNWS{8$bhJ0PgyEV!CBydjyJ$0Rt$?jh;62P zOp4{c3`CrXqf?&?p67~Jivp>ezF!VS>h2;rN9Cdg5?6CZw<8W@CR{XeZb{hmuudqp zPFQ?!r zh{h(+0Z_MEH}|vU*e?ZiWyK^qR6eyuK6fbSNttyv>+|iz6FWdGzr6!pVYy7^6h>AIb)$xKVwK1kZW3(Y>=OOj&4%zf1i_ygHH{dDo3u#E+^4mD3D)l|Y|Cshs ztO56#leEHmi|_fo-dk;f%H$$QOb9H?l+bznePA;H-3W<21ED_1&%!^9L*oKQ!R<24 z()j@6prX14W2MU}NY&9;1i3+J(>RD_lwer&LCgWXxwS?KR2HbN!QAYT61!z~pL;GW zB!HEG-U@*ml9k}|_Y_WB^s|A$dB2~!&$wJZb^NHdXv7Gg;jNq2KR{8+F)Be0=!oRh z+VA@^(o_`hEs|O4ijI)vxh^Aed5=@TxE}*CMne+c^lrGdp1BV}HMd<`>(=XWOI%tc z6sG?Hey+nKJ|Bq7*r#Fzk~{k{^)4Fs#{s^cP9+BUe0LP?H<0{B z-9Ibgka`U$@A2+=juq>v{CZa+Vo!_kgn7;8S|G+IYoY<1i@>$;PMB9#7{ga-i5o%O zx~8{B1|d#-VA}5)X@dz)@Q^ptJpu4$k}2TGJj60Q*9a;eiYI*=B;uC?09@w5rYgxDnSLp_SjI~F$X<&n!B*8iUCD$6%|7-vM#kFahb z?RROJEn>F7+n)hu#Ijy|$|0HA|IuzlunYzp^1 zh*0xbEnF;rrI~p2MY1JC;~JGb);B6i6$vl|0Wy%%gS$6lj6BRkHI;Y)=%|VIKmF4k0HUnM46QYd- zn}x{tSdkYtdtPf50{MUl1ch+u((g;HWr4v*ZtTDQI~U;32A7U40BlE1J?rC2BDBN+ zMm4TZF0Cvqody@?{WYVx?(%J^fgAOL12n7y4`=z?oAekt1D*;6%^(u5f=FErz>$#v z?Q!bPpxrh%;xmMu8z+F=dyAizeMvEg!2Q{$*>Jl@EwL@S_S`# zQmCEg8VRvW)Mdw<|LARbjiX%G4xHw88k|6=zMn%d*OlUPTwwNGP+>6)5?F`093vr3 zZ+QNhEh>5x&QT;^N8yvoA~&;RYrn`{*D8fA5LrjMulr)X?MqNi78%PJ_*fn{6^ zX(PU84v)LU#a^8Z%~Lj?=1m)ty-2T-FJx${>LK$}q}w(H8}(#C&+?yVqx6;p80gdL z3#|T1Fk2-UDkoTr2-D^~Yw;UN0r_Ao49H8*fX~9sDmw;nZ58A4UslfBf`Q|J(AnJM zx<8j+eG%`)c}sMxBoUGahO`|L;dY`WIQ1F3+0|={K6{v(zaMy>=v{MzKYW((tsPQ4 zOwehfZl;q%?ODP^cdX{ep6p3V3@VM~ib!Xh+35V7u#iAgA>7Ze5YG&Y+D;W{^=a9uKNZjHiOlhf&jj;L>z+T>U z6||Dt1h8K}LL@HO2dfTAD)_ydg@5#4gAsihVDZkEGs84Qupicc984o^Ib|Xn>=jR+ zQqN4g^F?fm2!9y!6z%p>@|E5O0lnta4I2V%{hx_qMi}Ax$8ny>+;kQfo@?cZl9(8B z(YKiR0SKL`#wtJj=}zm5al)DBKvx(DRg9x}52WuaV8bU8GP;W2ns;5&_tj1@hVfm` zHeR{=X=QZZni{fU-#QH{YK<{jeG5a*BxMW$+-ab>*=c`*@;LH4yZVVXvygY&yzu9; z_tZ8kLjW)1sfoX9k9v7Fs^D_7swml7>CU~Pnpts#YW0X7TM5RMlm0Gt_E)4x{hXy8 z3+pVWA}7%AEA==wVUCKwEV%c1c%{oPF4c{x-ap|}7_n@y5tZnanvIcuFSV zS-t)|0>raw?in;@3xjwK$fc1qNn<4U}<^vQUxtWXtf zo6RSpf>i0T>-5E+h))n16&1nJU{oIqrc5@nM|cc+Ls-s8evFBoAhAfz*?OoZIISw{ zh6A1eHD%MQFE}Pz&>he7o!#p_;LkifPd7hU;uen2QN7@cT3mn-LquGin=X}VQ`IW= zP$>XUoY<6r!$jkSf%=Usz$ZbhQ8N$UlET*Ne&k{e^%U!BA&V7YbeE}<#|$lFB>k;| z2dThU35z(uOLWJBz0@Y71g@6oj+O_gZv(*oLg{{#0!OUM=Vg2DdkuO}1siK*c$K>&Q3|Nn7R(Pqx zFU}O5EhTqyPk+`J*yC<|%|u|_!=rU5GD_s(?R8$5LRNNWpa5*L0Ucm+#&1lA5fdQ@)A92Ze6D{qSAyvsA`(u@r>astvat&raKh$tMPUUEB zjQ`IFfgcd-&7yCYl=t6WR|;kxA)bd%#^LBpL+tc6nH{KJCM;`P66lCK+ihS*sYZf0 z_el_aCAyZ#IU4_#OFP&4?j{g+otRgSoTBVJx8(bCOG zKO+N72;!tm&p{R9GnWv%-g%TSl~X>JJ9J!F&9}V)4?kiiXwu_4ry_$LjL{wk(g7r3 z!O%mLtE}SafFI88IKC;Bb;hJ95^diOZ*g&uODAIJjWv zH?9p+!CkY}7QF%IGEaF9JpHe843fwgF^eK&~UX#Hr$3dd- zRz|kB|2mN+-Z6uMlix?19}&}2;p=!9Jmrde1Vj~proSCXxIaXvpV;&W)QO)H9DaXkmWKZ=dh}0sl=^@%a)!f`^ zs^)%LJDmEOq*FwghtT=Zx>vcO! zwRQX!&;4b>DJlO#>aGe*GIbpiGbc(^B|*!ykjD!$5>)`~&=QL`;Syd(Wqia{e~0St zJln+JRRB986F-L`KYtwrC4Ppu$g82(=SJ9dBgAtIh9Hh2MS~6fv(D?hPK4KAFDjnE z-(|cH9aA~l2T6$;C{-nc4F+O{ruYE_)Wgu*5rFf%Ny+uPJ|5E8z<#WB4Q;=&H10U? zabsCCKGA{wzx>+5m<$uHPt-s0lZZnBZDSbHmV1eS z)FKkM!^3kcQiQ?GOgIvuvmf}a=^2Ob_)v$$*Lw7Zm0^?+S4lz4PM?y+as+N)gjPOb zJ}dZJCO#(LJ-oe1E3Hy6=cE_wjtOiz9-N#|-g>5}`-M{aN_ELPcsPQ;YX6$$iI8A- zvp_P4FU5;q3N(63)j444^HfjiZYd;s+%iBD^kJ56glsJYMPzjYM>_zj3PI?7Rpjj( z6Eqwy9D{3QAy$n}wX3;@*R?4+IAUaywbEKy0Qoa8=e@c$ff|n3d%g~cEvO4Ru8=fE zlPfg#`=t%BNEZ@Y=F~iNZXzxPSFV$ZcMv-mXdXhe5wMaysaL^FR#$~^+6x8ga8#Lo z`Tk>Eb0l}7h0Gy~_6YUC=>qOoeL5 zws-&+r6eq@zDoft-R(ON3rqZ7OsV(sVFa$j(%(#P69liVN=OlN?IW>h6lUm zK$eI}pD5S;vj#^CDu9t9pR(}Ino?=Sd(@^=^i&wS1br^L34_BDwD`pt>fk=h#J$C< zn3?Sd=--(sIsoN;Q35Y)OOp09wb`WYqQ0FcR> zcM~IP2K!V>T^VB}kb}m^bmN|wF+U)hi%bWY)l5` z_|;llD{YjmUBzw9^HI`2#HC4kN|!t$;f{ z;v`LWflS1W*K&>?je>OOu&@d@*a`tRr_ZGeK0lh?X0+irozd5g9%V7tQeWuFQtP)2 z7-{I)(tvlCz8!kxXb*U$fMA`jo`-_U!u#M}R+uV>mnwynXpWMuexbFt| zc4TOrbU}YLz&o+#fxSMtLI<)PGc@TpbTCiZM_eL0)4-<+wn#w#&L)dBTKeQc z^Sg4vo%#Y6kFxn>PJY@o!NLiCiJ_0C7x@sYqvlo4$lhH53M(Ptcs4(2BPqBWh(Vb2 zl?4y1t>9WzWDP!~B1GKG-;xFE5ikyq-XH`XbH9+SLq**4wKQ;7qp<9K&9jl6M<3*7 zV=g5wS>6lqkleY2n0!NKACy}s01_%kbKGSsLJrbO4o(nOME!O8DoXSb`E7V&juw03kBwU5e!c|od zaNzx+npXMpvM@oEt_=87k5^p9Ys3{selBy^XsiW@GoHQ6wy1APPYfn6{%{&)uX`hN z+6uOnPS_-yfJ4LWK)PiRImyYM7A_ry+IcPEJ!J`k*F)ld?rWCd$)%=R^`X*kYF z9XQr?05{Nw%Zkvq##W8}*yh8C+xsoFA*iI38oS%!{y_z0l@<0dpEVB{F{>C7Z31Ol z1mtFChKZVHbx`)7-J_7JfVlP@$5=+Fj0Q(&eQ0Y&H zr5Db#!V%rA{>Z(89OcJ#&y3kh zV+@Qe&lU726z}T+>lYAhDKG)rTHu)f#hQU2crN5F4YVr28Ux11prXHPvGKrPCvhjR zJ@r*y6;%AK#;Ma3>$|?b{>%EBPUF55N+`)bPsaSE0qT89QMUcV?U5tF%W6MQziipXN7j-B`2dTJ1*x%pFy z91KK7fxASF~s!5m?cIRc~jo{q;aHR%*c<9FL>;)90w$LW~mFS-|x zjYJ&`j5-JFnseZNQ0wEu@z!h186z6R^KH8^cs=(`^ zyzz2pC%ov?=Z9p)&g~J4+S$uv2g(I*Rr6lBW-W9#XQL&0@bvq@_+R0V`oaLqsoynr zv@p4G311m3Zvs||6_zWzF9p~xpw2GoKpQZ zaYydb?YKx5c(LPRJ3z@S*lqZjXKUoW)H#136AGk*pEBocamW>KZr;2Co&3#p!&`m5MY!aPV}6$&YElGyZI6 zDAWB67h5^hZ~ZZkGT?Pp9t?VoR@5b%yAGd@*;<~d)Q}2}p?E%d1Xq%hD|1rl?iQ4( z?RX-uRmd6|?`g@l^>-=h6Q+4r2$O-4NbQkP_Y zuJJ1`Dl(|ytftdVc89j+d+^gv{q88^+k}zNtn;>kOZ4oOj7{^(+jBlFTi!aNWSj^t z0?}wNLYi;$wG^&fRYul84S1#PVV%)aMq-X)0ZaZ#sz0A~@$#@-tG3elCL*%2%+F!>o4C*kHHr~lk2$$%*_#(I@IZ;Xa@8~yA^vVgr) z*L)%`Voa%xpC<`VgJ0)ndqVjoq9hc|eur zLc-^_`Ed zEADcv8Og1oWfXRU^72()^*G`>84=LLTXmU zf^cz|*mQ^dEz3OPLXy(WDtZTI9eA`!e!12aUo@hFo}P8076gf_j;Wcm`VQ~4x?5oA zVfwtx+utYKCS~lPncq~W2R!+jym^p8M9p%i3vYG4i?whLzj$>fXSTj@S&V;!Dv?BD zQF&hOD5%XrIFVG@DcEDxoH{A_`HRB(9GRsPCE0-meu~pxQ9fA41a0ZpqkKFYqfZ8!Tr01AL|SX z?qS!Dk|%k_4ur3Bdb8Oc9DLa`JoMT1Xbk2wHNYjc1o3{8-LX$iB!T3%NpBBCN^Ta! z(Y~z7E4T99v~Ry-HyT-ZPqp`a)kop53jN9Hi!GH6XQL7kzCx;YM>5? zETy(a2yh;l@x}BuHMdPJl2# zSA)ar6%@6xA-XGHg?}ZgZ1zIRsO;?3)R@3@`}stHtjer5$COcbK{mPKh7$wSOI|)# zq639t%7PZv(aF)RIw5<&Q_S*cE&kZA^ty22Wd<$Ekx^hP-dU7-hF5`&8a#wtTpnRC-iipJitG!v5*q+6D=k6U-3IGACjO zCiCc%|K#v&0+MSTnEbaqn?CuULhXOGOxynyYCoIE5mjS)VA?U^ZNE+bCHp5I(my^Q zJXTCpeSCn$XR-)f@K1Do9Q8Ng8_rePWs$VXNLmh{vM>oE+BOIR6U_Ml6RupY+{yIG z{Qk+xSXyNqjWq{ZD8?)u1LHDZFH|u&N4c5a@Hbql2qz|>Urdt|6L_r%8VLYqxrzVS zu_lQxlN5ylrVFL=3d>`C%kv7#V|~i=D#~LmrkMd+Ce9}D z+y5(0=av3NsLgyRZ$?u@CYajkybtA9029hIm?*>OF0%DfZ zO2U%}FJ}tZsqiA5R;G&WuZo3$NMwC>+QNJ~R5Q6nn%dTx zKQ_&lwgu8(&uC^8_!~@^W<-%rs78cZUP<}94l|)x-PxuD9cHA=e3-LBOe}cDuWBI z8G79|%@F*nR{N`ZkQn@YTbHrI+J`OB81??>SQtU1BM&n!9Nfzvu{>xTY}!df#78j{HZ`i z1lKC_d?V#2Go}S97%a2Nj7oe3X%>Pr5l`O%-*wsSIDi%+?C9 zwn!@ua50;tMa%!Bp=oqyv01 zGcWrE=64+S3s|n)%IF0K8#99!F!=0=swr3AptGFNg6 zVnyM3WmWm*Ra6N=<_eyaE*kf`JRPtav$C>gm#Hr?DJq{Xz2Js3r;4j>*00J5{=19> zH>UD3;cnEugmC6okPx2uo|?+`X^z3uhRM4PSFcQTOcUfASYfa=wQwy{_b_2?2I+9) zGR8_`Y?`E2<_g4}Qxj_f&+~yZb$Pyjw>g!urtmxucs!f2F zseALT!}D*z37Lu0Y32sUSDwP)5iMLRq)L0ZapigDMWI=X0*fLDt>l#dRk<+-@XUc` zRt%FwhWRy0L|$$=Qyr0?T|PcOUMZS*KV1mT%=wBdlQSj#$We_2<_Y&-v!Ts2%B!f# zudJf(|0|YNsm)YW8RwN(%~V$9x>329gLsm$ERK0Pv1N$CY7^x$elb675og}KU>=F0 z*#dv>UE|Dq*GV|pTobL;3q%N2Ipxe+(YDw;(n~-|$2Y^dV4=xW4AKlCU#1q94@n() zKj9EVc(hlg)Df3)Tw_e-$XU*_89ugCl-_5DLr?xxJakc*1C(Tz<2w;xES%J&xZivrlX zR!Ix>9>qzxw9l%#2FO{x^>)Gqfhrz0&%ehWae}Jb0j?j~2?Y zR+di2m0OXU48z6wg+tuDj;1N+$=0dH*`gMFnm}L3*@XLyypd%CG(qx$cd0-r+ z{SG#|(uPQV9U2&Cpp&k}f=UeqphJG@_+y=C!0KrnN0NOtX8uAkHYSI73AN^92kYqy zuLJlECDb6Dt&64S0Dt%IuAm&h-9g^eRhB=`;T0&YBZC)@?@odn@oylUqY_BBD9^VM zvksdUxQMu?BHg#?EZuaAP@`aw zUshZp1#X=z%pMu&81k!E~-rlWmDekWWn110sJRi?7>u8RM3y_~6#iW4O z;eq&m=q@-wz44gq-qrF!F{t}z5X<3riX+?J*iRChOw28UK|tu0D=De@b$DBAM? zKWd{Cc4)`;+%_RFQgZFM;%_|sG)j?JU8}V8OvY379v;?tEF5!hg~)kF=4%G72G6@= zOVAyQs&+jS*ysINEdxJJyS}lFcB00bq*vGU;0pgx}+2dG|3OTLVM6 zu_0Vk3`>fQ(JM$q$3x%4GiLtQ?LRNRl)fH1vw^?#%71@(gc4q8{gz!m3;bMbvPo#L zy0Gx8nGHAA<+Lz$9}k3=nN|d>=XU#%MtrMP*PowCe!cmbA1>*oN*hXqSG(#MlZ4ml zFj2BXHuDwtP2NVoR=i$l*$xsiG=(9z-YbJL5z!ZtudO?}Ke^b2hu9{BC@D~-k5 z{vdQ^0PQ{zAyUwtwyg!a4$ldoLjY&EGdcg9wuY7+`1T~K#=?i7%8|>#64E${6fUEi zhW%jip+hkn1HjQ--&VQv@Q>_k5BL7O+^SOWKr(c0ihzO*2X#dP*7f~n>uVI?r|R+n z?dus&sauf!&@*dr`u15@+yAQ z{E5=;b(e2mzn^#NvwHg_>9-!tNoQ{hOsI>wGjlMfw6Wqcd+e4=zVfKiQJKkcUsrFh zxY>w@UnYIVehm{)-yS^6u}JtncGkxS(csyvu4gV9p+C2!_?Bh}zemCztp#U8Z5aFTmc>vn;reX_};D*@uD9Vln zJ+CDqEOcUDoq8e43;f!HI2MY68zAyA0=5tZkEwnPb|7%)F|O2~1k}kx8oner>iPOf z>b;*3&KVdwyai!zHGCQ9UxPG6)*qU1+>5xBfI~0$%D+BZy#)U797kXA#Q?X)Cr2)i z0AV@q?}k22#Uo!dZ{g1Ng@98@;g5(r2Vj-Tr+wTIhgo05XuEK3mBLuo@vy!*L!UKx zQt^;*ti8)t>;acczsayWP5xI9+YCM0s93_uJ9IDHO18yn?HQr^`?dY97B8*(etq86 z7kTx$C1}TfWnKy4wzOM#Yz!b&=j1e!gE!T4`|RR#ajq&VhgFR_5pRqdI))!ePG5S} z5|$iW8E13y+xHE6NYBV&`(4E%jLP9PDy&~VHbnvuE+$m|4ktgE3_;^YFzB+q{;Dt$ z*PFY9@IPOXeyOWLT)H8E`2s&UG3g#DbUA*87o~Rmu7#;qmqp7ZKF6;FDIr+1#P6jguLG}M zoob(-l*TB+h2>dNB^Rd}IQ8GDvoeN%`8N00Knns&b+Bm#=kPxO{p&6-b=><-)<~c= zpCUXA)o;VB=@1nH9)Zq{i{7A3CPy-$0IMWg)Z^$tzVWHgj>P3HppqW6Mg)%-By8;I zh~qxKfuFqI?X}UpU6svw(W3Iq%^SFY7{HUQu}(t3laC_K&h%YbZiDA_hrInF*4>2yxyO@5`1mP{aubS-S__Z_3)VxH4Mil#sgcLS{ZygGg=og4FY2N#cF zZCkMVjK~4AhfB8prq~t&X+e=xF*7g7-l2N>HHVJQZZ>n`TQl>WlgW zZz{Ll4Ll7`@rzZGyRQbY3jl5USk161or~w#GMxU*u~T+49H*`C@PLBqN`bhHBJig} z)=$|A?oeP>#|NH89_2nAK!JtbC&1cdkCOfRi2-!-YrM807+om@9B~a_&l-gni#-A` zBGpoc*Ld9$B-Wd%3RTldUC~d1Zd8>P-7h3$+-e}Q#XF4vR3l{#V($+Z0GXm!=+y$S zcM26fP;NX2TNJH)k-2kGfl{B`sp0q)%}M<-q;ou9LYHC9B@tp zroQo@=JRw7Jnzuk#YP&JdprbE#Q?ld2fjrM9R}z)Afg$3r?C@)nQXVUT9_8t>$RA$ zy`_|$l)sI*Z>*OwKu)#^zf2SojxzDOs9=EyO7;eKN6hyI=IZyS_821He!ja~8o{Qq zSikpIq=f7Hg2yt#p%Gqt1-8O|?24C>XPse{>qDAuCE zAL~kQTVCv$eu-HZob3vHpTPE5JRzgm8gY}c(FRXux5?D&c5%jch8=bJmGI(E7w#E>gY%a`j2}w$hV_A@ZwYDa z8bcxr^YTW=;g{T|@T=RW zZM~lH?YVw?XB@Rb=dJJe2XPa`tYJXdie+`tJYrcW@~ev@?^C?H<4Z^zcdB~7<*<#x z?^>9J?uHcD^#M8*2xWBW%F(SbRu;w@^T>19`B$6|o~dc!n6awCXNA~_DtQF? zZh7rF^CRx;gZJJt_VllebG#m;qGP~bm+qUA_giYH9j%a%`>UWWHZBGw9{xp3Mlxb= zbFFR_sOkG(%)NJ96U!Gjyqn!@LJtUnh`4k@iHHS6RH}f|1XS#?WA7bJR8X*jg`ig@ zB1BNopk5R!Di*L1`@LLLiXwtS6h)-IXE%YM{+`eK{P{%Lo!$NJnKNfjnc11yb>?ho zdx(gQ-FvDPX#KnE$*||qj|5t;bFJ^*I3ev@M6OHrsIGJHjGVAN3&FHa>n_<_RU>A7 z3xwJ)uj=lOTV+tTl(lcfCr>O=>%Aw#IdtF}!?LWqjKo-0E~eTUTiV_sjmMGRAGerlW~__K*Zy?xVyRxgV#MD-z^To@=IH5m z|ECLD@2oX+$5L6J8_d6gk&TDn&gVqc-YdvwpS$XgXhlacdNlCy>7jF1%uz2s@87%6 zCV#|N)R4v=0hTx70Mci``s`-n!aa`z)2^?#Tr2h()p&DD%#>+4WgT*S!QkaL?)m60 zX*4pONUj%p#fXyQOvM~~j^JrsrD%`PefUV(y6UZuYVw(G8`&OJmeI2ODE*l|ejG-0 z8xWRgn8&5&4D?QoSR>_yN8dq4y_?qOZ>~iQUy!C#mo8j%VAxXBD`003<8QTlF7vfV zogL-M*%z@+$T(X+rs*H=zlXXnB)@XD1}C3uPA#h0IpCC|X~uu29NII^3$9)8d^C3I z#;#0dX3n{i5q`)#1W^nUL*E7J#uWj#mE`fkH_g@v9LAEBXD=a zy*nP9x!Vvm*)DfJ5-e|injg9S+Yx5+M*huXpR^d4M*LgtSy8a6C5@%wvx+~{Xx-eq z|G<2Je@<5n8zJThJee+5Hiuh_1TVh+fHfS}pNG7D-P-FzO4ue7Gv3TQ`B^#%q~lY! zD}IvJPdYp{-Zr(Od}9=YX*KC&m6tlYDbCaB(TO^q&i6Q0?5;=uuJy-icrI~Btkprh zz11l~{n0@Aq`yMv?nX8(l&?FHuik*g^!U(^Ii#ZFe0bBgeglz+T4S`e6%#aApwf(2 z@sU%?c3j&$Wk|<7@k(nZ*-^Z7h{v_rDYw`DtG#V?bkDUN_OZK4j;_@-?5GxZWX9yT z??=LPw5C1bFa;IKeWQ@_jG-{0_@{^K->lPyOZgkbn@cXm6pXghoH60cbXIAp*|fY% z0w?)4>2SHgVoP*c2MfECuhZK}Ff_gJ>X2TcEwR{Z!uZ<{V{z1Kp4oKr?K_t!*Mi^bgu!IgFlzm zyZsM@&ug%QOi8@n^p!S<&h{30R1oIdgG(W%KpU{|=mj_bDxS0sd1QQVJ zirTM2Tw|+g&tZBa(n$GBhFNg2NrLdYDH834mdCJ0G#jn>5I&~iT_EcvGTh{5ya9|w z*_Lcfw0LG@DhT8c2wZQERtNU06dEs^6V(>6wJ~3(C2qtlhD_W4(6XRUWvms$`&=Fk zQywp_y?P(HGODkG$7)geYunX=O0{o}=7KB1x^Exl>#)f63my%){3`g^4u@+OJj{NY zc{WA_cJ#I_bpOt1w(yw0ZHsa4u&CI&yXv=EhYBKOpAYCB z^6d5MbBta?(H3Ifsh)LT-yt?l9*py^?(2jyqMOVD1+M(ggrtEo0(Zgl(fuo2aGhvnAhrvja9w)e2KYP0Q|!Z^~GNm%ApTl7Bzr_TrHjz1A& z)^~WE`z#c1{>QV%OzViQ+Ul8gWyasmU4=$Tm|)Hid8A)G>GHvcoANkrf7p)%=H*FK zBQ@&z8V_DGyvCo4aX(hg={H7CJ_dV@qrzp8L7OeIb^BR!wA51UIPrbf2MiUQ+>RKJ zzF(jtGxFJF$=Qf(VZAfEop4lhLcu?q5L~kr!G7KvX217@Z1^rtN1^AZd^M3QrssiS zyy=eXBDaOUm0atqj$lsCx2jzEb7CJJ>u1>}zW0eS2w!Vdrscf$8)Dqx8Dn1#U5OE{ zNZ>IJa>&e&RhR5)J$sdR?FK1{^35y*D`;HweyV*h5XPx(2eeWh81 z&8G@hZd^}GX`gTA1-@Uybqp^iuYcd@WZ@U`#GA9Hm4 zkhQs|_x`*)toJ54Vt2y``NBuGkNL4D2FbjTbYayaX|5O?o~e$Fk>2QuYMU}DwSO*R z^!l=S>!;wpuTWi+er~+h`NL11RE)DC2h8+e2nWmRZZZE$gEnnV}IYgIX>i+ z2`}JO&*w|tt@s+g^CM!|QR*+~9UXN?>@;RzN%0$o#>SY<$tPZTj0i)oYhmBM?5|50 z{3;`q*!z<+T+<^^ki1#Gg>{W|oOXomz-nH)b(0oy-p8@Jpqj|;D9N9B)9r-lq_poW z&T>1e2N!-C%y{n}TNJ;Ve{%od1FXiW#}5q;ll3S$dGLka8m?vB`6YMrk@KxSsxIgG{zVJDBb#9_6e?vWc$ z`)^XqU-)UWbd}Co>AJp02~kH|8hJ4D=+M35t2%o%*;2*;i z|CH#KrFQ)jr;eEX=5ThRY2S?gTLps9nJo*vzY{uxuMUq~-oGrM-e}O^fpYoz)^Etb z$^0At_3@f!S(dbZcc_tOg?gp=A$E#PcimTE{^aoO7yiblFE)w2?{~5Q(IS>r-P;wr z`M97QbXX1MBGX)uo z^D56?D%K!Q96P>bFB15ACAX&M*LPcQBhDi>y)i-7*WNDAXMebjXs2kR%&ySquA9KI8Ytt~qMzUI%q=*4V*r+EP$||}Fuv)8Nk?$LmGSP8>Eql!7hP?gx%KZvd=AX9 zo?BMI+8k%twpAEVl=2?W)T}XZ-u3wS`*HR!caC(H*335ENd}Bffkc zWf)$%DyxyVVJxlQ{B66Bre@_`yLlUD66)~}j`0Thgg@VTZj1wWx+L$xkhLE2J4Y@> zZ<}{k`%3xS7&G>o@wat$^yM5IE@%}^(`V*!F8D2f7}j^}%IlYhxK^KepS(q*Y1xzM zQ+fRTD~}EvI>D25&nYDB_K3mQ9@{0+>)RPGoBpfNDb)EpNq*v@$WbgP`_yR9UUmNK z!V8BSR(pp{nK0|&jE2IQPqsWBHY!TaZR6y>wlySWa)cqioS99=@^@dCsvCVu7Zj$V zYC>$(HJsyrzb5dV$%5iH&Y{QSq9>Qdgr=20fnQO6!G6Ey9?~%-IiG~Jp{w#563iYB zE4OTny!daDrRE0PnURgwVMnfIu_l|A@@JQ=5v2tb9a^{i+m4^%C)*B9SzNokBH*0y zzKZCYe)n?J_VqZf_9n*0jNO*uWjJ5J+0CD0Z4$5+&s#Nc$S&SYjpW_8Mpj^!eSRc3 z^}esUIrnvFiq6QYCr1546NtR{Xv^!nJxV9}oDNR2@%>Q5Wu59dOzY6so4Ikd8`Njs ziD9j*jr_K3MA?APk>6&Fl!xMb=goZL@s*Plhwk-n<>v5!@-U1mntSM1& zYZzz6j|bXcI&720VK#|s@7)?pf?d=Z!N32c!C65ak*e%bW`g>NdA5>yLW8#xY zi9x++eM3@V`-2fJj)nJl4G*3%Zhzf;r_lSL^BG2}+ncs`Z^njYjvaY=$d+4k`bRyV z`y{!TpTi~g4~r|F_w24vTQB+KkqD;2smtpG$vt~6iGC@AN4Waeatn2j)+t`c zmX5r&d7Q-?y%BzDMlYT`iDxYwX$d&a%!|LWUaN}?vb%+J9FhqvcPzpif=3>k2={@Y6FRq583rOB5@L?BC> zNObq5FW%ErV;@zJ8iyWkTVZzOF|@3>gZ8*y8XB#SEWLdt_9ko4qXT#DtXR~4S^cfb zfyIWlm9Yh%bu;@fUz#_?`Obp?hsjRtj2upGlrTTZx$kJ1gQsxmcHB9vuXFkGpqdkCGiJc4GzT398&fz z58eExKD6VXpt5{JAqOdb%DFvC{Hi+6RL^hpEq~lECZW$JJ-^j!%g}wCJu&uX>Nn0g zZOXryYi*+GA=vFHNON{?xqW3#@siM9o7mIKKkXfnrP=q5T?crvycOjO@r6ms@_MdTJRq}!{ zFRirs@-(g5GSjJ+jCCZ|SjloCFEqbO81z1$x!tq|S=x-CM7?jioHX`Mxu4H;%DV6I z1Ks@4V~5{*f8GBKQ}Q%@lCn&X>7mQ)#0_aGJfaN4+b!|#O^D?eBG3WUFDQis&Dv$xNR-}E-FuOKb>%_@ZiPrgpr~a zwPVHe$Lx4IZ$QbkTbzwgeB*hqy^0Sw7^T>T%Cx>R1!zl@OS^|yesgPCQMirg{u^aQ z0z-~od;2)IwpQ_vTl?P?+&He+y42{ali>c%4hvT6uD-t}3-Vh=Jj-ZAR`sg$#!i&` zBL}TEe}D2Cep>>bc(`msOr4Oh1)>wjho#Al`s$*kHyw=>R%D$Ki{qDtnC7Ch zKbK+m{>xupYN&8`mac6@0^Tli?iXFj;Za|6@na)@%MBAKiYvhP6hL z5O6ZYDf@7FUBfL67uFi5Nw%BmBs7KLk!1W%unz z*WTTkyz;+Ax&NK3a&&XkBC;MoI8gq6`H=zDM+VJXob{l2^4V0IGi2@iG~{BxkJ^(0 zmx*>GbNY-36u)yGz4z$awRMsAFGtsHUU%?i!(8L5&l#l0;rOb!iSLh+o_RC<_qyhN zH(9x|_pWw#bUJ6)gA$LBhfL{S=lXboFg$KlRS>D4x7UAblkoDEo7*-H%Ru{oygn}Z zTz<~oslmvXe3N+6M`L+aLdq^->5;PW?Rp z-0b-5;lB+|NYcmBbyX797u@bdWlCOcX;a?2zq47uuV zmRG)F?~r|)riKR1wA|Ig|G8rMki36G*DXmxrfM=xOuf+cd=Izc6IBq^ikpCp+&@!7+6Eq$@YJnABA)Vfk46_ptUNJg^av z(>RE^?kC%y`AAgt){D8?d#jMi8{XfzA=G_mvO$K#vtU?)-SI3YbY9ZNBdGYvg&UGt z$26~MntyT*ej2e1ywQKGx5tovGe^4r3NtXh}-;`_rdlg`>7 z8nN{;gR!0Y%HZLTNuvk6SQkIU#(k-_`*Xq4&y&W-X(wo3jt*o@8ef4h1g!mt_KQ0~ z?z^6DC$2l8>!iqr>{qMc%^8EPt!XL4e@uR5u=0WPURV;t>^Bt28^D!MnK|CSx9<0s zQ(ci6BQ8JAJ6gMZFE+3tVl!G2TK4d0J)&{pME+-+rFzq<4xJAuRny+E|EdsuuCbyx zLm*u1+UL~!^rd~TtYVg}Uj0*!enJ?YLHs$B9M(SC($dE4K;I6D3LyS@e9wRT9$ zh1B7-%d-4lFKS-p;P>$9UWVtHN8F|JpWHrLnlR@*yoEvI;`rjq4Aa3Vqje#Qt^Egc zzj$%TfsHjt?}7D^E+$)>2Ae;5^I?6(aBrQ$(}Icl8u__dzNTxDLw;`$Age*zBgSq> zR)5(jXU+6Ce1h=SICVtdutf^iEEfl!9nY_h+_TT3_&vw(%=EWk<9Z1a z7_5#mY{ioOLhOQ9!N$0c9M{Wl&g%Zi#(V93{W??kMIn0m z7J{?~ZSBch-)=b2G<+?2zbRx*?V=a?#{x2hUwuQ!pd0HhP(X#f!x^j+4IWLFT;)gA2jSUfy(gzUua&@rM04 z`>ZyZt9xvC^Y5A_{;j+jS1K=EIGr|i@{~|QV^p)ZV8QY^GdlJmM&q9>FJ7}_T|?#B z7^F`h(=7~xHXPBghzDC*ljgP!U*um?uZavfxU?*8?!A27d%K0)e!UUj^*h}cGAmP9 zeP*yfhK)nVMVQXN(iUD_U?ef*xoIsL6I zJjq$k0>KzVlh%jGr`fBnFAcVn2irBh&l5MNomv$z-ph7>F)JlrNbL5S^TKLH*jQ5g z_3PV_j6Oy4ZyziEa(8MPf(`RWSb|IFivqvzVy&ly{??|St)<9|%SE-(SoAx_{ViVR zKN8q6GZG_DKJ>VqrTb!dz$(OA-n-?YH;r?hSFeR&*Nu@aO|kMm_CqB3@8TYD@~Tp{3w2`V4*YrOT9P4uU;GJp zrHBo(P7qBZU!>^AhkwI7C73mIf6BVgHLNmDFZ=z8Wg2JAi8VGB&n`FEtQpuEhXgi+ za3|F6$~IZ&T98q3?0}&0%$lj|5#g95nVzMo|E(ku_v5+K>F!@1W}Y0ivGUOP;A0>5 ztUNn9yYle1EARiyFqr9>m!h`&;kmn0Gn|$W*sw=$YXlQ&r3*w%>i~+{Wv5#6g5v`XF2QopL)zSm{4H; zK!10AzDB4xY|OrZB4<{A?2!G7nmcTtUiZJf!@jOszDmPui(X22d{s!J}XOYNt7y&kc~w{g4+uQl({oQ@Zl&ko35 zIdlr^m5bPKKt_%7lidldsJ`b6`>tdBcg* z^(}UnM6Knv&?Kc+Uzm9R%Gf&tE6F}Fd+e>4F|J#BKJ-HuMS9K9DYU3FG+H{GslDRz z*gccdDi21dp}o<|N3vJ^jI91pSz}i4l%~)K!VX{s;(Y}xC zV8;H0{8<_r$H<9~X6%`5zenH}Z+>{LR??PxddC_2<9g5fFmbJDY)2fTe(jn&W98|g z!nEYQ9w(=3=oZbju?#&Hhqz+mMORG_0^NKDn|`FtD^)<;O1%~RV%7PO;Q{}~r?}VM zd$uIY_41Hak5}ZT?)~EACOM;V*3GN-@zhz>Jr|zc=V5r*{_;xk3Z_L#QLEtgv&$cR zSFIH9ghyy!D|IXmuBuFWokAqo8Cr-=zK-d`6{W7U7@cO0G z<;XD)#=tjwZARx6zat&zm3&;CCzx{G6=*mU#t zwd_|KCFJ#&<0rk`JfavOy(?2@RP~!xJ-Q~$Fh?ADI@9}HOdUDU>)2Dinjq`x!~H{# zS)Xw)zQxey?@k_0qCaJ*pa;UKU$FASlc7g!Qltp+@$~M7yM3tEhFE0Xm9uP-vIB!VERVKV?eot71ow^$&bX{FD+!Aq3*=x3pvP)~#xvR}EXY3f}5g zGivMF`C1JFHa`=YwjU95Yb#G8c_CBA+)ET{AKiy6(M9?cjXHNV8WG$`IeYKF8dB~O zesy4P&8(6I2IrY^xA+&zZZ5c`+4HY4(&y8c4M;u`WZipEe8J=S%LW~WhS#8EM_9j< z{A}Tcrd?dbMYn$12#zzX7?JMB(~RJ|2L`%`96p#pz>D|UHrAtk!-9PSVeNt9N9;sg zkRuBeZ_001et{q!aZtdzzI#C2LU@SHgx_ILL#dB0sD3*2-9A)MRtXUZ6B=Z3jh;re+V+!aRe< zhlGu$Ka)VPQ6@5pMPHSxsL?rz*c@h6s)Ui$6LnO(ly~{c)oa&p6&`+>q-YeKRXC9v;5 zn!244qJ;X-me#iR4g_UjA~`jG-@_n z_|Sw`;AkIT<@X&3ZBz~UtoQ&#KGX|I)r%%-3o!4n384{6F%X7OFIyD#BK#E=;T;+f z+6gm3wUN(8v=9_9N@+AvYakafHb5m)J5&>~HlT4xr>ZJo@Ieg~Y%q!=|0-nK4Ms87 zDNhPZxB={pLZ;oAqcZjL>z?ayv)0tt zStxsEC9dGlnRF%xl8ecUX8)S?Hs5c4(R`uBLW@ev)7GbLPurb#KoBGTxzeiA-7k6c z?v-NqT(Nt>%YM!?%Agj*yx_&_z@AMlUa*CwIIno3MOi2*=(-P<_dNaW=2Yxj!i}T% zctxBa4lj95`dPMQHkTyDW?6P*wmp>)>SZ~Q*^cx%9+};bN|5`_GO;tScttXF$L?%i zj+fm2e&O_lzJ(j4y#q-9e72OGcfwlpAx}u;{Uxy0e89^T!co+rv%GvA*^^{7`R=nk zv0dJ2UZO`FrzsN?Gpam+D7`6i(stYDVQgH|4Ac`ngzw2v63Pfmmuh)XM%aJiiIGfU z5v)e4<-uyCPrOmGulHr2VKovQv*1|9fE5R0JQ6*kM#*Yf_hnTSVbQ*9)FGf!A%Joo z8EYZc&aULCXT~k6;GMdLpH=V_OU>jKiq&ToJjLoWEgg=oo(Q$XTO=;9 zcnubz$t@I%(c~7?VzfGoJYha;l?2}_vV7M)XBI358)j~6#Ls@mqgSiNo5O0g_dMmt zM0lO6)f88|tyYUShsAet3%Cu?)MB+d0hL?VYPAX;y-<(RlvodX>q%=(b@$xy?2kMJ zbN>rdqkw+we#cYs^vqtVauTVK-iz^y_1;s)JMsalfo!o5h(lpZs#%hbmSQZgibtNd zge5(snI)`>g9`?h>7KHl;_<1B%%pu@RbDbim3N%%JFJHiGD$Z}s2V_W09p5em;HfP z$dR4TMD}?(eB^~<Orh+H3J%^ILvTJziT2%daE-tH2Bm$}eZ!7;CA1-z(s?SVFjiggs ztxg41QLt+gt1dZkip5Bd2ei*}IQvU((Fn!qUpxMuY|v-y~--RPq=#*5KMZIP&^A^>-dH5W>{=BwdDv zNNo`IT~dSg#0I&z!pSy?8jxWy2nM{U0A0;!hhvuBun``fUfw>wBmMjXMvV>(3Jw8i zokW*m#S9G#j~FXLPvI@OE({YLMZD`!Hj>nBjK&5Bgh%-B{FOlkp9t*`V?{IWA7{39YleP~kjhM0{K$T8|GT+sGxRkjai zqE>#s5fKx*!4{wx(hK_oM#WX2Cg?;GivjoXPh3E7Kt%UkmjP^!^4n0VTy2AWBdDfg zCz4nRu)_*!U4eflYSBIDL(aq+0pQ$}~5De`+WqXMtCj{|lD<#+2c$tY>)6~4W$UWQw)Ou;q)C66%3AqM__;vp{7ZDH4 zefXoSmR??zN{YN>zhTcqz+Z3GM7(UCShu#4@J7z_qBYtCE|`WS3% z8bgCIe<9dVyJP`_$yl_6PA+3GB^3;YWGw?{tXM^#+6Y%yuceb)8BAi`hUkr(1aR0a ziD&2#o43ZqZre^D9%5)Q;&#x$lME)dbN3!dw@I=YEXLkM`t;un44o-LFER8D4gilq zN*+5KSa4|pqXV4FE6HWxb^k%?rrc}5wcua0^4HIqA-^au)gu}U%ByK(;ThK15~bxv zB!kQbDrMGL?@6d$;ICaB{4c0bCuN1wT^&%5B-A1x%$p*kQr@G`FicQ=ERC*$BvgNN zK%lA@2Ktc>sTd&iD#b9 z%7%khh(~I~8EMYB^VB;Rnk5Yk9W+;@8;t9NlGREujH#2S=tADSrRX{W>`Sg1#_lsT zm2gHpNCX*3`jY*vhgqjuXIsy-nPa=ac8Tpu+dZ~fwuQFeZEd)2Tm;E7BC~susmUaA z71q0FiM*|`LFgpWI&18S##c+}KytK|v>$n2G}Z8BYzbj3Y# zN+@8QVh5L>I${~NS=Mr9>R}M85nqhvl%;CC_TkEzMVuyA{?$-!YDW@uuws9Z!i%Xq z%m_ncL|6J_zEHPz5@(FTE>=DO5TR$7O_D*FE_~{+9o6k_sGxOt37CVu()9C{E+335 zu&Xynt0qwj#&zKjt4vitFg#?W%8dZ-J>=T^4$67i$4`X7V>gq-48&;cS37l{EPM_b1F&T|bbaE>sf3}Fw zMpnBd9=>DnFT5h({1;CPB0?BUq*dv;RrO~b;Ts52>M9k%WMIhO%A%{BqYc#(gJxDI zj$i||Yl=rO-2`dj9S&{Vt{4TmYB97CL&Y-~M5PYq6s+5i=*`eU4)j)NgNiv@_?qW0 zF4>MjAQRZXxnu}tW4jomhzbZNC`8(CO)RKCzcAnKaf%oKu#HNXDqdK4hIbEA2r-zU zhvb*O1V_zY)1c5280L#+}uAzXA8OV1`l#_R*HoPW8la= zRda#PJ_)q}d-E9^)Kv^(9KhU_PoZq|(Xvs@R*3)z*I??5e*J0fr1ELRaE3G0&uXW$ZN+dDzIGYKZWFZ^FyUoB zA9Z`x5rh8*Sk}`#591NRLTu1RViMJ?oa%vN}b7IAuE-RMOF7UUO}PG zp@IN-GqU1G%!f+-OKDv{x*9w-W5a#JJXLLCVhO+;lrWY5=`r5FtFc4TBUUg_#P$y- zYCfKB0xGkl^n4ZAL!h!HqcR(4wFQNAYljmXAlFsOTvZ}5q?q$jJ+mhSkgHsotBN_G z7Baru_j`%WKy401ZE&|2RDy%$PNM&fF+CY?e&IweWch7A~UoXCAJG zEnd1zgjO)xBr9+Y=E~J;)~=as7*82ipfy3=!WMA=if*Vhah1TW#s>eQUc@1QL0j~<7^qZL z*ZzYQHBB6YTArcsdXx`Us;UAe7!=l}(rG|$q%v0(j&>~J<2$J#L?&qd!ap?MhBAu6)tW}l z$Ns9)#7!u}Wu-_|)GR$CBD%F65aKStI;f)l>T7A0?xy=h5vX+AA1ZC@8Q~QcGCsVs z%7}-+T<#yt*?2}k_c)|W_laUCs_cLG+B{H7iLDwcxrVDroMC?t9M;hk5jtG0UGfB! z>O{KaB&}4hL8&rMorZLq99T-od56qYvj0cY(*)DNpGO@?9Cp5hQOr~HTLkF|;{I%yvJcrV~Qik+yaVad+ z`#Ely?rw($Id^057tbxxE3Y~>#?E9hiJ_;-)|uOafADPq8LOSROE_3Jfcl7JRkCm=&VQZh;ktJmy(>S5KxmrA!CEP!UXXR3h_cI z5*5tS)4SWJ+rbb202^ph3@3Jr=+HDCsImZnVHk=uQp{1>sM1<5IdtS7!US#101X<>#3g57@598= ze??;+vb{mku1W%!Os&%HgOH#-4G`vgV>O>X)0(t^sfN{m`AVl(FSEsz|c53r?5m`YxH!Ceh?TD(M05PyYIH&ih5Uo{9Jj4)kfYG2Bs z3A)!3$aPHjY9hFh>rG{@;P^<&Jtv_?kwKpDT-LW!*9d#ab(|vCZVt^lJk%$^Q`Ji# z9D$ndZp9me^!EP-Gh}GM(7i)1481*J=)XgohUVFk_Kps= z4oe&kI{f9}=cxEM+A-Qu=s3w?g~Kw3Lk<>>W%ix_Ch?~6Ht~eK3|>C3fG6Yq!z+dT zL*8@Vefmh(-PB#g+01kl8Qy005`-m|#6=~R>=1`S7k{RiG>a^=lAa``)`fwxUL@aK z_Ki%oP0+})CbMnGR6FRaXCkhAfAXpYJW!}=Pj641PdyeH6w?&@^ITJ*qpTP7LRzyj>Hn-Q?YkWNujq)KdmYKCs|~caFZ|gW>Kf)3?tF>2FzF_T+hTs zd&8JkqRDrey4r@ljC-rv$0BqT{xzu&izCwhNXU(ijT1{UG7)$@kyyePkI5%5cbQf!S3VhGEe;h4?=kg7MzPS| zPQAzMVI20$Gm1={dSH<{5=zGx*{U79@2s+nnB|zoib+-_YBi z^tOrGQZl9X=jd&EsnI;Ph`7(x9+%0cPSd2 zQhK*bodp&06tHAKDM}v*iBve+0STo*AVn^)$~;A3?oyjlYO{|{QP=iZW%|PbwO=m` z$UN5tsiITD!2;OtfWKs+gMv17o}@Nv)aI(t;J4EVeR^M6RT+%tmh)5VDC@KmMzzhS(Df`Z-x*E-dDg z4P5E{LM=H9US7!ztgtcS7h1@$z`yJYH_8aIjT_i*Pj0YFU`WSg#gN&tB-vskol9Eq zq)Sr~%X$tgp5ZDRoXQ|A2f;&Jpv5m7Dz`1%Ak%_-rQziI!BV3@(xq^is|<_*PI;2K zF68R|QfG3ii*zM*eF;gr2x&fMz`Zlz-iZ`&Y~Tm$EFqb_na+|$k`7W|p%3|LuyiQc zb7MS14jK$^nC;~xbtLUvgj_0jvT&HpgtWAi8Y;8Vqq5P0>tus~3*_<4eDGgDz8T7l zK^8`QfJ51_K)DTl4Q^%@YRP^W@ndRYYsvRqA&XAD;!aFO&eh26qWquM$a-hw)Wkih zmi0)MHAaz-xal>n%WE>yYv;i;*XrtXHRM>X@G1Cs${$dF=^yW!QoCx};nYz2GSq(} zbwK+#@*!80^BS`rimi|0H#hUEO8J@e!)gklcvLOcQFxd1N}65;wVl-!K@6+fMQ6`r z24>=M3O}6vTHzT>>0K(FOh`!^`P50ZLQ=+r zN>0G28Z9K{%tAFeYQ(Rq2CM#fu3AQdr#GH8vZG`oHT&Y~FFt>Lv+zx(7BCVBw@#63 zgVLxMI>?DauAJe@hxemuy}qmn&eRdP&rYN(V_M7sl`<+cqtz&D6PYcbwHAxsMbb*e zwv$c=Gm=iA1*tz+8XhB${Q|X4!WBPw^($3KO6%h8PoyAp6@Q_(a(erb-d2l_%a}%_ zLQ`QfNeO*>p}E|q&|T)9%~e#nt>|AFv$vp~B3mMB0pZ9s?zGD_AlT=7$mA45ROE;A zX(j3ZL0dvv&sW%Zc-9s&dn?U4LpoAr@Gg|W51|d7h-b(g6!vaQS+50caZq~WUoDOl zxLh*g$AgII{2 zRCA*4-ysf9EQwAa$-P{#b})3SLR*;`WydDuivEyyy(4u=kJRK*SJex-G65F&(2*AY zNv>3zYG9?8?SuEirI#l*0as9UaNdMEPbGRx%xKOGB#&FEKnl(Q2L$^-cD<z1S6gG-l-vz~+xk(r*#Xbg9ac(u z#=v&Z%s@$VT8n~{*7R~Qn%Jr=NG2bm6D=!hqffD|^J}>jEQ670-4hiZ?f}a9T zN-2#6#&tU#JR5552b?kTPk-YUTT0K%dJ{!E*f3)NvBlvzC8q-4*;9L}#@U%ep~mTI zf&9CoZ>kow6IOP%6O8!jWk&NDv1Q-_%K4;8za4Un9A#-FD)}2TW<>Ht<s$@s%9(2q92pd z&q-UFA3*7Jp+rY6WA*|NfqtQ#Odot3G#WjmEXW7k$|o8R=4_O;gUsGZQ`Mp{Y8VZW zz6H(Cmocv+?%*%LYs~sgZsAI2h|x@jZewC&s*rqV55e)&V9*f|=Sc7^Bgtp|q#m>m zP3lj{zCXD^U?=TM_HdEfr8TFwC~U4TWpl<5&!h$vNDJ=NDs)hIyXzENbW8*aKvoWV zrcK@%oc_sZ9ufO#)@O+A8)PM7R!(D_Zlg?>QcLou3)tlZE7Fxxg9onsbJg&AYhx-i zJE_15(q=`_i2-W80=O~*aR*=J6e=T*!~g$VlocX)2NYERi&qNLos$ za?Sb4(Wde)WF!z|p$q9}Ije~x><^AZ(k$03XqK%!#cjRQB3rbB!e^=rV!x5|`pZY< zcgV0zB!erb6gPra+Hg9XDzG|5)b1AtLjx^&Xct7Vu}gSvSEb@NOs>K<;Z0wwS3 zAD7d3YJENm*Kb)F@$(swI1LGK7{{sIeds0%lGDB=Hr|hdznE|Qv~M|$V7`s(!Fy*Y z#42emk+jjm&Ft`}$~GAM)F4Wzj@_&2kh8Rp(3IvG1gJ+V8GzM55OkQF6*$M4yx=Sy zONFQbXfE?7+nlAo6l=rD2)hJ(2;|a%Sp&)JK_rRWO1axwo1JvtwI*oL zH19em$fbrQ>8<{O@%@{f1U)*>euG-N+01Ek6*8$)M$OyWn&DQu*7)ho%odsExyIOL zir?54BR+)*6w^`5;P=vkY;U^qmD7N6+XO~x$F{b>25vqswasV9+4-1U4>W>*Z7?ag z>O!7UR>-x9~wx)ek*ISC|-~OvMhGlhzQ3nTZwmg>?{KE7B`L-_k2!lk7UX zBDMm2StTG9+2^wpT;y10F(2B6UCly8J1qqLO^{=k0+lr#$dQY}HQ z@T}ytmEc?>P0sZrXFXUwX-F9cR32q#GClGqOvKG;qFnPB*ojU7A`An#a$2LuS7vsoqlw^(hf> zV-WkOKGXoZ57k%Mhcbjd6y0M2wrapH)~tu91nyMXk5Y7|3Y%T|>0fDMQMQXk$6sST z1&WzHsTEXy&|RJ_)lH>4?OIiJX?2Muck6+}P0+!hdeZI6ZuQ-|DroUSU>&TO5tIRK zlM%KFJF82bnz@9(t$}LO03i0Os;k6LW&JIuS~FOYfYZoNZ~T2*G6sz-a5Dq7!{13(mwPHbRFKx=+eKBT6L8!PmgoEkx6K(ZoSkz>LPs|P` zWDL^=I_NQiZ%iEP*WX!$&Vm6l4Fb(=W-Y7dZ#N zFnY;XCxgT!$aRb&*GnpqIM5=2nLx^{iK#$MpvY9v4W|$t;G7jeVulKLL`BXfG~m}l z%z<3Pl!Gd&GB|cJ7pkN-4`BWZSZNovi|r@@lP(9jD91dQlI1X^M1xSnU@AVGYm*od zEOpPWDfuEa32&72fk{OnW9VCkz{p!z#8?_Sf?#3eA}7#kKZ3=WIGKioz{IC1(?sPP z(7rH8_Es{MU`YyKn#mM^%$`d_W&k3hs?k$?9ngg7LbRHJu;|2E%z%P*n}LR}2iP>lRcg|_5@t!wa;Nl0`NN~3 zA~!0v3`U6+ODut0KzR6A-%b=vQWOBWwSSQ7MX$W$g#;?cC~+ac5)?2>xs|yZg62?@ z<~!-(n*pY#q^2r^eo#n+Pq)Ej1m6NUDwb%xwkOJO!*wm8(%` zXs8MVuo!@?Q^16vjh)Pm^9>6R=o;^zjo`6>Gf?KL;;t7p8@?Mod>g=ELLyZW`C#u# zay^4XJi)3ui;Cde0k%R(4OB$|yVxF2FbHe|Jq4vLH<2(gRLqT`yc!A>&#-wme*E|@ zDv;2L2SVWQsQkJngv4DOlR-Q{RZ7D~;xLAdaX_$-ZzRt@B1kc4itm7X`AS9Xlu$gz z8|@h!?ioH32KsrS)Fj7Um>Tj$ht8s|v*ZBtbPfrRlGd`A<&oS9j??vJQ;FrQRLdC4e?NYzJY8{bDe{!Nrb`o#Ifi?C1_uTN`-byEBBF)emY(%3LZh&T+>ZpKx1be!7ZwuI-S&QoX4LYR1yJvp zjecO@$j<42RQ=-@K|C-)=a+iV#a=0AXiyO9r*jElo$Aq9o?PrLOu+T^jtCKiP3S+! z+ut)x=TkQvqAYhV){AlsJg+Ve@y`J3qsX;O+PRos#IK|9cpbnTmCV5?Jk4b;);lQ~raY#Hn(%4e}iAtI8C?zd$6&P>h>X!|O_N`ktX9yN#M7cmq)LR8s5o z3%OVih>Z$iD7N@FfWZVJN^*iOlgY*O0T<8>h<{h%s0kmPxq{c~=1~#65nzuMdfE+U z5%KG^R=f$C6&b%x$jZIg&SaS~R7=BHF!Knr(e>)a1|;!3$YO-DV4Y&Rgz5e|`wGD? z18n~vFq@IV5zZsKJQ+e<#Rz1satawxBWXLmgmINPp0ae!w=iSmgA-kB1gY%hO$iKO z^lBm=fR#*^Vr(Qqs}rWk{t{-VSahVqy#tu^4_FUsMU+{$;^MVXh$+7pf-=B65_J~A zyh1Il2eWFC=(047{!dHmMP_rD)sjA}0W5k-b$JEc@l!H}rNgRJEVZYObEmURbaZF~ zLcq=tkUefgEogrM3*K35hMb!8(k|J+(!zfHY!;#4ur^6NizUd#ba7fmJN1~VqIOWx zXMd=uuFB)nWyBF2MckC7%kR=D8;a6MUYDBU3>-tYDV=(!W6s44Jbi)!g1d!690zii zN^+gy9B>r5ZaRv?oS&|Mse7jgcAUB{zH=u!1MTbb3Fo_1-NKst}4SY3=Inz z84%djJiyri1NyXpyIC!e>)*Y?)c~f9zum0SGJ>8y-UWn-b2w50H$-BZH*D7IHqr5??9b3#)1$gB7a2*ccJyMqh{eDq@PY}678{iuV{sVjnxg(Y zi1w4{0GJpkWvPSzABY2Qzf2*+^&nFdWu_|LEP=P~Wx(}8NrwIw`C*8Ws;)>?A@H6+ zt{Dd!X54iu+EmDN^*9c@x))D?sz{a z>I$l;pi5;c)zpjlrD=uW{efKKABE8G{!Ru;B+dZqRJm@F3+S%q02=@>mtQ3Zx^%Jq z3TR2^BrZx(Zm|f|P^8OY)Pp{8DBZ~|VwrS%VFpYh!&x>qp`P&a4%K|e2c|d^@mJ0o zLzF6Qf?Ia;Qk2LaSo}|z6$R@i%m=o=!>HGRn21mS)3P24@lfiSMT`E<|CKg+ui<>g_{C*SDAP|$yuVT`L z=nUH5J>KDi0T!f$sf4aiRW(&irl^eg&+a}a4ffEj?=A%P{G zi0*-1Mh&jW9wV?d!0G>CaqokU0?}2aZD0^23DPm)#mU-F>IV-EE8sDZ)*s@EuIeSS zDy#@#_2{BgEvYIm00#+~s}mjlU^lD;aJTv7-V2q)Km>-aKROMMhxGY@&6{8SPa{zs z1tFpHGEo*FSQSwF&8YFDA49~FK}$U<7ndZ7SORhb?*EPdDIqbM3Mql!S)z_EFZB?? z+QIVBr5Su9F`)o9u)aV~=o3iqzru9U69gD!a_iUp6*r5gD3in>DDkf_(d>w~aPD7W za*m=(2R8jEXqbUC1&1hPLe_C2+~V&ld`0K(dJJRQ}es+03u-n|6yVp{syc1sX)YH5bYwPPn6qlo90tnbMp4=%`e!;F5G{BjWGjLA1)IO<;qTo zrfe54RaIZ+ks2V*!hs-^jY$OIpbUv5{9OKY5&>hw#%Pk{k(*$jX8y75e^~5Wf7<|9 zPncNq4!iZvUtw~Nzd66VlOh2=(=0qhZB$J}bg}jRU&$FV?H4;N^<3cWDUj_aup;zY z_jhfQb(|W~!XLn@6Y;>rqKFMVYmyv)>DEE8YUgj>$p+S0Z13sf>Oa|5(fS!CK>30m zs|j*IhXt@nwHpJlulx}PBCJlxwc4a*z~cX65>O}Rv9FIPTP3Sa`iR3dx7=o9@f>d$B$C48zfJ4{uf8jDAsLqS5HMw1NhGmPU%q}D z`40X)T9!nT#Ey=$F(de&`=$}`Y%CawRfWkV{SueM;J~942t+UzOF~i#o&F;yk4o3R zXtFe0JevHaii1AFnExHP+k`5&Bc$^>Fco~Vn0GzK;B)kCBqCx4o8ceZJGzRxA8B=9 zYA0fLt+7i^g{sRG#$($0iU|cqV{J@L?<=HBsk-`WIwW z7kD3uN$>Mn;C*I-1}1xv*$1#8UN~n%=#XXYe{)i^!KxFH97mWH0A44(0$3F`0x)az z;--2~Sz&iEj}6!u;1mU0CnTo?#&&?AYm=55HUY2$0+`Uz%8Q;Ux~eIFy_p&;@N$ud zB((ruV2oz5SV#>I%ERb;MUpWXJk0fvLW?^7F$xpu#g^6pu-_#I#CX%mXv~*&=?O^% zE1EE?<8gw7dEa%CFWV@UK&)EPO}dWpidaQkPBWZOqtj=5Y>WoJh88GE17Be=BLAAr zG?rW$8M{*Sl?lg011}2R%6oZL1ly{NV2n4OM_Uz9wXlcejS*RsLpn^D3^q~ScuOKq z+)A1b{`^dmK-BOacz$vulx}zqU|3MCovepn!s(#JC#KUR4z>VIZ4-TMBI2_^B&Mpi;;}1emGh?aR<#Ej zwT_=IimWQTU@AG-5m2L}i=wI`WJ0jRlluod|8<9X!Ip=&=R|1)b^)vI<*#Zdv<9x8WI~3Mvsk;-L!H& zDxv(pjpxt|x6rhWB_*}0$P{urrc9PhEK3Hrf~J!RIH&mwfGz$}rbQ-TnG37( z2=)UoP%^7Bm;#gao^&rfY6$EPa5e%QhbNr8RlTRugO>pq9kp$MoDinq4GPBoJWBkF zTMl3#72?78yrR_pX!{NX!sLzSO9&tba5VG{z_o#UH6l_1!NGuBuz=j>$%~T#X{G2F z90Fkb|5#PQ!QM+$#l}McjCX^Gw_b+sbboYt1;j&=DvkwY8BOv{6=PC zfL56oSsd}er{ZN@f7{J)a3$bhzwM^%6ek8GXC;6c{Q*-(Q|S4All6Ca6@caP&qh!z zK{r#LiMA<x2eRtl4o3mF;`t&eIlKnIy8eJEf!RXN^vRAo=wk$c36Hvakbi`; zz_3o9vI|TlGP({=feO(%KZ-KIBCPS{<8%bLiE|YD2Ur9()FObx0Xj$H!+3tuMOb-- z5wI!q!6UMV@E6AyM_W5$M8@uxc$)bv|iu|1*y>@CI1kBS= zSu|N)*x;T+mO;l}m6GL=G(ec=xA^M9V;`7?srOAFI32)%e)INFsC_CzdEwR9;0yq( z;4?kKH*YA@h9YhYEtSAocp@|;fl?Ef5!4p|4!sQ?g1ICm4y>OR+j1pYQ%ox+WZn9e z?4dmbIri>yGKI$~Pzl+x6J#tQbH~nXa8nNO_r2^CSrT~__VC&DEpIza+n?W+RlDsp zj2M4@9eOAsdprn~v}!0%2BfJvwKwA*G4is*C^dE%TxdiTXsV|Xz|Yws8)H~&v0Y{` zjRxT&j%WslgrC%&v9a_FhfASat&GKBwU}Wsn>xG1BGoNJwnxQrW0U(y^EET2f^VGi ztWiir9*B1;y$Rf8nShC(uh*^{IW0|&vSTPjdrgPFzF53ht!$8ng+sN^@@#F_s3wnu z8(@UAAG2^#1>?b-ct0`I4cgtVak{E0G29sYvjqJ0;~$Hbl6|`SUux92N?T;*3=A0$ z4;jZLGpLfJ7bdVe*KWily!Db()02$gUsrL`NSviX;sXU@u{TbxzOS>VwiqV8cl;T- z`U{4Dc)%73c?HCe;-GN-{EL+GsGJvs6$JSFiw4%a89Av~r#MS%`yh&BZhh~E zgm*7`58DTV2WZ!B*}texe#GPIvANytil#d+F8UwSXR#Z_y%_q)VJk1F-mpGBJ_fVq zz;L~a&jW?b!&=Wnnsbt0_f?)>MQ^XTjC^Tk;Gc5n+~6f`cboSg&1p!AV_Fu(N3DLD zp+cOY$vRJUXPv2=8oO4=Rq^fwL^uAQ15d0XiJzU-`_%1h}4Eta-%lM?$u zi+cHf-oejvzULJe7uysU+lt|PX}yU?X}zsax3_$Wk29QWwQDqlbgK#p)|fezSf)Tg8?Z`?RMMs;v;yzbC!aEH>i)+u9HDkDkv3nhrADfcREjM0hYV>3aU zIwXclU*vk0DFhj@f3;wZkx7}1*ciq-0Y8I?G};-68=Tg8&OrMl{m3l+)Kcp4)122h z3bV518-9k4UZnb5P6)(0R)Mz;x0Alj)Yax#KiK>4)tZ$3IwnEuc7LskvyI%M{b6zL zGi!4=czS(Sl0LRrrr}gd28r{do^E)3nS~Gm+Xj;@6la>>e3Cro z5_qir5qlkSv5v!1J@9Sa^NK6wTWasz*)f-GnqNHPLw2`|g{C(*#^5-o-b#j%33ck0E5E3-Gn>n1H*a59 z?GsSM`3UVUdd|qYr?w{D*EZ0ymzWt+jq?D7i zW+Qm!UWlz(grnuR_z~*ChWZP8KC??wSy$#l`x2ZE_p+Agj94n2$-r7iDi_J#nssda zT_3s2?7(g9%5WxKhg2tBp7&kCSwE=x{pY?k(+BD1&1v;Va5 zE4t14RUEVmqpfPT#xEVXdhcvt&%<||eS7?^u4MQ~4Ovw$rYU!1J&Gs@GT6=bZad^r z739ghg6t=y`DQ}NiFQ=Vj$V^1{|?`t?;98tnzh@DTv6f(72CK1CW6b$_hPJ_B8b9%~=_xxl6dabVu{O-;W6jlhUjHk6)38~P4=#D->XY0`$hG`wpPk~i zE2^ZV2KJtsEU^y#H0WV(`9lTD_7mAj98N$WvRwlHIfBH?;?cGSi<&OuJq(zzPSSW{4XxlK*L7N%}|}H%mdPvO|d3+a6lb zI})(fU0T1E;?xr}OZFy>uxu-mXvUe}?y#CmcH53{oTX3G{+XrL9T?ue`%Qi#SK{!S zn@HUjyllx{On%)3>j7{Cv&FCpBSv!f@1`)kPmS2AAH2WR(T?QwpNV4T+?d&h z#GmJpgA(NNC+&2%?P^E5n^rBDS#;-KncVx8v9*?KcHs4L(%)=`SX>6A`Jgo4#)&sK0&2Ax^5mlr1q3-hCrZ^);B9|MJ86>61 za+W#O3USYQsnICn4M|I686J3+COl-)IJzQwA;f(3-fweVyh3sQ67_p2S_z(k>+8fw z7yFY&&-MTEA#686iU|z^NiRCoG?93Y#mpH_UFq^U?RI?{#POe{n_$FmnPWo}m-Dj* zx_K@7rv7K+TVzR%6$kK%eslJEos)d@`X$D==-JxR1iQDhJQ(BhO6=t1A+Cp2_c$vp z^u1YQr$>o&y?8D z6h+K6b*8TwbnPVMu)nSIpEo*o*tERhEjD+rWy82*xu>liv%g8N#p<{JxagyL_~usN zwV$`X)KA&fQ!8K6S)mXzs!%z^#U4GXczT?)UX0DwuELyBhUIc;g4pKXIc<&W*=Yz%t9Q_-eWST_ z>GCPATlzSfCJHYqJDO&{uI zSH9@$-7IIdm6KQ8J;$gYS?>O{VaRBqXK#U9PZyz%70T$>6Q?aMux+CsZE0No>P1OO zK~6~q&f;!b(LzttM}@7@ci~emA@86)XZ%N4jGr3nS;_XVFN&*WD1A*MI+ppV9#8+d zNX(ndwY1ihCgbjeaD%N9GOeA1O2}}g1MO2XCb;x$baZrQgVgR>h&wezPsPw`q4p7E z0lZLE1xCn}WY4!*PN(iZlucSxdV2Byw5}g;*OUosy=|P?w$?PWYThp9! zw1_Qna;&rtm89KY2w!rha_rsqrC z471pUueYC>myp;QjqI21au_le-_uKHdVqn^Ubh4CB9ZP$Zt&cU#O~@iJ4RDwme!5r z!C@9-lg%IrN1siVu71WTl^DwibMuN?zVUvO-K!y*`6rDZ@RE)_knwP*%l0?Ysia=a zcirLu!WrD1*4MNVPFP;y`!!~tJpS2LXH2Nb>TBHgMlC_H2Z_mGr%2Bhe|UU2WgAs0 zdcIs+;}9n<6q_BU4&xee`1It*P;)honmvdp%iVI~_SHNH87N>8GtFsC7K=r>_z{hu zh-h53E+R6L#f8deVt_dcEu*6+qp2B(iKevn3>7$(+Dvk1oA0fUSmf5C+&=g5eyt!mZ_OG%d(shw#`E`gmSnG< zxB6&P5IvP;y7PE2QGR&z26WFSJ@{sn_Q4(93~T1d>Wov*^BL<6ifpV~-JQjLxZml0 zZ*ms@aGLpub#~#mf*-G*{^)Z1{vvsl)_dm;0Ir==y>sJ44t#O@Om9qOaCu7L4 zkWH+I*p@vw8tpiQ#d&dqSK1%x)O_t0vhgIx(V2CorBBN>GAd?MTBJIb(v6!Nglx=% zv}Tb?b(8*an13h%Yh`~;!!5Ui`A?ar#0p=7fXm8t&}9G#g#9wS3)Kz1vn#kCd{B z1pS2E1j-@Rk7lNob`pLU3Kl&cS)@~r>_~aKAb8Nys-ZY|@O1XvcZMF=M}`I&J?S#T zM~!M7qRFJH;(cbHQa{1Y?Jmf-%b(WY=r|Ze@9sTSL9c6^rp4X4dfDC`bZV%EpXAu{ z+SAnPacZDi=XRxURYd;*49>1ogQ+>#@vu-6uWH3U*PGk-dQm*lOU=(9k!t5|gV+`m zLk3v274HX5)zV8@_ABoe>X}o+8h?ROMB6^rR%cctJ&Cp6?pL@$f&qCTxnZyg&RL*; z!{x4$n!{NeQr@j&8{;_S8ZHw%e7_$X_DWNX{;yAjR8-;iIDbbdGA=_!C2-t@P*$O~ zJeHVvFNV9pxrx)*_x-SYr@=WXMn#2S~M#bk?b zmy*e?4xrQ7mg0wUQ}NZ`A-y#ghkA2X1k98Ck^6yT_H}Di=(|QS)A{4m3&)UioVnj4 zwhVVhZZvuBp);n1RDqLf3tXVF3Vh@5HkRJz;A+*1x^ z+OxjMl=_KuR+sg4o%*RGG_fEerX(4PSX?>d-tj}%v|+ss{TIHI bE}ZmEb6Ysnw#z^7ok>Buk$9Prgvb8@V+3WB diff --git a/.Floppies/ProDOSFX.BOOT.po b/.Floppies/ProDOSFX.BOOT.po index 1b98eba0ba6d8ffabffd2f061313e96130d1a544..61c2e677fda3f2b3bc4d09df10e25a456deea229 100644 GIT binary patch delta 9168 zcmZX42UHVV7w${~B!m{4ggz8$(gf515kl`(6cmuqL{y|pm4v1NK}u9WK@ku^rHNN0 z0)`?@Km-)~rAiABiUKy?;Jx=>@2z)M)|_+p{=U8Uw`VfRWQ8(@ri`I$CD?TORI$4N zpk0v%18@L1P{GmJ!PMap@ZZ=*1G0dL5%33AI|)EL3z{RF$bmZDe~iGtMg*Xy?r5iO zLF@DDM1dlLAwKme-$h*EbnvN1D- zVcWid6fpKr{kZ>$@@5yo$7%ebXj1-E%fAZ&h#Yb;a&|fFxKr28_*np_7~M(15BF^n zMDHvRv>bNFha7?&pkcib_l6*tRnHCaBHe>VGG|}sL+~{9It^qATK3Pr&IZMlIoJ*E zH77Do)`DV?-v~vj79m!v_Xjh`-b`Uo(8s?2Wkb- zyTH);-=W9Y5OyNPY_wyso@}xhTNLeS?0)V|^cTf12frMwMMAE$U$OGwPnv9;A{j1t zPeo%Qg9P0}-!Xz-qf?#k9Nw86pF6RvVP#m$e`M|XpR8pxMe>iVRL3;%|53G!hE@IK zyaRIwKUr4-@H!j$kErrJenhkq{tJZ79bYRNoHyP2fnUCOMLud1bI#?Y&3=$X;45;ug(ECJp$CHfk<41yPE${oJaLW*yP}v?Fa*quW>(eF z=QvUgsvgqkfK_D3;mUqMPs+5IFO3F=*}-6}@Z z8T7+0t{oQ#q(noe93h7@Q}wGNn5hSgffT(ILUkl_woWgH&^@>XzX|nw2UkfunNe^R zxF8s&PpvaZ)uZC88t5wqnGN(zzoqUR1GrMS9=KKhJ3A_jVPPt$b$SKc+xdeVxT`Nu|!H<=^_{9=>MI*;X=Bbuyn-(0Yu$2W>uvq3j>VtcC7B)Q^ ztR;9O302UZT#zO=uueh^e;}Oj+@mqLPWkHr1<^=B6o@oYu^aGZN2+dRF-4kK980aN zW?U0#iGqL;1r!7cs1!>DDpyj$lHHdNwG?l6H{zOLVNL*MP(FJ#!?~sEPz&Z>bNfoB zOG|UdO6K8~X1>+TVtO-nHS;zdN9wMvKXUOHsB>er1i%%->JnE6+2I2x_Fi-CDvJwN zPy`~DY+44VNsWW6wE`>-Yk1&E-Hn6wQ`7{|w&z=AO{Ns0smyl*5SEQ^I;_{m~%=mC5bg&xqV({JJD zmstXX=&DGB?!+<+-3TJApaKv<9sIknoL&`(DBjZ2+Kxc zTIwZvLeDn}e`RZ?6{0E{0lTVVc8-E-Qn9s4&hYY_}(sx`ZVFL~}^kC3%5GDB2 zh+1`z4!fz<74+HJ*=Cb}A}}lHgKL#lFr|PUL9MEU`vLa~7w88k%FEdA>a_}Aga3Bz zARMWxsinHPrC4ES+1P?#Y-+KrqPHwIx7<5fjD?LjlBF^q)bQ=(PX9S;d+6DSK#rY) zD$C#_>GBV4Nktqc-;zYE3N z+4c!jPDlS8xT+A)7c$EbC&@YRe$bDcdLJ3dG=IjnMPX(u2J0jmCmw#I{q}N6j?$;r z{fE6&yuaSid!K3W`O_zH7Avd>F%aE}~$la_Da zdhQm=RnhO=ebLc|P9P~Q>vP^Y!TIp06X|Tz;m_}m!ap^6zK2;v%$@zo@hir6ddZq?>!xZ=Mx1d zC`}QF`cB{u{?elrih&AO7ctzB;KpurvorZKc%U&PE6O0%B~8#!5R8v~^)|ifB!};d z34(?1(_ea79s1YQ?|36l8oi+$H;BV07$GNP-nCZ$lER>9twaT#Jx6SE$!ss=wp9`p z9zHqGwtNS8A@!a9STYdNr`LGvrWU)|tqi2cFT99-dlSZ;j|JsEmfU=D@Z#m_0bb`m zgn@^S+`EZlT=KSvbbAdO9&Ac}7Q)%}vTLARY75F#?i!e%_NY?~kZS(r;GH6Am4yL+ zQVcWrG^7n_z^^gUW$?rbIY=ofjfap2`T+Enh#&(q;9+09WbU_R2aQw2 z*J>3Hznq@o`Z36G$uy;VcY@iI^h>oN18H2vT!k+|fqwym0ul6z&JNqLX zF341qG=|ox%gKfYK0(C?({*Wrr}LO z*TpNt_PB4Jx1L{(ep<9U*t4x;qUX|hqN~SI_031KUpFO&W*5jI4w+#`SjB|#kMXLA z`nJ|N1D)30+ph+SAcu$b&iwj=$-k(1lcPZB?%x$|IrN-6E`cScq^tlE2h6RwqY+O0j!V& zF~v^nEzxZ*UN&-yzESo(Cae`8c4B#t{@y01u_Ib3L)axLEV|IITL_by^yP$ow0i|< zVKz9XSkR9bWzuy8Ffc~5$!$MV7b@}AEz>7bx|M}7-63isTzzgu*~wZ>b{8g-cr8ro zQKjQsGl0NIioi;WpmT~~NSWZHGQn46f~#dhgBe2Wb>AssJs9j{&;|2CHsLoNa4E&;tRfo=S! zo%v69@}KGD4>HaP8p=6qo^!T0C)jvz@X+3{&b{H*d&AqDB8HqI&7C59oz58xoEs8| zG8c&I6;OyV&W#z$jWy4W?ahrd)`}a_itp4qZ>@E{%{jr@Iib^;Z0$^@)Fa-{NQ2__ z^INgJ$tv@R8HUx<$%@W8~JOytw?hHmk+P z;09Go+_%Bbx<%VNx^eluPYyN$FBr=jZnUdftJ4d@t9KoKXwfA{r#om7`*xE%wnn_N zP6^5@T4VE56lKuAx{XD`u$dtz6eO&o*%~8tbTia_x$-mH%qNiYl55$T;+@=ykAJcn z`0T&D!tHg;=4ecdmv1Q|esnjzZy%v(yV$FYy0`0~+KsT*$JE(4jPLUlRK%>?`ikM0 z_LAHBggMKREi1-%n7E3)mZGV*A;Z}+0;K+`0G$O3JC@~uBl3Ng<5Ky$J-Lj0!)ZYw zjOnTj;qC>T_ZWo(7c!kDn9`mm^zMT?E6+$86e5RxGW-y=9kjV6Z%b&_R5etR@VKIT zNM)(R!sKJV-SlCVt1hSN^HmjmcV(KN7tQ#_C6FDc{WjxU5#b~-uACl|>Y4PHtJfjK z!~pS<3g4)Mgw(wEaSwTKOX*96hx-4nEj~=$NXZ&*Gv61nK4J7hAd6FkUoYSFO&W>R zG#$?A{IPvhbK`h07a&5tz~Ld!Q>VP`2DpqXPdG~GTJOc29{8eMrrN1|S#$p?>&gMf z-!D*-e6z-R(ZwO1%4S8+Vr4asKk`N1-cYtajs~nv+aob~3J|Dvd{s`sD#e5Cw<9u* zr5M8k%oVR%br`9p$xqa=ce2ZOsVC4VKN_>utJ-?Y3s2oxk=bDO-B{Ir#b;+FJKN9&fK zDOXp%5qK#pK}59tZKH*Ry5h-9t4p-h-nnb)$E=CeE^^4&zPFJJa; zKI+2=hgT9VI?lI$0g2j3fA&_cMSr{2e9vlSD*2;~aEZb@NDhaNwv@eTaC61AECZ9; z%T8&0o|r(8F1&xU95Ib%$O)Sve5$RD9~qzFjzmp3lWj4?`AaXtm96+upWTD9%Xq#p zq})`VZd&5^#r;YlschmAjy_j>htejqEKZqZ?3-d>?pGlgI;T6uTL1ogMDk5*m4(|C zenJTIM{Dx^rbpjbig_oqVgY$CbWS z-L!t-%+0CyVD^YHpU6!DaP8O|XFh(;f^efx6GUrMyH6+#Pd^w74q_Pa&vy~pen;%v5( z_?{`%?f$#)=-TdN!7tWgV2ew!(IUro?-eOo&3Gegzd0>I1L*EbE2zOr^{pAl@Fgl6 zkZittYjlBGd1{iNC>f90`JP2WIc0E5E@h=c2$yT9QIpD%`AAZ3gd@*51(g8^J)EAM zwV#7~3VFXv@szaR-UV2X+C*1y%+Kdh0p1!u&-8CXNr}i^b{uvMF_(U-h2E;$t;W)A7az z7$Bud2;|&U0^gs!Q)H9(Y!EC^(Th2JTmjsqz&6|H5X^j!>b+C_F0Ui+-S`afGkInr zx82%DXQzZd8762(-o}Y~IzE_*+%f_~gVO=z?a`BEJktOe_;tpQFOA}r60%7|oJ_vN zPIkZh(;VSbHC!w@YS86Xf(7m0oD_xQh*VQKV*@whjYgfAg7?dy#~;qV%delC(-C{5uYTy_)!vYo*F#Z`e~SOU#W7wRi`4M;T9k|#C9fIi*dTSlFJd5T&q=y|tpIyI8m)H@qf zpLzTgh=uG-znZFP4TL~_b%hp%9`7&f#oBd}rGQ$hls|J!ah~}k%Xm4Ba)fGq!gfrk zAPb+o`E(z9GWXUGM}+Uo_!@8jR^N=KLU4+rD?rThdr{E#SqmAucFvHMr}NC%k!`r& z7#VnJpYgN+gH?}M-AqKBy<#fu#{S8m+tF%R+aP*f6rnuOb?j-;=x8Z&*p#Q{WyNUI zN=gwTs~SK#&F1kbz&D6_?@b0^6R|}2T8eD#5O!kW7I^g9tD7W!4jkow(-qlR6cxgy zKC#QQTlMLUUWDjP5&W8{+T1kzH7&~zbiTX;;x`JeqONU`RK^%LTBjh9hbhP^o}c{F ztL>EWRvY=CsAk=v{Zn~IOA}vx%&AX)$mbQ0HkZNy=ieHQ1HxqF&%#fE?BC71FSwd~ zPTEuZD;{`qtCffizDz-oT>zv}4JW{^t#%@(Zuj=>u4KCZGcI7jLn;FsizDI##5Xy_ ze`+E^#w6t*JlND^(-GU$6eLRnJWWV&Ig283t>9^rjQdyZ%#TRki=kaf5(ATYC|kKt zBMZ-5sldUT5E(F)d+)SH0bUKGf+HlQ`+z4KFUVv-t`ome3<~hED9C5s+5AT3$Hb$N zMx}w|gFGKaqj6^M{r;M&m|6#rbiQW<;CN?7%tIMLwETouQx0BfbDx02vDughufGni z9Ie@NdpLOjfvjO06&~Dhe_`@EHceNN5W-6s30ZU}yHa_xdFk=C2zS>B0C^Wh19)FQ zwG}xCf(=>lCC}bJUM9whd1a;fNO|4X6SNimVSjSto?p`MO$)^RK@- zjt6`UuMf~A7qlCYI%_V8;QPq2!I@=%>(L@(QI{p)_2q`PeLN>`VBt>+IQlT)mJ`5Y zlB4!qyUOTZ91%$h`Y^*l)dHOC`&tWv+YEFPSES`tzev-LL|)X-CiBC$EacJz^~deb zI_Zc5a|3nK+V&qgIiBh)x8An^O&X#87q2@w4mG&G{H7$eA4L2-10ti}BF{8D+k55w zJRq*K>~=sRd2_$)tIq+k69y)HJ@=2b*OL>2+|mgwP%G=160pRg015XtG=-}c$9Ow$ zzBC0I3)_FK{wzlFe;g>pWsx6^Ny?zoCu@+mee^7|46hhTHC`n5LjJ#rXz6VVPk)O& z!261e|C?MHapH7rlf~yp*pq%yQSug_O|j8LqqT7`ubm=fkqhv@bELXmrfF=Dzx(i= z{}Mg6HI^(l{_10Hl;85)CCu)Iobyuyv651K8`sB&G9tlGJ_l~kBwDm4y>d8T0P0?w*iq>3ZWM_b=s9tpuL&vqJ|J42yo_ zG^;)BH<#2@W<>Ht2w>7sOUQ?gbTU#TO$cafIh|M9bg2LC?s)je=ecz(wq_Orj!M|P zMDA&p8PJqFW#Jl40!Qv&WHT`^04@{GfbN@b5qn0CPLecsKhfPI_4}{gBw>G}FAtnX z+Zb#;zJ~2Msn3=`!Z_+12{i>sTHDJcncSjAWY0*1y^ygqe0=KE3ddjkVPy9{2JahJ zlNq*&8u*p#ex2{0^<9^%5wdB7!I;f_;N*xxkh81VZf9We)5m=m;~MSU!aaZEUyM+I zfJy*Z-T-trslOkAbYfdJS@FOPide%@Pd-X;A>}C6($v`-pqeWSr=K_F*3;iw>{3r) zWcwML32|G`MTzESDsm7<%J<>o>yud%B*^x9E zAheeBF*JvayXmZueJo|ajN!HfB3$UY*$J9;rt%feX)PK(1ygtF%{+14on z-Ulxqk-d^8f1<~w&{i?~oxiLyKFQAK3dYoV@9tVtSsMC|xiWW|8FUp_CXh%>xAzh5 zHO(y*8DPkU60SQ0@Ta9Z-wNf@~6lL@-PW!S)0v-rkqgwh579Z#W*s=@{Bmf_nUsm?3=SARKyx?fBX?9;q2*#X^HVb9p6 z60S86)b9!i)xhh9d9+SfSVO_~niir_(IFuDwuZs1UR)~lYgJ`eU*}ro$j=lr`9r5t zOSaQW-i5FTZ&mlp31@cnov1HZR46@?OXJM?_#)Zv!RVR&hvzTdzo)`{7j*VSlo7Go zUN}<3?b3$*)Lew7l1o(R&00vjOv;QWy+fDlx!*46yD7qQWWXLt)NSxK>>|p(!%9O9 zi(7hVmsY;wGA|HDe&CF-kX>{Y8qT1c6Tnwr*@d0_{MZN2b*fDen_wj!@}xcS zH)BToj@$HgdtKLFB7d!TQSYeX)ytO??87ANppeE)hLhWE+@Ds@_$maF7o3P^y1`#P8SF*Ze`1-K# zOv4)qPXc}Jw3m~`X1>HXSN72&@5mVq=ctE$HMvBQg8THf;&5KYzg~YwRqY!fpPHXB z5}^X()7?@QDhoYoPZL5R7j>JL4o}f~Yq5&P`&R^zCA^bwPKfW%({+EG=%4}c2=R