From a4f22f87d3f2b61afc1e542b45327eae455fbc38 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Sat, 5 Jun 2021 17:48:54 +0200 Subject: [PATCH] Kernel 0.94++ --- .Docs/Forth Words.md | 2 +- .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes .Floppies/A2OSX.TEST.po | Bin 819200 -> 819200 bytes BIN/FORTH.S.CL.txt | 16 +++- BIN/FORTH.S.GFX.txt | 35 ++++++--- BIN/FORTH.S.HIS.txt | 148 +++++++++++++++++++++++++++---------- BIN/FORTH.S.KW.txt | 35 ++++----- BIN/FORTH.S.txt | 29 +++++--- BIN/GTEST.S.txt | 2 +- DRV/DHGR.DRV.S.BLT.txt | 29 +++----- DRV/DHGR.DRV.S.LBUF.txt | 72 +++--------------- DRV/DHGR.DRV.S.LINE.txt | 20 ++++- DRV/DHGR.DRV.S.PIX.txt | 13 +++- DRV/DHGR.DRV.S.txt | 13 ++++ EXAMPLES/MANDELBROT.F.txt | 6 +- INC/IO.D2.I.txt | 2 +- INC/NET.SMB.I.txt | 1 + LIB/LIBBLKDEV.S.BB.txt | 8 +- LIB/LIBBLKDEV.S.D2.txt | 8 +- LIB/LIBCIFS.S.IO.txt | 19 ++++- LIB/LIBCIFS.S.txt | 32 ++++++-- LIB/LIBGUI.S.WND.txt | 2 +- ProDOS.FX/ProDOS.S.XRW.txt | 12 +-- 23 files changed, 308 insertions(+), 196 deletions(-) diff --git a/.Docs/Forth Words.md b/.Docs/Forth Words.md index 326727f6..fcb98254 100644 --- a/.Docs/Forth Words.md +++ b/.Docs/Forth Words.md @@ -147,7 +147,7 @@ The definitions are listed in ASCII alphabetical order in several groups con | EXIT | | C | | When compiled within a colon-definition, terminate execution of that definition, at that point. May not be used within a DO...LOOP. | | | EXPECT | addr n -- | | | Transfer characters from the terminal beginning at addr, upward, until a "return" or the count of n has been received. Take no action for n less than or equal to zero. One or two nulls are added at the end of text. | | | FILL | addr n byte -- | I,C | Working | Fill memory starting at addr with n copies of byte | | -| FIND | -- addr ||| Leave the compilation address of the next word name, which is accepted from the input stream. If that word cannot be found in the dictionary after a search of CONTEXT and FORTH leave zero. | | +| FIND | -- addr | | | Leave the compilation address of the next word name, which is accepted from the input stream. If that word cannot be found in the dictionary after a search of CONTEXT and FORTH leave zero. | | | FORGET | -- | | | Execute in the form:
FORGET **name**
Delete from the dictionary **name** (which is in the CURRENT vocabulary) and all words added to the dictionary after **name**, regardless of their vocabulary. Failure to find **name** in CURRENT or FORTH is an error condition. | | | FORTH | -- | I | | The name of the primary vocabulary. Execution makes FORTH the CONTEXT vocabulary. New definitions become a part of the FORTH until a differing CURRENT vocabulary is established. User vocabularies conclude by 'chaining' to FORTH, so it should be considered that FORTH is 'contained' within each user's vocabulary.y | | | HERE | -- addr | | Working | Return address of next available dictionary location.| | diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index 98a532b448f2cf21b35af7a35005ddea951bd44b..d3eb80d50a80cccfb9d7806de4e5678b9bde7ee3 100644 GIT binary patch delta 62255 zcmZ@h3tUu1_j4B(?`3%{?33rRykS{(mkRVz)G^z==rJN*B4s$@Uzk^vxkbkGtK{*J9pQ``qwXJ=ggclXU>_&nRDh&O*e0Q zH^G0sVLlR9@2wF&VGQ$Pu20o*Ch)~WpRAKIXJ52_?!!prvKI@#SWB{>eHF*e9&|;* zKSrHVXVT5rZ4W&biu6tT-TIkfGs6bMw?%G?MMzU}@Z67v`1P7MCf9vaaq3&`y+V=X6mkcL;e%l|d>xt1B z-r9QTYE1guhLeNLy4EKRed$AS$4`{Dg`9Y#Hy~G+Gr;z;hub1O4jY=>4zG#yc*W4& z1euDC?S=vG!Bg9~q1Nq&&e+4-wuWAdiGRb8d%N`$126{Zr-n|{-E`9AbM+I$pv%=y z4fhQGczp0mcN58vxcceVrPpHb8>9^W*PyEn>-FjxG`M%KS@^zT-%&Im3V{|Sb?@E( zzTvQKpgT?XSy)GTEt!w?at}*OD<7wK; zDd)yUunjuBK3Y@KbIP!m862T|NZ-vgMZb62&@1upO=~@6Xj0jKLAewfXkv?R^fLaW zCgB1Qq4wZe+8$wV?Eut*za;{9gf~Hvjm_hUBAcy(I?p(B;o9?&fp+wt>U>PAe&mdC zy0f%fyK6{?zU=+is`TcJjqe$@e+Z)1T@LG<41aXLhUxsnJ6#TcV9;#~U*q$e&MQB> z(~Xo!!n>@4s?O=VB;D;>(l4bCN-kxr8T{qs;EzpGT~zobtIjLD(+6r^{m{_!q2Ywj z;EUa8OS<7B!(`H ze6y8p=*&O-et5@iX3gMl2i`a6kfgW&otGpdU0Pm|w7lZ7=M~pt*AF`P?K|Wv{kJ3l zbH@k1AN+Nf`wVv*2R1l38M?|xoe_rgHNDEYK zY7S$&K^c2uD4@hWlMUy=L$R8$IZ$`~GxK*BR6AP%!%e zHbS=egWdq$PlIhE0B7R^tZBr`54R7U(JA}id4L@yX)Lo9PcB+mGksC*T*L-RI_gW8 zAvRdjQBzfl6d{t1(z#AG;><{@lXM_OsKjW&rFB(K$O^rrqjr%K1S%`SCB{TtRaZ;v zr7x>5N3sY>$DFb{o6(G9Q4;@-Io0JhqXn_ifL>pR*ceIdKx8}$kHywGY_Qv$s(KU= zM}CJh29kuhRaTdy@OWBWQ`vwbjKc4T+VZk`#3oRDFOwv5>b=H{LSxpXOvIWgRA(Vo z13ex@Y$AmO^Vq+P4a!fFbQm+Owy=!6sYO{cijZHjBzBtsDa2i2PFS)JZXA z<`zyyKB*!IDp@BbBA+xN-#(XpC#w7QcRMx>1Z^1jZvXf*tI|59ITgAuKuf=OpV57O zx_ck_)1&(wU!BYDmR&f##^+ZP`fi)<0^U*1S{MZ$EN2(XlpnOot`42?`4zO6H;;{F zzQ;@Fu}RFIxMv=#^_}-&>*X`sbdY>?Mp!WK$Dhn&V|4d_*z=z=x+|x9zB=>XMoy#<#+d3`*6m=53-4YZ+^Jptq)Vay|z zXzu9^#ix&NSbBQHn$sILoZj%v={;jNPC31?@$|;_(;HtsU9y$&nBRY21-p9$cCBGo zBsc0`)_WWw|9Xab9J#Kyz+Y?F?dX?cJfl}czZ~;g*neVf-%g48I&yRrO*^CJ#Nx{5 z6rqiwmd*M#KEG>9PB4QWog)sX+4J3@DJA>58AGULFsbL$V?(apkEWDt{PftyQI>AT zBh=C}%JSaXV?*lJv&TA-VYJ0Z(&=$5);#340}UK+`bAUH9hFid;1B&07;4%6=`l^o zDqVBvL7mAr=bn8>3eA1Gj{6m6X#B?V&H7g9Kulxnaz9dn7c6FjRL{m%<{o=4uH^8Z z*vjx@`{2i}*kgO}(~DVsW~sSnj#;-OvSoBnsadmdp*aWg3#-i!4>DV|Un*O`0j&4XO4%fL3eh8ddU_m`RX)Us^j;e83s`or^0&0)uj_n6k*(>bk; zS<`_A_8v5B)?YHf{t*9F;^nqW55GHZ@Pk&#K*?I4p^0{i+jiZAB@sAZMJfKj#QOAG z41Xi$I?yw_(H!GFw159%b5HFs4x^i6I!E+HcPu61=~-&dWlk{NXnyn9uIPm;%+0Y~ zF$*6xH^+5FSF+8~ZIVkd`xlsds>}x(%)0GiIomYl(ak9pIps0UsoTn9o0BWbQMl`2YOqy?=FaFm!KSxuZ(wx%faw%0)QJ&nK48%tEJYs%tk-68Ub&+|`=)nde zM|LQ9cXHRrRlrzRTJxwqrj{|fS&@6j>}WJ!8uL}+;E%ggx>D~dm5zn-L0Ucp0@t06 zyjo`d?;`V1C?)C9H7Z%Nu)$1Q?Me}Tr?jZ2JeF$^ayDz$Xv;?A+FCTQ0sg)+5WQca>rv`pl$+f4t8_hT z-L6<2I?`=<_uQ6q-RZ*L5yIc4!e71c_Yv}Uzed-i)$Mv3s`pOZuvp((i(g;Pj<4Pu zs;dr-F6mjUPx$1P+}1ADM4jb)j|IfNn4}w-Gw18^rIeU=v*p zxw#MSd6bnjK0SCxqC|s1gJw`7m8o>>j#E^s=Z5K1Z`bEPsZ(+(HSbUa(dV8~Lop|Z z5{^zVH-{h1H#bKdjJ{{gk1>1u!gDS~!&Hko7(Ish1GhA>!Hp}znoURlh-o$-^@?q_ zl;|Lz_>O5vcJ!y1X8x!$wmGQ;ii03WY6JnmQgUbh0Y5R``4W7n5i3U>^$n$n^+g?EOQ0A(NarrIg~6sf z**YQ1@>m(z9VUatz#EOm%4(Z++6>#m@`Wf&fxy%#v!$7vNe#0h(U3%ItRyL|~iLH541XwC?zxH!@VRC}Ox z7F?7G2VR>V$(ZrOEo>xX!8=Kkh;5_C>T$!e2_pm8WXivMB+4@u6lQKB4M2*5Qt%sl z*+4FpmWQU{($%ake-!E%TR5{Y!`5<9A_0}P@aKg=}=x19Y8YDl96PnuX*O93ZN*fE>b4gDsplnN}5+K2&bAE}=P;kc&G% z^H$;+*QaYk?xA?38j|PHj6?B(`MB-+bbm?wB;0p>x`8RcpI-+~BPQdxwQRWWl)KtY znTku-vLh9Rz`JO{7LlP;h9alo{cG6>W;%Z3$LYGf8F#g#n@Ir6L}ttDZF5S?7TEGj zVcZIg`4&@zq8UZzWMe^gHezQXFvn|9z`nHlMEg+};moRB@k zScqf~0DNI3k!3(Bt=_hdbyLkjV2BUX;$uiL7hm{Sh96Uo2iCD+%sf2hB&%T_#PUC8 zYM2VV*l(tvMu;?>uADUWTwWth9s}Yx)*6{aOr+l)414a{Nzu}EPn{eY8_nx{iXH?Y|menHZ z3c~cG6b54-mJJwXRaJ;>qQZ7xiHxaOIFUR?r$f{#T(W_U)N;u%IB2X62#L;VUcxe5t6TW#)6ZQcPpJzA{llgOs1+b zq}YUn6IfVbMdiGDW1cau0C~4L;aZS6sX^YW9-Vy`Lu(S(Q=C_A7P2q_IE`QD$6I$`x>x?VZk#++vyH8l_ zk?eUGeKl3&1nS+`B~2c=t4S*Nqs~}RP4+s$qhfyp!O5L0-c*8(f@s+{ zMJ2pN>DiU=HYMb&gm;J%-lcpvE8$(X;=5 z=}ZfFA306QjlyyO%L>_|9~Mt6@QYXDGJ`Lb)+7YZCJO2z8V% zsMBpY3)yk z7(~y>O$WffiTnOVBtk}Iy0tJX&(MIpZ<9*PL>`e3V#uIv6v}g;T+T2~<)jZT1R$6O z)DDojGQ!pb?j%n)M%3`gY$P4Q08=cj?bz^ToTD}?V?b;T$kT)AD64^vm{ToC=E;Dj zO29j1Myxj@j8HE*BTOHdONpsS>BqpXN?4#X!AzDTR>2U963LW+PF9&j#HuMa?5=|0 z=&of%zf^)hgF`B2sPM60r>k7X2Qc{KU#AD*x~*&|6NsPK%8ro)F?jNC)5p69Q{3PX z2EWieGtgZ}^8uj@zWx)dZPYW=fn{pWu};d&%bJShVGdCGw8C7NJmC%i?9T)cK>?;_ zs4%$*P$B`4UTCv4!*(SAqU<%m#t;C}c0l^HYy!~6Fl4_^%DUG$$(ob}LUTe!(G`AeF23nwu$MPpwg};#jtGTqU9E|Ao)P{!E!G;b@ zz~i1^1Hkb)>j^e6$V{r`XO`BNLPgQ6CKXdqpoPIce@yoWGxr5RaOWv~|0IyzAJa9V z$uzGS$>ago#tie8JI$DrQDzGEY-cl=R6GR|U7A?S;#@0rbB4Jk!-9fFG1zotdH^#T z54N*`a6ANLBZn~+;(GnATu7DF&oHO@g&R!G3mI9 zBpG-eB#oH@g^YY_;Y24XB*F@jC3Ytp1OUET;zo6@0mE|wt)a+aoXw0iWmR*LcPcQXu})q=VHQ$N6)5;=yb38;u+k7)L^)JX!|!inRR#Cb z!U=GK%bk&xhrFg!Ku%unq%7n$gXZoPa`Krpmz|rJH#IAx2zeJ%KzeQw2py?sF}U~U zbfx=!w2grK8T`hr>3&@Jfa^S@oy~OM!CTW6fk^uR#S;cWW^L(GYyC_ktkp{b4ex;%;vx+S-oT)G&W(Zzx#u$U35h)gsg-lo@atktw^2j3LTSXTm$GAt_Lb3!cvmr(G->WMY-Bq1z zu#1KD5l+EIfh-vRirT-Uddccw9U}XQ8Tr>U*!J24O~DefvXzS?fSew{>~>Jg%uSC6 z@=HM$Ij|CBG?Q~i>6|*`*+7PlU`T+cdl_8`OKq9C*sz^7%2&YJ2_`H1C|&HroA9Zp zSbseJ*aWswZX4DjES- zYxJYr{LY!xFs(H|>CAD?YWI_0v2~ z8O|Vs8U&n$q)#xbk+vP*+|FvIVJM|Cm2QK*#k+$FJ}k>vP>_SzC&iYYqAf|cL!E#f zM0$1g4dSu6Fk|W@ScMDYQc>X3q@N9VUJJ^KA-Oz2A+VsS21&UcPA7x!4b16u=2O3sAN2PdIt!_~PCZu^D5dPsTso4*3 z!A@>YNGLO8-J4}wNS5!=10pJ(^E9iS(@RH1gH2o{j47rJP@baC&PH=q_1wzp2f>Ho zbC9w^Ju3}}eMuPfNcJ++rN)PQKVwY6!a#b3N-yA5{Mpm2zxFjzs7FNg9i_4eI);6o zf$8|Vpf~dXZPiI{Z-5LGZ-OvuhYM5s78GdT#*3d}HQIN@0rW4C_;E@+`~=?h3>((? zZ>nDyh;#}+HUSRq84Kqk*?*uta^AKe>3cwr{VyZflI$R0fUk-@1r39_s5(t$24fx2 z^2)2@VKqk54~Tv~qyikiLinAbq9O>TW6CPZiD~hXIPyP+M(F`Y`Uz?DQ)slR0V&Ul zOZ`2hk-B&bS@2cou&oo;q0gC)((1Wju#KFDe7~?0p8?c?IASk|{C+9&d-0$6Reeni zp1T(_#ihHb5mvtuS-lLb5_7jP5&3;b>%odUHOrbwPVQdcQyYw$?tVXrgz~1^D=4lw zK#t=+dsS4@HL4_Th>5l3PD(=_*Wt%Z+ZY?1l0ANe-&Xhy$0+xonAk>J!L*_@K8Biy`078VnQfl(w7$^hC$eGsl#GfPk-JxRk1|24{KLJGNzuzq)D6$IP zJJOhBwm{!K{{m4%*fcDhPep#WNqK{DhXd;Gn<;Hme(z*swWEaRY9 ziueySk_Kms4Xy~gr+J(`Xa_Yk;Q1fYL)(M?5Dh3FCkFQp>c<4eZqfheLG$spZ%Vp@ z4Bhv)eiS{t#{FS;Y5q#@y#&}7M7jX{{xeFdR)l!PS@?}>AF-v>0@ z-S-~NjvaDqZG_-WSIp((B~{Xf4j9h704m9lR781c^otx8!H_L4VLIUqk&K8 z#E|TGF$CjCe+GXkade}3oT$7NVg4y!kK5PtpgHAAnR#zF%G(~+_~`P6nv(H|alu2s zv3vS2{m%NkwEx9s?zzcI`ZNDxN4tdVizWXW;^U3R1mWkt1fwxN-Y{YWCzGkwn8~-C z?5~t?c*Is8kIX~vTtr|TiNMKR6EbrqOf?c~y3k1M#T(mQIaK5&T+Ddk&x`xZNi{q< zl2iKnqBEfa{J@_V5S>5(=*GLQAt+;%{kF%^6avIQ z0J1!D8oChe<^d#+1QVzXGc!lL(;m&;@DlbFKjIarL0Tog5MQjp(J|blf8vw@#XfwBh*F{hUHt zFd3;LaG$YQ$wc=55W{IDOtesfzXq+F&8H=`S_-}n3qqYtP-yU@k&G#V5_A# zoSA~>S&Bm>rm1*?r8t2r1b0|n719^sV+mX+GtD6}H;MUPd^3SF$*0pQ>8B-s$W$Ze!;{k$Ii9W zF(Z$8b_^3zRse<>FvztYQId$QB)C9hzDQ$%KqI}%P6OPX)pzp(9)ILfW2X$f)BVG^UxWN$vEHABVNE3O14Eg3o~VBUJO*R%>w1BNBW?3wV;%I ze4)O0q#q1$#|AFk*wRlXJ@|)H8aI1* z(F{QIg84!^1QP{p5w8+4XAyFxVlI*7v}Jg(s#xvqS?;9dpa+S70wEywz(d8UelSz+ zlFkCE-zuWtk%onL3rAZ`rs!reLY>AVSZm>n#32B4NEiYTCmS{dYRM1?V(Q5V2>0`T zX!rntIYS13MWS7XpRsZp=3!wVBq4UWNDtkyj~S&!|&mP{_D@kv-c;W#r~q`ptx&9`(r40+`ax_HnLWi7HA z8f-}UG^IdioEbb~MOLJI#u;d#K;O>0I~%@JP@S9vugDq^x0~n&_`%~wae9R>M5^u@ zB+t=7vKNb4q0|dYp7tV3qg>Vc$va z!=tjfB<4lDIGc+wzbxt;f=j~$2f-ylaNrS#SdwGb>t7?{){Rphp~EbK5XZzD_@N10lHZ%?@!{)m_*;UQJlx-L425^eVtSA{l*7s0v80o^)?UcT zvC9*g*V>CXwM+=Zs6i$H8vI7YT&*NXi{)=ZW^xhd8XrLHn`Ou&&^{W#HyTWX?lK*j zW$ncAE)4~Ie?4w1;z~`$oM@T{p1R+=+Z)XKbjnGtN0JK8g&pc&5En@P1tb{gWGfUR*B87TL)&*uCk_al64pG>0@tSyDOdw|jEa6m|5 z4?&tzs?Rb}pXGLahAsee>n;sGc$Wq%?y5mFDB51^0;)kN7+=T-wv%CMTr^ybi^=++ zkf>_$h55ybP=#Q3-K96UU}4wWLxSE)d>j6zw`HQ<9)`-qSb(6_a#3Zljer}(S!MQ( z#DWw}4wX5iqg^S?r8GD=*ljB1D!UrZ8>kb?l#TqFhp7k@jHE5l=b+V8Q*E;|Mld0m zDdChDe!KaAkYsBeorzqJaS2SdR&lCrBvVZ#(X`>uN;oyQS=@8B;9HQjK28>B$A}kW zNW`1$_XO=hdOr1p0f>d&M~L8&U5C2aG#$94lv9_%t|P>a3n9{D%r>T{PX?Y~(-AH{ z!O!hf&kzV@Vb39l4PV$%$Y3DRAtAPd6tJBTPMm^Sh}$V1HbQn`-5f439x0x63=w-w z38{Af4HIM%+C@-w%pSPf&M6Ih|5gWa-6C}_*lq;R6yYB!p2xO1oS(;jQRi@J?Evls z8g4JZc`BqAZ@vI0%bJlMt}ZB~51*aG1;-qu_Wn$3KDcqfBzutzR&db3EeEpTv9J#b z_f`(!&@#@C>Boy9i9zfuWH3QFY(k{I>Q%~!lMJ9In%78mHFty(Qyj&c0b3_-Jj3E^ zhou6yg8A0}mqg|3l&Id$c9?zf>Bk_wo6QaDE;Xq|u0E zr$mV-BFzVMrKOz{$0Z^4Aw_lnh)j4QI@QNiWW&Xv`s5$FjbxvS4e&_wKj#KWbP`Xh z=iDUzpW&)<*dRX_1~0bVU$5|$eL>LRwpS7oZY=~{z25iO(0W&_9?xxzF=M*QVrqIZ;KVtK5#|BdF@mENV?h}RE8^D8H=iN=Qg0Y zpK;#h;#lTa{LHt-O66}<9L|=K>UX^Jac;b96PGcmkl3OJ(W`qGsj2T@5gA*zbQx!6aMh*aG5!{jNg=chSGZt&8_E3`Z6Xd@z-c5rgFm}eJXQi%3xd9Z zk(`V-0hmd_dlzuA;H>&!0hgi~1$}ZhNd&W6JsNH!{7|fL(TrvAu`9(Ya8*sI;*=^Y zjUWu41nI(1i_W-fsAUrN?jC9rvlu+|LvgNT0)zXaXQ^?(LT)0HgU{8#wvdb0Eaawg z_mH^GGBjo)ZmR|NSj}QCOcIxeb=AOiKAu?3B`}llBh{Q9F1|ip4LzES`>VNJ4ubmx zFLNrvOV_9%s!s&(m|$@vj-@IRhHUh-;X_spQU1FZ3z%+^GjQi3E`pg!yFcrnx__V0 z{iyrz>i+D1?EV8dz6Ls2iW_RUGG-3#cNxA_1O1*W_PgBC?{L3)cTdN-3Mz<7e0nhm zVm_ACf*=-9K~&+fwIB$H&kKU6CWJC#ARdzWNLQ2wrE z6jojB68pr4a6GwWJA7g{(22c_PV9$)1X)#KVlTflu~*QE{is+7cLba0#I}iZa;4Lp z3{yQunZ9dcuL5i`v0+PY5hk{N4I|o5!=|Jo@E)?xiRviTdS|NQ^hL4_B30N1HvaSc z*0$mQ)^nQ3E&nvXw~F)oaT=%7K7l=!aGJ(;h~bS)PT~_SW|QLw8iASERkM+58xy-S zJ)iC@dA4X%{MeB(NZSE8M6@=drvx%PZBV5Ssh@;`GCMK*4>aN_CbnfZVz-My;T;T- z0)&7^rsv~lfeGemd}IlisjNlvP7?QQXer1pv_WHVw`eC;&d$tGK1)ZrumTy$-B1z$ zKJ*Zm>@rGCb|1&25_bU~&G7At)lv)H!=LQYpc{(~X#j!#F@s&)5E8{XZ`QpFX}=1Y6Dw3-XDi9)U1GFqy#+i4qZ_ z34+p%tcCtDlDwA7E1{@6t44z>Fed7LNd8Y?2%R6mW9>oABWj?Y#H0V@2VQ6NPUE;adga2SZTd zZ^CCY=;MiCD#In2kVCQj)OXJ=C1$t#GoaE>mkS%y#T%4nJmA!lsj%vLYO zpEq$TQv>A)Y+v7p|0a@0{w9*;cpzjJFHtn%KSO4fhr)SS;CJ;Me&Ga6_?51MGn#%q zK5qlB=>~ER5o2C(9u{I=8wt#x+eEWLZ8YY!g~Yrx{IKpHsnk`2cZ zn}d;OoC${}I0KWbCG0kmE<@TU??^Kc4!#0+aO$;7^J&T*`wYGwtNioODlVAC``(=% z1mV?p+FQ9`tZU`Y_y5((rH)`G;FKr03}$ZsBTsNv7qEtoZsSJXKNn7mjdZ@qn~-p= z!nBcyn|%CXqX4*Pv4MbKi9(8%6b82=95D4`R6{1S^S>x!6%OA4A;M;yOOh5`O_J4k zD@oSiS4grJe@2pZSn@O%9yAEy)tye)~&{~|RgLKsxM#pz!p1HA}f@atnyD%+579mF9Obq58A zQdtRowwMxOGoxTpFe^>GDaquTjMPuz#hn~nG>h0yeuOhSX!0~oo}o!6P2ejHQe_uS zo~6ldnsm`*4^5t<$zGat(_|k_o~Oxvl7varJtS4Akn8~M9NCv%pq-o1i+AqgrZau` zk6qmTl7k4#zX?#{h0k&mz}5Zov)nA^B`n_!$;)`*Zti~O5MI;`F=o@nfNaT(ejL*U z$gf~q7gxX>#;3ZV#;Z7VAE(CId$?@oHQc%faF5{77vOAja}Srp9K~7BK}*LFe&)LX z6@K+OsP;N$_5z&&JaaD;y&*7BEO`^*h2I1eGjEY5XG-2ic>T8l_cHGg`u8*M5}Hap z?Yn>+$-e|*GbJ;Q!SulGWoPjP-9&^e2r@8f3SNB40u@W^w8%sE_s5SsZ6zkU#EeU1ZO1Wvxd zi(dq0&*Kv$xqw4og0{azc*Rf9>5KTxOHlPIYVN+y>r{ad`~FsjtI1i^1z(2Z2i%{QX}6 zf$am_Sh(2|`U>=P>HySsWuAB-FaWs7W#-y~-ik`dq( zaG;GybjmP1MNXngGDRLqlN3rLmC{IaLK^`N0tZ3(l0aZ!3{A#TXzjRMU>sBS|e2#QB^_}%`(H)D>MTPZ-b++}L9sKr*;4CeE@4Vd07qUY>owmNS zCKnwWS&^g5!dK4Ap4@y>cO|mdyepEtA`pARWhhdY8Wn!S=W0*9jyy8Z zV-S*VL^8WFp_cCX=)8BrN;)_9o%P>*cF^s(kao7=>I-tiOg8f1&qK-+_YKKUgbliP zGJQV}xt<6easd>0is{#(X}U9!ZBpHvkxf79mKu89bh_}i%O$#3!+Vp$zcU4g-!gf1 zT_J6C{XqUU{io}SZbMwx5Boqqw-3F?UHM4%W;EIt?eF{1?Tcgke}C3Jr(L!<22n2?7j%?!9f{Kbp@274pLl96aigzk7dll8&o zuRT>-xyaq(LbxKfsMg*qr7te|+Ed}_cc&xZV6=VhsnIAY;UrTMpOli6k!(ullT(mc zh5NqtG%@Numpo4f3q;{!cz$W^0>Qk?O1D}wvs&s)=Tw#3+IziXy)<@a;A%^}7N_)j z8DQZkhay-w+IqcW;1j7=A?Fc9+k-nX>R>$7>!rFc#5J~Z4x9w)x7x+1qjg4_M)D(5 zkVh!Z5;@59Vo?V246`>0>QsdbJQkHNtl=B@O(LPB2t23Hi`Pa{q!d#M5APV!4CqoF zjbG^VQU%2bxUkjDm|-%lp}3%U-jwm!lDa(yy>84XpKF6pzUP(Jk!yG5)s=IM$RqZS zECb^N3K>gkp|E~RQ7wEW{+PWp2HKvLx29NOEXChJ3ozoe{oekP1XmoI?5>FPG&%K1 zZ5C)wEw8U#21Cp~P&5|Yw%=QQPa>t7k&$W2J(7ggKnFpLJ<*)|70x2lUlQY&;J zIo&n3{lD@=Nro#v`EqDdBb!M%lxMlpp4pEo@@xu#_aEp)mQSDn-VRXa2%^o-uuQ6) zvyRkCO-4SsRJ0}w2qtwT^0`OMn@Qe^d?t$dM3PT$gm(-?fC-F#Q!0GZmv5&a03Z}T z$xcE5WFvWjody9|laYL~g9gaPIugmJIA{<6d>%B_L4yD?z`Y;<8f~UTIBuA%&~A}~ zNFo5B-DwUY1OV;c>mUMvbhuMET?D}51As*2J%a)Uq$W!`kK{8Q6rgwMNl0Gopa4sw zY7Od_4$A-ZjDe-TX#n;BH(hLaTYt&!A7J&5H8l0;v=ME4OEe`=n?Qz}RkRB8>Io+<8&ZQ0h zSy7TwqT7?$xdC=p>8`(EPwd<({QQCZBzvxOmxcU%O!s0$XAAkWmi$>q{;U^@e}!UA zN!Mq)&kZvEIXzKyuZ<)L9;!~#vK3_a+xG6c_U{xy-Z8W|T1Yy#V8eHcb~n0@;^W^b z%(&#p7=P8iu3vA*Voeapw=$=@qt zV6Hy=y+Rk07u|I;ZP2y*cJmEQ$$8Hq)p^&U!1FFc+Vk9?OWvQ+=lvl`jMlZ{BS6u` z7Tt|+yST>T_fwa|;mQ7PdR%eC)3<-^m-3~n@%P^=o@#_ADkZymp{KpBog0X#iNJC@ zTl>zQaBq`$ZX)TRq#OBnZUQw){X1JXpWR0)kq%IcW1Y|=r+)1^NCdmF8xeXyX;7jm z(SbQ5Ksq-HwutV>nBMPtf>Nji0;$xXDD7@y-7W+oST((+1i7>I)Y(D!OzBODR!2#~ z8;w6x<0$@wsZDkwt#?F>w}0ox&1Z4nBQ6T;6YD3P1twIRQDA524?dpeg09O!By*qCo@B4Z1&uz`WH~#^yZ9z;93H0Ay;QQu2;bGI& z@2LFx-%%+>eSk+_QE1gA-8vYYNAD5-PSoWk8M;dVfFHgBkFVX1gLkBS4HY>l<-a!n z3QuqSR*_QvW%HNt>mo?3D{l8+T^BZ=UDI_Q{;c{-_fp)hmvqKBcv}s@B!qV(H$aW! zCOzorrNO{r-CJ=FgQ3@amHfG;8y5#CRR-N@f^ZUM!v*+3>bsNrL+tU!<32x;f@0ke zARY$vlN zbF2ZFg*3@P0l*vlhQE19jfP#Wo#^nzWCA!j_-o0o5s>|6c=qa@EUEm9Bby^k`wGAn zsQlq5*ade!4&SiQ zsB;(O))5F%vC!AXFw|f;Qux?0^2Tq5DLyD}%FCu&0 zVB~SIy6vhJ5}g1tP*A-SD4hQOIKG$NJ=AqwS7ZeJL(Ze?Ct;%gNd5{Cg)_uamkb0# z+^CZo=l(eNr(Hg7L)d&>u{IHaN?=rS>fD;XbH|TgKiB4>W8(9!8-)79i+X7hzH(hL zk#O(ZDd{_BIky?!l(nYD!|o*&S`rENJs{n@EQUcmGfb zMUA8^fRs%Ignfe}$d5rL1yBhEsB!6>rbI(ty7d*|gy4IIUQMT@Cr!8c-0_}K@V8q+ zL?^6kj?&RW>6p7pi41$j(S>rX4v+dt5r_L0_-b+EPl~5NrvX1JCiopP_1?(am7s(4 zN8N+*y;t&1;w3*Tioq2+__HE}*^7sMRs@g_Zs+^P;^bcxY(p^E zetqX#{Lp-)VS&(??U%Df}AxRVW?8Z_JmeHSMIzE{RUU zcb(I~1BsxGUG9*>$!b*y+>CghyZ!66N5wH z@Mwt?{MgvLEGITv3h@BMw$Te#(#??Z-a;c38F@x>Gs1hTkj3|xGY2mo>!w((XjAno+SEThhcA@ngdF;7`~~Jvnr6xprlNo93z`F$zy)YEfeR_6 z-#Q6gNUQs;7H}cG+HV~t^8p*qT4dAbX6xJAwJC5}25(I_SMz}hrMg(qO`Xhw}!VZhViSFkq8Pb*TLs&9_A#oMZF zF}C*Dz{s)w)IOI5Ksj+gf~z@@g498-83mbH#;KDtrxr}MtRWXprcOq(U{~Sp3H*!* z!E<8+!#N#A(1ha6v4Lt0oEOO_z20H25aJR({x!!Xp{Q^?01a{xw6Zo5UyBXY;Kyoa z`eGl`9OddLLtuZDA*k_N3D5WMRR+(9)xfz?pcE}o60RBorI;8T5*HZF!D*1ZgsTKI zDK1a}?yB;*KwTpo_5`Sra!=mevaS=J-m;?51Sg;|Zw+~bA~s&=rBDyCa2zDqzGhl6 zvtSDea6w;Li>vT;ybMl(P-hT^Ans>x>#X(seEAp)Kk9mh`Ze^zfGSh?exo zmh`BW^yrrKn3nX|mh`xmbVEyed`r5qB|YI#y6LlUNm*6@7xPTtx+eH;pz|@)Vl1p$ zR9_9p7l_o6Mso{{0EFk%3aTL-E!_xHPQ3|VUtm%yRWL#SR;6EBW%@$8r`F^a2ysrB zvz8d-eU*gb$R%BpN3v_E<4}X?E9TI{Cba~sT2xi8QW@#F2F|K3Z+A{$&$!<4Y zG{HK|_)2c=X)?J6PRdP3oIewrAdIg7xC#+w%d`~LE`Y=Tp;e}@Tw2zc4y`e}d@Bo` ziKav)d%yD6#V=NSEw;daiTz%S`D!<5@J1$f8*W<4CwO^mVLGZ8)vSpI{)~^~zNLIT z^9254DevD1&nMVRe1)eImf5Q3ESYCn70t&WpC`%fk!7};va06jMCcp5o*;y|lU5T_ z@K}P;yag_iu7yHdc|$3Dw+MbOcwoSY{GTBo!k4ZAGIy1PchU1BKfM6!-8<3~zfWbi~@A0-+8GS)rJkAt{X$;143 z2vzNT7%CmcZ#>K=L3rx7hxsrFPX#=}o0wy`ZzZqBWsmSmh)9jM@fv?y1zex5t0-Mi zjywkL@Nas9!KF!hqvS0HZ%)$tOWt8{Pm(@X@-GH|nxr2C0jSdD{8$J;buQ;aApix9 zg3mrpFALDZ0|sP>5zi%cMHm`Z5;df~hyPs8r$S&#;397Y?+MWIIAgq<7eU5iDLYrUUj~n?=`FY`W2%;g|vN2|4xq!JQ-VC9rQBC|<$yW^CIZ7Yw zYDBuP@s1{t;w5~v3A**P`ddQUAEHsSY#`z9@n#zz!~B3>Be|>ix(#%5 zO`xRn93oe|8iWy{y-qZuazWM~am`9l)K8S!&jO<^*}pJ&!f1V_*RKv1k>NK6cZ>$1 z|IXldM(YzKHyHfuXni)6B<4dE6oB z;VB{WPnb?#-sB^DxTqs^J4}w>Xy)~Cz7pX!fG}HDkpZ6^V_QmGfVRqd}&_oNFv7uV}r9b>$NnU3N&(5~Zt6j9vmYFrvmY$!B z;{0)03m*&a+>I@K024$Ke{auVc!AwcW0Vem(88xlLWRz$apY>=2f{#qj???Yo2jbt z`cNhcr;OJ}F)_FV63^H>-HkQi!PR_>Bwm8gFNF!^y9SmZBRtfems?n5E0~0|2@*2= z1s|sozC&0I7cACB!wiQ<6mX=RFq0PCj6<2x8Zcmk7H6>5M%Zu#)t{+bY&er1dgrJl340HH{y{NOU^=6sylg@KB8UnUR3nc(`uc>`l82P}e=DDX zg5hP=wR{lVEPa11Z!%7yW6YS^Jh!|GYzwxKbQ=Dz14UGI3m4Uv+k_$`GTw{h*TMcV z9nV_F>)__-@^wI{7(WTgz4u9|ecDoNtDFZ<)Brm+;oa2xDYKrl9a;okKOk^6wY+q$ zb?)5SHNwsk4&H085=Sl2wWSn$u7|az43AsSt7PS%hb_daQO(08>tQ{vzz?nGL*$hp zEpi7lH5KU>5Y1p)QvmjkHejln&TVxk5ViyZj5NYZp@CntOJ$@=N zmf((&tX@RsQSd|X=mohZ7H+k!E(M;;>*{O^A#7r^*v6sQrTF~~e1sH!hAzVkH-K~= z!5cU5YLDe2g(MXGDDK+;@@gbiqck@ANNui!P?asCVnN-Kg-HDv_G;x-(q@TqB<%(s z-^%-Vw1{LzqR2J)zE(a$x0b%}K}MMv9C@scJi1$7QNGZK;@9Jyt-QfwgNT=c;y2-EFvg$3!bzQn0_2D+Q^5+JONl_K&Qe!3(G!`thSZc!i6S? zj$q;O4`G-FcHq}G@&S!cij4@hk$k&EeDp6fYZaL-K0AhyLmtlv!?=aa3E55T*;h>%ktD4lw`c@co;3t!D2X!K9bYEi5lz08tjC z-iJ4C;#H0N$@n05tcc+3;}6MtfJJhjlSk?oU?##SD-&zbC=HVJik*aevj^#%p%fh9 zLL_@pBm(y%UxJrY*q154ntm8vVBt3aMK7nwB+|Ts&27B;!NcOvGN*#M|Efp?zW#U( zh{%rE#|e@ig?zvYSNO0!aGLn0KCTncRy8c2x&`3A(@F5TLsLr zI;4CHm*(<*ULJ4T8!(~Zcky5wAJq6SI_EVf>}@q?l-AZRgIyvAa1r}&=*XfffeP)BXo(r zB|_)TA9NhDH|RuB|0&Kb$Ixvi^Dp2gzOWT+v|IS*Rz4u_FQT~HMEYdhIuF|*#)TdQ zcyqI0LGWgH&)pWyCx98`Cn1G!Thr7$;A(^@p$Uz5!DWy0<2Y9r;nZuD;^!ad$E!xT z2-Xdu4W}E+l=|8QNXug830@QI?jn51ru6uSI{u#cKs#7g{@!@X6TDXL<6=L@O64x= zQJOD)F^L|Mj_G#yI|cTNkJo|Auf+2e;sL63wK|RxAP`0jP@la95a}YmPCpaqK8w6 zb-_mh^g$ATgTS&@W&~czCn@leVuHwa5{paUJqX_hiv1c+>!wV;oZD#e552*XgNRKIRvW8uL^ zJ|bW|6$+90u$VTvNA2CgYs%9_t`d=d<{jBjA7*5!vniE3(^;649ytWO4Bo+m7b8_kbYP6NTO?G^+f6s-vHTpX=a5%L-hmsWX`hS~JDPKD#DY6jaY#EquV5j69~g zI94CF5JnA{FsdSF!uI8cohDMemr{(Fj^m!>!$W6?4a^i8u#dzw#N|>`R)Ms$aLtpv zCi*@QjNlq-9!;J=x24${!0&QDXu@MQ6g>pr>sgvnKnd=BlJ_51>LT2pCj{6zv|abP zE@0vdck|1k6xL~W9xd^yaEXOwx~_6=c^%M2aq}_v6dyZw0d%9TmMr6G@P9!t!*A3UA9nC?B4+So{zZM=>k$u^lj6;Tek^a1LFC-Jga7VGA}q4fe+xJo9Nj zShLoJx)*Az6XA_nc0FWa4GQ0YH$BaVd9;E^;SB93n`3b5$cE!MbVD>pONE?uLFFuu}S4%A2_>Ry;c^fZ!7S#I=?j*o>@kcrO_{e`z2BHCt_#Qov+dDxn zs+^zPT&%Dv^;i}!>xOgA$-OWeBW!(37gFEI_dlw%E9u7kn zICnn8xm|p${LJuHOdsJ@U3>z#I$rAHli~L5_g#EU$k{thudb`Ft*imxR6XpVyV-v7e8wfmk#f$ivC#mKJCsy z%&~L8tFcJE5uQW#2v*>gk0$xCV$rJp9gikuyR@uKYFU}QXXVI`f)^DNbuC9<`@<^p`>Pb*qGlyJ+rQVLaHPM;emg z|5Ia*K>?p08a?JBb7<_Cvx=lJRG)#OVN-T^f~bh79J`2D z6f5WotGkMo1uN>VeXY2wuDW8~wekJlbI!eKet&$=^DXb-5q=47c*S&Z#9+|3sdlydqIBMq^Q?p}g8mm{I zvG3TWfuC)AH%?t2W!th(zijIHy=l%(>c$D{NqE%>UPE<5-z4U{0{6B$uXZJnZQ3kg z99!f?FZL??47?G7ZD23SYcx#bw$E#3j;nF!#CGerM%@grl?k%8T|2)r(}gFVnLRW+ zuH)_%)4cBMRn!|~)RY^qy|*&ib`x~dHD5bkc;ZaCMF#qArGndALp56aWr`z#m!5gU zj=h}-DrD8ufu|f%8{BuQ`qju(%zu~2IJiq*@SS&CjgQ3(PMM~~qfL~0zbrNB0lv~! z8CZ;$n&o2!>VZx0LFC+n^yu{96z0Y&O?om>z6%_9s}Y$eelOL5&H-{T@F#hDkA0M? zN;HQgyvAr^g4&NU+G(%U(2jy1r6sTC=vufGOm7sy+Xy3cB@`!EcrbX?W6Y0Ih?yaCi^Y!e!Q~Q`Bwgp)!Coq z?_iHT%NIUgnH&F7;Z;=!Km8!;@b^ozb0JP%IcD6P!rd*qSjB?lx4!#z&GzU$@9rqh zo>RDG#Gzw{k4s&UZDksTOh0_Q@>D1~Oxs@>hhC+utDdOLOh$Q8jb{|}3sYs!EZkk1eJ+1xe`R|6 zrh@F<1=$saceLz)jMPUrJy!((fyWLzUwWYkegaWV`2GX3KlLT8>u1Vh_sC+Mzgw*K zyTxXx<|Tg}wX3co+b9^6_3(1}?N3ymQhZB3nhLLJwWv`eiAU5Pbk`pC$XZ+^YvDco z{n}iKxr$GGvNAgfU2zJ!Vi$!E?G%ry-GXf7jqYeicWisIa{Od;$IoMSCuigD;<2hv zjK({fb~cNi!G9W!f+6+1>A8LXeX`PXbWGBntmtB)li1$9Xf7^)bC)gdUCNU08i20f zm-EoHSL!3eVW#kWc>+iy#9)O6nfN|YeZ!g$eS1NwG{a5jnbZ1FA_>TsB8p0q7#bYz z1ldyYa5FW#ur|%3ewmgO0nO4(Cw+I;4fEaU1|d_#sc$P0PL&cHEJSG#G~mSP)^{0w zI~&zE7{*Ol< za49JFl);!u%ya5sOd0bKtyBynwM;bQ^ud@CmcBssLmT}3h<-3Dj8Z#5bD#`N6pCFa zGcYkIcF|xAid{SygWl^LjKQ_z3^@#Ame@I;IW^C|)Xx7hb82epG8t2<(Vhea<#p1F zK+2hX|I5>o?Pu9}>`l?Bw>0R^=ZhElseoE9i5KeWjhBc~f+zz01xw-4vZ2>sTd= z@t@86Y*oI@Zo6q3=yJNk2+KK+9vSDjUjC4+YI0RLQLkN(e6*U*XAKC7)SH?(RMWSScq`p8IZE{i=MQIS^}^Iu>!5LpGG zd0u-Gb6#lX#1fMJAb-yY&Vkzx$3?Q_*%oZA?;8z{2HewK zDxB~ZclCg3hYLec1CRbP895%e2J3=2?h4Z}Z+pYZ+UC8{RjYon?Y{QxP?4?T+M7bf zUfQ~MRbj`rK~75?4D+vjKa@LeSKGm>sr{1s-=6XM%lKzc2!+fb^h<1 z82wxK2qk_?Tjc-q*1gdd8b9IXBI&0!yk3Kdt~ePh<%XRBd&M~WgePi*E_nOCL;OQq zD0$n0y5sg8XAd3nj~spYn*}@AgWHlri$^|R^wM)hH@(2mN)A;=UMYGm@u%$!a-yFX zY>(a%pg)csne@lCBWh3m%^w$Sgje|OzCHDYiC@R;O1$FLqMvYX)K7OLFKfN|)uLT6 zM|txrMH>tD)SpT2zHff52vM_c{#(&O#45~+z4;A7d~oyY_%r>Y*NaRz?e(J5ULR9* z^WTdWY@hbXD@9jLhgVmKR2J?YDbCNe-@ym_;i4VI%Mb9GDWNrx&gu9zHMsRF$391D z=x3WFimsseAK(7Bx-uS<9x8)|Z~V42+sJ*5>7fg3dox2fCwZdTwrF;7VW|h&GiP>D z{Cy9Uhi3W;pn}~diMJp~CAHhHDY}FnU%274b)Jpe3O(6I&$eH~cdxBYZzY=p@pJ6g z$lJ>#XeG?Gi^tla__6cs!c-D$dSUA$LOWJe=gP&c9XPz4*y%)aBtDJbc1^7>AN!i% z=wQbZn$MlRvr17qZ1c|p2M${lT@SMt^O4g-S%uh*#Km+jL@Z<#X+ILr*|;St49Sv~ z@s{bKK+T!?U4=|7II)?Sq-5+FqPt$3_z|EUM|owq!c zWAhvyeRI|R=MMike%%xJ|BhpiK^JvJXm+HrA*cnzvs>ZRMT4z5`6`IPM#hpZqH8vF zUu}11C(OeOp&po;BWm^eed5K_4%MF3J5=^}YsX9Vx?&K?%GLG{>_3fPvjrU-3d;+1llyyyF`K;{+OWQU5HH?%P4l@-tCri9We3wG-VmW;$T&u!~I|ToHW~lt+Dp0Vg zS>knOsQh+auYzlrukKk2AsRDSeka_hf{8E-k(!7ZEWQ(NR-v;x;R4Zhiy0_B<8M`g zT1zcU*lvc=m+Lke)YiG8>m0OahYD2RuG`Jvl^x(qxD6|KWi${Q_pXBE+K403@oZ7j zzAg8MR*mE%uhJ&kqoaAnRT|V>qxl6_X;WfHNTIdyBcu7_S7}Ab4khb%f|T_u?1LUz zU)LFZ+xCY1&}t3r4NZYoYwO<70ti3s*&C{aO6%8qLrJ5V1KKjQ=u@C1LsD-20%JJb z$W=sk3m+GPkF8cdBb1pNnJG*5wMiK?G6!Y7Dxm>Gk2aD;gX#?(&v;uO7%&|gB)p=G9i_~RBN?E0f2sU00-y`r}3d5cIMm-w(apa5v5{r<( zJ6qPt->sUf!L;WlvtB*2KCac`uikSO2x~}ljznji$0Lt~lKmtGxJHUuIxbK_7gA7q z(N;FGlL~I$+CEMZ08pG!&Aven1@ui(WQTwV6Ygjd5-u zNz~a*O$qZ@>_+@U)zJ!}qIj>3UfQs- zYSrpqL=u-+SX$W@y|iU@uf(a+60bvPL@81=^4t&&3FP2XmG$0dLWy=;7PM~vvn{_W zk=yR6`FiicP=1oDFvVUZcfS^#%A5HE&xBGZj!DByO^A}V2iu#fgY~eF7Y;VVOdc%2 z&eDP~4GtS5S#tZ#&gO;hhq7{WX`dF}1_Ps|d<=X5A~EF)mp9BmMjY_&vGRa-mtilnq=q$R2Bu=Ew9XuJjNZ=+C zQ;b6jvOr?ecuR-HPbeD@ANGn6JE2^}*3Vepv7`pJW+o3!w2nEBlNXM}DR@8;FQp~e z7M_dS{PE)4V$KuP{il>oIgzdaDSYh*p)6=&;WFbLA3x2!9+iXZP-VY{_9K7jcdkhl zH8OSLt06ak|ASC`l_u{o4m_jj%HyfCY9L2}Iq`TF_X=>;@oZ-Ccq@yua5PvP{u!bM zq1Yyp`6Bwhw;6+~+7N1mi?}e0YXM4R0fWGJ7c>7%)dl8ts&M??k3uI-wK=&hB$M7c*}wX|R%0!SJ%~W*i&2tb8VKoLo04woR_6wDxFzcD|Oy6#4?glmWaW%k=m0wvVg70P|H zKsC0_R{iF>HF|07vgObU7F(5nI}be_@|E0%?rmJw)2sFe_YQ15o#)`mYIgVS(c$(W zCIybIFeGcD_o0caY|arj(m?25wzBtfzUSFQ@AQ$9Tv@QHwVjrs6Q(l76+Fbe@Z4$L z#>HmDyiTA@C*`%mOSvOX8H|hH2dfF!*&=;%P2;$hcCVbXm8CJPm^so?7&+yjj+Qa8 z*qyMErL2*~&4U4T5~IgG-+MBCo5cy2U@Un)yN1LlO&2eH*v0#%^)bgO$|MrJc?c;4 z$7$;C>0}H2Y}*D~eKnwFpRGQ8%%5{Hxnn9J({X-7n$aU8x&4+a@8FwH3unRF@BOER z14$4~7TeVTL%M}*+%DeL5iYbB-_1vy9-f$Zk1$=RKM`{tz0@b(%eB+P!BO`~Pi158 z=NFzH9uxn7q9wy(;2y}s!uF?q>_hx%1i|9YXQziJjDnLkVsaPk&3)9jurfcOgeh`(FI{&(qq3@?Xi#Z^p5bDQQ)Ew zaed5_e0oQCwCyQsOcA(zH*|z+!QT6@Bb;?2NusWV@Mz!iWh*liA)F;0l%@p- zbO;DSEcOtY6cjZ3=g5dg$5EB zw(Z>#4v*!b?SU}~u*Sq3v2v&bPTmn6?dR(^!97+Wo}Znb?Dr+WY)nIQHHus`amDgw z!DYy9qzV@B@?(3${)mq?G`G&46{OHj zbd!R3DogS+xbrg6;;u+&Z-8OFQB2|Glu(W&S%^QGwa;q;oe3mXXk1W6V8)~<^k!zge*B9@d^z)P3R$C*xsad6X_#}Z(okS;nHm4;>(m&r~RTO2&0d$SnW z8o0jT)h~7$*n!Umif$+UsHAOw3XkXR^|rrldqn-Pnf z7P}107>)sA)|yr3#VHnM4dp)N%|{4{ep2rI#5vJp&q^Ez6h5J=FRn|l>MvL=YBz?N z^Pq`9EO8M+3B}Zb_*NTTVhMeNdZ50&rS!d!-fnBu7V0l!yyN+Rcj+VwYU(%-;(;p2 zGns|DmngF#=*J@FIgTx?=~}T0JFGf+;wo5ME0?WEn8MnZEm^4!t%MV(L#;jaMA`r8 zQ+dhA5oraL@)IrrYE-XZE*!&FNy~-GF>G##_r*l#z{bo~B~!EDly*9lb@Ol2etbsNZZ%8~^;Sz-U`LckK!!+h*~CUHF>Kn|1~A?HO};-wv+hj zOX|`gQ~Z}*fi!#8$^7j-Q5p99`TW{lfiYwWar+}azdhqrUi^4C-F6yp!k=8&LZkwl z+UtT?5X`-x|C<&lOY7jf?+&EQ&sc~m);7%w;#@14yqVHiUo2~p3S2EhH=3dHlVvRK zPg_4Ydd`o!A{;)S4b`mKFQ>{5PV_o;MB}?JQ z6|%JFvb1G>fxtq!jr<|Q+RvJ=7z<+{W|;cdhc;6p*hfXzu4%tfj%GC}Er)kyW(h?j(GqxD$_ zzugI!_Lr~CWIpJNs>q_K20U34$^4-#|75MLg~daMO??Mh0@T;k$83CUw!XmhPk@%G z3JV9B3+krU7XqQwCO;#1<}o+)PgSU3+cOU|PzNH~nVXCz%6hc6HPA)?y;Oz3`GVO* zRuW}|S@T+9dIVN0)pt$HY$Wi(e5DydYinv~4mHAQZ~ypU5rAZ7#nGCuyO1*>l}0oRY8slTMR!=JdhI0U-f4Zp?=-@_cUp*A zZB|WvQ+q4(+%*_Cmw9$snD$vMZ5l9lTNsh9ntATAFd|MhOS+fe`gAxDxz7qfOJ}vr zW$ybeOidG(I!k=OLXbyq=H6|6Yi%(6$~=241dPcx&%j!F(8APfZ5neuWPP`i>6t%T zpAo!^uzmO*wlFJeTAEsk$n4&0;bzWm!SeF#vkT3yr92yS)8QwqcD4}=qV)wAJ|g-=_N zLgGkrKVut$(c>u@Z3nDD0p_Zk+NIz4dFDO#3IuITK0Xq8asMhMR|D_+6Us#U~jV$98@inSV zYXzl;rN1hZeQ+Q=vh+2pqD!z{{LT7mTf*Z1-v0%E$g)53-ry;J4W}O`POhXkWsI8E z;Pm#klP*ywSjt<&(c&aad7Gd3*Kl&=ox$PMGdOpW-yM#o^DOxv!|>up z?+?S%F_-*-iC!sdi(San zei*uL7Qgbjus;R|VET{z=%H|$?Y}(!xp30>{|$>9WPzWCA#wBte&(&nBl63zK%9L^ zzy1!kjRk%ihQ?8ta%>n@9*L<8_9AhbY4isqkbn z1h0IuPT(0Ygp<--!y@1rMCWC4B5y&2M)$A? z=5d+i85TsxW{P(hS{|QiK5lz49EicGnd%omGv~6@z_8fr)J%nY;TOXxFi??3e^Nw> zJTXW}mO3n%Ixkbw_{tZ<$tiHIGNez$X_=D2Z$G{mm+ z%@JV~WOB!t|0LjK4M;vfP`{XO9z~qjP89V%RfE9EHV6|8tHUYO0xA!6Q1mJ0gNquo z4vM439B@-3>TtRU6Lm1d0v*7~Iy5x%UtbLu*poZCduAw*<$zxrO6FhEpR8e}-=xy*Tfe`Xim z+w~Da5-uq{4qllhi5q-q_r-D=5hbGmddbWUFEm1k1|$e#?Liz(&eDKR6Bsli;ht%8 zWqYFrVKdK06fR97Nfs&uI#4sIfK480C@;ZZ2uFy++SIdzC0$_y&x!(&q1d{DZL3!@ zC*0G};6ugIy~WB^FguvvHAI~^!;25;f|s$lTND<$=Z@`? zmhXm56V(T@wsAXDq~jo=3U-3oL4v2u;_g7`ie+dgtkK*#poc^-b&zwHEqGj{cpI_x z9Cyn?d|)S7eW`U59hEunMNXs?It51kk)%YVaPC%p5Mtgv>L&?M$?25zAW&wXVBsMv zD$?_isOEqf+3fk_fS7`}<9it8L2G(ocRBXS`o%s%i&xb6QOqvL`aAy&%oO4KJLfSQ z4|y}Qc+b|VBzwk_{K?nD>7J)Wkz$<^M~kIC!~gSoIHmf4l9;b3W}d&wnTn+(3AZfi zkn9Iw#I$OKNsCpz%=sKuO$huay

OZye#9;eXM@t#6pvA{E-1?`4{Nax~Z1^mZ?w z03!s45m6WvSPwl=&#P7mHT5_Zn_1!C_|7-N1#y2zG@%p7(%;~3zY$Jzy@@8!6qM8L zE&j?MYP^wmWO`as^m_391K*fEs@JrWv>F!sKJ}J31U`_<%m1Mb5v%@PWI2RNsG02USu_0$N|p8TnfEW(AA?MlGEDQ}UVf+kX7_ zCO!}NAAl#-YG`Humxdl90g!_DpT(>a6IU=Je^NQXuktTu4mrr-S1aehFOaEP|^18;>hBQc8KUa?+lX=J{UQbMtzr+pPfL0Y=;RB$>Fh0F#( z+9Os56YaNVX-7BnIT6;smxy8Z#gn82En8e28oRpATD^)n6DSYNR5UkH=7Am0=eDN} zTw5Z!!z($=aK&-a+u_v4fJ#};T*>$e1t-aEVTmdDq%CFAdP#Ihlfl;h?@U(_APA5F zL4f>Be&p@&SbrAKL+V_e!?WHAhj2CRcqbh2=1Cs7#k^rjqba~|_d(v{ov^nF@*d2a zPxdsZLRN`!1!k3C|GY@G6D!&W>5l<>h-@b$L1;vhCUV=mpk_hTL!1t{;;mTL8=T(L z4d$;8vL8e1np{T45ky>hG+|D|Is)@S{9{-l5dWY;Vk^w4K=xh;Z%T=9LB;IcC!gOE81J3t2oAA;cz?KLPW*36-H00ueGOJg61og+zzqLbvvg zLZb0&SWcUrmwa251?Efk7sY`fR2!5CiDNEFw7|s4NkGX>Ebe6d6vsy~OM*FQwR1_%^qi)gJMa=ilv ztybJKoM#{fx~CeanR}_|pZ=r42W^9)rN+Yai&PAYU2d-F)Rp*E3^vP1Ki^s4%!#-l z$}8?YQW4JIW552*3lpZ9wUCUTDV_)ZdlXLEvHDjhts-M&|Y3-!TCT*Vd+N3up z{W@t>d7wO}yr8_K{P^-vc|&{=a5Q zc}@~JPC|_*;a^wLrlM<#_7^=<^l{ObML!kU$Bi5pH!d(PHAO^Y4082p(y(c&_%rt( z+~%zN&7PM_vU0zrcauB<4BPj@>VFRjwRT90KS5UU9nZU`_Bh-3eEvPPGvV;|zI$q? z`F@0EEm_l)um9Y21xT>%NH_>O*2E*><8A-rXC4Vx*nZ--AHi1oGymjB7$vzK-hElU zo)1Y>DDFhuk#u6H;(FY*2-by|qgU=nj@5E&Nj}G}?hImuY&6AS{18CzyTIeMpNGF6 zxcm_apv#N!$?HR_&+F?21D<)I$YSk3YK1{MUEPt~5c$Ri)W5(+M6hs3+IwJ$(wYdQ zy~S~Pv8)wDzEvE$K&)A@uq$~v^FiiYUWDjEB3~ha^}OU$92B~pcZ~K2>?s@gf=@y6 zg}}GD_X*hnQdki$Fc%b7Xj`Bob;ewle8td$meX^N`8E$P%L^$h*#$TfWv<>WCaS?a zCIciK?h{W2DAHasNje!|Nn7oF+R<7+EYs$E7EbYAYwjkFZL-OEoHu3XyLhQ1OUXgA=~yi~RKcF*c+gmd!#p1v7B2Of;hTY#Xm8e&e{)n;DG+_$OCTuDGO z?+$b46>NUm++Bt+AU4aCsB%LPO&kDL8c3wc-MT-`E|JDeGU^^k1QIzS7FxCP8kBrL z-BI|9pNI3a$>@=Jy6hR8Im-7x$Upo%>Kr6Oybv{#vhFD;)OaQYAQ!Wu(?y?mt zn13Io@p=9k}WxH2M&a${?F%)#~$1uhmuy0_=e;qKE(1YNa>6wl^ z{~`2H@iy~(le63ToSBv-y%x6A7Goe2A6#q9Cs-G<7 z|8@Y9T0k#k2h1kXn>6kR6^M&u!jI~AHE9tf{MYrDO#A1en)~dz2SuIBr(}=DRWB$ zA9lzVex4y zW4gHpGNLfQhh>^6Gj(KAX%@kScfr2spTg0x z_Oa3YisSt$X+%?NZ37>F7E6dxQn-@PF@oouIl`MaQpwml6oY&e3D`k}AlzIW+C)Zg zGGcjpw3a@_sZtZAjs&sDIs$XVLHd^_PrM4n(oEFT7{lN{``FA$^f3`b;bHqI_EQA7L^5MI7k?3J&VAUMJuvpE0S~h;nYCVq|qc` zM=v)-p#CS zIsGYD`cFooiUj7IfV8v#@t6;yKT;<0jq!?&=%v)o?VoDGkTgtGcsHYue5UVCb zRFg>V8ir2Gl`P`)pw?b9{=7mslTsZ8ug;UW=!Y5#W6layW;u&Len9v8PO!Q^(%&aj zrKmSKaHhlASHVI=zN(v()5gpBe3)akPgVar} z6)&v85@8+ui&Li{TEj{xG4#ULxNJ*i1OJ~x%ZMn6NY@NmeME%VC@c=hdf-(a(}eO# z9#Ykmwp3?}6`U|rwYvtwpv>QfscLT5ppJ^Q=9)#LE9y##U9)BXqNuPI2hD*wvPQ{s z`E8@LB=1Sogf#;?C2t;oew3D*J72o^b)7<;DGwYYN)t2~__%?M15ERgZw z3VQf1xlnkbX0An6A)-Bt(H^yVpCMF#;p9_v~vEt{j}l*)hR?=;qT)>t%;sQ8K$ zOH?z@3ak6nY#qCjAC1+o>NSnFe20s}1HH*>CCR^7&3J zEpnbUCL}{>&QjVQFHj+1vHU@Wl$lgsk`q)j4yG=IV4zAm3%<6TeX4#XLI98mh(y4Z zW)+!#y;#{`t1TYhJ-owp(rY%UQL7=N4e>5kg~?h&`s?jjEC8q9Lg zO^x4~j1ZBdbJ(|27Bq0GIUydWb~86*;08`8Fh6ADz4wTd1r46td$}kJtbu!nl*3}~ z!}?9V-;9dBfz~2MOm$1l0|-voO`S!Xcri9+#~!K|l++&N`{T7h@hsKdb(q!d#CH+8+}3Tm4Qu$`g^_>G;^E zteNn%EW`f{l>y;mas5+`b3HqF%nw*)Iu5EaKQwsEpSQ}!mLGJs88$e=z;G)oM?Tso5NaL-=fvsJzcnAzak?gy-Kwbb6<=QK4|g{HdQr|E4n*Q~rzLmGi^<@+)0nF@8nv-4XXca)_K?BO4;fVh{R8t})z$ z&4bYY?e9zv*LQ<@()|Oi#(|6fM^!Ue34?GI)hyvBnIx}fq&UcamX$dZl2oEHzpBdo zrYeJ5#xbm%L}tU4KrM8du8@Hmb^!XLY=cI618ruGXr&SsBUxRUJ3U%vgn4S^#Z}!! zF)iG6bO~4fG(gg1?t1g48mzcXJo6jm6*ggdl%x`E7P1vHVCxS`-vgdP-bQ(UABcke zQMyP-j?L(f?&fOdZ#GLbmo!Rsw8%oTTKV{5)O04&(IpV{F<2a>4Qdci2-Zg_TdMyY z8Eo-{ymJwT0W}dQF?OD5kTZ5ZUCad$1I9*0>rRygr=2ERM^}o=m#yT7inWBu>Bx!B zZ9K(cY*hBrfI7!6GP89omYF1XM$PJ)4O<-DHCVZuX-?$jcYv92hE-qJQatJe{``E> zx?4sefw zJu2fGk~MesS^fvT4ia$|TpKPzVj*mEAgLD)ejA)s* z8~CZmYbCZD`IX0Olag-|#k9=WEb?!eLAmF`5GsG?crC5`77x}A?ZK}(6;7G*x?E*B}sEJmG^b_lG{u8|pzX#hI;c7c{^ zHk)MhFhw`a=w+oUdLz(|J7gcH?2Kwz)w`@~C8@U}Svypc7tiX=WuEr4RCLC!)6>;s;OE#zS%Q`xCXPwugDyRP98# zoLMzhn+Cnj-BY#6(A)giR4p4;SRIwx@vy>LQ>g{vfTp`rtAa7shbpzPFvj|JC31Ou zZ8PGP$tc7>I!7tgfm~zoHOAH!xrlzQpn*!jL;jGAK=z zeMT&4+LqLk^`;I_l7>nN^B*!7<^orGKoVD`{rX7*zMa`J3-TV81A-iG8*Z?p1wBZX zQpiS)LA$uyGcsT=D&$|*X@#STWV6!8@vM407RFl*8WmK1;4JQMS;_Ef!ni;uoLTg@ z4BX@a)pEeB0KJ}_lPw~qw_n^9Uj^twdO$AiGhyb{SAL2VvkL2ppiBejW!R++5!Hv*gP8* z;Zqskb2U>6QbQ6#jLud;@Fa(0?wQh(RDbi@RP(SG&r&U$V-H5ASWN>5T8!bmp;ex* zs_ZyLm9AWfcu%9!gInmx#ShX9a1#4jKBb%;)+qYGZKe(!p!CPQuqB15(qxSz-TW3) z55i(N7|=uF!I8qW@1Ik3uI z{Z&5Os0X|+}5iJ+j#1XSsBh9!aVJ4ufOu@03!PgJ_` ze6!LQS{uM0WTi)4B)S<-;)pE6v>Lk>S<}(kr-nsw0Mpm;Ei<&Vsh6n6lr!gg`iZC3 zB<9~>&SrCFpc|bVEkd~i>^~xa@sZQ8EAHoY)3oWfC-~NB+6vo~+ZwenG|#n0Y=ckp z<@Dznesv?Z$Y=RekT=}ftl39&!YfJP0UqC^71;jDCpRI9xv6`Vm==i%ry-p~hDdBiSqT=2plvE^iyd`n2w?#Bl zVZ!y!;Q9Klny=t;iR<)xlqz}xOM9Q6(y67M@In7V1ZPW;>|>8u_4`DY;`t|)LOK>( z5r;NA|G$KF;=E5)#-GWE@t=!`QccnEZ-Lx-28NY6zn~DIhXLM}*nH!^B9v0WaQsIi zU0)N@Qd)EzRdK(OXrYba_*NmmQ%F+80Aow!>ij_J|d?zgfFF-tjYDl1Xf%xGdk9m&ttw6ReV&_2dqGB^?cxsiDIo#h*;)W!OmRSp^%si^z+J8KD2d=8WW|sA^8>`sK70IF|*L>Mebg$ zdF{R;{z|Xr9SsJV7y%3b_+sK>FhLDKP$iwn9jmpBxMHg&aBN?KJjQ}KMhX07l($q< zB{>rE?gqw#Oq~s(z14VMP3DJJYrfL>%QVqg>T}M%b5-lx{BtaFe9}+2bI=}TCJeV5I>`E^ecSz75)Ifvk zAP7c&kQ;_g2;u4k9GuwMv^t0d5CE-V$h^R4J3(*|Y}#VxJgI+eova3Nept2c6xq7; zQ>i+ns6?$JN&0>j3&&|H5hxF0>=wv0*&Y0XbG0n@LKVD724};$9?%g`7sQ=miAn`u zC-l5eTSg@bHDS4jNLwdl#ldx^Y>AR(buE|6%6vzxKr?VKnyG^z0d%2gB3rVv8I& z*HtudmO6LbR{E6MY4KM_DeHm*OZO<;fYQAt$}F8F`1(;O+>bADhu{_Gg906Zr($`> zcO=8?AFiP8lxTW8^otie;8~hFHa0!lXU@jCkGS4MYTFDJQKi5t|axlyf}n`GxF-7Jn$p|p27F)ifHj=684sbGF$9(cEl zQ*)q@t>-q3@1SYzyIqa=z~M5?Szt|;)H?>C_ys-F;`38?QeH}1OUOS#x}X|%f0*-5 zwT>!S<}RKZ(K6tew<4mY$K7i!u%!F>f`}G?Yu;5o(~{eqAfl+pf@=>V^^37PAH*k# z#(|9fM=2UYUTg%vd@q88(|I%3KB+&xj$e2~OF{XMPs)raY@g>VO)lzsixyJjge!)iRO} zp_+6!GzI6>FvoK;F#CD_=e1f^=ZjGSeJnc= zpxo+2pbsw)v270+&%o<)n|o7jb8pEc$jb8$HD0RGscr5bYMXm+&^G7#0BHsc%7^%* zo`*oj$D+W-#zA+LPY_N_3n*p$OWoaQ>+^kzo{C7>9ks*Z=JJ`!A;g^Uc(YvUdIbJW z(^)WZ%$VbGG5Zp6)r>Z`NZ(iejWEa9^R?{40Y}$Sx$8Q=iO6j<55_pZm1XqDa(+jB zgU1fu$Um4QbyX0SRN%iPx-_(Zz5f#pkVmE3Tz@iA{oC8m3N>;rd2C7jMQpCV-^^KM zddbOP(L8gVmS(p_!#}a+gAiHkIxRU8ZEb*_5wtZ98a3GAC1DQNsOUk5lT&SLrV%li zLvqDQqp51H`4W(q$Bkf%&$S< z8h%Fm7B5>w#NCeWH9=PW3(xul^3uQZj!(k#ZNKryJ_*$A9trZ-rshomP6Ld-rsqb7U%3RCAw?g z;hqky8cwihcW5)<0_wI7ttK67KxBXh(QAG}-=e<4J~AWLcUI@NKZ2tEKF|6i=<6Tw zxqsA_+CJn@{86jhxmSxW#A9rn;sTGCY{K{nV3-!n>pQ8h1|l^5KSlk*}GPu;+_MgS$?#CuMZ_bF5bkQ-z>~ zI9)Leg~{NiU`@$nD69;Q#*!gCBNs~pyfwhIa7$|tPDz*p@-#xqD+iJ^A_AV%kfiBf zSkg`1r3H@tNPH)<91FvAvIJjzhLn`6#_MCo->){@p+Nx6!-D%HQ(} z|7QI%F>j8&A%EzGF3)E%w)Fx{+3sBSS6cOj%r7mM9L}+|1+Bypil7`R7>q`*USG%mxen3GZmM zND7`SldLe6{5$b{`Jxn2FS2${GWDqi=0a)c69J%y@yahcM`R@wst_D7@O@r1pw}oO z4~@^v!RmQ`@1EKV&&?>F#KyiAMb?^O+pwm)v!k~&Sihc(Ai%>p=LL0RBR*UY$bEWo z@p{V$u>aktYak-fSE`c*V8}=;flmhyZVeRi2}kj|T3A-@VQKHlXp{7|uC89#3+`M5 zXW(mUaXn0V-wXj3^Q@#FiX(R>m@n* zP%q5>E?nIU=K(1n^@p~DL*8j-nenk%mTX~oSr%Z{CY43#4%d*}zqS<|*3igLIRi92*hl^S8)1m!L zaxi_;!upUASjYUIfjUF1(DYn5fLwaY8E7k5ke`cnhzBkPZuGz5eDA}$T-O)oU{J?q ze92qJ)ukunJ|wy-y7&?jsuc>tY}|jM_<;}W@)KG7*Je(o@DX~H_Z8IT*}sY6wh!u( zv%ejfq5C_t_uz~cf@LiCd;VTQU5@RCZH0Atp&wN_7+_k!wE=X3{a6Qlgx90GK z7Qh8*c#R5w;)@IG3T!{`9A9Tou0Utb(LepuI@*j#L#C#+KV~3O^})Ci(fqj&>I%z8nt}bD z>~Tb^;S^_ca2AUn6?wzylhle1zu3i3GE{w|!TaW{;2N8Q;|< zr{PXU>#%tJtghY;q2MIPFvAtiTM;oTG5Y$Q#dUVR^1HggnQj>y&XyrviS2y#DjD04 zS2wkD!0Lq=d%U}4-g2G#@aP`ec>z4VhjvgYO9@2tufD5GIz36|Fu7uVYezTs0Ju3- zT@%2|+)PN_DC?1gcltoA897zvlUIT@)L-c|o;|V7XHSpj=YL-}CRRP*vooXluJ7wI zo3hMKZ|z<|F5P|ED)SM=m$c$KN7K!d(_f%$r9an9CN~Blr5(uQhbPwg(?*+NLpPN% z(c4PvlID#y!$~Doq)f|K1z_gWMm1oc@)xKeUomcq%5b``&@`M*YneQ7at?T$(b;2d^G>?hq}W231)F-3xmU3m^BgR$bYQMuoq)O{8*RdE zl`(U5XZIR1_usJcj4oDC!#|o-mp?Xa*1_x}ZFoh;)yh7oqdrIv`0C99`^TW9fnQ!; zSDZav7MV0du3m8#T1OVkYvk{i*X0&B4K1{Jc%lB5{^swjsJlB|@cZG}9>;{#LVr>k zuK=oM??-iT{CK3!=8Ke8Z#$XxkgYwsh+=qy=eN*q8aDeKH`ptwFcYAyzOBy z>W}dAAJ$H@eZt?u*R~xq%54ASlXlFQYWo-OrazzZ-8*K40w88d2>@vcR?-a$bz_EZ zH0nl^ZZzvgi*B^)#!TI4(~WlBn57%Dbz_ch%+-yPbYq@woU9x3b>kG>I8`@J(~Z-0 zV}WjT=*B|bSfm?^b)!=^&d`k|y0KI@mg&Zsx^b3nEZ2<{y0KC>x^!ceZk(+f-MZ1E z8@;-*S~t$ojdOM5Jl$BM8|UlB1-fyeZu~(vF4B#NZmiXfKHa!jH`eLKCAzU*H+0?D zpc@-?<5Jz&q#Kv%#^t(kg>G!tjVpCyi*8({8(VebYTdX-H?Gx&g zjT?32Cf&GMH*V35TXkc*Zrr9DJ9OiA-7s|H4&B(P8+Yo)UAnPLH}2MrdvxPo-MCLT z?$?b6bYr(}?9q(}b>ku3_@iz-tQ&iEW1nvPNjDzRjYoCk&${s!-FQql9@mZiy77c= zJgFN`>BiH#@r-Ufs~ZP&Bh&paYQ#h(T#uV z#=msqQ{DJXH$K;mf9u89%V8$asCe|6)3 zy77~4{HzeWr~pg>91l1Fa3WwTpb{_*Pz4A9ssS~CFhB#;0_p(u zfCj*Hzzjempb5|nXaTeWW&+v(?SNT;*?>8Kxqy=Z^8hCU<^xUvoC-J%a5`WCpaZZF zun4di&0MOYXH{* zwgEWcI>7aS8vr)~ZUWp4xCL-4U_0P8zz)Fe00VFbU?<>Cz+He{fV%b z0AM#@58y$-Lx4X59tP|M>;wD>@Ce{hz@Gts0XznH9Izko1mH=)Q-G%d&j6kU902?k za1d|^@EqWIzzcvE0WSew1{?;w0(ceh8sKk$zXM(eya9L<@D|{0z&n6<0sjEJ2Y4Uw z0pLTxM}Ut3M*yDy{t5UO;8Vb7fX@N{27Ced67Ut^KY*_RM*-gez6E>-_#W^B;77oJ Y0sjO11o#>73*cA4Z?^l5W44q351>W7&j0`b delta 63224 zcmb@vdt8*o_c%V!vn)Oj%WYw=T$kk{EX%UHELX4G6ck0lD|P`D1w&N8g0f&u@QQgU zM#>B=OiT={B;ToFmv?G6wCr7GrD>++1+}YX{?0t}?7C>5?_a-svGdH#IcH|hIdh&f zbLN>E{=V(g0DoQKzU-je8zX(g8T4ANZ^dDz__e)Xu97leyq5m0FT;N6@mk?`E2Yd= zuYGeamVe+QHzfRL(wTH--8|jqu!CVpzeL}rpBz3p{G*7cqnintZw~a#5 zOOpdMIcV%WVASmBXHq&38dv&2%8h~lq5}fv_imhXB`n^F6%f$;)?KLEBnQ^L{d|}4 zsPFH)qII1yI^)|L_g;y~=rtbgV^%e{7`rq2V-FuGSr>Zbv97>eT~068#qC=Y<@tuO z!F}JdD9_i89Sx8vYu#k*_31mdiSKXTWNb6+dwOHo)tI;g#@yS@pBtfLke)EMp^k>5 zX5TBH8~Y?zP8i4ZT|eA+xub#P2VFU_@sX>B`#z!_JZjWchIe^)eq{9MSTX-YqFx{dv8aY?yK;$w44}suMdqyH+MLS4m8lJvcB(i{|n#O(5w63leez+ z+`7tez4f2aRz7xZY!Fwg)9a%(#ho7;S2BHrbXEEerXl*{PmEn`Kv!Dx$HoSg;|c1e z&_DxMbhC>IAdsXNfC$iiCuw_aXhq*G04f%GM*1ZPv2o;{Q`+;V8q)<)9&_!1Tjz1S zwTo3u6-z&(mB(FSj<=O`Xt(w2(Dz**EX!!jTzka0xepktI~U$I0e*DX!*!~NHp#wE zjk>2JR`~v|^N473Cl&vMW6y3jFeW_Wd^#!gg~BU+#?C(D5#PSoI?#p;<8k8ziS9tS zu04Xw={$lbbh80Tl-;N}CuU*uheo1Vd~aj+?5u5){fCU*S>L>G>IGR&R{V>CTFg>?{k;gQro#Q$^&?ai0>X15ZV6$T*+T z$DYqz;rnSD>K+;M_q|nz`+nRlz&3C>^K{Qfl<_+qSjC-3jN5(z-Yy&2n9kLauJzv))Q?A$n?Y3y0$C(UL9pXLwu z{@nN5Hjn)h{(u+XdYwPbb-5o<^Upug^OHh4jA16=Xq7a_-=QAcV`q=#qEpU~>|ckQ zR8m_{k4l=$Z1nYXYtl&fYTQpg?H7kuPel*L1pA>|XBP+UF@$^JOS{}}`wc?ZWN21Q zqO$nbS)a1ihA98#w>sVNt8H-BQQ=QYxkWPdXX|8F`cL@&1`;1L zhchsj@!~mLB6ADBGKbUpm44oQ;lw5#BnpMZwtUbPF>+$Ww*A^aMYd^kr)A6-8&q|Iid4VfsAV2JL&ok?#mgDc;$^7)z~viJe~^?04&UBBglkR|nVDTRRxf zQfp_u_2ZKV`_;`S54Is=gVmR9^E_B->34q~^&W2cRa4wCIHg#?@BcNZ)VlergPP)H zy2h|QIS{ZU6SV4EZc|n)e^38{UI+UwI`FVw5K%= z*=}wcrYnisKJ58-@yN$GO?^p}bU5S`*7L*8CG_ zclTh+yB6p~PR#8c8QsvA;CC(0ziTOjYlf%B(>LMJC0zE52J2en);y_q4g9{@8@)@R>s0DMoz3pMRJu;JZks`e z_IFtSduqd}jwa!EknlTK_|*%)_2hS#M%Ss;ZQBCiU1QfQ);B+l-(JFvtlt%;s|t%Q z?p&--xme$Ly7OWEMSbI$_S2g3hQ{yP&y-sl&yq}2<2fPo1H9S4*OZ=K|9$tl(lhJN z9qx7SyFvigxI%CEe?b@T3ek0j>Xw~uJky5oqmOf`sw?r!PVe7sUUsH=awxo1&3=vQ{dI;T2R2!-n|lcIWI`U8rMq9hWO;*N<8Y=^wLcyRM0gPU6h z|6j!AdP-j174J%3EbjZ+SvY_`sV;Vqd;pBkfp;xZ3(PA&sm-Dndmc$U;>=4&G{{}Z z4SUxD@)BC_yWlJ*gq$P<0xe6q??xzmQPG46HC2|irtFFKq6rzf{E%dB_GVy#H! zM@ti2N^SV&7WZLnxEiOw&FS&TkKK)U%%Mz`EC31KfEh&u;xjX+h0B8+1s=ilsbv|b zz_aWgvETz9YH5UwnY;YK#GE6@u3}D5O1XQVR2aAKUEiP zLaoCKrx#|X=jGWaEUqa;%6QaTF}uW`Ze374&6G2BQr*H*6kx^^R&ZKR3u>J?YnI() zLD30#T|aap5Ziy9uJkeG=4K*UB2-tE*i8xer~au0Z2VB%_KlAc$E@VEp~FyXbxnyq z!e%xj`3RZ;?`uN(=xi$r8i`9*a)C@b?!GqF$YkJeuT70&BQvq=`qXfLc@_l+r;387 zWaF{drzR^#L3^UdIU2RjE}eyp zcTXb4RD9-xOn+=V%9XRS`*8nP(+oy=F>0MxU1iUzdbpspV!-lWis1EDC5Rvl|GDQrG)dC9R7aM5`$oRnTL3Hdxqo2Jtbg)Kp?Sl-M9)W=$o>T!w@KLA7igewMs`7}_C6-jV* z)HfisX&1n54xfiW6Tr&xr)Z^5w2*q{)@8 zh=^MyHo5w)CUbI;&l(rp1SDVUibv1%;C@|&ZLaDsl6^rPb>@|W&S^fqx zSuwGLE?njIiu~_!0-*-%PQC;L8IPJwW3UL?;rU?dvY7p{2 zN_!qJ0GRT!$0M%~QETafDtmauoH>a5h?t-fB>k8S^Aidj?gISj9bkOu$YOQ58A(3_ zSjFdbxM0bhwn%ycDm=bGCJQdFtVOc_IY%k|k}%>cVqZ!Scakt<7Lt7p^`(o5J(iv# z_1}nn`4%$D@9@?(U;k47)Ax$x3<3ULx#IK26Z6F`*Xyi0+V=*EwL zLEdc^B)tF_s*4D3o$CXW@+VOgKT}coUqaMmt|i(N9+8-cWLF4>YROcVUL~#dJGmfk zHC%HRq?ijQn{G3$yc#waDie0#qmV5&8Z~h}P8$^*K;4|IM|YjNuO_ zRr=bL{YlL??9h1q<&aSFC?x%xbm|s`cD|Ek|2UyJ!~pMWkr%^&HGqLwQmshFGVt~- zh7BJJ;@lWPAHCffVcNhPN=QXYj)7MsdF#_DU?C=m;~98UgJtmm^o12fj+`gO=DZle zck%FM#Lb;}9|nh(O@r--jhveC#pgG2BN#c3dYT)?`Z0LI?^8$e{uC)hfp^;y|dOykqXWv?}*7uof5=hW&E7fQP* z*D;2TSykl^msZ&`7tAh2To|oT>Y1Vmx%rus@-|R+6dFa^a45_usV)T#UF|Fek2EL( z_dNr?QzX9h3>Op}MZm^omDH2~py;FmeryT~8q8qdKc@zOt2nZS3-pMgtpyl>k$+Cr zgvHXl#>nKs7)+U#JFUlBl2BG0-qONlvL*(9^5@hHUAzcpbp=aZpJ{2zw4z`$gUvUm z1~L|0g1Mk*E2SNhz+~i2GG&Y#S5o~D^l!P#K&&Xl#^7}~rv|Z!4BkzUlkj(#3ucn> zEs~_*z)f6mc&b1mb6k4iSQkDdm=TvI4rmCTv5C_T8%nc^VT`N)uwqulY~(YXX`MHJ zp*`AUG1kU_Kgz&lBc3uiC6gu>BGpLTy@^v2f0QH{BvJVJW>Oi88;eMlC6otyWz+Kf z31BF_M~Ogr`Gwg?HCh1Cc<0bk!RjF{mv&7(1{b$-s{FCEay0n2xzn=qkar#}$jQqc zpN+i7(cBavCm&C9qjK}|3bHf7gUY7`8M%{yw@5vK!Cik(ReDUMZ3GrD_|UDX{(~ZV z-R2-|A^xY8(*#VSID(+Fs!AS7ubFNFql~nZvH4j}<2{Ae3pl}3@w#U@wfnUE0u($= zc*dvGcsDk9I>`hvMY!`>PS4E1eb0hg-ACKi+|S^?g6T?D^B_KRic`)&ied&VmS7A7 zg-4{>t1lh?7P6h%mvJ0u;pr|MmP6fcv%@38S=8Qps;ex z95m~u%p+E-R1~xcW-pRIL=eGb6`HbgGveT-Tmhrcf!UtfIJ3OQUNW;9dCeypB0#~m zZzZ*IC3b*^L8ulmxO*tCh+HU|wnd_8gPrgyu$bVCa@Ewt#V!V}9OGhA9v;vQu$8rU zI+2-S8a-+nbi}vL*-)m?(4({=Y~IZI%byTQ*Hh9VcI<8Bg0)M5Mc{68Pl`r$nJAA& z9Q-_|W|xCq{9v@Ieg$*@1_vXE6~=8UM1Cu2r!tA^nsW2Ak#rTa9BEfm#?lpGVP?U2 zu#$zbsYtd4;3;>d%}guuSxW)KvrYN=If#2o?8!RX6X|+@3EV&!SzV*76<3%p^ny0x z)6a8(>@&2B!7WT{P4&zHUF0wwjd>F`Z{gyZR$RP=Qzkqsb|eK!pMyqJo2i=69;ZVS z*)TI@TSOL{k*1Bo%xVslb1Qy(3%puh#Q)pE>FV35lxyuSu>%mWV!MNWV|L~2^2)h( zGxB|zwh@tOHk;w~%XJ8Pi)1?imO2U^yBJdn7R294SrGUt<7Dn?!9N(6mun)tMqC%u zsul#-EedWA9cu7v3_i0xGcfygAbhvP-k=?G5=GLzFn&c3aIR_~=cI2!g?1ml`~s)Z zz9p*8ezBRdGg7(N_*ovg@06p$qMsNhV z_W`523UU91hD&OZ>I2GZ&}2Z%E3J$JJBy@;iENJG7uvwzKT6q0AWCMHl@ib3Lvi|i z1dR~S09>t)Nh6;?BNeqs`Kf5M#}J~`aaLlwRmaE_AwGtyPwDj;(^^tF8{DttImqvG zM^n>iTZsFDsi*z@pV;3o|E<5Olf-|y+hi%ezKa~``YEyN-$2*Njzj|T|Biw|w+gb; zv&gF3`!oyyHUfyFT%U#f&xnNb3LFrM@0~?X|Cl=~3h5jb68{5Zu;-3XL!Rg1VY+>o z9Tv8pKf-f5Ji~Ix;{rVAPo9*9ye^8RS-De?*H4g{1|Z||A!=6tGo=QbO;8C%$|Qs3*JPa?VM|5+zmWP`(uSj+`<3=z z`8%Cl#A;4St~Xf|lL+=74s5Fp`TyyHP3yUv6x;uArzs>&n*mjR%LSSgaQ}#$x-D`F zv6NFv1|Fsx34baV&$rm4ElIi<Vm4-NWqhm5^&1J5_lmgJy`laF*g>JRxhroAp-J~v8^?AB~4-p zh)L!N@ls*83`xCMSOR&oU>V7>it`a*5$DSaFAqOCD{#1|-q8x;`~ai`hV0J@t0RR% z1aUw>Kcq^as!*{oKT4`A?sO3;)DWIs;8Fs>3N1@?9kf#zN=98xDUt<156Pwzti~m< zUzykA{t|a{VtsMvix7b6i`nG}JMHSQbndi}Ak#~hezv22yBYS$Ntoyl*c;FLG75rH zF@KYg6ojZcJ1o3phZEexLo@#keWR&!uf7~L#&0*TijnN-Hi`JxMSP*X2gLSZLwv`W z#u58k3_Bn+1rQq8U?icab##@u{VK)*qTyprq7Azi44agZ()k*4dM(XvOy4w25N zNoP2*1I2svG4;WuA>m1AviPpXAeQIxI3aa_1m5=j6zk!!6KHsN>^P8S=yz|1Fhy(3 zg~KExDbuyYoY&LLfEqbOF;b@(8b?6GAqMFPXs}qvL_;@W5dE^lMG%rACxd&1;N|Ns8$+4ct)Ald#m$Kw5eaanu+ z;f6~5u2hnL#<)0>DPDNI(legnE4jTMd}L5;Sd6=m%q>1EXLNyy`0|A&;<(=2?8c+( zKEerySN}TeJv%REkQ`5l;+1~>C_^ul@BZuT!HGpNZgTuc6hA!qAI<^IJ`@%+2#6Y- zL`Mrjv77&O3nTl};<1UVwy+qZFTMoG@}$Y=OtiZvkUSbPJVvIHVP^WE_gkX*n<_dL zVL?vbfeNxAQHQijd?v0)gLN_d_=rHViBX6AgUF)21l)@}Q%3suvBYQvBVi_ohv0># zqA*q)ic69_71I0(NTtKwrXnR1)^jO_*Ro8wP=mh<@*ET#K{qhq?IJ80Oyly$=bNVH z!2T{$N8;f|UZsqpZNXbyTsFenaxl)1FH#0a)3v^96=$IP7-}Q7#}}!Tara^eo3P1T z6f`3qwJrd|HEu!W0ux!tRl~boVg47A5H27a!b&R|*iW*OP$c|I12&-nCJ`@sE6_h& zk@PP#lPOJU3R;dLQ}MbuK7vWZTlWR3@Il=SUw#PGFQ`I+LupyRxyX$lM$3YS3D<=9h;%ya(0CS!8!{=d9d#dU51CuY zrVMvK-P2M%fL}H9%Ha<>=va_vi30;Rk!Jyh1u$lTg%=e9aS&+`Xh3*@Z1<|>2sAPv z#6Vy8uwe_~1<}0{`!bL81%e91l`)aN%m>O=+Lua^&H{l>>_U-FN(xd`A&8r_5K_)9 zCTTU0imHJMOACI&R%B5r9==yAOpoBx7Cx@Hma5&I_miUTUj2|g2GmkoggC?!x+CZP zI5OCsYisTaTIySm9A#?+=!PEVpOG7xy*B=q`!Ih{XR_LJPSjfD3))P2PaS@kxK@x~ zExfIXB9nP*JKc|g4U%+h9?1uhz}Uo&B7Fu@W;=EXT{}AJu8xit zJDMYQ6f~I_tfa9JYq?fklmI#m&HBd*Q81z1{DJMtCV(7i+)p|YM5Zjj=?jV!F`&T% zI5J6WXtLN4s5EH^HVuFyS~n|7nU2rY6eatECKE&#e%y!c>A;Wsv8Agh(f@(FMIG^= zz?pQ95)iKtW#VTFa$E}g1ZcoE1~D$0*#pa9=g&mSLrSq_I3LW+!TG~^P5oS|3W~CU z(D?=FCJ(>P3Bn2$~>w`#`yeEG7CD%q%177Ll%O z`ZsM`ewPxg5C^eR9K(k z$Ze$CVJ18Bc*&uiTsu`Q&GvzcLKKmxm-c0RdN{8h=HEe7VW6GadDoC$5r+hkFJVX! z?IN>E|0=G_fGN|3pUdD=gZA9Ts@I&XGQ5HNGx(Tkd*QtUYvO?_;0Lj+fm2cXCYj;; zNWV&`<;hB)ly0oGBP9g12nA}6EU;fXDIF=_!izF_1qoyk1-rwqhy#Lh$w?%O7}(fe zqCJ3*a;)1voVW9K10P!hd5}y*g@r@|1?})f`Ba{%DB8(Dkx`}iJsm}bXY#>@SP&WE= zR7ZcnUuN@({^!xtck%p3f#cwT8KgRf@I*m3Xyx*V+RM8U=gpCMCD{5&MIjr zh$%pa+iH1*%sM?CNyDHZP>;h5vo*oUFZ}Moa1nHlXd|hUWS&>#77I=*+2n$~SlAiL zpJ0NMxI#QkJ{T%s4}$J;o6IOU1~01P6?onKJjWO)g{}!Tyq_%AsrgbP^dKq@mrv#+ zc@rIsCLW*udYCFy3U7F%u@If4hb2hZq_E^+5??{u1VUWxAC>3`1Jfv6-LmTKQ+W55 zd2Z7BigMWKA&!)tVE83DhUf6De8E#CQ;0f@bx7fK0z?SH=`^Bpz)tX5$(q4;7{PJ8 z@WINBAcsuuHW1(yRM$kfs9ZV>jd&s%qRM4bA*r%q;}F&lqXe_6M+$HRME}n@QW-b} zf=RU@)fjAfxkyp(mxoL??CN)L;@~OM`RgL_3Q^+V5fF(>!DB|gqC+4W zR-{}+#NJj}r29X80@WkLswr4iEj}}^ND)SS;d^BJsK^?S?Giz@#eU!l+$Gz3QML^L zOnf|u$1WAc`s6)gT_#erB1Mx^tWNf6SBQdnkatU3NhPXW<&d>y4Y9xB5#~|Ie>Kp# zBVNz~BwYiH2yPao{6OX)Hgqj99cmm>%n$N>$~ly%_1IDj^Le8_gMzqj+LkxC5cI$l30;hqr zPNT(+_PMu-VgiL;N=bF-9!wac<{gR~KLD9n+jnWhiudlIiGgUOdLQBLYTjSQgSP=~ z*dd02&wLNo^Dv%2i&ry81Z7miFu?C1!;pc$At-b*QhtO-o-I=F;Bk=d1%HBJKSF^= zCV0Aek#;{7_aFN1DnBNc!USJ=u?WKWe~`*MFIm-}c)}cB>Gl^f`wQptQLO3~ zUJoV8e<-5`vn;xds@r($1=vA@6EUuDNEw`r5mzPw5(ZzM!)sVKh}->Gq)@rjkjcQl z48oSVuu;j;5Qf9>t9S-aoCEs?GCY4SZ%}wKg0DzWsjX0XGq?=~q4c4FA_0;5=Sby? zpQ_@O!ScJh=I4w_X%!6C1al{64Vv1#}pY1I&1{w`A`3Dm%Wke`aQo^UKiU~dZ2 z2I7hNS&A&ODG4WE{zVjU{6&C;S52tvcpdYL)6rN`Xc%5!&4<8;UR~9^(lZ>;h(Q5` zi^RvOVOI_gshEE*Qt{Cud<=yL53ih8mc>$d7o7o197iIrvj*A5{N+9v=!@J0s^oe^VG- zalU9+Kq?i8OJlVra&SzWhP&p0HVk3#H|L9nvqKpi{9}yN`8GP_^k%}E9Dp!sxvmJ__OOXZWb8znhehgEFWefRP zOgSCdJiKlpU%mahv~Y#r{JSSw>;gL4g}8JPjCK)zW)Y0GijKA#A6o>Y ztr17Nm{7_v_`#VDvK(`nt&iL_OJhkG99%ZSkC?i<`0?n!@FNjvmxy!r@qe4M^^Q5) zAkJAk5FsWJ=IoPq=Ik;kz1Ms;im-4(cDXB;opW}DI0skKIk<|>SvU?N%vpHTHVbn$ z>?ucNm)bbz>lzMz2u{p;iob!(VMU~DqeuYE_A~!Jb+s6m)bN_9)_(4Z}hVc7#n^#Ws9nlX;*Po6#695*~U25H;yB|sg# z6;Nk6P#q6w&^E@<^Z?>sr0qn!gku-;;Y>TuU(9FieV9*@3{jEgAu%SdcGy=9??#th zTTVkgY)@ELQ}4-63@R)zH7Zz6lXGYT46!~0zfi}AX<Aq(~} zx?m5`_xw^v!a@-wuMDyx-$=T(5Dpk-a6LI#ObZx;1qgRyjsl{E1v-w`&X78m%_KUJ z$;Co*BrE}IW+9EE&Vm}&&BQCrGi5HQSWsn}P+nVFQH}iK>AO+b?-4@bS~EVjgx6$Q zC_K2x3hP}k(7rT*taoe!-@wvDDD+4o?_aWxH74Wrfinybaw)X`(p2I`q&b%2uz@88 z+sO$s1uUKKk-*TqBmm25vcKs$T$F$XBrsBtKnSdz?~wp3q=kd1u+M(!aX!K~i)Mqf zk#Gj@DV!cxq%bK*2|kdxS20cq!683bV-u?;0Kyr{BqYs2Pov0O{9(|H2xctq51J9^ zo+k_pw>`ldg8g9;O)Q*q2OqWrCene27l<*zLLrpM!%CXWC+!qrnI(h>r;-q%f>lq$ z3+j0lGo3ELd_ransxNZwiA#J?aUUH9jTvU-rDu*se)kjRJGLwGfUkbAjwW)yv!Unr zphKL_EskQ*%@Sf_APaFxEd-c?$xaGLoP6j)2)pb%BGoJ*4ylCj6Oo;3m9`WgTgq$9 zbBM@b@io^WGQ`31noNB7poMbRWlZV{{AvTQ!Z$7q^Q-r(bOj*${%T=?EgZ+rT9%z( zK+g1mC6+Cu?Slj92TSg|7*KT&1FG*~K+RnYSPT%6Fd~5i4hB4YF9RYU!9Kbf5z5+s zVL+Y0fN)s63k-Pd4g;1@21p-=C71pQGzD+1<3rfN^J{U8(rztJ@Ge|hEWL`^7b&^k_T4(_rU|K_pz+55)re$#K$XTY|gh#Z( ztZKzmTEPK&7C%Ok=WrWIHskk6@;v^DBwMi0vyi-iZIA@E!D$(wYcae2>-Dyv$gQ}V zz-_~uNb({+K$4g6_ate@gPwzAJ2sN!Wjv82JMhDhOosgcp{G991lNB^y^~g0ZLa^2 z>=m&%5plajE_5Q{RF;zq>fQM2bG%CPDlHcWzSL|pCz_Lxx(nN%=M@qZ*-aiIm_0Ok zjV7-lWMsn*4_*Z_#8wO%BlHAWhz;NiR(fktCc|ze7@m3dvyEE((r& zkB(*9`}ngh{8Z+@IQ9kpe)a=|J|HE5%!sc|t zkAb6^FG;J@nXm9)?ErX^5Le@Q+X44$Li&E@6d^Z*`367qGCy_Dw=h~biH^I^1p4Ds zFY{T0%x%IUB=;^0CWY{ zcLKGm_#2Yz$Emv^cMap+(9(5$Z8sF&z~f$p&isO3d=gH##eI0Guk*PKgBR@wNz8ej&tX{x+y4dzz56;pnuQg&=NtSKvf`fo20xyaGWhj3 z_yX3Q!3}=|#^dC@{9twvgNyg_MJ&hQ{Un8@wx)-_k9>zh_zg~z8$QN+_~VAZz?in) z7$L*Y`gnNY@BV^jir(gBxNblE%;TV}zlR^mdNTO*-+@*<`%Ty~@M7?qH-Rdw&o8{m zKfuC=D>?fh^=0r5lFAv({Rdiu#W-!vY{q5}4+US_Col*PxiLbCNAKrj7!6^^bXLne^I%XQ{&7E_&IT|z zkO>OLqYpqPkilP*%*!Mb#Ng*x$P7Bj4`G6F=0T8Q2!8$`{~!~JZ;?cY?|&N@ABNu| zi5_cvp)efR^@5lq@QGf293M$N;m9Z)dk8GTU_9XvEQF)+LXyPLoPp+IY0gM zjHgLHMVLU7i4>uLCWRDX5=|ykgef@q188vkK@?)`+nd_vY9jdn%{_VRr{TgEt`PM%+2CfB@EwnpW~fv!}!j?!bZ97JLsK z?1oFuc?J1OX+6BgY?c%>-W@le^BNa9NCfP48->)I+q8nIruhphYs%-BRy2#2TFv9z z=e$&D9wLEEs~LI9Xr|X4A^@KB8Qxkc*31NRf(?0jxsvt9`9A=@k3hYkbWtH3y;xA? z=)cmJq9>#zWZKL&n=Qo#1CZYtfYuMc@Pn5|<4@rd&55?el*G&=bCNA71z8mM(;vLd zjIyQ6J7oKLucJ7m%iG|sa&&+jh2qqBN|(10X4#Wa#c1*FF7Fs70DlQN&p=8wDHExK zuy?n&>b_t%L-|bbWotHy4Dbn|Fv;d*TXG8W45e8zc9~AB%0ymajwXSXD!nk+Nu~1_ z+G=f2iG&ivaYMJaZCC_FN-?L{kSvmBKvL=`u{0c8fl+Os^*r~Oq^6z zGV7sb4iU$>;jknRMUy=@$Owd>R1bHDKj(6oMp>O$;!-z zsEAcSnK`wpyr$GKY;^+e-{qs?ZEnI+Y;Yp>>GX+mPjWM$h-5sZ((FOoR8APXIf_4S)Q4m_`yc)D2z}Vc~s6ngJxoH+8#8_LUg`1imR}>cd3o>kl`9fpv8T+GNA(QNl)vZ8jM_d){wNOl zM4rH=yWyj6geBH<8MGhrOgB0Lhkq*1q6Kit1a(;D*|fmsC{T_PST-utI=+17Dgu?7 zgnUO+=9;a*fYfBeCn5QGCk@!8NKZ!cd?yW30N+r$f61P9h0V z0PPk!iI4(lcaoC`6lB1;#K~d-*bXR2Kt5AwL9f(o&9EW)R3`-(T}C33PjgZr1xZLN zpY9|32I4)-M4}xM%UV{>i#CQR}3-Pof&G>kh?sx$FEQ)?Fyp?ZT%&gi!n! zhW1OkFANR65x30lx}b=4JuPl-2K;I2VtC01xa)D*O)tNmmEX%BSsqyY(xvvxx|-OA zPMs`r-Hu{SF(65nWa*ap;h1mbx|qjo{nDepe>CX!*V{U7uRqyvTo|bQr7P`Mb@qs^ zL2XY#;Q>uC?w=$H#!r1KAM6e##cdm~{hGobfBLQ5)9v*r$bR>&d~tbwER5!qu0B?G z+R)Jf=^inY)8TghR9fF(WyLAQI(0(Z8ep_^+dsg>whhALCGtqvFWqJ(k5B5_BiowD z&r0&Miu|k=s(*uO4Ss%zB#>+W=A~18Oh69)`WPF4Pk$#@?5*%Sw9{?3!9%tDeU*RD z`zpnd&pbAqYX3*L326|1V~!$>S&wz6 z`ss+KASJ1Y?!dRp{WgP~;XY1gTNjL_%V$;Fn)N5!nmZ8u zt|iPR+{wb<&GS>%uhWs7(0fFF$=|j;ghS2UC(}SS@3yTK5Fra1M{)lomHju`)`EJw z34jd(nf}v41+)UdZOz9{_VFEvG@1AQV3Oz{8PHlAW`0s=BHL~s?*66kuT71AH2#?f zW6*(Q4`d0y*}A;?*p6Z-z~-Oe!nWL4xRUC=*s`3I(%%|?gR8ZEFH0%?zVUl_I;$zx zT{XP)Py3nmCs(wehM#5s=>9PP6KV}`9~%OthI=Z%0Eok8efP;DU421CI3Hni_{A}Ki9_gnF{L6DUs4aj=j$r50>Gn*sKnKA$ts3@Hk+Y|t&y_5BWY}}o! z60#$NY`wG1Nq4H{P(9;L7OubCSK-X&bhJTxo8XT&PQ^T?inmn>iB2do(xMudq6qpN7T3kS)ZczhwhRPj#Sq8&1(W&A-&;);Bn+14(tkshy+~1%SDu&uvv7lX4!Ipea5Ne;RTrt{>Rb z6oDw1X=4}A?6m3DpE}%`2){0GSZ8gLP@8;LE#X~fDp*lBu%;>a=c@`M{`MVKgX8-Z zn}L5H^(#jEOU+$3^RAh6kY3ky8@n#&X>rswMG+&sTSA%F@z!gKK*+(X#ejdjrclH4 z?Q05y*QXI(`JJ)UNE>j{bwvmlC*%$o@qO17!2wwon6vM|d>skbqM^p0+E4ZOAGzIi ziN3Zp?iI@}G+tcQ^qXS)Z%Q4jdmX=aU6ClZ#BKW?%&Yi@)2PP^prEK74UIp&bh`b_ zvP+GZ>HE%rYi=kE-qCFviQ$!XA79Dz2jWBXWa@|(fy74Pg{2|S+gRO*g!WV9K1AU4 zHV??*KW`{PnT=Tci=qgx{Y5chyGHde{`MEeYP{fA#rhVN>KtA)++DF;u};;aSf{@9 za?d#x#QVXcJ-0VaGjTDa>M3|tv->>$vqhW2@YssA35*AxgSA#TvCxXO@r)-vg0;!g zQWF~Dg{6j|G{zf`Gz1N0eDLFjpai(XquUUa=r0FHD}9n(U!ZS{w#V5k?J@SIP1>eS z0oykPZoq#Uf})1|QRi4jR&Zp01|nbzTBKIGW#(sPn+hgm735E_t{}nxf(b~baud!0 zz+@v4i|6b<)tGozzqUqaJ5RH8*KgyA2wTJ6Vc!x zye~E=Dizjr3N0OO%8Ne(+TK00bMvE2Iw(iSTiytIz&&=mEja!XmXW^ z8Ww>c7#X4qi4!H66gC)d2AC1BXd~V5i=i-C`5Q<$1xCrivWLO6kFa)aa5 z@oqvW9Y{#ckoU5XAvwlrC5(lTPy!_$y^utBh09!QZg4~n0x5}ZLevZ5_kl?`FFhoH zPo}+ArQikWA4aj&y%gaSTP{vQR-6l16?NcC!wOEOqHk%NVV^{{Fp%}HUg1RvGFFd%B@2kRmd zP;<78{9s`ugufhoKr&bdIhYPBAc5(y@NuFk1g`tR(#Hj_DI=sQBeW?)*OU>~l%a3R z2ye=WXv&Ce%7|*p7~GT*-INj2lwoMfh;7O+Hf6*$Wtf^W;`e5lzlmV0OMAYXW4`DX zBZK3Y!m&uWqo^6et+KTc9x}HO4m;0YTFgkj9-BQwls)l#^R%%B?J~Swlw7xzn(anS%}a@FnIrFdY+@m=y{S5;>xm+Id36 zv$g~&yzrtWX8%BM=z`KmxVfsxG#b8Yhx6bI7FX6Fr7yOxQTZ!8KU?EUiH@M~ogK)dA24 zRiKCmS8@owC?lwz5Voh(iVz$H8$c#eprZ_d(yZ*v0e$y{h(0-xL`IW7dp43nL?4BR zkvJRjmKo1)-hDHoUXeMxtb&*4jcKN_M5zre@n2JU&4mtcA-s$g$E#$fi$f zW3(mFm}*RlL7_YFusVS5AkZpFz)slbPta?aU3hJsEr{vFuhiK>nOE_Lb+%--o55pk z`oZkT*KpjUwh{2^pZ}a{xb8Z`0uV$89nn&x3cmZho7=C5d_ydx*j76ZHY?yA1A3)Ek)har_e7aOMMC z2?=``8XBSxWpzjJr%Rw)NAcAqHVykBgLftAliA3RXj9W4w|PN?%F&c3`w85Km8{QY zKV|T|WPJqN2R9}q!#IvJcwe$Ujs1+lzmoI>+=-K-AHsgY;K~$z68j~Cw?iI+P!sBb zu_p<6EySOIasYdZ!Nyd53i}O%=cMX&e%}f=nGi|X>xNm7^*aV{O4Xa$GYmeOsvpLF zFLXXcYC^iRfSLvjgAY@VHrT=-0ClOsHVOhz0{tHeeJz`G0hif zWYi4?j~=Se@`igSz*-6@#$OrSIuuy`8(#FJ&CLG6;NOMmZzT;T8y(Ov2po_9FK^fPEA?9qxlaX|(CV zKf2av3r>d{AHehqHEOu(fgV36Gt6E-$6mRh#)N#}#s_*8i!kxLmE@iWpxs2~U6>l@ zH`#QsdA+d7W`JGm=bCJRa91lN0X|+qaM!&9a!3e1-DFE+LxsMp`VBlUy5qVEjMV8Gujx5corEFK>30Uy1uuxaa! za8rF=Zs8<*{&=K~V@a4m*y1G?Y&lr4mC-P5y~&;W6bg2;xp;cvhSE&IOUi2?E^Rh1 z7W^EzO`rG;;0pR%0Sk6L;ff|WacNJ_C`17^CkkvT0z&<)Mgba3c_O=BGQ{p?Fj~K_v?)#bEd78x zwQ+W71^J?DB4Gu*feWZq=P#%#wF^}yWSWH2R@vg<%xBpu&?-3WY0?KX({PzdA2d~4 z#L|mjn~I2X&L_Q?{iR3rduin@O)mF2u);)$zA}usV&4F zc(plIW;{}CWvA5xBOIDVj0{{%OJ|Vxi%v*lWL~0*8PG21q7c+7EUkb5BQ+RSq~1=i z^&vygD4AVYTKW*gvXFWQnM2hzj|wxtpp=HV;0zA272uQ9i{(_e;SdfCgPv|zcY;oV z#!{Q%#FXt8839LOyXb@@O%{~SDyb+3SCL%8(=8GKGqwjV^xM;wQ6Nv_S`+w&bq1Mt5kc@KZH&K6w% zJ~b$s4;*bZW|mY{)sai802gsbU?2-Bknd3;Z6dcbnd9MNu@9j`o*%KoS!!a|{5}@$ zI%*=AmFDnT z=F9;M?FoEfy-maaPq2GP`xX8cvh^nk;@1>|$b?v{QRSr-vrUtb>eLRZZjD#1m9TER7`-vMWctw}-ZGk3_jXqE1J5hAJ4YM%+O-fB>{xz$E{NdQNU05)CQYY zb?MG{9F-{WGL~($1-M@UM#Nslu^YjW=*Lqwg134NKfKWvp}GO>!*T|`81(p+6>qvz z|AxCZ+EmfMQ!VBGpi@o#r)c(_irq+NaNtdR1=<+&7v%u%-e^;VNdG3%y+wHCs@z1k zgKx7q{b^gE0!c(qpt0A@1TKpijb!k`r)?v6RwC?{q)YIdPuoVSq!QsZN7w>#vQDh2 zdI-U7Uf$2xG%1`!_`F%^@h>m|GKsLpCWx7ftEx&X#ppK*@WKx~W7EpLC6xOxFMTAd zQJODa@r*6iQ!e(*f`<6vkDsxnx%*36ky(MgT5R!yl#=CWuu6hA2Y^EwqQMQDY(aiL z0TRKP$$-hN{g3Zi)V;G?pl%<6gi=6njPi{QkemO z2s)*4?gING;FAH1gPXEJxzp%E%RISj>1`s}0sId2ou;7B*{~gnC-zDSI{f630ZEP?ldgeYtQD zKM8qGkT}f}Hxbka94A%5-Mt`oh$|E+PNEbeC*#~_Z4qHp#0I7c4LDSC1=-G7II9e4 zr{lHH+BDHc&`)7Mv2iH5#owN0uZ5kt888~p`=IJ!*fg;=!ne-&lV@!KBOa6pTSSBa zS4`XWm_r4)+7Sxh-Hm&iUBQb#et}dTlS`BnjY#AQ>oXs%29EMR{MeKVTTxMVa zW1C_&It{&a9%=g_I#kk-R|Sw2zUPIb77_FDQ_tDL-75h|w*bHSoGnzd5S~325wQ!K z6;*WLrfN8JYKt3GBQc=d#h~cv`q9k8_@T|V5$=yb*~nVlMV{;6dHkdJ*Uh$Z>|+v~ zo}rKS;FeGt!ym^FKW`hxJ|V&F8Tu%;L4rTd(1)>h30!mmi{Ygbtj*Nx*kuwtBvT*D zHc4<CCJC+sWLv8f*+Q;reooX(a1@sb z{-}NNLbBpAn^4a466ly^UBzr{|1eC4yV`8N_-2;ghutEJ?XvT@)jz6R#Tu8yYdY@q1fs(WY007UAn*YDK;F zNW=@`iHG$X#o^unAo$KSa&OCMeFW2kM{I*-^P711Xnh>)s#J{D+nBfT*3tSP?S9Is zbZZXsJV1vCyG$tUAnxC0OJ&~1zB&3t<`6D^5ggQaa5G8X#cibUJ>37IEiUSP+JR_5 zBYV?c|8)!kRu|j{v_naUapp_5M8BgF5Ln`)$i$>g)s}F*6 z!5`=9&2S|6pIm(`90@jzfx_c>^ccNC{@K6=&7b3?WAyRN7kJMYeG(i2zBoo76Z++y zUM#P!se*mw(%Kp@rsU8OaW*VtVUOx-JZ7xk1P6iZ#_9tf_=d7V*d-Bk?mLOF6)ZpP zQbAN$e#WK1Mhd=nDM%y*XI%=CpuqS8zCIR4avq1|>4WNjB-OQc($wq(_*g@J!KJ`P z3NE@7BtilAlW5p}rjya@61;jGt9;F6!K{sf`*zomtGy$#5^d%<1MbH~^LN-%+1q_R|N5J7I?iQt@{zvE{9GkL^$`npc z!Tr5(`0%!b9)2We8a2p`OfTY8@7-ye@p-%qzIk)JQsB~KBx{C!O>uV|HctgF40gw* zPeGk}9qhe%hA4X8dLl7f(lRY2xM^u()6%5vOOwCo37(d6mT4I}EV!vCrKu>jsVJ?f zXvp@Wq2Koe4;=2*V>oIMOD3VpBX?0 zMi^ig_FZKWhgC&WWMD3fA|T+JDX1vshKi+Tj-+1KOv^YeT4py(+q6ZqT(DI$*Xq}5 zY1(3CY1v{~zTb1sy%&()Ki<#h{n!(8p0h9aEYIqbT3V7{P*MoWdO55$6Y|%&*dS6L zLtaRUw$xug_dxY-OVxnO0lTBd4>;%5+_gAr>!bm(a1+#Xh$}Ro6RvHEf;g^Hp!plg zo(!UMsoT|#RGpsEA>k4lJ-#@~kV%{>NnD8MhBk+qpYSsN6>G^#i3 z2v^T6z*&^3lCHBjRU7Csd=<=Zh1LwwTSDPCLn_+!)&_ks))`ih)pHhms&2}L zp=@zU_FHEhJi_UARuap4@lt>#?W$2_ICOA7$55K?Q*YH9M249AS%!kjd*~O<#UYkv zl*rf}`6ajy+b9j1KG50&Pi=nwJ}9z;J;bt9Rk#Wyn85%otw02b{b3_LIkU61dZU_Q zlgMCuM9)yAv%2u`R^K#!TiBDB%`;xroPZRBw{!cFpA;o~o)RxC^EUO}l0p2Q*4_=K zXVhw+71f43$EvNUEGesA!`+#bG=UIEY|o>Qn!hSc6*KE%#>-h{BL(4wteF6T_8E37 zyp|b(&;MoPgD(CBbN?mmZ4Abg-yYU@Mn?)!AH>i^(p)ac1G$ba>r(=QMi+1P+Ii|IbZZafhR60# zj(w5UH8q7-;(y||*nbisu9bHvHZ!UCSxWO-a-&00pI7O)q*fWZ*g?rVGGiO)$pg8O zRZEg$wYaKB)roWqpr8Un&pHsSJPCHBw(5mt|6M$^%Dd#xcHfxkdFUI z_ze1(F)z#mnmEgtMeGe~3gJwmnt8Aw=2==c5&&{JD`Bv6I9%|U=7?HC8;$V5A!*nhE;^f8H!GhQ4)hC-SdGE~gH7xj#4ZC&c?4$}cvmNUHdFQ;x z;7YYMrwFI#?qw1LpZUS$LysF=p~iJ7bYE&wbaPEnpWS_ouETcPIn*_=^Svp@)~9T| zkIoKt<$E4Tc{lK{%>>fu=aM#iZ*j0crY+Izk7bM3&f-nmQ&zki_{V13&f?_2OFr8I z?|v}lFKo}ngG`}QDmFcsvdub$YyA4IJ>S+FVu$bmvKAzqoWGSO>^fEGd^VX-fC-P?d6;= z$yrv;&4G5om&@M;ec{vQc0sr1*%{AcO5GgN1)u5oIu8@j$>Cft^^$G6L%riJ6c<7J zA{3s}%wu&YDdxqT`O{VwCjbT)T5r7}#ac*B!?oUswM0d0;y?W$|1%gk?JCLSe=wZH z2bLL#{}5Fcirp1`%7F1JXRjG{QM6UijC&l`N?2%6pFcj8NWhJG!&IgZOf(S7Frn3t zmjGKXmf?C3o=}Kmg#L=Cm_21iBfoDF)5zVAowCOm?)}NM+f?SVN8fy6TSDyVxY&nd zccgFbxveYvT@be;vbcEjR1s>9+q{YYs@W{_v^ATHMHp8?{^J|N6NbZwPHf-2SHy4e z%h{KA;Fh;`&zt6oGXx*+x+(9#yC?ogUDAU8wp`!$34MCHYnX>E3mbO24pV=ZKJ}(0 zVnehnHS*alESNTPP8|tc#)FwoH5?$T(TpocEX%c65nQ20a-jecxKfSv6bgi8l^S0- zZSEZ0oK|bOML1xM8qGKs#B#S5%Yy-H)yV2P_$am9qeb$d|2j2NDla4f>$Nx*vfOJd zn8=>km37l+-i8j{r^c#b%Lb9PvTjB#7%V~e>(MN{f`s4=)@I4(yWGsPE{qVWi(7w< z(UVE$N1A6CFxw5TyK+1IZ1N`_$L@8{xf(h(k3^R%$P8{xj8J|;6pQ-!^#Yu=;CGw=ys+r zHiz95Xi{^O6vpF$6ElMT`-&^tcchSOOc+JG?QzA&Ko5$&kIXj<*+V8+I!4GGLb|cr zEp*ZzS69ziktb-JkcBLP!$TqcSfff^j#niZC)^;MNz43_< zh1IK7I7>NMDWxamfAirs2{u7QBkZ@T0-2I)xU@=Z$W+k)%XI!%p2MU%k!OZLM>WvF zue&0HW(g@?(;OAuNaq1vcbjOK>2~oqWL`U=%hdT0T4f@p3y>Rvi>5o&WD7ecgG55y zoo(9ZEM38fW2_fjUv-(1Aj(|I+D%N0L~qOv@$(6TIBJo_QF|pF4?P20_+a z;jJR>Wl3;jUFBWRL=oB~S?#SN)Fjrsz3WS6cpBz5A`!nY!nn&?@A~q&jRL3E7PtTbjJkhdC!*dOwCS2=OxgD*t*J$l$17T}Ewn*V1o z?>4{bg6NiU#x13|6e!J!d4bg5$8~AfAy;CEF*b~PzI4UJN2up|I&nlXY61JYtA@Zf zaYNky>+;X*C$32*JZ@Rx!Tt5Z;gHP*PbFqN zX;t!y4<56`A1_#5O;_+z?0LN0GQWH#*~YjC!3BB&t_m)eZN@{E7XX9Ac)=1o9<8hZ zka5IajT3S3Ze@1a!0HSsrj|i2dy3y6CgQ_Avf#bR?meys%;!Wl$i$52C2s{Nf8~5~ zees0k(nghZC$bx>jk~^b^&e!&**zdN{|$?$m*L&D>ETyCYb@&V;Qa?bxn4tt9e(cG za}$fa&b>Zz@x<3x{P@6=QGf2Sd}T=NJT~*K3&dw+RG;+)ZwJ2G;@Ozr_~r9UGT?w? z_13~tPpZvJq_~*JVuU$LnJ@6;D;Xs<9cK*EB9&atq+uzkIZE?cy zB(UH5!>7LP-qZ8yfzZ{5h}W&ha(YxZEXkhfG===|$Hds{d1Us2dJ=P(l&>nj^HS%4 ztELHWOk1+v`t!Q6@pnuNUi;a3|F!=+6cl~uFNSv>y%Ok|ZX7UnZ(g5&Yn+~0Wb-cx z$EM{TU3uh8OIi7t@r?^_GF7}BIlsXj-nZem*8`q^A^xwgEL%Izn)A13eA?ty@pCR; z2paeFx&>8UkF|I|{L$|#?(%GL`~OmHS~kDo-)zgIn%%oMUyJpL2{Oz}Co%tqzTo{= zjo2h1 zB~6#t^#8!y9QfpC#ONE9cD&DB+0)+~eR0KW4;%C2nm+9FcIW5PWA0m*88*i9@T^(? zJYz_By=A=fa!uEw)rP4@FRUeQosrSW4s}Jtj{PVHA z{@Gr`5)ZBG`p2KsyjngjN{cp}e<0pJ?xi(fgnEtZLI(JsAAPAMI;`pJ(7h`^3H#Oh zUf+xL-Rg@TeVx2$J>h?`tM{~(k3<{R$K+mEzPHAE`nAAlcc<**uO0F%-jn(LFR|e* z@9cfn`_d23^to0OlSBM`QeHk25dPD`QTZ*4@Adf%Thr#)6WcDiY7Xb@*tzA?#jD7& z^-mo7EB&i)yk9u;hoMtwzn9J~+xpDwC%Sz7gjdZIl`nl%G3D(o>lUYfG;*7dFB!Dm z@O&6COs{|O(pydQPRi#4{ z?(t}HxrW3nX}U5mC+PD0m^#w8`n8Ls)0g#R!Od^9EZHCJ7;x!Q{j#^RKCdoa{N#YM zCr^JHJKN}aH7IyN?K!e2zf;MNzoaku`BB6CSg)h8#z$_u+z{D+jN$LE&U}2OynoNr z_2i?(l${T+?EY13?C+Oayk^SAZ%+me`X%DZ?!KR`yl?uvkE4PY%&%`rIO4WG(yw@9 z?+ZN4A2oc)xH zjj}JTf4cZ`{=l4=9j1w=j$Sy|Vk#@^{CUjP1Am?lo1G{PqhsFsBEVn?G%RxOlVisP zkPpv4aygyQzXOfG-Z6i(x3TKW<3J=*^XrS}RNt9y`nBHMv-h&s*M{9k?tA6P;g;{t9a;D8-)9>C%;~&*@vD3L z`+s!y%-Z7?uiHL*uVvWd*Qa^K%*zR}{(Nxpp4|Q^QG2E=TG=?{&SiU-Ca!$rOv^3f zqJ2KQe5U&Y{#Z~uB7b;(`qi$(kH7d{{%w~kViFA3b{rem-{81+z-I4WZ#+5c$(JkY z|9rs`;2}R{-*vyw^BIN#4HpKSv7CMXoOLqw zcVxREAj&_6c)kDO5~b6+UMc-Q_RsicOVTBBeUGbu{|~}0 zA3ptj&yR!s|7_{A^sY<4&N`9$?tSNm*DH&*Z(P{l+j90ykDo7+q1WrvX8yi-QuZ&e zM}IhsEJ`=$-R=o^VBUA3N8ZW1>Dh;0d;7%Z{{MKdpK&7k&&9=aO;^q=xM+-7MP?uO z*u1fJ7&i$TXIkTR5&tpmmP01{?ZV$ zp#ym|AA5l$!pc#P9Qm&u;GYiC0a^Zxu07v7PSAa9r8b|BUyD?^>MK z3yqgN>nzjBlYL%ldMLw$8%Ww)Eu;R;^LqB;0 z=n2DLN_^*~hAsUgEi1o0(^8%mVf=MnjCb+zhyPwYaIDuSq-*VJ#{ z(xv5JeRosXn2iDM#pX>%&d!TVTTlG1?(i|>1{0r4>%4Q8{P;k4$kC}6K8|_Pb)nl( zkNKbah_eqZ8k6v0*q@K?@8W&!j~3_i3k?^#c2CbdkP{;>>G8)eoBL$W-Fj+}x98{V zKduZMUVd!b?LVD=>Ohsn6j62hCg1d*>JKD#sy;n7m5jIwoyyf=V>ShpMZc3p|7rR9 zYK?KD5$1(!2M1t9(X80Stj z?5CfOb4!rZh^=uu6Iz6g*6`O2%p@!*D}A`})j8=x!nO}ddV|iYaVLhn`CqN?{uXTV zySw?qn=Ip9b~{8**SNdF1UveaY%DrLd)B%OV~+~kv2FUj1mbDTjth9nP2=Zp9q&$! zXsm0RGNUPfNE36{LCkO^%ew|)%;WUATDQY|!k|ego!kxUOK%!>t#iA(J3>s1GY!`x zqu`-sB=@5Z{v#dk?VU~UJ!OM|uvNBR^xLn30zw@@gsY=gm5i3SNAk?{>>T0BMR$pc%20+^0HAX>tfAvps}VjT!>-ctLqt zRW;869&f0M9PX;*HTQ=3?Jzx|8x>ARjTxCaP<0jMn4!?7J}m>F#m1hN7{HP;(j|(F zu5!Zvd1W=RMicNs@nFPS;*T+~KVyJWmzRnPi3O@;hIsoS<#K0!^DFLDsG@z)yvLnN zy*>;2pc8vrhQ`r=UveFupaf(XTsObOt-dG&y8=-?zd?Ju`oVy$c_*?MXi6vH2@u$i02h8$s@3(x6v7RFOe z4O=ry_)zTJw;xoT6;|pd^Z@@cN zef)OmLnuw_v6Y2f~?r={XS~OT1 zHKM%IUBx+fT7X1MA|>sk7}KYsw7i-G!741Pgdr-Wf@)%^7YHUlF|2|FPH7E7MVtiQ zs)wsaxDcMIg+0!4#*ng1(-6)|lpi=<56)ucS!U>AEK2ULg;mdLV_^&I$g1J0x#LOT zEIsIEVasei3{M!u0yDB&?kS$zhy_Mu)$ov+6G<>^$m-FzF^nAsWVHlyr@5zaWbo}b zAc+OWWVK|jk;F2;HHh!q0(-J*EYp?>yh8*%ybnMGFVy49q$2h@x8A8^+$zelNJqhw z8Ntm@D-%X`EwDYS*1&A;T41_W4VHqGV1WTwHCR+#NdjQTl?7S-(okZ9V;MD;S5*RP zSl}`pH(IJJC;qY?c9MYQdSDnrEA$YgX98C0AvYA|R<#Ks5>{;0nhLPSJlM z%3P(y0yDM1dDtStk|%*1^fc0tl4=rse`|PT1zY2R%{qpCEns8o;j&>v^0A6-59k=a zkyl}$gCA^zt8}@M@1ZsrPo+D*6bd)3sFI>G61-6lgL1}-3EZS(ipxvky!;V83^nJH zA*@G_>ZoFAB!Lkat<&WN)rhw~rXvca>Vo2`3KG1fbp>(U2on6bo{D?^0!Gm>JWW0c zenQ9aH2F~2(J?#?pD1K~Qb(ib)#W2d&{I05UBdVR0fT@9pLf>E^JB%8>$V^9K) z>fM-(o#mJws+>&xkGF=1>4X@mgp;J8^+=;Xz2kO-pAzW`Dx8C=Dn~BWkF=2YY4jnt zBkY6r@obpbkrw(P9dgJW;`v{PXnvrDeAEHY4z|#bJD~Xi7xGC5JUiH!Cn2`Q#Lr!n&g&UZ|J$qf0L9z$%{HyvW#Ty^+c`p;o^Sjl%Cqt$~i43x$>G-t+7DuJy3QarWw%dN|5b1W(&F{MHF*qc{{^$@Z&dTsV30-r<9opln zokuza%E*66^Ly^_@&9&+XJ=&S^$uu$R)!N{ zRk_VFmBg7D3cpM@EMR_ih8yX^_uOH9ygEed2WPnVf5h2hs>dH>~urfRqXH# zry1|Ltu*kcyK@-)JKd0kpPmuEH2tVMJVUiT-KO2_cxvVkL=;rw5L^BVhF4GyJLV z+99ca0!DSy<4VegyGl#kOR&_{Lom3z9;u##k%>B{O>%K0Mkdj*C)^R9WIYLvyTBAZ z#Lu{(9(q_Ddj6?8qJ#v&5tceO;_M4>>IfWsf$)c=MoX|_g{Q6_=I38f2Err7ZZFQj zAo#^nbKn|V0#)2B9Z@M&fQLtdvUQ9&6CH4xb;AVVY;^Rd6(`;Hsy-beD~Vr@K&BH@ zUjx5TSo#?Xpyh!3&b>?w!FrPxY_)yUU!;s1V4!z56vfzb4VsviD|6= zJ>|A~N;;;u4MzH^>QOFcWk}FZh^sQ zZHEJ|jNf`$yWA{#>g-EGZ=pd$U5*~6u_Cj5Tx({H6huO5ffS<#Di^)^eRq-(Ze22b z28Q}h68RjHY0w95dzUGC%7RjQ_TZXGn%>;t#?~x6o&?`!NO^z>HfdBD;(xm!K_+Bm_95me^902eaxOt|$i-O0 zjFQ1@S&^WH0>Q3mjQvkccZyZ$Si}}6TS@FIU7K4~DnV#8crhv$8ZAO%gP56jE@5QC zv4jr%5ahvS1~9i-EhvCr+ntqjAtoTJU4TtuIN?%D;nENU_8>V8vrUb}wi=0;>cDjG%GkZlWrKml0)kp5g zTVLaC;2$NEfg#}_w=>Fh+hyY9G0gfD7gvCP+28|W^%iJ!Nn$(LW~?e^gAxfNXRoui zbGvJxzthDJcd`w(zop@pm86w7)yiI!IuYXF8^qyEtASiiS4@RddZxs26Vf8HeL{B*w9jaLOn0jJ#|(D!7++s`SA^-I?k_A&&gq{+RCQ0c9k<`(+YSIg^cppyCd}7r0@Maof;J=1FQV2_Y}VVI`CXu0z}wq59c&qNmEEo| zt-P!DThuufA88@KnwX(kz{VyY2VzhSADq_1#8%s}R%TO6-2o;-$ewSl;gj$yoz@)a zZ1+q?8Li5t^{hno;-%guEYLFG=aA4@wNK?D&+^b=u+N5rX9A335z{oWXrO$+Cp&b8 zQQca2t5@(EupsTwE7EFXqKM^Xbln}n-o^$aZ8~GI4~AZXI8jC~bIqOC)Cd+Lu`@nFBvZrRx%$}2dd-nCj&dEO|`6sQ;PRPp0 z3Q4^wb#Us`)D@}zsXJ5mrM{VZBK4!xbE%h8y?RFB-=3Zy^!&M}pYyk#fzCe83XgM& z^DgHj&b`jl&a=+TPD@(Pw2ZXcw7N7;+VZrA(>_%HeUkQd+Ry)&f4`>rq=%*VO&^k8 zn?5D|w)6$*i_@2;Z%N;g{(5@ zmUp=Lb2eZlblbGA_&~=gv{?A6QlWvCH$zAKD0%`~{S$D@Y2A^dHw7V3mDrmMlv?b3j z6!WK#hY2hjbY8@AXV(+)P;uO;t#N$k+F?t=78z-6SG&U)=Ao$<+@UE@)6n+4!Hly` zpqas+me9=LJ3TvR2ah13%jk>??vR`0jx|}Ax2Z`KVqKx3N>DrF&=bc>+HwJ+AghdY z;N?QQakY^Kz8@T7^SfKyElg|0N1bpF4g205?^)Nj47~?TwO#wPGjMF(i=g(^LJOyQ zW+^e>*ZSGskDqPNKQk08`1uFBMNp>TJ9j3Y9qP`l3QiO2L&nMKR;!CrTQ&--fFcbE zdgR7Dk7~PfYnI2_W$}F+TDkm^q-dQE-AdzraEFvU+5TM3pU+IUJ*74<1Fw(vmEmNu zZ~u=hj%R4o4`5P?h{w{izWvsw-iQf`q~Q zKDwrl$y2{5WCJQA~s-3uXY$0$@UA12s&;|F*rVdW*dGoAW}JIVtSZ|s#F z=!?IwkgvV;Ot9|8tRR{FRf9~pR>7VdzrJV&F&u%+f)>B7so7XROtA09Q~}JvUeNEr z$^y;Esu>j{COgUnUC-f@(-H24wcer72vMjhl9`V}v!c`zGl?a} z3z`bNVTofCi7l3y<7s_>@g~KI+yM!C5Afu&bw$d-Hzw_-CQa0n;t_63`j0}B)h6{2 zJ%T@tlYhFcMyD6eYc94`rilXd>4k|nzN}p^&D&uDty%4+jL}a_z0?WoEhemAA6k0V z-FHe(`*MT(w#~^j^vwN)!7W_gO#Rs`VlD=#!v&R7Sd!Bh2M$>N!t~4Y(r5)PQJW** zi?-}{*gORyCsgLxRhm5{+^pYhr7#3DfFyb8v8(wKD->k}6nTlWbuFh+7sJjIcbBc7 zbk-6vtZj~O>mb&6%Si21+3ok2`(NJ-IBf(01v)YAvUJxfwlJf7S}`MqLx@(om7^0~ z?=9Iob>=qnX?efS;a+w>LJxKt2*W&3Ock^ppDs+7)n7GZ!`~kPx+bcSXd}KBqMm4h zx;_0M!K)4mW=#-Ooz>iAm<>haECzPGG-m?XvUN+w)WO27*^UTC>wy`iz9GW(dP3sO ziNq3$2(Ea%Y)swF#1_t~hdOa<0mKv`4F88l(G%g0kn9+yXv^MdsDVu$cheoK7K29@ zEadYqBtfk*gT!>BU;0SV-4Zqb@F-pgldQn4KqPx)jFMpz21jc|lU_W+tb<@GTq zKBq+pfHE!+XW7rz!HhAzMo1Ab0&Wz`!F^}vp6rC=VcasJ=rK*C|dCA0-p$=Cj z!K;m{d=;=bV$M=4??wE3wV4E4@776BhmkN(_iPoJ`U+18aBA=D)sKJnt-Z1KM}Zhk zz1bs)&j2(#C|4bFZRZLZNP~POM~X|wyCv{$@goMP2`g0dN$zNRIm$7>bF)Zi8zUw`C&RI={T9}?`NT3-uY`ASoESG8yQW&zOU3w( zxh;@!WE+RtGdmQz^o>aCy=_u=-9{8YxEQ@GxLzMmwNKrr&=RxMZRD+L#UKn$Q%9D6 z#nW3C5SL3Oelv;sb~iPs9@uAzfsCBp`Vl(|sw+!ntWcV9u78M!DJNp3vMu#Cbqf2E z;Cbqr$KZX!L2KCTt4oH^%z?FdwFLRn&m zay;68ZHOzWX|w1hgy+y|-ZNph3&j2{Hxy5NAUj9G71||03X6n{90}OUMu6?P%t)jO z(r{ci^(pEKkQ^QezNz;~CgP^iltjJuXW05xIhl+J!iK~2oVvQ67mc#*V2#SJx~I(; zn<2%<_x!%Z@?yJ>J>X@1FxmF73rnNJ7vlGdKD~QH9rjmQ9c8Y;obZgFd?H_KKaqR& zI!*i4i9FDLA`j|yVaPent*;rJs^3d=vus&4y1 ze4&mbK8Q1f^Ri55*}(_97hiR3oc$b}OTlCueQdqS<`G|n^*iktZnZ3T;XfwY0?Da1 zt0w42_U*K7%0;y)Fpu}MXiC5(kp%^_^#jqTw#$cpF|%_g4@93h-|bhi3d~nf3TqfH z0KegT2>hKL(&{J53V~!(+d}vmWxvX%sdbi@^OG48P5k!vw-~~NYkDI7!7S(RSGw!1 zz<@#js6(Yaef~uy?8%3_@pbiQ>t)VrXv<{cyhW2yqKH;hKeCzme>g&3T%m)Vuv?(V~c(^%kuWcWbTLFe`x)+5U&u z_1ORDlwXY~z6)r_wW74p@w6#J3dx$FH`G#xw-~ndgv14j7zpzu7Q@*B{`Fp}QChek zkH3{3$&lh~Q`Oc@6XkWC?o~3UIY){eI0O0kZIuMTcrh=r0M->@#!8Pc%|Qe^hURMB zHr*zQiMpMR%aKC2W=frMCQK#fd1{`%#D9TWSl2=~G2fv!4$qf6MOmgrqCugH>GL^K zNLUk|4S2Jf?o!*iL{t#Fl*aazBE6@PxMl2DM5p>Gb4aYre#aP=(>(TP1+D2T#YU_Y zS^QRcf&9a*U9A?F@HO<|zET)GJiOdj%Ja%v>qXCA_X?qN`$^%3d+54;QnYcM7j1f= zIK;RfZ=|B)P-D)$^jtrw4}3gC+$2TA_F%u8qzuFTblOc)wxJn*j3h^2>cylEn2cZM zYNu;-Q+&5MP2J{nb2r74$Om{_0n;%NaRoDH%xP>IJ|LciK1eU!B!v_|q$Y7oYj|!Z zC)1RN1dfNj3WroX897bFbeo7GLpE|Ow&9H4YIP+*hi;K%A?X~Dm+yEG(Z{veZJIOslVYlIwe;c2uD@ha;4se9rR4D6bn5*!$7H@;RV`%pyV{X zNT&{z^5C@UnSoMw!^`yjfhc8Hb1Aazrg^2(AedNQT`EmC?Aetk6&bxvr{LhLG++9_ z+jJhkx)n$tnoPfgA&iy0)h!vRSD|#YXOLfe`X@1UQO#rqXw*)VMG$$S0;#!3iu445 zA|)Q1X0TED76?@_Fi`on(`v;c$S#;~nqdoKacECqW`lxQLpx>(Lu){?Y0UyIE89I( zYM3R`_~6JkVRN)7g87Mz0Z9tt3;y7h*1;J~0xYPLAQ8<% zwd;|!SI4ZqZ^&xN@wCfh>c@R!5HoCSsE593fM5Wab6Zhhl(H=aTvC45&wF&l+4$phUWXy>eLGxVlGB9m#bN(w9$Su8_X7AC07#rVwSobJaQ zZw6HelN|bxn1`y3#kN=_8tXrdHG!Yim{M>~*^~y2ME~2h*mtx#39zszPUtbBcIz#y zcIP^F!r=!~7OT_HB)a8^yo+UUJMYoS;p#AFL5>5=Dn1Lnh#CAUZa@zdUYRY^8@3Sh za<$PeVgh%H6M_91m2G*jIV>GrF4L?pxYNc*4P(QO)N;I6Lv=$jo(Id4|FLSMMN#!I3?^E+j zQoi8>TK1AO*zh5J=q0HD_UgZQNs8DyUNSbH^7HoK`GJcf7k=onU)?|x2MfpNQT4?Z-Kn#&c3%xu?WRG{4UmBGW@KeW0Q~WGJq* zXZoK&b@_;)gf@cdV}Y}L!jtK$dteFieMz;>{h7M*KUY`&X_jkrPZIG3%Z7UaBtlhL z35_Jpy#lEx=UIJW`<>I3 z0BeXJUFIJcl50GeepJ(4G!EW6Niuq{nG3B!(@!GC@-s`U>pz$-sTnQ{G*^89lZY4W z|Er2*+JmMm0%`t@Bbg3_>322V9}K1H5NghV%vXWz>*SgcroTkOfWKKnO_k7eO>@r4s^6aoFZyr26kg)Z_z3XzQN`~UX0V5}Gsu3iE(D#Fn@&vqa1mQ2|8ntbrQOf>*{^ zGB$9D+NH-Lwt-E=_cXl_cs{dTZ~#}oA#K&UQ*YY8C)g4HD?e< ze20{1fNxiLOyGBg?mBxVQB)tDM2|E|Q90lt-Ow3gPT@6h(|=$svHT{uBI0A-Q_bz9 zv2#I=PSe|B19ypczfDt386vkm(~Ga#@=7V6$@VkHlCWE*jzcz!Y@-n>tB0$qZ0Z0P ziVaY^5{Q3qJbb4IH83=`=02jVh#Y!!t`zP8N2+5M3%F8h(M)31anpY#3I@N5iN0yI zjUc80qU3Ihra3&(N=$ePWEH?iki#0!!m4dN=c)$ip-B+w|g2YG$t%VYQP!TxkpesC` zSZ5+$tS_}vVgoUO?>fw*v?l8_TgB;QAx7j3YXp{Vm?znL%@xHsL7=}KNR=)-SP1;g z7o%+fnSm`qMx24bk8L4!-6dH)ATns_wfQl7cy(+OFfA4X6x^h-FEw$1V2~fg7N%j$ zOZ9BFWj7>e`}Ywm4?#gjRID;qOwW&8u)m6NDA@z zt<^W1d&ERp*0DL&HORWGXF;J+?SC)(t!j=1-^T))vKZHu(5nwtO^uFdt=`&-LiIL; z*cndWe@F`U*x~J79Nwl7nEPZet59$DK53QHHH8Zks(umP8owe^829WF<&Aa-r7u&o zii=@5p$ct@6P8f5dv(01!IXd+*w)t7dp9gt_BHG_ER?PrCNqWbpbe~RZFIrA+^=>V zYT*9O?6<1-7D!p3Rn^V%K>N++LG@#JNc|Wd7GoT;k$M(Mj|~?qlccM|}KT_Rn&x-L4mS90iMA zZ+HRrB>$6bw!FYoh~!&dCaOiO?E|g1ty_TO!#3lh6NAG(qU+~Lq2(Wo64-9{DH|KX zg;ICB&(+=Tbi3Wo0vB0rC-Mw}Y_>9p{VRU)2|EuA;{;?9|8LZrAHM~COAXj2dw$0o z#4zRytg|?kaPj$GY-xBBshc3b-2KRR)~Od;+otWT!9RTw&Ev{`LftC6JToh z7g1_!BJ;1T$9MpNXz-gx1y^gtE(#ru19wUG-hZ|kG>s{-3)aW7LhHm zG~M<*@>91pZLz_iki{&FC98+4%^zi{dx@?+8PHn3Hp;fJK(%#kca$K8+qG1>(?p+J zBdw;R@0PYg@V0X6TFKbCcJ_QH$^4^vy_CUxhGngn@{Cu#=-l;EzVR~Yab=Xp`d(qvyTq*DoCLZ!P#V-?fw>_$9YIeZtu z_z2uv30by$oqc6@Yf0IsEX*vEP>EjNGubg&6B|*lMka`juwBaxnGxP*?5U6(VVA}r zh>WyeqOictgVi+9X@Lw0UyOK^S%=`awlkJM1-_JomeHER{E#q+k?_N?LU7|us@#aF zl3q@+=WN|Cb=vj1WO@_EuzcmhI8S9V`}bVef4lDJE`C=gf1v-;FduinFY(5IvlH0A zcN1oI6{-H}x~AI+J!i)r!f-U6*pnhkLbnb`3o*7xsoXs2w$$hp1tj4Q)L{6*CV}wBPc= zs%-e0nj>6#tQ1bBB2N4^`p_ZxgHlA;bmBJzo)Ljy;eqPl^1^O*l;6e!RVPvY?!T88 z`oss#fh|24q=$3$8I5zCB==kD_jKU^!*{g!=|ZRB0==F6`JQflx-i4=1O52v!ldT& z(4PO1MxJ-~F`OZ__DgX&5&7B*`?OVHf0GhYQJc`tQb*Nh<_|eX-XQ^G_t7x z!eMbLw>X|AzbeJ&Lu!p}iLIY4v2<(uEf5m~g!osJsD2TUF@O*o`(VX)Men%VFD%}k z$}7oi8kyNNDx+y+2CuBATA35wp{~^EIBb7k?%G+n@OGa}_-OrvUP&oRpj(rQ!r}rx z^V$F|dQ+xch$~dk=OUCX!`&}rXGr{MIiV)Mz6VZMXK__iiz$5xb1Xe` zZXVDwcy(uT42M0d}EbK7rf|VXHoYa-)mW0E4Kg8wmA@QyR8m}(|!a8-C1M} zxu|7ehpO7g9zS`}znw+Ny?)jr8JCe;mnXrOyqMi~81Cb{tFxN;U-nA5kLlyL_&79LuWX=jha$j}5D+I0UD^R$80Ec9`gy%p$wPSHz~L zFJq!iY?87_q8~M96?OMDi?>j07uqkYC??0>TV0_Qv!}ztBC+8nz)S9wzOH4f9TL^9gS~IkoGDui3-J4YuYP5Q9O)oNz6DhE!3uf2VGLA5Jnr^je z6*EOTCgnwIo!jv)J;Q+XPOiDcIkw9yE6{Yxg6?G?fqZ@h^C1rQNvFgN* zRR<(#iK?)O!;)D8LJcXbzU2On9%=@R9XdRBdL6E#Bsf)VU5^~KeZf6%Y*Dh4&gfl~ z6rCnoWKZ{I-`UW)b+hL)+xsOmCe0*C8T6&zMTy-rwH9b2l-04BJ+j3J^kO3r>9FYdDLTd?mepfgAg0lXH#QvbwPJaLh^b6ubCi2m!7CJ`19=;{ z`B+>WE4Yu130rfDw(pMostEouufad&`67eOgAXV@Gau@h@GQf>CzB;VIAvAka{_vEc|d9vN;lbf#Vg z5K=D^)S#Ea5NN7rmR<gtiuf%$sLNLPq& z0M2!IPBu(gg;^vBUUt;786q1dS@g0Q0)4(yFM}b_=S6xM89<=R%()KR%rT5Wpv#Ma z@GuO<1Q_(WNiT#U(C54KLb8Cs^eoW}VF>hksfs`bAkgb&dKnA>pXjo>4J`L&&ZSGG zGH&EKr6&tj(a3~i=9?~hcU0Hnb4+72YHRmm~fsNjetoUsjM{BDOB_JLK`k+_U(nqhI4e__QGzy z=fwqN{MU5)_QF~?1V6sLFhy1d%Sx%N43U*GSt*y53RxK{E0wZRB`ejkGE7#6%gP8@ z87V8HWM#Ch+$<|&WaSoF87nK}WTi${YGq}-tW1!ViLz2BE0bhpvaHn0$`o0-RaU0T z$~0M-E-N!+Wu~k&$jU5PnJp`GWTjD7=E}-#vU0nu%#)S*va&!{?vRy*vT~=aERq$E ztSpw5CRw>lR+h-hQdwChE3&LCmz5Q=vQk!7$;xV3StBcV%gS0=xkpyk$;x_JxmQ;1 zla&p!a=)xJ%L<*Nl@Da)Ls|Kstb8OZAIr)ovht~{d?qWO%gSk4`9fB{l$A5G za#mKpl9h9^a$Z)xmX&X0%F6Xsiear{02l#Y0B?W~pc9}ozy$CG z_yNp-E&zW(0KftW1Ox$s0akzwUsj0KDX)BtJ$;{g)@69ILANr1_KdcYLGt$?Y3X@Kc~8GxC92EZ)9Y``2qBVaDz zHo)zGd4TzV1%NvM3jucm76Ckf#egQjU4SKkrGRAs8L%9%0iEE#Mx& zI>36sy@2}w8vyqMngJB>0N_EuLx6_?8v&aDj{qJ8Yz90A*aCPQpa7l#Yy~_CcnYu$ zupRI;;2FTPfad_u19kv*0$u>T2zUwbGGG^AH{cb(9>A-B*8qC~`vCg^2LLUAgMilo zZvfr|yajk0@DAV*;4t7_z!AWEfTMt8fa8D@fRlhzfcF6(06ql#5AYG-W56eXPXV6+ zJ_nozd;$0pa0YM|@D<=3;5^`Kz&C(z0p9^G0KNzO0QeDb5%3e>XTT-EWxy|hUjbJD fzX5&+`~mnAa24}&&(adnF5k=qzfLP|sJ0&?4sn}B((R%u~nnOT`xqmo`SX4rb0 z6!;Rwa=eU2zG+wll@(ap@j^mjQ5vEp%@i*vkH7D8&e;XV@Z5|%7XN?>lz}Q zxNWuoBit};N@8B&lK8@^4dZwNc4&rQu zZD778oftew$q7O!uo0yU*t4))x{EX`si=?S7Mk?8q+Dha_Hg&e6Yxd(N8|4zDH$>^ z@&A@o?;@#Q@}s~#l%EyZPeoOKjO_hU)%(ncih_4_1?#0st}v)^iR7&xcn>MgP!#2uP)yY0{#4&}v!d1xFb4M!9 zIRg9A2bwzJF96sp=IZciMP)~;oSkgg5r@*=-g%&@lap(Kj!(Aa@PM;Z*_UM4q9`{# zf5`OKAydO4Q`;d^=b^f^_tQ;>50xJ|lythtL6({J9xDG7ju+!&^P%!L&4E*PscqNK zRKMO9Ufy=5A-Qw&+#0E_nEeAZxoqoXPad|_<6n06qi%Sp^cD_o2eIGD)u^Qt8M}ZD|{;>UI=YjrCuA3deeBZyL`PH@- z;Qwly%AjGSckFC_vCU9A@3xPTl36SBSvAk#<6~&~7-iMX(;x@wW59+}t)?o-c2oP& z@{XfPr|-fhYdKo}x$Ah>(enQtt*LJ&lp0BWOK+#H`7WjT`Drm^w)y>O+G%-*%MFLy z%b!17zV&eV8;8s54qNZoy7=(c(!*P;4sUHcY%r75%3UiW9wsR{Yi^HB2+JDg*0eVz z>)>B$N^xm&%frLYu*1pR@|G-fP}Fr2nS-KZW^5VuF>OMcJL_9&hfQ_Aw!AlNvi@pg zP0ynWDeL&vF=6~BeDr&-!*Bd1^Mlb5#?7dTIM$?d>N-*!X{KT{P>kA}bQ)vFO&oo2 zGitY8uO^O}O3>Dq(D%j25smNlw|Cs+On~bHC5ZEZUu^!hir-44tnOOHPqySFgN@LZ zASDft?e7Pe^UtltsNPOlOkrw~E!p(0c~i`t>e2<1CY9=&A8R$eZ~i$({n&D(GVCC_Y^g~G_NH@H@1m+&R6{l; zYn-NpR;SFSG`$P#2Wk=f$5qEoHlpEORJJXbyJ~s~*%Mm1DeN7mernl<0L*Ux&HfTn ztyaRVq5aC!HbP}!^G`WaY*a15etV`u*|^-iRvkQPIcg!gozj+oZf+d=)hS1SZL#(R zl;8umcc??7ji^Q0y)C!u1!P;CWB@1_tl=g6%(mSA_Jd%;3k@%syVbFNtB_NY{q^s5 zIr38=^Z3}z7&&TGAohzhjXucMQ>wSos{KyI8edd#sZZ}XU#hDKYSKO1Vty_*!Z-(Q z2}rIlYm;&RY~g%sB`p=L)hTGd@={ZB2W?YA9&+H5aBwp?!hfe~%}c1hg*aBJLHo`};+i|o$+9@p>R898)d3}P3 z?y)^(GzIt6QZ1ds)e-n=Ew%Md)DnZL)%eUFhD>oiZ1SJ%JEtADn&zVNd8jmFb0&N6 zj3ag+96MwRVt1c#gk`TfBPiM!i+EkbP{n0ISA)Hx)hQ~Lg%z_ukH%#p95xB9_VgIk zsK%ypbg|VZ8p2Rnvj%O`l_@WS_+MvmGLZl4OsA6Fea5jHE;UB;;Q!W;&Ecprx~B~2 zO+w~gO^7@e+2eXlVaPnJNo7G_X9wpDvn_ytdhcWf(~-1nhuR&#P z6S#}b?Za@Ttj(Vrv~gf&JJsVS>EV2!y5EU-Q`(XZwuMQCs#Ped>ORDF{86#Qem^>U zAF7aXa{B|w8Gi5qWV+w{L)^qEs}L6k6gcStNslwY6oc%N9+Mi=iAAPWW@h>{V>GG< zDNIqkD#z{7J*NASJ*LO>0Ah`QWS=@&t7w_rQyt73E4Zg)F~o(eCAg=09DjxG(Kz)^ z-lXcDYB|1Uuun!wXIG-t1Td+~0H$@Bz*B{N3%|6}dSXi62 z12Z3j{4+&j$!o~Q<*2_UxVv&1Y6VuMzG-I+B7qMCbl(W-49laGYjX%iBNuhesJy_(6Lfts0GQa~RGZM+==3O&x z4qJw}U`Xs`sJ@x*IS$$~klGhij-$cg8V~JXm`@tdocC0_o504{GdxR)DX=?k5j@9nRmqd8CM}?Ab|ZABBu{< zPdfV`1T!-DRLBSNaUEEQ6a;q;%lKJHH-rF3mTk|1AH&uM!T2CpNcVvUQE|m1^%~jE z=H6j=cuVes=wa7C)1wFu;~zt8;u%M}{YeC9XDC)H$^IZ}G%iP2=Cz1@`iw)}0N}^4 zCKd9D#Ltz)&kklH@lz%7ldWXj<7nVjEYKG4g>4xmKhTS;A<7?v2>Xef)XrTVcnl4! zfwP~varkTvV#Ci=95<9ditKBUX(8I~6Tc9-{?#Yh9|P|j0q&3hg*b<#pBu`m7~YzX z8Xp7a0#~O5MBrItMZrIkUnX?_5{ix6un@!$)W_C1uIhHqLaJ`it6@26R(2m)3t@`2 zoFbf?)}n^TQGyh!>5DI^x_wM*kV?ndZV>brSl=Abzn9KT>BGHyY1`DPb37`>;`Ll%Se!auaz!IOD$xXVAbGpnI5@yMJhv}RWX>*r(=FDirWMmLZLaDBT z>eCw4!Trt-_T94%f1pv9Q8~V=F0<0VtK?1t z+``u{0mEg9v4P%q@$>el%x@;9En9)w+iR)jz^>}WsAWB>4D2e~uXL*FvP!dot%h8+ zpftzO;pddvvRFkc_&p1%F6egPDJ=2Xr%}cD8iIZNtRre*CG-smjWrZL3q82foOpB8 zB%Z4h%M{RMfM~E$S{tdejq_V!UVHQO*`kxG{9D0KwOKZ$-wL$TMzQv@j%l{ml3;kE zR%aXUx$0{(Hm)!qx;flM!H2)DUpi9EqyQE^rgWU4X`by?CMCo{2P) zUO$e~kd=xq4ds;D??c?~Ub=#Yt4*~`X{LPz3hy7@*)kVZ5?%Y11Em>2%DxiX#V&Tq zRfihR*lWG^H(RU2x>{siFkOnVnb+K+Fs?^s$|3g9pA{2yfB`|E4}`k%!PR2O&X>+Q zPD6g6fNr?gLUh$gwi7`=*`q>C3}v;b*j*vwS^TOZIPl z2FX?1SLK%GLdNIC8h}+U$eRbT_TKC$Blu6*wJmFh5{DLrI|s064Gpa+Z*<(F@)idj zz)LDa4F$8MTs&pU!1tVw6S7*JkKp=QxXj*r4t|5ftBX(t1CId+ZVec0R6V5Bnp-E+yq`_C zvh+R3bg#MT*4Y#JWCsuR>0xB7-=_OU4{5wj*RbM^qzMKvRu@ChI?c88p zj$k0{caFB@aAmw{kAIRMg-z=}(zFL}U{GvLTRs~+sIsp&Ka&(40ut;5p1_-z0gKSE z!rYXU7zEZC*QLYvD#vv-`@!Kt^Tni9bSW~eFyA|eoeWlkSU+YjMb2mg8+M^WF4wV# z&KJi*SvJ2jhmFHXJa6cNQW|u@5dz7KpE#q!kC2du*Lo{_vyWe>DmDKxXRa|4iPgc~ za5qAKXXktM15q&Q{S^(73tc<(?Ck3&aq6nNOt+zyiCw1KQ4P@&(p7l}YHyP`6)oes zD(9en?k^0Ib@{-L3F6@-1S>Kc9(RjRt$`?qLF?u@+*S8qIq zXQ{xHu{odGB^Yq75q^X(EQi1 z##j$C#cj!DZ4!qQ!yM7}f4Az{88A-Z1&bQL&;J@ukOCQ)N>$$$LBYotw}md^pTYK& z)g-oz!vyf`q$4?ryUpeV;#K#cs(TR>e6SgAizalN?!_&W3A)daZj&DApm#LsU~q@T zybTpYKWe(&ti3%m48Q^1PTH0)bf(7?PPX>4BLD`p<>sxo&y0H!FM`B!ivD((NpSrz z)q4@f447lQh<4&DN|@fX5Ir#GFrU6Xb^+*-%*kxIT3c3<0hH%wi*!e_<8RjftK&;} z_;cde_GgJ>_+d~IhL?*xtbQUt74PM^K=#u!j_nOEnpfPx!b(koq`}f!OYp{;&-M=B z0W?X_m%XRIg6`09(_xrRklJ!_j{pl5kDYf+#Oo%n@arc3;*-MJkzB!)JcQLWm7!Yc zjxy+NhF~VT6%D}J*=JV{T9IRXBY1u_2<_*4>|5`cpZKJ8gkxMD}xC_^)@t-IPO$56UVD7(f$Nw z8QkOg+IMV2JK$V-sjU2UR4dzBfnZWqnh{=!oO@d;rz2s4637N!b@<`s1bJfxpt}=x z7#dzf?QFN0e7nY!|aAl7<1NRWf0DtxhH zd>S4?fNtkbsOo_d(A#3LTg~HAa>*6u-bXFMTG*5wkGJl4thKQY8s+}}{p^od z_1EVtX{}t+`d1y|{#F^b2va8A7D!NVSY2KRRq;tszeI4GZE&I&> znLl}PCu;Z+*^i=El}?2wO`q&!Y}2*2o01F?VF$oe)Ml$OMaXQ2@%lAoJ7$WM)kfJJ zoowQ;y{S`&>9X^Ni#7fVN5|d@YBf_$$odVtex4ugyGu!RkhQrK8Ee_rDGjsGFVWXv zZNI;^AW52@snch{y8czNw%jLpK~8R7{=$O7qD6NtUb1xA@)dXAb1&RHOYS1Khs6ln zKc9yi?K_sI5s@rR3*lrx&GUF8~`b|A7sXaDI;@G2pTX7YDez3eyVocL}>T%zJ?A zmh$9!-7ruhh^%d+$onk6{lf&CL%(D#R2-}sDyf6J$bHw>(3S60RRii8X}yJ%Q#)!b zWU}-++`ewTgJi53Qt~||MefcZg}L&ug`bE{zr@_E~R|!exDdtIJjx z%zOj5Mqy8B6uHbrdJnn%L=dqng6HZ*O^tQXXSlc4nB#|+2j-51V%|6S6+2pjF+>cF~WNIDttgv|dKP^s#5tVSN%u5g;Fv^8_NX?8dwEF9&E-lCgpWWIT!d3zmcc4oPeR{Vxi~FaY zJ8LV!=dyur%QHD-+=HAT<3TOEF48gH&S`yYWov%WLMzxN8bY`=%eJ%O2QBQa*yggw zBj?W5X&ApBw9AI@b_;(A_F(wWV(xeL=SbL@f!`{_2e$hEHrN{>WUr8K<&#q5VS(Qv zZ?-b|1~wuh7FHtmrnvZDN?NP82&5qBBs$uXQDylAp z3$0mPOWEFfV}9R!j_OM-;|BM0|CC5sbyQypJ3gvL3CMw?TKiFLhmzZ6Bi@*={ZX3_ zZFMATi<0Z?2Q1kO$w{*X2N4FHX07Q5EsjS;TWR{rv|7n$Uu&Dvj(2FeFz8u}eQd<) zEuwE5f3Q?7BqIWjX??&v^(`;9wUoBmNcOnphlONJLU=S=g9U~;STpN&9O*g+Tjg|W zbB=0FN3@2jBbMj_a+)zATGx~YJ7&e<`EZoMAFYk9&pCooE#_#qqRJm&ojF-^ZS1Xf z?o=a>Es^UUW_LstE9zZO8SDqNwHLtHn_&-4zM&?k`G=^=>tq>ssqqIbOHhtIUl))J z6J}AgxBbaoto8X!qG<6`R1PY70vT|rWk51T%M=p81l29ojH_3`dKpEU3N^s|=FTif9BdD2=; zPLh1_)jk2UnPenif7>pcl#`6I z?K{cu{K(Z7#cnX*Dh>YA7=@x7F~adG%~AJ}>q=LQRXzI8x=8$If8^s)0%|C#JeqSq zIhMvlV<=eF?epSRYY3uYCu_H{wcBx6Pc|Kj<85AkIL>q=4hjk>#Jgl`XPm*_8RwMN zUId{1kmclVayEOv#sO>eNrt&^^f(v6_FPYG0$hX5mFt{tl7W5MGItMIc*`H^+9%m_ zYM3NdX<+%Hzc-8s>zn=v95`q4lm&Y5q>c=>h0W9fzuqzHGI$&m-Itea%p5B~ax=>)-BCz$nA=~sDvJDs2Q#mEJ z%p}$kJA(9BzLxhsBUdEUt3lNoX;TJBl$~T~Z^~#7$bhL2ulyE4iXd*VBtp1SZMmt1 zWG8?rR)8sN`2J|41d7rbSfCB&CPO4v7IFjmndOPk$v862QuR5R5QGiM?{t%}vcXIGkmc9U$%tER1?}6CYl@0> zni9|_a9eV*M(zx3N>-3H({0JylC?ye@~TPMw($ne^jMYga_0Zrs%5RDcE-;_)@@EJ zO|MN9f;LOAWjHrzfUxB2Alx%eB<#r*mtWTYGc@o zv9OL2ia+GFQ}Bm>EvzV3{~d=X-ZjDSLVyxhrIn^%;)cL^x-7YBb$<0Pakik%O9+5x4R>Qj9JU+As=9X_&N_&v_`@1Eb|?)g1BuKKq)L(QGd z$K$qT!B#CF)-pbbOD@1L-xF7x@aSR7!Y{~Y$w13}cG*lHaRNaQKKMM;^4k|=m__;} zc{4P(etXitBsY>4dLOC6-MwY%K4@!CLf`(6@a9wrG?;wTcY;tA78U4oGvjq)?@0dv zFon?Gd%@I&MQQpxH;SN7K_6NyzS}hHO)U+2OgD}`1Jri&)Di={$c5&l6zSY3cuDF{ zz}W?vh#?m_s7^to^&1!?`ub1vW5n)*<^{V1u+A~C5Phz`$QyPAMBUG;1f9BvTx@Q75uW|Hpv+Yu z*C&v3cj|u;k=|`OFlP|J=6c?2WASVQbbuG&mfY$Q?t7Ay5yL)$4z8EHjDHcK`dpYL zd0snXWTeNCu4%+yL<~>P^RC?Y3LrO8Z2VpJ2Cmt8nci=}F-lTGJT%56>Z0^)&^B#~ zOFkwDaIc9t*F54cGf8QzT_hzv`?vGlKj6Qsq_v0iq0SG&F(R$~Bu!nsEF2Gz3^jC3 zIKD{I3rh^Gi}AN=rX5le*|Cy+F8i{~Xh-u@wEU^ae>(ep!3 z0=p)N0op4DXb2gpFG%%(z?+jvIkDICW}rwdc!54U+Y84;0<{=d41qgETx4pBYkJ9} znP{-Y88zkclOw#Gk@k+Z~Z1WJIbL2E%56(;LMY0v&j2@GfI; zQF?*5w#>~S-2=RI0fa|5by{wrJ~t!Jr3W($ggYxnuFL5mWK?>Z-dhPKiBuBX!U-JL zUesbT@(Q2`5bl>U86CV-X%v&$6}cf~tUfM1l zr$g4Ils|RLY#z3nlKS5|XYRb)ZolKsmEiAXgpUo9%qyWPP&1-NHNRVxrKAI3qC4g|9S zDnprA8N7tcALXx2KrKp41JQC+07RsnqG)C*zu3k{)-EVhhWq)*`T`CgTFoE+KuMX0 zA6@g<<7;_PC)|1BN&e0WN=mM`Y^#*#Lp*?R{dq%hd51Zn~!6FlH?XpB(0-D)zWpyX|$lAf$n>xzosfM6TCENwCOym?ziCNbsf?F^Dz? zxS(d#7zz%}EJ*jRfXps{m54BpLnBiPy{jL=>?Q+=cc+ckA<8?{fIZU=CV3ebT^wy5 za_R*mbRd`mfO}|+>0*Iv{Onl?iJ~UVVQ|E^o^gn0A3~}j0n--cxYb~O1X!xD>gWn_ zKH9EA`J;_5zVtFg#Wm}7N@4Z!C9MjHj4s>qS~-6)K%($5yGZ<9#n$ z!z2^Ozg<!6CBVE0Izk{f|Ff zC6NYv?3vwv@#Rh#UxuC1wA3Eo}ZJSm~YfCaYYt$2K@GpIH+E7kG<+eh3N$;X|67h zVEzV}R)l#nBWSVCJy2qb%y}q?QDX{c#NrX{1BCJ*gnX1Z3VPBOM*N&SH%}mU6}aY% z8Sy7z;nLDQmF_xlb&6a)!U46s#a>@@V4z1Ml3k(fu`u8=3*4axTp7TnjNuxQJyHqA z7hoTVFpmzAsh%+AO`@bE#QBj~%ZGB41X{PBdXDo!@i7F*FTKC<$1M=ezn}c0&q97r zUbB8J@uN@w`PW&vbmaLVx-!mXvDQ za;R;!RyD1Vs3wI$^bA{P(lY5}+;j4gH;4A6Bch^l(|OIB4lrmsc~~AT8#jFh-*n!5 z%dFYA!o>^L#dH`oXC53P>yxyUz73jA{=sJ z{+pJ#vjn}ADke@nY94Q1?x0rmM$`A{)Q(Sy!ilM>W1+evFVA0UL z7kLfo%u)y-Vk(Q;t$Cck{4-RYwdXxI^jK`2S<#Uvi?Jd z8#jSIS%MYUy7bvd%~({VOD{-_PtnKo1Q;VEPKi*4dtAoeNKMa9$xThqEEGVH{F}jw z%LOZXQHxE3+V8@E5KI|0o-j-lTwHQh91k~A8ZdQXx(CR-1;XtUglmI^Ar?;oHd5-s z!c-3k$W;Q@I?;Eo(G{p+m?%FEL8cmDyM#1s8x*M-H&WWn0)7|SB_Z=Ja9t>H{lsGu zEpHN-CWx$=_krAIfvXoxupGb=1st;zU`7E}<)Nm|hp#SzX*~hh09*^iaP}xM!zEn4 zNbW;`=>%Aho7^6B9!iP3ji;qn1WOykw8AQvkXD&g=J&5xp>s2B6*@MEnDbVDX&Ciy z3m-hwrBZ79+ZOT`$o`p9nWSpRfB5*CBbAXgmW8WjIZ&KFvZhF-lK1MY{H;uY!TutD zoF|o0|F!d!7E7hpdw}KLQVF@yLc;eOLw3P83d@C6j9%BIV59phg^||#rIhTW|M7b} zK;p{oRnp0R!m8XlX}IxI$X;k`vEy<1=MVN$A-cT6BCmX-KLgmk;$Q;dDZ--gavOHN z_(OjVzIa{?6sTt|YWl*$MQ%sH87t&&w8@C;CgkRR~mYc#5zI z;C#Gz=jQqqz!bt=+-8fg;G8_~r9gt-2e9QL%q3jjUTPAaKBdLui2zTL{u*$r1zfL( zt13S~-va_Az5y6yJ8wCNCxNSloJv1qT`y%MEv;?XdApyJP7V;vT`mm|YKMmiF$l$0 zz+!k^TC+<@g}@r{R;kK}2@=k))P8c}TZ&Ro(&wh7FNxO`YjlZK5#5sRnssWc#0499(7Z0BT>?nBbir}$$pCU{bmXOKE3j@PcZ^}hr# zS1`E59;8CEvomxvJ>Smg5AH#yrBf&&9j`+=%7{Tqq7Lvlh8Kvw z3{JdL6vbtyOH_bROWZQkR{&-n15;<@7A0nQb+T7sO7W05r2uLypN^L(jfjgE({YHD zOd?Jt2nHZdOiaa=02se>5D#FHahOC-RQS1I0{cr;oUp;*!9@bhF$NZdcR!}NRRHhA zfjRFUtpv`qudM@8hK7k7;P>?v>w<-@3{K zS(NZ*!=8yGb1Km~8-~?cj6WG+@sE?A13Kfa=`bC;X(ps4ENC!(lG#afEaW;^%w5CC zAkcCpd`P;&7Nn(bhmibbR7eg|0bVUI)``9ol$k3k)8>wiK%HxmM^Kzj2AFybEF>i@ zN1yApm`0}nE=t6?M#w=b1PdlQjpsTaV9{e>IC8yK3d==1sFsWibr&NX@9h06fg$0E!%Q5~eBiujd7!5;9N)=6mM zU%1Z`CwV+wNap+nUh5BlTL9!-IqxACRFr+Qn-(UQ4KSf_1rWV%M+8HXdZ|j#IRN`< zq;OOKWa(23bY{2R!3W5*ObA}>=ZpL6jIVK?WSs=~-7K4c*eLch|%_)(9nvCK?>T6he?J6G~J9hf=F4o`v|#uhA` zPTpe@y&hn&WW<}xW7S~nOSgx>s!svTRn|N(NOfqxy~F!yz_}vG6KK;Q{k?za4Uh#_ z#4PZHcj#SUcdu1sdLvM)7Rw*ZX?Q82nBpqwWm6;l9N@;5$s@2l?9J7GlLR^$aa!UL zE-=NN06dy5q)8%jOgv()gR5gj;Dvob5=`uN3oIC7lVrM>7x6CS8#jc-^19E@F}h7} zy&+D+Cx)9CLF~OD%zL83Gd_4jSWv2Wl7W+dGeSs2h)#56?IuZJs;eauY)bzQF!lzp zQO)uRfN96Ty#Al1R9=%mJivKrTrn=L*D4V@t}==vo1hvq>_h zq+GudY!A8w17gtg#uC_H+Fbg|zsg)I6A&V2tydX!z&3tQX?x|{@V-62nPef;$iJkZJKe(pK` zi-r75^0)OJ*^I!GeW$!9Q5Oa;TFAf10c(d$W4!?LuJba51Q8_eAcsj<=l7Lgw;~xs zZnBWHJlIG>$j6K^{+K$=Kmv`f)0-r5o|!K1^6z|a2n$LbHOBb^Qz0;5ZNlTqD4Z-p z>K-T*1tAt+NkVACUVoN&H(KKxWALgxoLaPT}ni{H2)%*}|7)_*gW`rw1-_ ze`SVW+g&9LoCDVX%GK2BM=a!z46+`PD~#(TrS!3s)NJoj$A2yOz|||ctT9O8)uyz> z6!-L#eiC4=F~_xb0b>(CYxe{Qy&hnnh{5X_I5D-tM57nErvT@gqI$>)3oHC6!P8`( z1{e&?cyPci6)TJqD!%A~pG3y=GXNVmDrH8FIe1tx4m}HTG|oNfTo4DH7}#q{@p}1* zeu4J9@8%hY15-wgO(nn;MtUrhR|h{4sPXcN!z}$Gz`nwxIt-l2UVUN8sM`Dz;BFs7 zZUVeL2VX>T7ijurfW0lkJk;O?DX&ffMug1(>lb?o(XB9WTF`O%lohh50oWXj*oiXhg~67?>U z>Ljfv<>Mq9Ha=$|FG6>BPOhMyH$p5U>s7g*@o~DE=GTIjcp5zV72pK#+wjCZvkf&~ zksoz!iu%Pb>${AJspZ z05*E)9i%3EH9WWjrMCiXnm`R29^nAb9`&hW6!RZO`gOof61lntOPI%dcd?jU1;9c@ zm`4e1P717>X2W)3Q9RG}4G85>VO7A3YhdO`a`c-(jqjdubLXi7qJ`b|qu;urg@d36 z^j3nd1afP~=n#i@v%FG+eg}jTcSLGLlkm-*LP60I*jj*~7`FN=f~aj~K0YE9GReO! z+xg4W0rYkie|eTdVl1Wi(rU34b&10K2c&JlGj>o4=(G3$7U=*sV%4D*q>U7%_4paX z+~p5j{5^m{%JS4k!qP{~3El@BymmSY7pQkzk(ZCI1K6mE8weLLvM3O=6<~fMHIHKg zi@ZgH(nfy(xI1ou8%dAe39$H)WdXPbri=(eg0=z7)pmQhj(O|lzhK}ZamtIsMzpZ- z&XvmzutoME?L$P0+m;?!08GTZf9OwuYvmXnCWr;q1!bB620y%kMQVK5%u&FSi17VM zWW8N6K~mp^k-E2vjC RC|=p%^U4Na>xOai{{y}gg}eX& delta 21461 zcmb7sdq7lW`v1AjnHdlnE-Iktk^A6?h^eHRAh#Lr!g$GTYijEoYHDp;t?Sm%uC%Pn zxX`$b6u3k&IWEHvxoPZ5&{go(L1l#&%hHfEXf(W}&g<`a&pC4e{O5GuehWrv#2yFdu>v2dR|uM z-2@_%cwmtTBRnuodP-60+N9ElO7&R<1VP-*B3R--$_cO)>7}|1A6OnSg&-0{n9)n_ z6c7R`o}a)+36dZv5$8Nl2nQ5#Dqpw4gMovO^By#apt}mvsmVoQ^5_JT{GgC{*xSKj*pBfxpcCUzokgv1GwRn z_NLzCn;dn@nbWj_mvhb@$I|K*-Haopo7#M{S0%$&)W5Y+rn+A4xl@km+)sS9oEtt> zeZ>(tm^ISc3xBzv%x_1|*1vMP&e_XNJLOQ>yLyke_VNl1Q1Hul^^7=sRfDO99m*=x zi#?|59+S1l^zRZy7kj@RI0Q%}`SbJ)!N>IZgq z+V0l>wKKA+^HOtK@Af4PGHn_64UFQZt(QA@(zXx(vUBImS7*NCfBs~p>UuJ9X*YM? z{MM`#a;o|CtY@>QovQZ7VqC|vj~H5Z_u7y2c7I$=*$B|8pP${)TOFROwGoMlvIqCJ zz0%oM(Fy8lEAMRE-dSe4(rfSTt>0q)3pZn-2^|7!T8H_vC5QQ15R1aiRCmB@#w|Czl%h{0!|cqui#YYUCjO3|MDj>#1t8%k%i%%gYM(z(^dA<4g1;%m73nyey&&Bwi+Lq|1>+A)SCY>TQfWFWYxnbyQ;RHtlDw1 zYUjzSw@z9g+*x{Z=PM_7?moHm&`E=tq&Dt~hrWA{9sIZ=SR!1BZy zlMe07L&xSLM=ZWK)YU!DnGDxQbcpwZUtIB>>Tk+roc2!jm$tN2gN@MWkcxrFjtl|J zd3oo2w9l?X-73eqFI(~uT)V|Lh1m;`SsusDzv@!+I-XzDT!47mZt2*YIy@hBcUIT# zGg&%JZ*!UhzecX<_^;)^Z(-FH^-nb!ycl~mXT`U=3S}Vfa*4)2C_9x?KCBKIOR5# zX#=ny*@(FBZae1Lh~^EbvZj!~ZOTLT=b^CmD!6s)i0XSA z5oX|uFFMj~R3pJ1zErJhi7`JD9~u#Z+KGOrtUaiopTvD~(Gg@@qsc+ZesFtF{M1-2 zYFG8w6xQV++nQ7ZKtW;6dH9){!lAAcpu*ASJad11LO>F7()pi#YwvLdoOGEdC*;KS zxLQuSxQ{NioO0O)Ds(m`?1)pj>9ni*#-PseQ-!u6q*c51Rr9ulC?kb-1f}h(?3D9Y zckup=bbED2y&pQFy3v}}&Dc~BgFM(I63h&S2;3XCDG%*yC(hJfbseeXuQ$-GX(kmo zMVg7iziTIZWkk=)fm;u}KMpNiO zBh|jgRUd_~Hc~qksQpJ*eLOz1UvQZc2e`D$+?(G!7Mo~P#h{Ap?K#|uOOAw*K^GY0 zqKi9l-Z4G@$xGruTduf-v0iXh-xSAcuvd3DrGbUuf!VXsBo)GLliXp?`q9VP%C5bgu!wkhg~p)b|Qkm;tp@=M+>L zKTzL;uNmy$xl)JcqV)tYY03tsO*z0b3|}|RMWSgcw2lQ0M5~rJ2G~K!1g`bFkt9^k zsRKt65jZ!v*;(QYuNs0BgAe53i-Pt!paYDFAO?XHss<=?XSJ$Y7g$O9dfm06Sc!GY^gcuNTuom_sC#X9x@srj@pPb zso=|dc@{sPg3rSsH8sD{p(#Kra&J{W4rUvx1#j=?{hRYqS=jpUYNw+ZMC}LhhKIV~ z4vxbsw{}7-tNJk>Vq9y_M|&aoos(0y5@)JM%j^q~w&gxl2Ep&}%V%gVW?6fy174=5IA~$#rJc+?jqc zXa^Q*5K>0!+!-32#2N`0oShlyK36SQ2ZF4;46+9^fJMG8=8Y?126&)+ZVDM=-amK2 z^k~F~LSRRueQnIZSr{z~p*65wouzq_4 zs-Mca`BngTm$QwC70r%A5 zc?j4V{Hhfr;W#lzASJ)L9xAKexKAzL+h&7E zKsyTOp$+bTriBO&lNKW`<&q=I{s02Bb1EKIs(l`6F~%S)^Zkf>;gTc18NiG2NU9a# z#Q$oE|2f!j;>%j%OI!J*R5Vh81u6ww*w#Vt1HI@5qG~aC*q3}n7k_hPF&bF}XaD0T z;j=}Ei@a2Q)=;$&*%u*G1lsMF6oK6T_NCevgY}I7chEo~(LoRKw{ojpJHt`SVlXan zb_dMwt^cd3Sh>v+Aci=6tc|l_{mz9btRKeJ9D~|a{m1VIH^rlzDW03| zN6o1yS%!z{k1vJw`h`%OzwTSz}- zfu)eQG&ZVY1-P^p)CP`gUjn(?zSR6|atK4C7RFqeJacL$uAUVc`NzMqN8{Yt95Yb$ zoy&YEc}{@M}yY76eG=TQ4HR2$q^c|_$5Ys#(22exYR$-IgJLwA5v zX3OQ29bord$ht7L;}2qqhnJ)3$qfXzW!MojG8g)W_ zYRW0ICnEm9Ii{L{tF4V}MUFidMYg*3w$rGV=sThssmKOW_PNk5_Hk=(JL2JtyK~OI ztD}B;U%R{yrb%%&^QQZh#$~8db&Kn{Ts=(-7!U;dK*%fa-Y$da{KK&0dx#Gd)DQRC ziM|F|O`4%@E`l;!7Y8oBw`q z>R6i~J(X(Mtv(mFo~!N%Ghe$eL<57K(l@*eK^JLCLv2(0h95+xjmVUa0-&!9vE^%Q zh56H*_6;atqxrstvBq?i>%45rLR`Q#bsGPTDHAmnR^&DnRph})9FGs?4d$IlNAP## zLHw6jjx0yuFgh~#L>7X{V~^9Gh62)&GlPG}aZGe2v|(?nwjz5_*Q4c?-2^WKs!qC9 z8}_-*VFLxjSz}XPMHYAbD|6q%;G7L;I1SaLb=fzf;dD62@4+FH+EfSwsVH<%pBJ^w z>I-xFrJ6EOn_r)41!`V~uurz6A;S)6Oo08$6Y1vpi$Wg(5or){upleX`OOE#N%&rP z7tc1q1)hd+RF#O2*UnfJhQn+bf=GL51F8>4J9Lzj=^EmP+QU&l!S!Ld%sqMqeuKg5BT+RAj{yj7jTmgyJ_xBzi)S!`olT25CLWm*%&m(Tg$uzB z78=w+W!zVz{agoOT%&D{eJypG0hE;sIy%x?Sd+iQIkStu)>I%W2-}@!Yz2I!pxUz^ z6vtrI298zjiF+s%YtvTDgXS?%GR2x7y`P%_S_5A{V=qL`SOYhGv|6Fiay>tlB|us>zj;5Gh>>{S z&c907&?deqjDE1#a${(Fbz^D2Ti)4Oc{HLmEhJYZ}jnm6-~Wp$wOpcCrsjnE}^Q zaFtr{8SFYxBW~6z`UHURVg71K*z%Q9GPuY+@ss0QzzI2A@CxvT>kUjJI3Rc$oWQ&X zeCg~mn11tNM^r!IvSnVlbT;_3l=$(zX`<%=rADG%r~+L>=V*SETR&un)Up~^G1Dq2 z2c|VR7v!4sX!9y$UyTCRAU@K*3Z;He!hIX%2soifDus;z1Y7_(9>t_SQxO8|nu<|f z392ha+@ZxkE3~O1zaoTfAJ*q8LA7ChT|+z6B!9`i5<&LHHLU1o_W*J9m?u4;E)xp! z6rX~O0}gapKdTRduHFwqkgLB?E#qQuIA(xBuu{Pu4MA=1yVS;gFjK5atL&s5P7HIz z+W*#}ALL-ACYf%wbTkrzvQ}{CMsNX5701gxGE~iUYxy})W|L*M zLfj+3LdE8v9N~D~q)1pdDHejl*_~F+mOq7uXP2&F3UV>hl+FuVnX{kAO zxgPx5R$e=!uWWCb0eq;Ns}AT+t_}s>VYW%!qz>@a06pS=o&V2UcKFer!g;;d8IMx3pG934qkRl+@fuxrmcvrH!7>vqDJ}7 zhY(DvDzYOVN6vq@KRySE6O>>s0?Gva>?Gwcwj zOxhg~pkT13qHe1CgO-iRzQO!KdQ8M7p-0#ZzaeAN+`8Ki&b}E{6xlbKuc!YZzJ7aV z1KOVTM0PjLQ;p=#Nv@_09P0bpw;)r13sz4}gLm3Z=D)9)!RP_XlUh`ZpF+RJ__umPG6)sS9MIK9$ML&e2-PYUVC1$-5eN`UU0Y z7Zes1uPiCmuUfrk?L+H+VIdE&`0kbce9>--D+{qg>3{}zf2%^&I5xnhmegQQ>4MtI?7HlPx+MF z|84tByg^~#Pkw%P6TSP5H!b8MB_1uZE+Q3l{ofnlDHmx?BUx*vKl!$bA`fUuaSzKf zE1!%szDp>G|B8Dvov^pV;ccuYA^~=aGD;pQ)=N7t>_0)G1)@adV`2J?VqLKtwx5_x zTn`fj_kqO$HP{W4K%j?@JmWJ45pL~^T;00DVD>Y>wTL@H+f+h9OUVnsCJaUW?DJF4ATr#(TtDF7dY$EFSQ4LY6 zqE|&9i`f;oE0KUD-S-9t2TUCr;iFUhXcs>|)Y#iKVSAyiv45!fb0B;wu)ilnL~QD` z{9hqCd!Fa9hzQev#yu;pz&bRLx|$O>yPDHJ^=uBRsjo^tz^Xg>z0<_hZ$w zy0o{$v!l7uZiktMlrkrit9yjS<8dwW>UsG1QTLVjqJ-d}o({4>eV%sju>>^S< zw_T&VYdWm~+2FHR(?HnDA#IMF)9xFAL?%9QOqB76hT9zN zm~20$@v~KKI;w$wu2WKkcx$0;Z}U+N?9bSiaQ)FsmT1*%z){V*TX@SxxC9$1!e<%( zEq5jwwpZYHn8CW&w(lPna<$;HcU~_cWyTXC$K$??<%-{OQBeu7sCZoSXS{Fo>nIyp zo^bqx#z93mmY9xf%BQ-I;Jyr)l`GcWa*z=ii6w#u0nL9Y@^)|Sz|IaxiDeXwT9NkN zK=|N5MY>?x9$yI<`$@}NC1mIt>FO!*=1E`7$%e;l;f+p?_||cF`-+N;o!y!`9<+ zjd*Q^=A@>W=GvpVrWl3R-fbx^B_kGzswWIu^)sfU8tiGx&WfxjG8^ebA8A@M&wi%i zr$b9w=4T_;?~tt4a@5jLN=5~p()hv9_qD&$*-_@O*a2zvC(^osjG#L|{zS~NuaYeN>F0;Vlbs|R+;!yWvEwJc;CoJ< zI^FxtLcow7(;sEBI@0(kz|?^ViC_ z>6VY$$k>@czsOBLqk;9I|G%?eeQhB>6fEU>{SYae^h`p7vUN2d)ga?eT7nLd+5W)y zd6Dmjmd6i~Q2~cV4z$Rj<`5Y>XDkQChXcPDkHAfo2BO~_B7aHlvMg^Wr%tL#R0XZm z!hYDva$Xg(K9>9cR^gi;k(#-$i$S(M zvm&c8Mf6aasJKY}ngM)JNPKYD@TI-lGWrquNBL`sCu$Pw%$AowCRZ4DC&E5h1JM}A zolk&ug{k;M(Kr)-1UAA7U;VyBJP~dPg$;TYtP-nCwTZXDQrcWa*!tr7+C*E(dZK=J zVr4?Bb^|UU^=~8sbXNVFiCutF&+@C^gD;$`uZNG^m+KSV{~oDNe55`$vA!}w|&bnC^=5sO(H|UmGpF?MQ9$N3K;;T#L&?5?c+qXnmslG&4n3JTH+CSz3z?5Q# z?gNW2)o1F8JSc)0gjTXldb!BuODz-HL=TSn9;h7IL`&QOR$>VqK-!&_br(pz44q-Ogv5u1~x*FWTGX9@Nv zf+kXEu~GGb;bu0|2X-A`y%S(lbcH&-FYG3WdPEo!G}~Ts358jDJb`gT*;_zvP$cJR zwl5RWzMVEOXI%hW;(fJ@!xIJ=1H6H@cClBuV+1KDTvD^`e#^>unV708go%##y)Tv} zy^3_t1TGVC0y*!?YR42nF5F*KiCf>mHNPmw_Z2iYkfe#HCa5G{pOp`z&7A3$j|~Rg zUnHD+Dsb6MQflj$Bn4}XKRRK$NZlr_Uy**))loP`r1cWXP}gpV$4-(Zf40;-Ose;* zsQ;4In{e+A67M0BVeXO?{d&Eh>-8Gw9vEt}Os@3vpJchxMc%fCPyy6rl^JMSXHzmN zC^pVD^8}a=euS_{q#}(|Nrt%3`<9%b|4=eGAHPQE{b9mEugQ{w zo|7Cjl#JGuWOzYf&FQ3q__z1fJc(Lpo-RM%2ggPMwK%sAfjK2ybVj;+hA5!f7|`o3 zNw4tEodk=`(CQy@&k+I0#*;GQvN&D#cw{IUmEnWIFbx>JMe-pSgFp>lCJfbQmH3X9 zO#$hCB}f-Uc!i74EG*R(W*51~!QKbL4NIQuHhL%-la;CS9R$0WR1r0i1omqmYH`^` zC6ELN&s&jf4!qT9l!DpqxuIl&t~jHpFk6=+v1JQLKO!zjAm<6I(1U0W1@@jYEeve$ zvd*KF)UH>~wk5UBAbGRW7) zATP596gfw7nof_?MCE(t(88eDhrlkmQZTyh5=zGAmu6;pBb#6ipzn%t!3fsNFD=R@ zjBcxdnI8wPw-F7$!`_A7vONmll94Ji;_p!FcxCjd4m!MrXwr64a;SK>ht zM)pa-ffos8c4tNKhJvCJkBI@!J_Um)lLp}xE~>z1pcu9RRHB=pl6c5ErFw6Su+IXu zKVTO$f^&I&Fdo*NR_S#fbFjYw+}3gV34|+#%I6&e!M+Hπ^OKEf4`v)4AD79%;n zq&X@GeDI$X#n5ZTB{G-weMn1>J|?);Cvfh#zUaLdmJ${a(2AGesL$lYL~=m{0{x86oyrxu|!q8RNth?HL@q z9ftORG&FB?#cTEYVknnx99s$0dL(LIeMV=LLVw`vVYL>V_I)y#cx(3fF~s;f7_es#gG&C0lPmTyFS+=h# zFW@D2tRb}0uWILCt7~2}@A}*87E+_UZjGeFC)d7NSHI`)4R1BR{Z5nhU4UM-E~X>t zz2a(-%esp8w>|>I9;0Pc>wdxEo}y(z|ME`IJ3spPlTSah1nKF~gR)KZ?I`B+uI?iO z(;8aI9EFLwaPlUtr1_Jl;MiqtqUH4I|DF+!?X=7Y8Ip?4(Px$Vn3eq!2Ktz!4{zR3 zi)zbo>y7;iVDC!$0Ed&*7VWzXijDOxvMuK_6A!Lo#Qw1?a_A2DQmE2x z$^e%>fooL$*g>#<0DD)0dB+f);q_fXC4m%8{4_RH1^?Yoga7^uTf}Gd zTt#K=pylj0=P!IWcoE#?mh~_lzzkiw{Da_Az2IQO&_W6)U(^1~PuH&Bu#h9<9qVOq z+)+7cy+Qla&?8#N$5c!7gi9#ZuF_|EJ&C;^4El^%LVa>{)T(jr@xVRZBPLz1_vsc0HXUGJ;h~OA zs)CRE1OuA|!nr2+ctW~F^uq6Tl779Ih|F6#nDE}I@Xa~20@x2;PVe`a=c4DKlJSaZOSprd^3DeCXw!H-&3 zGIIY!4Q?yvr!2T{p@rN)U9&#KOs7(p03KPNXJpJ$Xe)(>w=qg4ZAC_=a8kkeQ`uSp zRKv(+uvqBG^*;5RT?-CmoQnOyXQB&z>8ir?F~RaAkh>z0^F~2Pdd3*nV4nh0J~tsr z#uw^~Gt>2MOA_pQuu;8K58PuYf&!VYFDP17=&2m+CgAFxt-Ep13#4Zh`F3mUGn5~Z zCQcW;#oH1TN$q+4OHy%Av4^=yQia8W^50@))XGxf@Lfh`-3K!WJ0nxBfnCpsfBDFx z1`FBA-mvb6x!@C)^j5hJ%znUnoRQOI8#W3S>t*ER)0X)uFh@NL4{mw(*U$at`4?XN zE!@0u;52Op+h_B3lQr{bD?J zUX!R9w^Ev%5@DOyEg{>q9abW&fuDdsIe~TP@_{vd}5- z!58+b#5Ia@?y105&o}m>txw1(vegohFaHS~eA0oZWHSQ9CAZ(mB8~rsD284Z+Z~qy z;h>G0qAe=b`@|jdAAmh76&3JK2^NFb(y-;FAEq5l@si{x&|15x=}JpidF%l5=uV&( zA>0)+k%S8u_R5or@}=g9`3P{;A{FeN5^NgS970Mn&K`Q)UwG{soH6r+`~8;?8-wh7}hVdqFUW&j1F&E@%$iN#trFXEQHa zUy!l%p$|K-?GC&on-L_cd#5aN%3*kjkbKbH5`2zrb(Az>N`&*1_y7g*IlRabp)1VH zTAQTR7loAp+mtI(!UYZRBA*mQoe~(Z~;!2<(&WKwZ029Aai|eNd zI|ZitSv1v1Ef(Jh>GNCgrqaXyM;RfJ(1?6JNkV-(%e1|t~arqv<~qEDXg6#~j8^C$Z(s$Jw= z>-#dfV&JQ<&wcal`3nNBT^2MM)~CORZgm(hbYA@lE?+(Hg^ZdWxrDw@QdH#Y@?+Bd zB?zc%pa^K%L}N-KjZ$Z%n(g-4!0P~WJAzyCQEF;_eztbLcU_lBq)B3(M9safJ4%J) zxJz2$k%XB8ut))B4D#r8lnT~+W*4GsYXCP_BIoW6N2%$BMYv=qxo2|BT!6uMjfF7~ zo-rg8z&EzNObKQlO%r>)U7CP5j#8oN#o3;j8SrK11G#jGoICJFsSv0hZgt>KCIw*d z+&ImJ0!-(FV-^4mdQSo7r51{pk^tsOGt7N}>l8f_cM8G-qg1qh?3xC%h?Wt1$2IAr z2hnBOOiGN%yAY9bVw9regS>_j1Y&N05tmD%xb<|M3KH{(M`q?Gz|0e1@!5s?lx&}F z_7+Slo|2{&K#db3@;arKa7j``!ahUKS3Fzli}%K`+m0$_6{c<2sUWd;F7|<62!<$kBy56-1o#7$Ov+ z(PyXxlMk>j#|lRUK{&q1Lie?F8TbUUZeypw^a{V#h^#fNzi|ouEDikb8^f;<2+fcP zdHKZvKVP|+VsN9SyjLk318h{N?7{jSz@46SJW(-^lICm}anqXQ%9$sPc_$5;YFz64)`3Goj zjC9O;fVrL5tGPsX^4E$k%xnbzkmE`Y{&CLhZo&FBSSZgAvl+f?ro}QqJWmi#}}u= z>!#l6>CttN{%TzE zhhXw4SIyQ&)rPeO8N^tw60_%78F+5u}8CiW8-eT8+%_05>sHo`PjyU#@}M zXy{s`>4#Ui;B-$62xz8+A&KY-E{VC8`fz1R0VXsMV>6%{CIWaAo_f5B2_@KAQNzUf zTZLHFqm)MIkYS#ZpD7@3t!HG!9I??Dx_iF=X%QBj1?`>B53>olj!RsEhkwUXe$)$i z=>Z+M!cuZ0#hf2EexF`coJdR~o~0G>2}0BFgMEn(B@TE578S9Lo+E`uk{elbPgsI5 z_7w$2kLsK5iBk));UPv4ZTE!v&PxQw$L|RX$?%OcF!DJgxI~m>M0enBqk}Wt?T@Ha z<{N-<_kfLSjK2k#W&+ITe=EumMsmR`90Y~ru-oX6j4=%_!Q23HsS-K2BW|0RRem0y zF2HlP(G#)?x)ywc9C!| zRvz#Fj`dyqa&fc0<)6@YDX&=zHnYdQVY%)+mi2 z&jSo5hmnYFpk8Sc>!RP#>L6la)T?1@z!s_c_w-4&8Spw;$T}T-RI>c z<|W4azM8iL2d9rKOGe-dMIOuK)4zuUH9l(?*e&pGxG<4y&=K8)ZhgtpC$r|!5;xOB()KeS)pcH(ASEY4+|0#Fo&mz%?ueY zsMBZK#5@DfLf0U|q>R3WIfGgFyb%-lEWpNl&K3*lQsBn!&j-F$%%B22tO#NYL&xtY zsb11LsF=jOxb=721lRuw0^|2D!y&S|6amJ~OdTUE`K?b*ci` z_^LZf&G6}UaP!HS05)5s2EC4Wfai|749Say42H+GfYmhR8f~t-EBUUM=cHvh( zWXL*KsibP(6fQ4T(#Gvf8xt>ep>9#wJs@o}p0C58fIf#0U@Z<{W7Ztr0%@ZqX}xyF zFi-l!8gB#`gsebqEG%oxbl`2k!E2-AaKSo{76tj3cK|kS+6KY}jZF#!(*&>piJI3i z!FpfOAhj`8z&&^m+*o?dy8ufXn-+j;aQYZ0B$&Mbb9dZct`lBt*#`>NOA}t~Hj;+L zcd6WFfK9L##*c`Wb|}5DAee*s{=lLb3155_HRt6zO-iV4DEk4x;73rfL``s;rAl}| z628AExXp6qG|Gm*DCUo>Ym{=O{hyB3_x8j0ap3L^>!ZpkWSxcljWTq~zk!9bYzf|7dm1?8(R_`Ujqzx9Ple*X_!{3zJ~ diff --git a/BIN/FORTH.S.CL.txt b/BIN/FORTH.S.CL.txt index aba1225b..0fbe3fd6 100644 --- a/BIN/FORTH.S.CL.txt +++ b/BIN/FORTH.S.CL.txt @@ -1,6 +1,11 @@ NEW AUTO 3,1 *-------------------------------------- +CL.PrintPrompt >PUSHW L.MSG.PROMPT + >PUSHBI 0 + >SYSCALL PrintF + rts +*-------------------------------------- CL.READN0A tax CL.READN0X >PUSHW ZPCLBuf >PUSHW L.FMT.Byte @@ -120,7 +125,12 @@ CL.CHARIN.CTRL cpx #C.CR cpx #3 Ctrl-C beq CL.CLR - cpx #26 Ctrl-Z + cpx #C.TAB + bne .1 + + jmp HIS.Select + +.1 cpx #26 Ctrl-Z bne .8 jmp CL.SUPPR @@ -156,7 +166,7 @@ CL.CLR lda (ZPCLBuf) lda (ZPCLBuf),y bne .3 - jsr CL.PrintCLBuf + jsr CL.Print *-------------------------------------- CL.Reset lda #0 sta (ZPCLBuf) @@ -249,7 +259,7 @@ CL.PrintEOL lda CL.Ptr CL.PrintEOL.8 clc rts *-------------------------------------- -CL.PrintCLBuf ldy #S.PS.hStdOut +CL.Print ldy #S.PS.hStdOut lda (pPS),y >PUSHA >PUSHW ZPCLBuf diff --git a/BIN/FORTH.S.GFX.txt b/BIN/FORTH.S.GFX.txt index 1807798d..db67f82d 100644 --- a/BIN/FORTH.S.GFX.txt +++ b/BIN/FORTH.S.GFX.txt @@ -8,17 +8,7 @@ GFX.Open >PUSHW L.DEV.GFX >STA.G hDevGFX - rts - - ldy #S.PS.hStdIn - lda (pPS),y - bit #1 - beq .9 - - >PUSHA - >PUSHBI IOCTL.CONTROL - >PUSHWI 0 - >SYSCALL IOCTL + bra GFX.TEXT .9 rts *-------------------------------------- @@ -29,6 +19,29 @@ GFX.Close >LDA.G hDevGFX .9 rts *-------------------------------------- +GFX.TEXT ldy #S.PS.hStdIn + lda (pPS),y + + bit #1 + bne GFX.IOCTL + +GFX.TEXT.RTS clc + rts +*-------------------------------------- +GFX.GR ldy #S.PS.hStdIn + lda (pPS),y + bit #1 + beq GFX.TEXT.RTS + + >LDA.G hDevGFX + beq GFX.TEXT.RTS + +GFX.IOCTL >PUSHA + >PUSHBI IOCTL.CONTROL + >PUSHWI 0 + >SYSCALL IOCTL + rts +*-------------------------------------- * (Y X C) *-------------------------------------- GFX.PLOT ldx #S.CB.CMD.SETPIXEL diff --git a/BIN/FORTH.S.HIS.txt b/BIN/FORTH.S.HIS.txt index 32f133fd..474219c3 100644 --- a/BIN/FORTH.S.HIS.txt +++ b/BIN/FORTH.S.HIS.txt @@ -2,77 +2,138 @@ NEW AUTO 3,1 .LIST OFF *-------------------------------------- -HIS.Init clc - rts +HIS.Init >LDYAI HISTORY.MAX Get a buffer for History - >LDYAI HISTORY.MAX Get a buffer for History >SYSCALL StrVNew bcs .9 - txa >STA.G HIS.hBuf - >STZ.G HIS.Count - >STA.G HIS.Index +* >STZ.G HIS.Count +* >STA.G HIS.Index +* >STA.G HIS.LRU .9 rts *-------------------------------------- HIS.Add >LDA.G HIS.hBuf beq .9 + >LDA.G HIS.Count + cmp #HIS.MAX + bcc .2 + + >LDA.G HIS.LRU + cmp #HIS.MAX + bne .1 + + lda #0 + +.1 inc + >STA.G HIS.LRU + bra .7 + +.2 inc + >STA.G HIS.Count + +.7 tax + >PUSHB.G HIS.hBuf + >PUSHBI 0 + txa >PUSHA >PUSHW ZPCLBuf >SYSCALL StrVSet - bcc .1 - - >PUSHB.G HIS.hBuf - >PUSHWZ - >SYSCALL StrVSet - >DEC.G HIS.Count - bra HIS.Add - -.1 >STZ.G HIS.Index Reset Index - >INC.G HIS.Count -.9 -HIS.Add.RTS rts -*-------------------------------------- -HIS.GetPrev >LDA.G HIS.hBuf - beq HIS.Add.RTS - >LDA.G HIS.Count - beq HIS.Add.RTS empty history,nothing to do + >STZ.G HIS.Index Reset Index +.9 rts +*-------------------------------------- +HIS.Select >LDA.G HIS.Count + bne .10 + + clc +.99 rts + +.10 jsr CL.Reset + + >STZ.G HIS.Index + +.1 >INC.G HIS.Index + jsr HIS.GetIndex + bcs .99 + + >PUSHW L.MSG.HIS + >LDA.G HIS.Index + dec + >PUSHA + >PUSHW ZPCLBuf + >PUSHBI 3 + >SYSCALL PrintF + bcs .9 + + >LDA.G HIS.Index + >CMP.G HIS.Count + bne .1 + + >PUSHW L.MSG.HISPROMPT + >PUSHBI 0 + >SYSCALL PrintF + + >STZ.G HIS.Index + + lda #0 + sta (ZPCLBuf) + + >SYSCALL GetChar + bcs HIS.Select.RTS + + cmp #'0' + bcc .7 + + cmp #'9'+1 + bcs .7 + + and #$f + inc + + >STA.G HIS.Index + +.7 >PUSHW L.MSG.HISROMPTCLR + >PUSHBI 0 + >SYSCALL PrintF + + jsr CL.PrintPrompt + bcc HIS.GetToCL1 +.9 +HIS.Select.RTS rts +*-------------------------------------- +HIS.GetPrev >LDA.G HIS.Count + beq HIS.Select.RTS empty history,nothing to do >LDA.G HIS.Index bne .1 >LDA.G HIS.Count + bra HIS.GetToCL + .1 dec bra HIS.GetToCl *-------------------------------------- -HIS.GetNext >LDA.G HIS.hBuf - beq HIS.Add.RTS +HIS.GetNext >LDA.G HIS.Count + beq HIS.Select.RTS empty history,nothing to do - >LDA.G HIS.Count - beq HIS.Add.RTS empty history,nothing to do + >EOR.G HIS.Index + beq HIS.GetToCL >LDA.G HIS.Index inc - >CMP.G HIS.Count - bne HIS.GetToCl - - lda #0 - >STA.G HIS.Index - jmp CL.CLR *-------------------------------------- HIS.GetToCl >STA.G HIS.Index jsr CL.CLR - >PUSHB.G HIS.hBuf - >PUSHBI 0 - >PUSHB.G HIS.Index - >PUSHW ZPCLBuf +HIS.GetToCL1 >LDA.G HIS.Index + beq .9 - >SYSCALL StrVGet + jsr HIS.GetIndex + bcs .9 ldy #$ff @@ -84,7 +145,16 @@ HIS.GetToCl >STA.G HIS.Index sta CL.Ptr sta CL.Len - jmp CL.PrintCLBuf + jmp CL.Print + +.9 rts +*-------------------------------------- +HIS.GetIndex >PUSHB.G HIS.hBuf + >PUSHBI 0 + >PUSHB.G HIS.Index + >PUSHW ZPCLBuf + >SYSCALL StrVGet + rts *-------------------------------------- MAN SAVE usr/src/bin/forth.s.his diff --git a/BIN/FORTH.S.KW.txt b/BIN/FORTH.S.KW.txt index 0cb69a2e..610e8355 100644 --- a/BIN/FORTH.S.KW.txt +++ b/BIN/FORTH.S.KW.txt @@ -463,7 +463,7 @@ KW.AND jsr CheckStackPop4 sta (pStack),y inc pStack - clc +* clc .9 rts *-------------------------------------- @@ -481,7 +481,7 @@ KW.OR jsr CheckStackPop4 sta (pStack),y inc pStack - clc +* clc .9 rts *-------------------------------------- @@ -499,7 +499,7 @@ KW.XOR jsr CheckStackPop4 sta (pStack),y inc pStack - clc +* clc .9 rts *-------------------------------------- @@ -519,9 +519,11 @@ KW.LWR jsr CheckStackPop4 lda #0 ror + eor #$80 + sta (pStack) - clc +* clc .9 rts *-------------------------------------- @@ -541,10 +543,10 @@ KW.GTR jsr CheckStackPop4 lda #0 ror - eor #$80 + sta (pStack) - clc +* clc .9 rts *-------------------------------------- @@ -558,27 +560,26 @@ KW.EQ jsr CheckStackPop4 ldy #2 lda (pStack),y eor (pStack) - tax inc pStack + tax + bne .1 lda (pStack),y eor (pStack) - - inc pStack - - tay bne .1 - - txa - bne .1 - + sec -.1 inc pStack +.1 lda #0 + ror + + inc pStack + inc pStack + sta (pStack) - clc +* clc .9 rts *-------------------------------------- KW.NEGATIVE inc pStack diff --git a/BIN/FORTH.S.txt b/BIN/FORTH.S.txt index 0b84a036..69cf5207 100644 --- a/BIN/FORTH.S.txt +++ b/BIN/FORTH.S.txt @@ -5,7 +5,8 @@ NEW .OR $2000 .TF bin/forth *-------------------------------------- -HISTORY.MAX .EQ 256 +HIS.MAX .EQ 10 +HISTORY.MAX .EQ 512 *-------------------------------------- .INB inc/macros.i .INB inc/a2osx.i @@ -79,6 +80,9 @@ CS.START cld .DA CS.QUIT L.DEV.GFX .DA DEV.GFX L.MSG.GREETINGS .DA MSG.GREETINGS +L.MSG.HIS .DA MSG.HIS +L.MSG.HISPROMPT .DA MSG.HISPROMPT +L.MSG.HISROMPTCLR .DA MSG.HISROMPTCLR L.MSG.USAGE .DA MSG.USAGE L.MSG.ECHOCRLF .DA MSG.ECHOCRLF L.MSG.DEBUG .DA MSG.DEBUG @@ -99,7 +103,9 @@ J.ESC .DA CL.BS left arrow .DA HIS.GetPrev .DA CL.NAK right arrow L.KEYWORDS .DA KEYWORDS -I.KEYWORDS .DA GFX.PLOT +I.KEYWORDS .DA GFX.TEXT + .DA GFX.GR + .DA GFX.PLOT .DA GFX.RECT .DA KW.DUP .DA KW.DROP @@ -206,7 +212,9 @@ KW.IF.ID .EQ *-I.KEYWORDS .DA KW.Rg .DA KW.R *-------------------------------------- -C.KEYWORDS .DA CP.JSRX GFX.PLOT +C.KEYWORDS .DA CP.JSRX GFX.TEXT + .DA CP.JSRX GFX.GR + .DA CP.JSRX GFX.PLOT .DA CP.JSRX GFX.RECT .DA CP.JSRX KW.DUP .DA CP.JSRX KW.DROP @@ -446,7 +454,7 @@ CS.FORTH.Run jsr CL.Reset lda #80 sta CL.MaxCnt - jsr PrintPrompt + jsr CL.PrintPrompt bcs .9 .1 >SYSCALL GetChar @@ -734,11 +742,6 @@ CS.QUIT jsr GFX.Close .8 clc rts *-------------------------------------- -PrintPrompt >PUSHW L.MSG.PROMPT - >PUSHBI 0 - >SYSCALL PrintF - rts -*-------------------------------------- PrintDebugMsg lda pStack+1 ldy pStack bne .1 @@ -907,6 +910,9 @@ CS.END *-------------------------------------- DEV.GFX .AZ "/dev/gfx" MSG.GREETINGS .AZ "\e[?7h\r\nA2osX-FORTH %d.%d (FORTH-79)\r\n" +MSG.HIS .AZ "\r\n%3d : %s" +MSG.HISPROMPT .AZ "\r\n\r\n? " +MSG.HISROMPTCLR .DA #C.BS,#C.BS,#0 MSG.USAGE .AS "Usage : FORTH