From b25303e93a31188e45a5c85317b922fec13a59d0 Mon Sep 17 00:00:00 2001 From: burniouf Date: Wed, 28 Jul 2021 18:50:59 +0200 Subject: [PATCH] KERNEL 0.94-2:Fixes for DIRENT api --- .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes .Floppies/A2OSX.TEST.po | Bin 819200 -> 819200 bytes BIN/FORTH.S.GFX.txt | 12 ++-- BIN/LC.S.txt | 38 ++++++------- BIN/LS.S.txt | 53 ++++++++--------- BIN/UC.S.txt | 42 +++++++------- BIN/WC.S.txt | 15 ++--- EXAMPLES/MANDELBROT.F.txt | 2 +- ProDOS.FX/ProDOS.S.LDR.txt | 47 ++++++++------- SYS/KERNEL.S.CORE.txt | 8 +-- SYS/KERNEL.S.DIRENT.txt | 113 +++++++++++++++++-------------------- SYS/KERNEL.S.INIT.txt | 18 +++--- 12 files changed, 162 insertions(+), 186 deletions(-) diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index 482764f1d421855b9eee17743eb24870b99a43ea..ec9a5e7c9940b3d45c400c1bf1f30f5604a544c0 100644 GIT binary patch delta 51083 zcmeFadt6ji_b|TCIWsUYGcd#4VSvN^>M(#PS}J!zQ3&wXbx=@H1O+U$3rvZXIi~r< zmQtH2cpMW0EzurPe4d^jvD=uMm$Wp^G%ulL`e?~-?Q_mBsNe7V`Th6)^H#$-XYaMw zUVH7e_g;IgwReqw4)`|0uk(UP^k;04a_wc&1bAU2Ye$Rs!b_@h?O)<(cu7&NjqwPB z7m4@ULXYi=fw8DOD%c01vxxmO)+pVs^8BwvL?fiYrB-jl+8D)z<|TR$tqA#}J61D7 z$bt}!(vgToD0uBY1xx>Rb9k5dwTo1 z6OVf-BIlteqGUekE>{~6Rd|ljf~4D@Id_EO$lG3c{s=`qu|4v_7Lp+G-u~^ySdX!- zS?v7C#=G$^^}>I4H*e(B#%^w+d43C}4gwp`m(f^R~ zv)C>FiEW$+7(27e6pnpON(W`LMX?hiI%C%=t{E)*W4H9hvLnOVl(tDnZG}fIS8Y+} zm2Jwt{m#!U%hk&?wujl)hk;(!5bDTi(mIYd>DUjV9Qihny$(vYZm*>yac`fz^A_Z{ zdP8FdpfNUaZ>tR6X?DZfSFaf#o*xNy{|D;!gu1udqBgFIVkbp(7TX896i&Y;KL@hC z7Ugi-Rz{_=&xbcY)Hl#S((Va)Vm5B=nQMl}ZBZNEjM}`vO95~|>B^|nGys04{K^*P ze0wP7o>fu(-aT(d^)ag%_9Fq}XAApG1Yg+pSh&8Dv1LVYSZvu5w#V_!7N1~ET7(0! zX%QVSMfL9l3h%BWHR8Cpe8L)Di)vBqUCp$xdplM}wJ6DJ$X*MfeGpZ41k~U{0CfkC z9&K9{N$rSk(v)c(b|Mit?QNf7qRo-EDVUm^J+NINqo{3vc+mc7pPhW;qyv$^t*tEj zu`|jM&n5k}mc13#@C$jb;1VbfCJSI1@7mWpV%Z-|?8LoCicPD%@~D2V>Yw&B4oii< zEZVz&xv4Xx<294*ZIkUi6C@mX%OuH#=NGM}is()c`zMarMv;9lhos}DJ^h~c+uXYa zq;mI4k;EpJtfVD2hLPA;ukE{4)X-zH?J==+VGa{V%g5Yb{(T=u*WU>3=B?NEoVdEv zboGn>1-5Sx_I4+8z$(ao*|J zX?g?MM7gx)O%=d2H9OI~r6#dsfh*x1b|TJP zM~!M&nAlP4O56=lHZL-*!GBv8C3ZaR%5g$Y{A<1N-j{2d=Tb@bmSnNtTP2g7a z0u|HQCqp~>_vG3aa@5$99dRHL@uj^ANj&OR+_5QoNmViqsbj#lM7Mq^_H3a_!rmX! zd7XnD!fL}h?+QHo3BS-nB~cS`R|^#q)E~{#F`akW6ET8lo*054t-HU4Qb{}x626_n zUaeF_L|SYYI+YpgWDh8QgLw)$Wo&h%l=M0*w%cOc9r60x;`IZf^?!<@+3C@p zM#s0L+;@CAL-k<68BkCLRm0Q{`ETM2?@_@Xsf2kCWBL1(QSrRaadyM4J(9%^OG{&~ zBMoQ2Pepi`2t+bo@IIx9KNf9Uth1fc+OBJ3d~Gl2yx||)^E%1m_!oQa2DUHS?$?EE z&*&UpY#;7=pVI1%M}Kv8Pqf|w4a|?8IFqQm)6#^g*CPHsHl8? z>4F92Wo&UF`iJgS-Cg*1rT(t2dsrDgobt2`n>}p)uzFlkPOtMq83hF;sHmWzeKswk zOAuaPrU=B*bLkXnC0>}6l}Ixy@tV1GDD@To2vTQOCD`UCRM;xuSDw(J?6plz=$dA0*V$8NgbA>J1Y%}A8Dq0&bV1iZK{m-(>rnzi! z;$~+c8;&hi^vH(rMEGu>vmCWoET{D4bDHL?rq5S68k^>`;}SbPTP19AVq*;d&X9M1 z^6u42-n}F++~7;uDk5KsOP|5v4A%FFVUrOEGmP)9XY)*lK$%T<9i!pVH6iGptb53 zbUlkbAvJgFb?uhtb?m^v)keH@0qx(gM5pk$+N;{Bx3oL&_NwAJl zr8@I@y`>fPyr}Ef+Ol;$R-G+NcLIvvUhR3|!fA=~r_`Mmhs2oPYuWm|ZewF_&nvw> zjlEl6&~02S+IY*;vSqOj>T{s{fdO|P@^8mZdvvDb;Dxl<_f6f~y0QAPdV8xwmw#7} zlNZuq!T-^H!zGT@=gW4pWA&Z#Rp)gpf7aQ5;(-<|q{AD$^?p!}{L4c`>Q_eT?GX+= zps}2WiWG&lHCYA4{NQG@%AZyu^yG>Ow&i*|(MXo#a*%u zujpTamEA7tlGqyc`{A!u&pz7ID(+dXe=`FH8E}9t@3Ei+t|`Zs$(rOV_vmeV^?q^#c) z)}g>weLPS?cgbwwhW+q20(U||&phs90zOtt2U8#8Teb8!w%gD%Pi5O_SpIEAg+tjo z0GvK8a*|z=a^(So?Q=Y>j*gBu`8PFg?jL(Pq;qu7fM0(KyXX}3vZUXzxo3{b7UWNG z1p5;lTTS?bIyy@}FQ9V@DCjFrbxvlN<3H=@g0P?cD^e}6M}ai9V;k+^?zGt<#kLurMv3EF_WuhiA+h%N)?A~eY67BBAjz#n|4Py3piDVSx&_nqb zjgFAkAbolJ)3gWHJV%#MXYkzT=weZNAbSMwdydw4^cfo&_6vOSIohDv7s!f&qE~0O zZOCp@jB(70ceL9YvG?G0+Yw59C? z2RP}+Ov}vba;qsBNxGSqvT{h;2}uj8tfnL+{RCcXORc8i$h4a=CF9EKSysS^Q(EZI zhkE!b_!{C8k$ewdL0EWZDw6IM5GNw9eN61Sy7E~_@=vCvw!RJpe2OQ%0OS1MOiO8X znKdkYHd1`XwA7a_UOuP1-WqPMMw0(PUR@QE>=&MW!t((CRD4e0m+=Qzpn^PsZ~geS1(2qC)2W^VgZsIgsk#P6mtmQ zeu0iIILt$a&oZZ)fpzk41$5!V&B*T@Zlplx-@h zoHef)xGFm*0463P{ZC9Yz-iCl2bXBBN7|pUVjZnXxd=_Jf+qh0y;TLBa*6L0$z>+C zH*y$KUt#iU7fzZ}n^#>~Z<f%LaB2xDA6%EQj$aTh) z2;#Wd8pahhftU^B-*|b|{_c|3!BiryH+ZBv$VFHM7Jh_v2hTB2OydP@-3|GLHX zwp(29;Mf*=nD$Q|?;w5hzX;a$w`ia21t54tQ11>3ssxEZ3VUw7U~IkpdLdge%C?kqrwH~qU`i60XX6T;DAVu zC{N&HA_|DccO0}o9V5cZb+o!64tPNXIbOsI@-V*Fyc0yGQJBjy6D~o2Ch|GbWD&5V zc|k=fQVoBgE}s;h)ud|^kz@qHUW$|>3E*PB!@H{y`)dY0VVrAnPt z@{oudL)wQ$nA%LMRLU`YHT;y5`zX?n#RHpZ-L!FhU4sLe3{Xj$(D&)WGlOrKG84Yb zW(o5aAdzJA0Q&LwVJU3iLW`umYa%%XcyrHN*w{%2Yc@v`UBWh{R~b1ZtFV)f6@g9g zHeT9EH&KbrUG($ROZbH@I#IbJwn^R79owW~Ka3`7Z6ogOqDN{Qqv5+Y7yTfsiNYwtfVDh-#~kFc8&NV*$!Qx7G6x1E<@*jo>V zXRoyHq!q);Ysmzw$-R%wBvCSfmnq3azRLsi@mn9z#@UlZEn|yk6lbRA=OB3xwBu|N2>KDUE zDCOljtk_goY^unfJQXQs@`OY$C@dzLgSw3WhUsHkQC>-Q`jqKNTF%oSW=3JN1#o_r zjCpB(>AZ4l-J)6?)JIF_RPed5>`l#rxfQ-dlbp$x!(;{?iN`K7L->Pm)F%* z)>I?ee0NJUH3Bs}+e{V3d09xa5U=i|)ycIyRW8c4b@##MR05-=iv-$1eR^7iOaHD= z`zf9g8X>efWC{MOk7gT|x+zMs*45XREn0wlo`o4^R5Q<7Bzaz7KO!G_QK?@=|*4cRd0a}v!(oiCb%j>hNpGMMUE|wtO za(8(iEo}EoQ0U9}E2X)Ra--u;|bMM!OfIoj9n$D5y*fi$Z{ zE$v^>#%|38`V!T=lhN$>g-(lARLjuwp$Q6fnscS7yt@n4%uaE3o%ec_rbj4?&0)(U z=S037TN-DJtB&iAdn4{v+-Gr$_>B08@l)bw#8-uALQjSM06+d;gkZ-yDe`=OTR)!U z&*SnMfXC!9WZ@2+oJe`nEd)`qwGGqDZJ ze}z2<)!Gk9o!!eWG+kVNwd{&r3Q%K7(t=G+4e0G?cOTPV)~9kx+OEMIa?N=v{F?bV z`$u%AnY|U+IX?Wl*<;(eK2yIh>`%_eECA!eX0|e>rKmskf%wLF)^hF?)+0$h6dZ)VT%-xYFp4_+3) zBp2L?&|5l!Ib%~t5cgm|iDXZP*)^@AuJgp;^vVI}AFM}&eR$V-_N7RYvX1<*j}Wr? zN83*%u?-*We=2CLLiRcS)xdak|7v8E;^FSOsc;c5Mr+*Nz4rd@&MKOUG=C#q)5c-zhKd(A;~Cz9r2MQI>3P<|X<4RhaKoh+7sGfkyp5HijDF;L zq0U64+DJyoVh*liN?mPM2GYMn5R#dS6z0;3E0>ld{kzx_%IK(84oC2NZX9hxaEz~A zKyb9<4*@oG6Rge1%OQ$gM^s(!&4X?DfNw+G7JMO;iG8d?6l=}QEgGL)@~SEO5o>rx zqIH-Rltal@9!~S2XkvM7b$ONP(ZbhEg}DR*X*)%kx#^_P8)RQpGQFg*qzEaxfH2rm zaak}5+rt^USh-Eq;)a2#UA>(zTTt=_(Zj-fMYCap1|`cE!US!~tIMjK1M2rEr2AOp z{$4@$Z(&j9D5Tked&3y@!`-k#aA~8W$bzwxUntbOh7>Z5dWBPhJ`om?e~4O4*@Y;0 zH&#S4L0&z43m@8pM@BNEAKnYOxy9Dx?3|qC`B~QVcymrp?n^M|SaYgM=b(UIAwS8S zWi}It=$!oY@iFn~D0Uz2h-6}t|H(rnrsgCspHO5iE=eycc`3i9W&zUui_bEfn@FM2 zR#R36cyPl%#a>ZNSj4}1N{pY02Db*U5n9YC=8@(Mb7oEkGX4iY62$~i``e=#f1ECp z4D)Tf#7R5ng0h!&HUI9Z#xpXQ*x}DdtiEF}?>fP*3~jqY{#@-BxBdZx9qh$a*XdT~ z6dTD|CsQrE!y_F7Fr(=4+HdGl!ygLk*Y?Hs>$}eN`)&KNZ(P5a4GVL6?r;1FQjFl= z0{{&G+=Q&}AnD3}Hmvy&or)XY8>6IV;Wh7#(c`j1bfAb0XOH8w8=n5uacnQ7vg#jw<0cy$&N&~O*Hnw4&yR6O1^A|=zDg!~4ErWBDBBpw2jnm7XK zDKTHboV3!E{-`x{M2b1d6pH+5AsrCCCS<@X$zg;XKg-}1<(9>uHQWv0X*-J#xF$WcE&Fm(vkLu2jIZ;uRHxfY7r!dp23&e zL85-d^1m~|)LA_2?~IW;hbR1<32WcP_%J9GEJ}X|i1YXjNTYtnI{1s)qr`X=Iw z06J6`-ANf$^PpKs^~(cE+Dq7X2ct1wejrD3g>Q7^Rh+hiiJ*SPvv)AVseb(S4km@V zh7axl?qA1DH;DFcIGPND-|>WQhGlMuCUt9eGM9Xtos6b^KO^bZIGIZn?oTP#w130U zSozbm=Tf zp;N-{_3vcz&wOiPui)YfAnyC{stZhj%>OVr1%6+_`X6T7@W&UJJbCuvHdC?j%Kz%1?E_~07RI53Hm~2xIB464OzQ!M zh}c}?h+Wwk*~;{UDc`IBn{=zE-M2@r?DcihF}`agV8Fh1ey&q_eqN*8V)twXYxJ8H zka(+YZr;G=wt0C2n=ZgS>l8T}@>4Bsl}&RTR8v*ie0KApZKD222xsW;m-U_Qmu?fq z_@-8-#XBdQlH*kRUr2u!lylh?MnsTZlHxoz_kPh|VUZF+ap5T5FgyroB z__m!l>@^OC4L#YZ+a}rk+)IZmj&3@+>14&xqobq01-$QSn6f%1bGXWJx{{dO#-}9lTz8{)<;;;wg?h$e?p33|l#QOD1OfER* zk6mJ-L;cAb%_bdPE!*Vu%5{j(pB(MHQ(;;8{1Mv=N5GdeFwlM(26gCF=2>bc-gp&e zqv`~Ww_^;4knIw;>qp&k5#*h9Ai(nak_E#n(?XAP$2gr4J2$q%O1uje`SL8P)fQ@ z;*_+0>7YQMEsm&Ei(SlK!m57ec_ZZBCY-u?^dV^OTA{h$pX&SpM%b2qhV_AA=h?cC zFAFR<(a+@i?)+}KPm}Mm1?*dAolL$SCthR5DW@OjRFB4y?7L^dH_9%-)@zI=y!?2- z3Wh`9V&|*u#dDp$?5eYE8ylIPn&a%c6RW>;EKSX)@F&+`x=g}9Tx0w_sgpCyvKEy$ zdy4|a&mC_*-r0Vg(MKHbKECJpAIEPUUvZ-D#Ma{6_`Ow&tq{t2MyujmXlZbu% zyUw4lPW!I0%`u>d+~*czN+DADy!4&>-<99B%S0mImEYOF>#=;7%O?HQ=-Fezi3-t6 zlmUON5XDk2;L{3G0ksI5l_D0Xctj~miQIXz(_>2+(^1Y?*iC2c1Ff?C7rJN|yqjQh zTY0K8Yo+m&Ef8-}ib6|XI0bH~H&1q|JCNV2bbkQvjwJ3!FBp_|eV6wJt8PF1eNore zU;(ci8AsqdJGq&R)=lIO`M1g&H8rp!E-#ZbdD+|jMBaWLU6LkmaPBopEp~;i^At?? z!;PXsna}qCYZu4cJy;*S+9=Y%vfgeKjSc>app__?{I9;ZOS&ZAoV0rp7vHs$s~6cl z*`*j3qH@W*KkR4U_#wX*F9;MxP)l)hplEI=-Mh{%S|i!t76nU(1oHQ@@BZ+9cw5Bz zlX?qK5M^%<66w1`M~J>>n#YPl+eeE;-JxSeUrQg794$VK4|g8^^6)Q*BafsVdH&la z-|jwAe}wsV`?nu|D>)iu3%@C9IGG=U;<%LB-ZWs3=4iQyfcrz6p`C@USFBkbgu2^O4RfdB=m=~zeliI+&F;= z(H#kgojs(9Ax&?A($Yv+Rlw7?Sfq;6Mz)>PFFet7ZhzZP@F0abFaYY8<^okGD!5un zm0$1o)CFiGN#g!BC-jzOKQ^5OKmLh6Nq@Ax41DxdpJyUHHv(U)PBGxU7v5}qZ|?Ud6|Uf@u#gPS zbh7Mp(;3*bbo6sSPsz$wy1OqBkZvX(nek#<9lp6&ct^~|z!^sU zIaWozhfl@(vdP*u7s63Zc?kv9^4i*(S|s^9BF;>t+61}88JpBpU1Ob9QCf>Mo3Zk` zOckg80P!3BaC7pq%=+4@VVOv?1;D{x0Jg}c^qH^|>J^!gdMmzmTC8gL(1i;5b|KE! z1MDNdJoz@%QeIO_ysqGl+D=+fY8CRN9|@(vv$q3*Uzs?0TzTD)H@^}bAxOCkalR!m zQ)XA!*De7+G2{_LGjMJD6R z0FA5zR(>u#zYv~Z64ow8hOc;%GmA|{Qzn}Vi}EIym?lgrM6$01(!oZqJBUmvn0U>g zWs}PnyIS`R0hvWw?t2(sd7jkGt^)`85%?xdfrcODYbYwOE3YrEot0Hti)6>#$bC;B zaIeoI>;x%B((fRPxYj|mPa)F?p4Is^)pL;adjaW4*x@03ZCi%AL3YNCNqZKVMsj54 zmzO?WUc6`~sY`lJfJjBE^E@dTrFG?nrS%mi6Ea-5r~TQJOR|ehurEfki*Cf4OFYm$ ztyf=$#0Ic239QR6C@4hotH_kfc}BthFZmUO2wWo4eniaToQ?1rKM>T{(E}V0_ze&O zzUT?2p?UF(W02%`q1cUkixo9wk4L_L0Hp%&2?KA!CkazXMLz!(a(MRLhA&=F6@LmD zFqtfx4{n6Ngsh}wFp>y)Ig6^Q2$zryQ9|HD5(=a#PQ(QY@$AcDUrL01FNk%?VrtOE zV+AW}F_L&toWEEvp-f3h&AeyBnosy^Dgw&2UbyrZv0Coq4JC=&BO}k6gnK{p(8#4S zsFQQiAfpdX|4AH>ub_anoVij-xlFEp6hE)1D40<0$DcjD1Zgxpy_0Ink}``+idIG^ z#h@S^HvJ;j;k`eJJ)#U0?;5}{cvP30`(ZDUl*(60tG_T zktB!$v0Z{B!Q{CZ`LaMTKSxCmk3oT^DF$S+cFAf0z6<10tjdPO`&y%4W#^))0O|CqysK1%8 zSfzcD;PFio@(7>JNS%xme-^7`!v|Sy7=a6a7VEu6@@!S7;)MXvFbV*M2-s-&Byt1- z_OOt{3)mPTV>nWb6Ea)^mL_Bo0m~5b+ya&fDO_X?2w3(#0!AhzJomC#N{#1)Y;-O( zLGUB;LMF|F!n~v?CkmL0%4aRAg*jcCFCb1un#tI5L9EsmP=aBYOreF4O{UOP9I`zl z02iI}@EbN|P|T*f#7sZ^LHW(fD?-{Coct<$9v#A0q<)NJYv|*HbV;8Sq`-{4Eksf) ztCt{MDfF06x@P=LfFpvFh@@qZnb=HnW#vHU0*G8l9EGH_1x!guSwS$BTEj9;#f9ma z*+^1JLEr-fG0nx)Rk1p79(-0o@hVb;OwC~Yp3jfMpc;JOqBwB;0wF5}Nfz=sl3HOH z)lm@FanCSuFjOb2-)1k260TwxZd<{8q%Ax<&e+y zrWxs8Ay_qGvRBUfK!S9OIE@+yBJl?G!FN=b<)zJUFy=xQ3Qt z=YvCwV~=*1Ft$E%OArXqKlrh=dyrV^9{1Sl9UNQxgt7HcfD^{nr|z-!?;&IBGXWEf zt^N0ptv+FF9pJ~-=fc?ff)o+P)>p#Va+0xS43ZtBV%Kq*FuJ}Gq~H)Q1;)d;>Z&+^ zI>I%K)*Z!NT^U;H7*_l$)+>CDQ{V|(vL>r2Z)!I3KY{zZG7SDFg~kbsQ$~J4=EP!f zX!)Nar3_x*^RvJI4;&IY=nQ^pTSg#GggkG_St0YBP{VmQCsUF70zVWlx;P0#@e(%_ zGs#fA>`qo*g?A#TE^(0k3JI=(c`nKey5$~J|K&NPzfIw% zE{ipc_6~)wnWbvgpL~}@FUTsbFC`%ty1%fwN2@W)?h1{Wk&b)@+zo?O4PoW{ajJ(X zn$tZbG|j098isZa*hO^}Q!2~GSC%2Qh`wj>p8^pRI@k`8wRwuvgXXo8bfoeWUZ;^L z5we#;S_ve%79vm#3#XC7QZJexMM+2{70P6%o5ouq^sER4%4nSMiRxE}OUIe-#H zHyLSsY0xmZdDjiBQw-LbZ_1feVydjJ2mh4T4-Z@uYiJcurB+51o2rINa1*t;?Ag(dviwdR`qQEe|=~K9-M+i+%McODD=6QG1d1zhqU^Vx&J@(%2 z0xDrF=;BP<3v6r} zjXMLzMA61{{KfC$0C6VaO|yqO3Lp4gY^1|-aN-SdC_SEM03Df&=iLxTP!sT)8)EI~ zd;(44H_1v^Twe-Nc9Zy~XeZNLR9%=L3X@9mCV`$&KyzDhO(8yWL#&oPA^@3DP!aaJ zDGsEHaqu5vjrSCqi*p6d1)ug*`!l+!0s#@z@WMaD;UzPMa*^ORW!9FL)|VsIV-M2w zxIohr_tKQf)AXc3(^EW67B@|$98J2JLz!D&n^RH=OTM8DkGUz<$CdwYgh*x!?4QH4 zUsr(}Z;G`uD+ztDB_IX@?|G!1mDLa`T}6|L8)@eADe6qx{bz+jvjeSA*#wzQHEDwoO z)IUw+4aQlqi62E^yO>fm1F4?j<#0&Ic*J5HoGl3`$P_^QmcXzgmWIJ)Rk~D=%25c; zXWWB#Ms|7;Qa%3w($E(;q?5ROzlH}eHV$IczIZRjNd=Qja*^t#2T+DBBPc_&n;t;6 zd=Q!Xm3xt8r9+cneE?O+3XZyIB-`(`2k@;N#HU|1IMq)B(*#*)xmm*RbzyqoW^M>_ zN0QaT9RCJwYR{4AyX8+xexu|k`=&Xit)4yVlN*lw)ozi9y5(h(-#q2=EfIIya#|F} z+Qzpimsd&JtGv9sL!Snft@#^iX!~NX1KsirZ$Pl%Oy{+Z^t%)KFWIv1wr6=?P95dm zgAsZ__bEqyYagKJZ^HEPrZ7!4W3ySRZNOwcnq_s_i4nwvb922rp@rv1*rfF7a0x^{ zGQ7pJLr{<=mp?-cx3Irgt|!wMgy;%3XJpt2kf5~O2MNr1M_8)tajvz>ZA;U=J4ick z{Nb{YwiWOD$xE&Fdr#=TL2=Z*kJA;N+JrWt98vPxg?Db%R&RnY*QzaOF$M>IB2lVW zZWh?`0Z&oz7Q8%B8uV}nP!5}v`zQxRc&m$GSG(af!-qUYu+7daLYhu|I#H??ZG%Ld zUOh&IM^=wn+HFgc)%c35L_N$5-8tn%F_eJ|vMPcd?J(7?2 zTZhN=X6UKun7!et*2(NMx=ui#iNYD)nAexq&*axdv+=Pw{f4K3hUdEw7D&B?6EDbh z9xdR693IPQ53@#q_K?E4CF|hWJ8?^{8{(EMj&0@Kc&={botd&+685aGOxaYi=7fdB zE9bFeWAF1>j*SJM<@i{(G8S7WFl<9~3^*+zQ4EQk)AGq!a9ToY)V6a@2yWz^fakzr zSs8nu2XkyJSB8BucF?&<;wf364%~6OJN=hIF`lHcn^r*MDr4_;X#%y8oKLfbeIteh zZ}aWYbM5e7b7C{!logd@@tTWr72Ol#_IPH*$CA*=dpw?i4?LbTVf4lV5raf=tRfxF zc|3tGJs3^JRgzI5%Uuw z5>x891ibcN8IdBAvg3ETb$f%>h$_Hyy%OT|i-u39x(U5$i%dK^}!zg(-GKjapLa=b(nO9fMgUBKT2qLOmO593kIP<^Egf%5ulEG z;vS^ZC*8iPr+BB6(n7p{xa;O(eFGReCY8@evYDh1e}G0a%iTCv4(8<9_JS2(fa zS2LuZoa}-eBwOIFMN-TAn5A`)#_!8Ci#Xr%NZHeFFgO$Ypr3gO`n zEaMNXhNsLfU6znA%L-P1a0SERtVxNZvtYPbXD*sOySx@2_0~D%_2fV?N@*G_H1obf zN&jmh)61j~C^acL>0BZ3h~+k9XIa6uKd*er%$m~LGNH0caQcS7Du55q$>G3@3MN@| zaz+#I^vt~Uj43%Ne8nJ4<{*rD`aLj`*ZAYT0XA}8oA|Pap5~pv9p{y5Ore*(C7i=eMS<&aqD)~d+vuvM5UP3SzH0RL!D_frZK%GmAU_{zS{Y)V62^{j zoKEt-aBjIxIJex+pIZ+5JMNMxLdR?ZT-^R~JRG9{OcRQW$jJzY0KJ)q)_gGZlrtZ7 zj^ekFW6p6SIs{_F$qDDJJh6i(fWtZmPdE#~>g0$syzcN(OqY4R7{B(dK2#Dy9vklyJRNDT!>-f`l_pT#z>w(xUpOc&8TJ@}FXvd47 z_q^VN&aej}8zrq;NMhMgc3l|zco^jMi#lHHwRBWO+01((e)`{YqB;XQtPt4SulBb! z(vAe1m4@`s=0!o+F_I*6|NLX{pKgUw(LY{MW{r+0Gv_Xi;lko$J@E6{Obq3T|DFv| zjS~DtHWM%L;!YYon%Z2Z@b~f-bB66uDGn=Bgp8Mo;lT0Y)m)rCxjbiaC^E>!?$dND zxEykN4l;a%400Zh&meE1D9IPUR;Ea#6u75M5ekuqr^&+)Gv&}{pb(cUST8kSuUdm2 zDOaexwEPK*oXLaxnf3uGwWC5&esz=*eG$yfHhb@$9bQ82H2l+J*u?Cj$=Uhj?g2Qh zkI?Po5;gig+%+l53jU1MNxL^rRn{h8^K_LHc1UdVK7|5%|5wr9{EG5Rd3I)A#&Uk; z)(*qgf?AEJj{8PaK{Q0#j51KFn0?+g+_uj&iLhIa!6Sez^++&1NPE(IT_At8U^rnuQBjDzy+6y>PWsg?mbBJ&on0LI~jiy@b2q z0~8WY1xP}nm3YHSWe7EwgAORE;hI^+w?79&x2l#in)_GdD=U=-2*X}zQ)=<)_m!eT zAV#@R=rV40gtqHI%Yf?)mUG@cYh|@Hv$PiC!z7?|kW=^4dLEtx4J|?~wKZ_@0Vp4Y z8|*U#b+7^S4|42Y3OWbGb1l!zM3SZ4D}L9eG|<5>5HNjUaXuWa(}GqpCh zbUnm0LQ*hoT!}BOQU*+2MRZQymjHTY5|X?Qni4p{Wvijda5_H>oL0VT_#Wfg0seG> z(QwZ3Zx8U)&3f_AjSKA z5?4kfMFnAs_sLa2J0~JRyog94Vv!3XG$ZLIp^+nzKiGO!D-EU(2(m3CLX0>LR2{&m z1<;U*rkwl|6By%=bgNKi1PblMpG>O_p}O$NX|;xM&31vRVpC2QoP{bf?smV^|D^U(bh zI?h++1^XWeSwxAS;x&)fn&tn7)(N|Yxc}gVYn8Fe{Q^T5@N;WqANF~yHauMVIY|fJ zB%{Wd4Hn&8{v3bstW>`g>ED zDyr4fQVItY)fz?{}P{KL6M>*P|f zE^_fB$;cRmueB)s$AraD+znHUmrswMVI5-)DXFP1t+K*Z7`02lGEXkekb*IiTe(KU ztuI_02^u|&YZp#;&Z0fgLf@9-S+vZ*yy z)?Cm9VY508NwWmbz@(IeA6c)2(9-dc#E(H^9*$kF43JF_fM7i36Cf=!39P%nl2!2Z zdZmcg7m!r7uZa&CHE;Z5t6L81~8GMO5K)8$lT6Q`|i%>T0ZfN2v;`;blX;V91~px-xtrh11_qhBWxrl4_Rl zCMbwltLLYH1lMfL#TgPFaf(7R%vF-7g&?YD1oVsVLC=Y>?-CvjUkLOfet>D7lNW_#tte4c46mF^H*n+Xm&ZNXbhA8OsDRnud@eNO{C^ z-1?3(Jm+PCk=VwN?p0FP;vs!lLDo*?3W!&7yK;vk@0Ab=#or-eYKUtb6PiR0SIghvITJ<>48;BoH`WT+Dz*Y^X6 z3FKlwIUP&_(TALp+yMlWxjPxDKBQWd_fKTt_<7YonQYj6x04AJ)Nd0p|D!1$1fUk4Zd)Z1_T|F+lGvN5IBhUtyJn% zUZ2A&w-5Y+?+5Ld*!rGQQwXt!oC3lLD8!iiemz8Bha;&|pcX_KLJtQ;c{mIs@54lt zIiyE~&i$6cUqk0M=#KKUHtcF(_Y5Y)q+${dq&+T>KB#b#_%`(kk_w_G1P75czmtP= zw4fTPPVtmZgiSsPss{y20+EW`Uh4<)T#U4*Nem_+DiJV|SS~ocaAuI>hO_q*FsUFb z8`>g0C(w|H{LT|e;d(=;I{0TYZNiQ>T`PuagD+tF;xuk!=24bRWeped@+q47)T;uz)L2{jMoB{$Kxu9*nO-TCtU~43Q z@DqsFO)55_H)0r4+@fNwVOF@CvaXyQQ}KovNNX4*AmeR#vpii>S!SI@a?2`fqbp!h zj(Ny}WOsO|^x{cK@h5?U#y@5y*%oB@izK+rf`f%ENOPAjRg?`8B9pCC)AOffqksV_ z_QmR&>hgGNY1K2OOX}ces#&wj%gap23(>KU6C#Zip7=sq0K}kl{mzbVZwH0l)$>AU z=vHuNj(lEoDa&x?2x6+&w5Dk^tN5**V9d_R%g;vY*TEQ>uJVDsArVF~>l_NPuM)X%)~|>hC+sfNsyf9_P6YSX?8-UFR|lrKjAAQ{ z;JobVNTTNrcPfK;0kQWKW=~#8?ni+=mY=`Ni03Nn6Tqj0XPC`!YQe~3NIE11JY?kePQ!D7a`V6`DU4*rtAiR6Dbk~bbnL-|xN3wVd|pkOoz7mSJ#9KOu_ zg5vDgIO1ULigY2AMDb9@Xk2Af1vJF)n8M6Wx#^R$@*%=j89SJiF@@ZJri>d*0Gk|1 zi02c`O#nddB~lLK6Ug-*lc#`nU1@SbWMyV>5DBgXa5j(xiJ7B=L2M%S3RDGqCGm6x zz=4`Tm4E1P9)2iYDT7HIUF|`tEe)`RnweKuQZfS>w*N1|DLx+$2BPHWz~x3mqh1!( zXOfeU>Yew;ufVFZ3nF~TL4;3)SeSqCu`pq~U9fq@>G+ugDqU=kEB1!J(oV96#8fUu z%DsGgMNU@Tutblo*4g|Kb}13Xt!=`B)^Oncn}Q zU3v~&#@7p%s`~X2g0c#-r-IRS1$Q0O0fFStd5C~72*e{(@=D$yBOm8~u97!=2{rJJ zP?CX^UlS6*WK#jrtZ=vz`8i#!a>b2H57PX#pCgg)A)#q}Ah-1JV2<)zA;)!YRep?y z)n2eCX->Gfk7u0Bkf~3Sz%h=?rv#F}r+0jz`me!L4Ehezwzr>GiKwmEc~BV?^P$VX zOO73N3R4XFaqdz_26KD*hNC$$?YeQz_mF znUY=nHeL4#S2pP%?y_LeLE0Y93=O>FnZutvmF@v3GPR|`)m6CnoQFXTr%Z**2ZbYg zFuh{-Oqx#N14m7{U}!$}>fg9)KBH28CSU*u^M6P^`|;|wH1)&&aQt-091Y5`%TFtV zv#1;z2{6G4$+;ko}n zkF~N6JcG|zt7@KUzpRS%xX+k&$~at!L;kj;AgJG0p%*-M?6ovt_^0is^Rm7`_m6Ty+#h!p@Gi;_ortle4E|h zO86Y<{#3zdZucLT)V-cQo8b=dMhV=_^*0FWxP=GiN;UY0-_#Pt?c}zT`btR?+)B{u z&E8J#zWJNlixy{3FU-z-dEkb+d*FtKV!J)G+S}yVxyN$7cDY{HuGg>fmUYR?r620M zOFuNUK2Dz*2h0B*kz5`WgsB?UpUwNl5HRlJzp}(b@~BHpP3R7yAA=)W-0 zkS}RKX#og@vytrE;Tys&(TOo*Vn)Ygc856qwG`ZNm3q*BjVIz_0ta4p9`uJ_K)3Ut z(X42o1f3?YdRERVPU{~I>W)hhb_Wkj9NcaL`E3?9D1&jFQgV`v$O%Xg?B2Kyz*a%| zhAo^RLf&0ub3%?SO125x?Cr2g34-;(@R5s%^s=%X=)L-+67m=6KgRF;Xw*f)29BFj z^5Kk4{j{3eGTtEO1ADo#IfYZKi(re4^gHn>05-Uek`dt9WRB;7_5Z-~vqoJ5Z1IMa z>ESTv=X02c0K?X9u*TVB1s0I>4oT-$W`UA@_h5wsX;50g>Mkw$7uDj!ZO=aCw`Urd zWX~GaW8ioiSrvW%1Kc8KusGWvlEJQ#Kgtc0Zyzi+(gVVt2%I8tObz*vGisIX=Ztg% z6e4^5X)Kb#7Lo^tRq7A{Kg{pk#77AD zw*a2VgL7JlC@kuD}13N^nE{-%Q zY!3OlkQnxdaJv72Q>73G4Y$3MKG!OIeiT>@%MnQAS?)++CF}}?HADOp;84KUFj2TX zfV4*n8$+%RFa*LraL5Wp4xV%9VO!|#S$B{7z&4Rf=c~KSRabq*T^(!_k>Xdf6Xlx3 z@k81#RF{mza6}I5^#606uw!)B>6Ql{*f|cV^9J8U*h9LScoT4H;RIbWcCCO#<@{-V zzyCr}S6CHy4BzuMF)ty*9oJam1E$G1;{4o_KZQ{T`$wXb1>MC}7mR_*0jQ3@FF=fF zsE)$Ui$=9CoT%eBZww>`h;YvZqkn@~P=P#ntxe+uk9u8t^DIWF>SD0jXA~CkYwg5FJ;8UT+XE-8V1n_{I1LL#}cBD_SfQ zE_Y1we7W?BvAgt2;EOeMe z6He|SLx|A8Xnp9=1`ZL)uzRWr=c<_-F<6ZrPV%{=k&p5(DJV(LM}E;z?jCvcjv3qr z#0uL0?$E$J+kkjMpoR(C023bfxynBwfz-!^KysSDDiM(9XOR<@F5O?61R%VNM>8B> zD>A4UT?&m)|LmcWjS#ZH;FHQ_8GT0)z7P$wg2W$6M+*>e()nTh$jJ=#u(5+`{y2f8 zG=ZdamyBmtl+T)1u%N!Fs@-m;136XRic}e(`2b>9kSAzVAz3s|{4Fh*&Y^M03o=c@ zc%eG@zl`LO6N~>}ci$NvRken@XEMPdZBk|?Ws)$Ho)D5rgAEdxbOM2dBoq;qKthp{ zm;^`=9iy;7M4G}vK}1kIDvDUZvTaAtu`Bl8Q4s;bdXA#pca=ReLGE*ZoPXEHm&smx zm2Z{3%D27(mf=_g38s{}4%!!({F!DRTN`5Qse8TKUeqA+<;+p0h{rZH%o~TfQJ(sm zB%#fM(DX@0YWUUTEQO6?C>gL%JY))^9rCS;8A=5#WY7gh)BrZc>*jTJLnNrFFQuY! zeBG2;oeLK%O;JWpqpscV^MjzHN53d@B+|=wyJJG8i?rnzLC5b*P%PcI+nsH^*hpK- z9chM3=$YN_9K#HnbGtj+&_bKp&rEtHevGq>^o`>KV!gIjBa>{atDjIKtgWWkr6`Uz zUtn`t`8qDQlwRPj>%*(r{1qQr!q#e5H@gkXh9Oa@trt4i2$Qap#*e>137LmK>l<;Y z7~TNWu2{k#v^ck8 zV+F4L8Yd~{2);{H%oh0@DSxBbRuukKqVbFo$83x+XE&A@F7wB}4zTN>A1p*5ZLusG z&YP0;%2;_yY@FDNdMyd^ErQu5*1;aZs8yAaBqrgqM+1FCaye)NloGk1uFC| z5nfhNQPspKu%o*%>qcQOXITLgs^1nGmjP;R%O-8{b_*;pJzBTu`mbm#nnX=Fjc zfdz#VgCn;!Dl;>!=12bes?Ehrajj~(tPm(V*m7C1ZFzL4ayUlV<~{ON;*8TdBhI6% zj~9-6F|TP>r|M=G*H=$P zcd`&R^cS|wnv4BOUpK73C}CiB^2Sc9R;=K5ikXQ;Evk024u$LsYs@t9Xues>1Ay%* z=Zq9^J=qXQO>-Bl=%B}LOtj&?rq(k(-RRs&CoED2WrOL-d%u(Rxb0>(w83) zX5URO>rk!6J zAryQOd5Ca6LU**Qg9d>aB8#eGMLp&#iapvN=S6vApO8gOWd~m9>XzlzRZURho`RGI zFV+{%d0*zIWjjO0g%?+(41!z>C$^z%98{PgP&-8YC0tfmz%dbIR96o#2Omr)gFhPW z|7LX9l3q|)A0RO|cHRilX6ZGiP)UA~Ui_pp$?y_=rbA6khuJQD9LctgdQFT4IG-r1 zYo6AiWWG)}JmpN!w}3;!ZXM@!UbX}ua1^*CY|OyVgoqdZ77OU*@0Fal=@(Bqv#inY z3JOzawJccBIW$4@8ESc6GFLw&DD2g`^C4czt#CQ>q#( z%9^T5(Ssj}Wb>D_b#hM?1s_va#q|8q13y9J>e>lPB;;mT#`9X?vJuWFERdgJbdE2p z7y~}7?F;dmHP9^Ba9e=0zmobT?dVifyk7}6e*9t4ezi4CYgtkjZ#J{W9{r6-?5|DK zw<32Ig;y{7h=`K!t5*yxe(AZeC}=zT_e#!IMr6OP$u%8EF#YSw>6ymN6LeIUnlbYv zLeA=-@E;`+nH8Bz4CHgzcoBo7g1OzY{mg=T1AY&0u&&quw#0dWq zjcJ2u534F`@bdQdZf@2-O`!|A)l^&nZRl1qIOp)Wh0%`^V#EAl72H~O)2tS_cnTLOT@m!o#i|oqW8V%p!-gvhHkNdz&PStvL;N@%k0td;4cb6<337+iGyi_W<4&=kC3`^(`< zavHsCshVU+r|XxhPD2L0f2o>Zm?^j*9WxitMu&!F`^&cH_{+v;+t655T{~5=52jH) zs!fI9jy#P-=dpP8ee7DLqO7?Tx#Y7l%Ql4(2IN2%)~+;10b>( zC*MtMBqKH`NGYMW^{B3tp)8ADvGCN@HkRi#3@*MJ*30`QEMACjS8)xahkI0KxRm1I zQ~Pil)vMZ^BlasM zD3|T0Dv=P*Vg2Y!QN>d9DiPHpT+nZ>5$vVZG4yD!ngSc-;mg#aFl14=Oic+H2h!Md zk~f}qEK`RV>gkSUYC=YXe=zX_A^2j|yyp3-$R5le97WDANs9-g7wlS}@XMqwolUkOmu>)s`c+OUuzg!(; zXruMZRl9c%lZ@z4%#hY$Ue6*f6;!5GR99Ck5%cw@V$>jXp{$UqL@W}6DXqd?;Z`Er z{ZG(*?qE;7ee7MK649w=;jX|DrAr86#4l#76?B}pPZG^EJqpm}p-WkOzFCayWegGC z4#=uViCE?@2K>0sERjo zl`dk)=mmw>ifrT8pn1V_3T#ucl3yn?Un?_*l;b){!g?75iF63mu$^hdCPp)QQe=K3 z3q@-~fCjhnkc*|Ku29pB4k-3ssU{@eEM(ARnUh-<%xkTy7k6jdSa;csj$7!Zi0R#d zlGuhBb}V;_LfOu^o|at(MyftUz%AvC^PCKVoY=oaO=1|s^ejrAXOs*! zzG$HJFIXxKFVp>3sR@Qx=_^;MaRXmtRqj>d;Q3;~d9m4Vis1!%F-XDoWTb_@jbd1T zVX(X_*qkHY2fzBf;oc7=Z68TOFm}M%K*6-+O>|5KVf>sB<2UpN1PM!XQD9B7 z*23OUbR_Iia8^7<`OlKrUwp(meq($@HuUuGiVnF_9cYaI4{g6%jhhC>BQG7&66QZ- z@n9{AFeUac{E7M3Kh&GqXpL0B43e@EnG-OeVYiDlj@T&iufYcH!quwP3x1=Xfqw*> z1Pj6$Z0w&g(RX9DUA46^;Wq$@1+On0Y)H12^7%C!yh#2rF`YIGIFYP5*c*H^q2N05 zcW_GtmZO)wVudsm@NWUQFAk>^E7+H2VyM|{1ZGp{i0i%zF z$t*2JvIMW_z3^grE6AOh!cG?-hY%+j=Hou9lJzy5j~#tXt!9U(X$`fDAgc+D7R3gh zpb%QsgD^I%BL%gLAa;!B6YnVAB=h=G14CoLHq^(6+=|4M`Ge$X&Qc+$$9`j2F^*J~ zBKv6#^^3bD9hNE)1$z({#SR`~-zV;v=twXT12!W{Q7v^7wa_@$NH2WeneMfMjmU?w zI9YL0Enq2jcg@rfThnkM1LG?%~!K5AyQK@p;-xIj7KaksRcz! zJeZGc==*x#%et7U)5T2sTdfC8;VsseD!z7P+y4|jmAz)0uDpE5pxR6H{NOza`N3cqt3`eqf3&xpGrw6Pzd$#QS#9*^ zRchw&+2R#i0a8ItMV0V)JV$1Us&FT9U`DcW?vH}8lYJ>|Uae+D&S!CZ^hQixK-aET zlgbzRQq(mu$w^zgh~^tq>|7Mn0b1p9E(aB?iE&2ms80I*YPHnRMYFF}a|d?I-djvB zzE({zE-`NY)RpaBDhiRGPH3;7I(3+0T_)ZHerWdPY@TDJ28JeH!D24+g~16DvtY~@ zq&Tm?tNFah{U%i^Lzq!03=W#IM$NEX%}Qmx3q`A;*BI#xgR97xwSul)qh=ZieQ1qZ zGG~>fY--~aCQ1rkm3_6J!q(1t;4ieacKS>}<@+PXtvN?TG(R&31-3dV>}%!jsK8i< z5!mZgd)W1$4l5M)W$yK~^E#Y2H_%1N`2WU-9$&PRTGUj8|kY?*C69IBRyesl|*dUr!04o zl6?!rfz>R-t@P+x)#ciWve}0DHu<|t{_YmP&f973^*9*pp>@}*PS>4$YQ$|l-k#NO zj=Na1Y}u^ZMw{;zD?!9wF5N-WDi-0~5Opt0gj1PbP5XW4Xh>z~KKjM=YDxV4=Xi-$ zc0NG!*MXo1S<_nStaWO3=);)Cm6U_*LWMS*=SnmdK0+T}rxti0mF$Cfp)bg;fl$edc+a+j5S@CtUq^FNWp78lifV3R zhVA7?W_^vGTCc{0z3!`P+#57>Bxo2nbsYVW(EQ~2i*_1@Wi@`1lv z{;weMLlFv>+XX8Ywztlk-P_mRfiDESGxJBN0b~NhKgKqI>1D!Wf@1r`pG{N41T{|;x^T0!{B9J?`CmTtwM+vvC&1NHsG^ZcY_+6Z445Izu4@GxD`<7 zvIdD;m%s^kh>5;-1Gq#3=pQ$z@h}NsyHOn;IS`5ERx>^nf76LKs4=C=pfDEBE>VVI zZh~rB-^>N=v*ybwPq9Vdwf_T<jO3 zJy#|+`p1o`!)0gjP*0W?U$O9#*TpvijyPsIg3Un2lz2K}v+5cMpS0`}GT%XaHmiBY z#2~uQ=E^fB1<}uJuEY#nH?d)3#Z43VL#xsM?R~v{oo#dS6f>@z*t^t}AnLNaT#i)! zOrT>ucix=2eT(MJgb(RFB@?$!^xJS(YP1FSPOR#3^OBhvM3W<2Y1XJLVbKENV&!#b z2hk}Jt|390gM;V>yDQz0OXDdxP($cQstz;c({8Hfhr0ul(TTCHSYvJ>eUakGSrkOK z#k#WKI+HrLs%mfvi%QG3t1fsXNgYbB-iq9Y(L1)PgAK#!8(Y(mb5+il z7i?(qk!QUghGl5LD3~-+?4yIu(bi^V+BrDX%(zV*iuaA-K07aD#bOP zZv`+{3ELtW)pW;AYWj#8K?NV3zFA|8NF=8_TkYTCK*Mqz{qrVuNZ5E06=&>G6X?*J zv0G`N4ck;ZU30VQh;2k+Y&Xd!sN5!5cXcHlpW$-Vm?yDYLR^YZ7QZo5{N>1xC-efD zJ=FQ8`QPdvXWk?wcREemhBm*Lm71S_iM($tRN`8Ke4BMRTV2$xuiY~l8C+Zz=40>M zrp6V_=H&-|8=-R$iG3P4WXxk0TDU;hrJ~_;=F{J{so5}m;@Yk{42x*#b~V%6E~~l- zR%O^Ep@eqGJa7i+6xEP>M?MsyyF`L~e}Zl#VC?83C1eRMTe0NeUTi4>#SN;t7cIcr zYnd1bw&lA08}wdCo;-deTuF~_SL3t1D3a|mt`Zl@u~!QcL`RFc4b4|{4b8g+yv&uf ztopDVG}{tW;%8U_H0|A*r*P6Y!xJ=%HkTU z#+NlHSvN6~*@u`i)qb;xk>4+db(^SEVB?#&i?6_Zi~Oc`h_1EX%D*XneDTSD@J?EI zD|o@T{p}UnFJl+&x>Zdt+8xBThjA2%y&ZqzR-#`+H-P0QOOm#H67Hlg->SyDVYEl8 z9hcWO_m!15DGB%Rf&w<%@DGU(xa=8Yi?Zf^3CR*=u4a&V=jHA}B`SV&C z<95FscHmMy$Obmp_YaBR+=nUIsSX}-P*(mCE|Q?wA7dl@>?I^d6OGxmpc^c8-mAG! z(C?An`&1B^N1T89w5U|bKiNp+WAj;&XXw8~M`K=yc|HhyUN#N*CZW(5B#p!2)rbuc zjPsXe5VYT3#h+~R4pGzBM8CLS7meT6jfsJ7zD-SwenXJw%QNdu`togRrtz&Hy2j~B z^uCSvZ2Z3?ek0x$qkw&!*g?G~d*gkPf650UfBjy6Rma?(RZZ|b)Q7VlcOn%1k$*Z7 ze5lxuL8g8@))Ru$@hNYCM8*6WN(AdQ>I+daW3d)7-fP@f^!i_ojYNb^axtSnP%(tRYVsSf`^WRjYu;`ZPq;)+=&=0{zmgK-TxZ6QCip5%S|i)fk=zxHpMLh7knoa6mX zGzX^>SC`_ctF3!cd*?zW{CDv@w;e{hEq{my)W3GZWF`DG`##teb4@+G6^ZJm!qP(M zU!t8V5a*0YG8sZciq&8en?sfYMr6ien-_D5kvT+X+Qm922OBLBFFzoj&2)A&Y#^-z zSl}|G^>bvx&y$6ul|i<^Y~uVFZ)Aw6A3r84Ok{`V8Uy1(O-fX_2teJ&*G>gtQ5HBj zXE#ulwe^!KYnznlNM8&+B+3_3DRK#mMj|er=~kkxz9MS9B8wP1T)Yd56)3p53KHv< zI2Malfg1n}j}M?eDnaBR-l4vsiSjM9zmky^m-~ryimKI=H^FRB1$4PsBuq2VkW4cP zah1V=0&nJY6W0z1%QVT6HCr)fnK=K^o{j6|pQ5bZ!M>_Bl+{$hDR-_2$g06jLLI+K z%tJOzR450CQliR*w|ieBhgX{Nib4ecA5ByEUgaIe(J<-IKG5pnzZWK`v;?*0ySvLA4*|?MC?-cnvRsLQe8+{s{ z|K8~43nekr>cmtHIt*3@=NcAW6=!(buq#ob{hK4a`x- z@HejzF~e7i{@^P?IFS{rpVX|FSFzSW%++G3gkFo55KBt&F+->ll?%Oep$B^e9`hBy$`5!OgN~^pHG$ z|FZ>@u>}m9hpl2TgxqYxj)d>gw~0b>x101CgMP5KG{ty}iT=5^G}*YrM3b&B%{Ja@ zqVCnBlLzh;@zZakiT9{!dAr1;eK)5OvU!`>UT!AbTFhUfSZ){Y-`f|oc0zh1;SRd! z9yLC34_XA{M}(5hjLg0z;J(1=WzwA{y8nBpBmEyp2ir1kH?Hs9%8-(4tIE0ijwQ$ zp->RSx07%>e>DbY2@})!g^B5e zJ-3&J3~cM11rLyl{ViIs$74%+hgsxevv>&XL&*{Go)C%}^gi_-9*}JKfcxn*IzHm6 zl1BT-wB^21o8c3#@)#KbQ8rz>0Oz*PxPIi|&mkV1lWOMAGOqQ2vCU({)%Z% z9_HD!*B!t=6URUPFH~m$eok}od+LVy*^y-8jmDO2+ z>tIozgkxKt_r$Bmb;U^`s9!%$iH3WIWn@vAh7N%r*u|p|19d3qHiJdrr7P0{#Z_bQJkbdUeF(% z!07L%M&Xb#5=9J067BnTK*GXg+{I&0g4jZh!pW)Vr$*rtB2M7g+ftbb05l{5jX8&= z`QBSI{O|Q)8=l2v8TgE=Qt$6ByD=np%i-uaqa&ZrXs=4JN+GsD<%mM+{>kGs6w`@6 zdF<{IkSIGr zO5BWZPTX-~*QLy)`$%RN{ejP49C9edxjALSH}si|#H2#zEc(zV%z(SIGIhgaa6NsO zVb@6(|K}A)R(?m0`2)UMadhSP2;qt?^4tm%%Q>|3sN3F z*@nM@U%(&Jo%>SmpW-~`qWLwB3|jhdX++VG6sGLCmHT8UXIAPDy6z9V<5Z;ZrVs*$ z-7HZZ65Z>Yb~if85>5A~I)~6550_3%I9YpD$lMuse8=**;|Tj#_maKmK&d$cHi+85 z#Lw$e%oU=QLn_(8A|$?=t~)j$)|gpCJx`Wq1dV|P7xYTv!k`EvVw8`C4?m_< za{H5|*@kg+M!6&1SZCOByF1Hh8&CI_JFJEY^w9AEamK`Y`gys-0Vd*%Up$Ff6GaB0 zjZA7$D1tQMPp$|uq#1&C;wEt|op@}(py)YD#3UBxQ^1%^Z_AELJ!p8k^o(K4E1p4y zaO!-;GXl)t3t#bMJ7TlWawHI&1xEtTEN6KZcO*ccdc_kQj=*39az_Hg=o_zi;ws9s z&T<cB?;68a^)PV;^hdf5pHYI)x)%Aoe3q2up;5N^%dlq>jY1t~rkb~LD zW$zgdny&Jk4yR|X30rrTA%1nw22V2GU*xdT3FfleerEYS|EpPky6}W6KFTtIE%1C2 zh3jl3zCoDd-+#iTMwlmwMJxekaHU257dtkT{b%DMB%hQK#8aKx8y|7=Fq0@EK zHH!Hnu^L9fK0o~Bu@ysF(Z3{M;l{GXt?18S*|4n#jONT_?}fb%z4sJmv!6-1^qbKw zZOX;E*;sC$fA%?Yp5xEiI?tc8KJ7D`{d&fFn0dY+-MN69=cgCWEwL0uFAB(980ByG zXKlVr8s+aqnBb_}x}{P6#r|m4rP3@$p!4@0yyqMJKM+mMi3@L^^-J zQs0p<=kH#j2q5k4TdxwSzeX74Um?3`rP%SIL!?oD!j1C7p6x9{)>-%jMh1sNa!feudX*LPwlN8rRVK!-#tS`(a!Ge=n^Sb=n(zQaME2UQa7BWn4wU_2noGMCqyyt z6?8zONOni%o`>KAL!T1fGHg0wK4^Z;^PeDk@_VN})O@!%n~lH6L|ewV#yZS(L~DkDE8eyxuq z=UV8l^x;bxgF`HoV3IWx{j}DV=zK!(R3e@fr)UiDTr8(gvCv*+@Y5!mFxHhl=o#eH z2BE~lisDMe{7;rI828Vz-yS9FIYvwZT|U+op7t*hGtKSBWx4g=ddXk}Hn+2~702@? zdhb|QyzvDSePJwQQeHIC@5Z|FtuOI1MV)b}+N)%~Y@)g2a2xsx{qt=skFV0Ccd%`H zjgET9lVW(Cw!Gs>%{(MpG4c(`aKUAs+g+}NzbOv+HBI$Rit{bgmO58*^xOV0CiR#1 z4o=y1uH2}1{ox4Ce9uG|*16ILy^pFan7O*MtqaFx-VeA1{s3_?R0e&B!*iW0Dd8g) z2~w;dOE$tMqSy02HPO%OT!X#l&qP4f=O*5I>)8gk0Bs!hg(!6x`}<4L`i1Q8uSB@Y z`r$Ck9qh=jw4%JCf@=&os_A7PdlJ03 z-sK5r&RdkCMBrYRKeco%#1pP{#k&^p0V2lArzI_&cp4|4I_AOoKt!EX_a-Bz@+P^xhODv5{W;xW3q;o^4AP{CFJgCa$VZRRhyH{De{-}IrCDN5X= zAg(qGtzxJ?4{4n_Ye836M~ad#IY?-;3Z3YlrJdq`G~*P}jGM@S0ZCt}f7>+xz!o*#V!yx{BfmWUN$q5@q4PYM%H*!Kdj2VS`Kp2I35HZ-w zrYgZuhg8BJ4ovRXgbap#D8>AUGz#^oFbV}dNImW2cx~6tX%q@-k$l>QWYbfe(-CWf z%A@2-2wnlRxo7{U0y5g46RcuLM14FHOk|g{Gn; zBLP~9=dP6fOP-?51`dyGzyPMs;d9gyjGl8cb-?UVLXPN^hl0JsDaWFGRFIzVJ!k41 zL!O+I;AiSEK2JZ>3+5AIy$?C*W9pm|OdTjI@+plmcfjij1#^d!4W`a7@=w9k`BgA= zU_+d@zwSy)2?v`;SQTeX9dLN~jRcrGJX&eZvfH&amD8HGOc%>cVW z;T)9|I4ty!3C7{kt0B2Mc9US}1WSg<0Kw2XPoD0jQlf3(xfnVjGV6W}olwEh36l(+ z@POhObLXt$gTdrcY~bqrop-~yJOQyMb%1vh&0;f74^w3iEY@z7^xFhO$1drYij2{5 ze`Dyx%NPmbkn3=8hK?6njr|xpVDNynehi&KK8B4AydBng{kH#1uaOO9Fnp}w?db3I zU3_?kpP{4JvH~hu-PzVgBaaLSK6vVZQj3A^yvq|t!=5bNN^kzzlTBZJvhA&uua zb~p7qb~m$Q_fSJJH4H90WB7u))>WLrW={QoaAe?g@qgjS96y^QbK?Iqj?97IJwe6+ zSjqFd=F)+uJ*~!ttq0prd)&h#FES}tXBS3y{Q1@H_R~3u=7al6%0BCfyf9X|Bzr)N za{4Q%4d*185AL|HY$ljg-PuaqdBTikpqL7@6OsRyUIc?jaf=^+JG zE1HI}IPrRjn}L<`nnviW)7huW@(qb}%c-*Bfk`4laWZ}DR9VrqK|G#M zn3nr1f>9bL50+xe(qEy~#RG+G8@D7%+%IbG}kF{Q|QIj<*|0KJovPK zftdEq)A%plKYvU;a=gf}XH0p{ZPzv4mab;tdor(n10c@3+08rU~K z?T@BJ|HU5a`-b~9x$S54bWDv}lmt0NMGh(Vsz4cNIFK9FRh|g-`wP3ulR|Zldd0KOVfMPhZTE@ z=NI=BUsFtqUoJjW{7bQ+B%&m~#95MEQdly)q^zW>q_$*yi8bq~?7Op5vRXr@Fk=JLW8sBLvRCoRj}Vf-Pjkf5u_@X0>Nd`V-$)Q<{~*{q-0^Cq~7MDJNH#vZK?b{&+t0A%}hw6xyRb#CWocV zT2Gm##AN(!W-({VqOwF$;n{qA^iAz!!y-oxi@`kq1~|i7%f&33XjyKu3-$eM&;IIb z_ncp2I548dU^r+PS#!n^+^je*45H4yF}Bp{_|T^ZO=_xYiJJmea)?K(`hu*ck64C4a&h^>T5!`&QPL;>Qlo? zT#+*i*26@A--5L&0++%ZC&OIk|wvyeF2i-3V-RsXv>IpInkCA?Fyn@Ni;9ft|D3=(XJ-iHAGuMw3S37 zL|a9))kM3NXlsae9nsbj?RuiEBieeRZ6Ml4qHQAD4Me+XZ zN3{Eiwx4JZ5bZ&tJw&vJiFSZ!2Z{Cw(HMEiwkzY^^?qWydj9-s^;2P%L{pbDr4YJf37Eie`s2h;)MfeAo8&;U#X8i6LD8JGl2 z2BrX0feV0Xz=gnc;3D8+;1Xa4&;rZ^W&y208!#J~1Iz{H0ha>vfd#-qU=h#`bO4tD zoj@1R4J-zh084=$pchyMTn;P;t^lqCyuejJA8<8r4X^@O2@qfvuo}1)SOZ)KtOc$I z)&c8*4ZucV6L14?Bd{6R0#INpa1(GdunpJ_+yd+XZUuG%w*k9=-N5a@9l##oPT(KF zUBKPIJ-}YzUf@1pA8fRBMs zfKP$XfX{(1fG>fsfWyGoz&F6Rz!Bg(;3)7ta11yOoB)0RP6DTZAAz5MpMhV1UxD9% Y{{a65eh2;lP6K}ee;Mx6&KS!74+j&TtpET3 delta 49727 zcmeFadt6l27dX1lIWsUYGcd!vVSvN?J=YIPR=bXLQUVH7e z*Is+?wbtI&|2^bc55LX}BGF%w9@pKBmK3T#*06I6sdO8KjLtBk!v?axCFtA z*<(|#%bN<>BvcaS?}5-6#NLclDBo1M{wdj{koj(kP)vd6MCze8yA;~}zJ`L7+{|24 zPF89<`GZ8GC<<*vND>n4+4ttzfq8CaLOZQZ9kwU{V35{+6}P7Rg>Pu~R=dG|#lrEt9glRh)ajJ|+SFvgm`o zPn$XeI-WH(y=rROX@Z3Pub3nm@ci<1Q)zgoi}hn$WWC6`mqXHV?tQzfm9a$u!a^&u z?O899G>IkaX-N~qNUU2o?fWCI?lV)<=O(r$$Y$bbd7t~szwhVhdX~^`-gWi;fy*D7 zE+6_oz#bTez1hj^@Bgl_<1Po@wao+ z^qY)-$*DItMgA2`eZDE@FFkc?Q|Vu^RQRSXe??Jv(IOpEZZ$+s+3q9S>PnEov+Urm5Ki^Uf8Pj+Kstm)HWF zvV|H~_pqhozmCK`0M+Ey7yShJ|IWuO9d(YJe#nV_st^9Z^AStOqa%}d)>}Fn90{ig z+`2xXVm5n!V2Azv4C})jHP(B$4va49Q%IswpW?43MIWh3#v!#0H8twCuEwq{R6$V3 zh|YUwxI5WX{cmW!lwBNZ`*7DWoZm_XEj(^& zl|;9??+J+R4UArY!qjxqWQ*d^+eTEvRoF4e$y>*9?$JBIf%P8apupnEo)^=S@%PY!X>gANxbNwb!O)uZCIkY`XQA)J>Pw z)?{0BeOY)y;Xc|Pz}^b$G}}G~c0x) zTNK`Dv>hgej`M{cw&}D2_W4js2KCzdN&buYmsco%mn6cuG^}`)G8)(FY-hIp@xEk* zt+1uO&z9IUqi@>^(Y8Nap(1?Gt5itcSOR@dWWN~rTof0%>kEMa8IW=+ymZ&;@SSUQSwll0c1_*drr@`=?(X`f_V?YJ{-o<6?jq+)4r$lbn|Jr_Py$NNzcToM5^jy@Hs2fp#N4ZC9@bT9vWBX3(ZMW*O1#EJ8 z<$@)fi)p>=bKP?~cfGrQ3;cS5i|J96YgtTX%!4tH;s;9TE$s_w5#H$cmy2d0$9>40*YCpSfRLk!7y`b)$6O_)b^om1*YsbFHEikH^}f1OKSs zzs`NfBTvTeo7XR8YjM&N+FZ9hwx7BDU~FM=YH3D(bL_LRK4zUc(AVRB%pV;nZvqoo`6|T0< zx$LEX9^TvM(m$9bi7jOZ{vki|+7xGQ8gDLbvY4C3nLCDt?4CQU)H_2%ZuL-N@BaSl z0E9;VZ&g|2Vs@ToN53x{V`*PvMHl)-=gaN#u0_pdd;5PqUwVFVJ+3UL{p(^Z0N1`~ zHEJ(iP3cP(H7?pnpD(r5H!fu}ES;{c680WTeFXo`kautL?$%1)-6Sx^;7i#mB43K_ zU5G8Q^p8s@Y_EWX%%OymvPQbC((WSJ0pH=7LnVtFnf5Ap_xASQVMSdu&6Z%#rL;C` z9)LG40b1ZuUT8xZfVebrfB%g_{q98}OYKyGBz@^d@xrC-{Wy0i?d$QlCE%Wo_ieg= ze#O9}Xe<8jQaXle#I~h$pLiwcpf$Lng3b$Fud~nidcCgRv%}E0W7=C<#pHEO@HfeZ zzV6ZXdv`pg%j|1<3ZJi_AA-{NSJE%S#Y`> zo}jTVqkZe1(Oa1=$@nP}E8Qg_`pZ3hanmM!D`ORR$+kVKUjwtTRn#SETCd*=f1C8| z+}>7k?=$-6l3_SOd)boSLSE#Ku97v%*YDRieW71BrD>mjM{mFW85u!urJ7|NlFvCL zdkGRK3dLAboM9M>p(siu;!v!!2S0L5Kg%%7Fu^bj&#R_=B$sUZ^)ZGf6RxYKHLhmE z-Y!{_1;0>D2ZYVwK4uy=3=M%Mq@t~KG*mU1vA1jRVWQ8rkUtwf#AmAMN$kgl-X*H0 zPYkOMmzLU;twW$@Pl@{bU}>RTf7sA;1Si+f;nCy08|!!2?>!aJIlgzu%RZWY{DhV2 zlGqJ9dKamhBE1QYC~tye*Lb|ShEA0~+ zX#@2Po>NN)Q^)aRwRG>8E@Q_oW}j!j*rsTrn2rvn>0YK)yypYsJ3E*?Um9BwEZeSH z-?lNWq3mX(^(}bu%i4^WEvNO;E@P`?&nLz%(Vj2yv&-pO?GMr}8pQ1F63HmWriT(6 zjJAMQKYhvOM?ke+!ZRMB^RuVOu0+m_a*m}pxNZEJ5@?~B7<75PfO^yTs`*ymAt z5_J{d_b9!D+KWGbln$O&TfMTOqGUyFLrr;QZJ)@5l*(;h z13YB#0&{{ndL@z{6wn2aG9%?-J~Kb9AUA1pS{(8`B0!HCn-IMMc^(x$$1WtF$^rf} zB`Z$=KYly-;BDZtlTei#ur+ARD5U=$PuxQ5CY=&cc2eh_G~SdjkA z9RRr{)fRIt(wybe+v{ol+=diKX_BKo$FvleED(4BT$@%|T!JJ&0z1lq9T%V{%b~-5 z;yX<8GZWbt8iUloFqzfMrY)+@tSGBBO{-j9Q-Y!{;+NzKA1rC1J^e2^_>^Kn%F8@a z!}1Ye$75P(cG0hlDGrwxuWaBDm~ztdflaDwe9>?oJOa3`zRt@N50;-f8Nj5!31StG zB)^j;K++9Jsw!_V#Ube*@LFBeU>b!?f8yR2I_AEcJlbI*Vqc0i2dIBR>s5d|du5!xY#4 zJnc~k`Epm0FaXR8k*9BP#90XpX5b=Vngk%up$zJ%{ zb~-&xC4w=!vLPrlJF|cz3(3@?mc=#IFfh%?ODkl9Ec3Z49Z4x_7|{*>deTro%7C}o z=t#M@vv{x(AGFayb-tpO`DL{Yd3j0GX2CBxd#VMg{f1HJ%}f)J``?A!!2TPHK$)ll zh4Oi6NvT5dpu39u1VeFLznxZ#EXXGmZ`c89B~0{hq8$MYBBC8B;zc`3#OWgU7?Eil z=5kDgbszz;e2&y20v>IyDlI~)xVvihi026>!eBv?Q3QJtQjQk21eF)B0Pcc}tV*ds zo@4lS2#U!s0gzfYW5vBPecd<_H>$MbMI%O4#@skE#F26WUnj4vGUg)vJtJ5}Kpca7 z;vMN^d`s>X#ATB3OyrxbOoH!Xe%vc&n*gmOg@@3miSWH!Xl-cv9q5R%k!0{Zk!12D z`%b|zduij8siKy9^XKHJBxPmAHB>DJ-L9QRia*S2o3saOQ!1BN)FM?5-t z%^Y3NH{CGnw!cj)jKKEkLTBa)X@*h!4?F#7p2lyTg$~Wcan@ZENXCg%*PkVS(Za$}}=JVe$ zjArF!7NjN3n2n?h_$CLLQBW~{ejgoJx{!y0nP3=7RtmM|&dAT0H5bXsobQIkPSP84 zs!A&IOKNJ$Dl3p|iIZf_QlVO&rKZyS%v7YQ6k%nJOch_n*YBWFyX+3&^fKrLX^lXo z1?g%Y&FR%|W_Vj^<}JWSW4@CfH0Cpuk34N#Iv=@UkbJ z@N03`7qqr+os&~>4Y|u}(<&ZB(x-;Ov`u%S&B{zhnhpO}>S<@G<~vKJ=FLQ!XYMX# zK<=A}3Ly&{$+Lp|JtxY2s2E%J(yD-5V1;V)$a35`F>HaPtkgM4neAWFMh(K3lYVNt z>~`hnD_5_Kx4&pV^V6R{cVD1?p}K#j6C)MNWa!brSOq%8*-kyQJ-KS;ZE@O4_ZLy3 z7NJx&om~>TG_)ylQB-Zz%BU?-uSIWU##na+wtQFmPXu2vA7lRx z?=-WwLOTt?cC*Xwv-?bTPgsJVkEnv}^bWQ%pwq&>9=@?F__EoJT^-&T^x5V5SA(ya z#q5O8PAz*lqSJ#_N3!zJPB(zdy2{#6Lg6EEZ18183VDpX(C;m?vyLx&&tVEaPZAA+o!R#De^lDuYr|L?3U#5$_$Ji8X3@?pI3 zxAq78z`FefzG7fpdaf85rP!+hdeW2zX6~f?eCSg{iwK)ml;{$k7la1ptgS@iS8#x( zGis_+laYQK>3O16NNFxDzwF@>q<=|--?*;OFa|4+X7G##R;CDj!r<)*p0PnmKv2n5pZ5~XA$kwVXuRV-*Im|c)tkcSj+0Hv_9=dxgc zDmz6j&TNWuRP5nKh|pi(vgY4(Z+$IltF&dxtP{ z7}C5&c(LGiSkM1~t|z;TuyJ8z#_i@+zPe`w3e&hX+zkHq(MJ?)5rt499f%ScgnAUg zjGY=Q%o6X2T1;uV$p2kun@#x}2wx&&hPi+w@A0geum|rCWyVvzSRBUq8{db*8Tk$I zapqLBd39E5LwI^t(&UKfBoz4pP7Grrs6Miz@2wwAO^H_7TIVC+A89x>BVCl={#V1%2%oeD44D5^e@;;x73Q~xf zjx3|saR|)jIP)m;8053pkp<;R*5l@cxP;LOV_~(pZ-!9)eo_9?qUze*%IaEz;YkjI z#T;)QjeNcskq0QaytqD*8J6B(^2P7{N;EBhvWe^l;+`@k&20~7yhVf7vaZe7UE^>} zG9#zCcQwvUW+Jnn4cYjYb#d2#UEKORj87Q{!4E7|jRooZ!H#D{VQzJ$U(V?%92 zppqa*+%+)1e+k*g+7{!N571Gga)a#JeUWy3*IB#Q?jQC|vWwY-pnliA_2(ePm{7<9 zfCd0=K-O_cy0n)~*!&$ml`6%}uT0ca;h6o+)hHSp%wEKazqxu-7qRs_+Anr-^MDOK z^Mg~pjV+1)&-j$erB&r6wI!x`)$=L>k>m!?hmb#TXDSm+{fQ5yGST!+5&mkXhhLrX zFOEGaxibppz+4S_g)2j!<|5C)jZL>M^XYy7bl#@09ZmX)zk{n-36 z<3oLmvtDMVQwQ*iFEiQd@0>Db%9*-`Yu)B{#@p>6&-|H(a8Nrl!{;yumzp;784e`1 zudyO$tKj0Iu zF+mIpJcF5T$UTcgx|tyA9M0@!{G-nEm|*Q;N-e8d0u-r!bS5R2FM(Df)diB&zMb(% zOllyYLb~=RC+P4fN2;HlsRE$n7oH`d7x8!9ObA$*{_JK(QJ1l)hZ#*>!Non$H+H&H=LHrL{h)ws#GRO!*&L@N={JQxnZm? zBzF3%FL%Gq{NmZZmyu}R+ON3Y%JfFPwQVfC-hkJzx3+cnGrv&n2N)V#9-1?;C;TAu zhBT&8moe9>Y(B7VZ}Wjt_-!u*-^E5|hh22JQCGME{*>PQiSFFKzrOA3)mU_j36!oT z>8o}1`@e3)mQ#${69B(%)ScSc>B{aubGZ>0oMOz>4BU8%X{VmV(We^C@-?UiAaRYUda_ueW|;b7v>_cK)(^u&INoKf%t!@BYAy4ZLCK{B`%> z`N5{{e(ur3J$Cf^tJpCY*{=-jhgfxniK6D=NoN?XagWc&qlN4|L+5JtnQv{LO}m(_ zZ!lXs8Jj%oA-wDiNQ5`uaE39td~#x=JNps-=nNClewGnw>c6#l5PPJ`He_{cmRRNR zV>Vx4Vm(U@of7s7?@lJ`^5H`EPkiqM7*Sv2l@}NvS=d41MOep%9b~((^#YSwmv^v@ z)|V+7>Halrmyt79f-$>TW!E+`y@jeq+B&qii-rQ32$n^82QPp<^_11cHq`a-LyS4!oJULm+d=cm+l5U31x}Vw&ZAAqrPNm<5KW;R9xt1 z_SSnsdx^UO5}40Q>-s+@IN1H)ZKMi!i|iJHqhHJpd{^(a?-clu2EJp>2iX(fff4l= z^4hi};U}tbNn80(sIgqU#IF3T)Glum5tO2h@1GafzYC^y*Z-A~Rw({xuUwZk=HjbM z+bUSUpF3SvSFUntbS-S9qFZU337EQnTK~;;Sz!mQzFi_kNXD8jQHG6b8u|{Hv34lK z?$RZ4W1B@DL*Mm_Ohez5uIax}x?2=Myh2?fC=Q&vvF;g{^Dh1LdDlW#kK2D{LhB&C zFR}l(LUxXUh+yM?ZKB3UiXSb0tW|umjOh&TEn`l^90I>`|8Lex8^gv9cItLZc0982 z;PNB?8~oqk@*`(YoIMeJGAqTq5KQAFtK;A`?`z&44t@xk7fxI_G4>>}!3&xD4|@76 zKe89H$bZ)YPy8}6_s4@?ko$#@`}>L9lQ2}4{=#Iyrn~zWCcOP3LkD`3g*Q9t$VS=r zezy#p`267b{=Z5K*RMO&^wc4c$DyIkmzn=kmH3g%Fde^z2*Fzn1;!Y^Nu?;Y{0$^-S+0$Jn-kjbGUwPRR1WTdsos zorHU@GTyEpgY%11mzT8v%INXQtBh~d+GDRA>pk|#u`iA>1L6TZP(SeAv5$`JI~G4M zW`Oa5#eWaUw{7C!fkBTkL*7<%UzT^4(j=>P+w@PhL#USThaRI zT)9b&6e*t2h;}2p-_Gd+wxNFUmIKJH-rI%jo&?>A2tQp!+dwA+4#+|RJT?RV-t07I ztYfzh-0TcrS1%^XEbBeUwqcD_6it1Klck~vN`cFzB0tIxKP44~#*`noyYGjoz?KEg z9VA75Yvf8^ZWOU)$2)(#TztH~%{HWm+(+7FB9Y~><7HGal}V@4<)Y=HQYwS4p*J3P z{?~H6<#@;O-lfMg*tm1`uDwgKr$Y1u6^b`0M3K}}_9bZ!ru+Lwz@)aoDH^niQH-SaeNAD^m6HvG`fQmu2EWO zRW$XU0Cn$W6y*l`oGf<-w{x`Bh4nc}2FgIIhzvjS3JTyRYsagMqI><1pCCRy1(S9B zq*c--IWTB->jKx@)xnL+t*-2o__R?}BH8u*UiPK$v+8iRuP6kjx>{e+;&wlgK9KI) zVih$@_O^w=OdxIX|c`1fs&M-D5;2nfCD_5k5Ii6xe;Q=o{$-&5^=` zuO93@IB@XB!T3Yd4y`-9`tZI(4Tltm`wo9}SaW35kx562kE}eRKKkd8(MOYyK6142 z=$fOw1D_4-!|qc=Nv^Sjs|WuYT-cL0MN~rrJ)dQXKAOD5x5f7*-;>7E#v8^zjX}Pl zz7f9BzOlY>zK4uQjmM3-zB7E6`o7@%^8Y`BTXjTkvv74WB1mO-{0`ZDqM z(&m9e_TAvlO!ihtM%#IPSz_bawjaw-<2gvM2eYoBYy_^K!UX6p27`?P(!`LaF9g(n zp)jpn#LatMRdo@eZD;k%1{%-qZ94}KQkVk+AiFdJ!nFoUIg$uHUW5YgRc9N|@kDrR zUkAiTgc7K|%>(*E(ngZCPhtEgi=BSSDk7+ z%{GMKs}-XSg{z<{_F~8yTkN-|?2^6Br}hHyDQ>@IH?x6v(I!yJag6NAng{x&9P7xw zE&vevX{EO#Mk8Qtau$h zePEV4OkjN107r%%8X&y7>&tZ83sdqIR9~@QvG;`U5PwQ_?-XarAcSy&2IsylUIwv) zo=W^FdHXBURogTE9dQAr_{ofxg@jAcg)_Po0^0N9yJCfp*&iiUFXHUf>rKh|4Ho1T zfKn>UN2VwO(fr(^nwq!`0I~U~II#V&nC=NYD(-NNl3>KyiM_TUV(LjUO>QX7ot3;C zTsqG~3TNYMh#Nfysb9d;pLJEGZbgF88L407if}gPtg?y{Qb_U=k52uv6WwrLE8;u` z-ml;Zr^E(P8!}li_4mE1f_B8aZ3Kg~*K4FOZS1+U<^7$2Dfg<}{R4S65ae z$quNjXeCm;4!Oiz8`oG-*|4Crs2XWHu<}=#3Lgpap6D*!?a0Ueq2AGRq&>nnxcS~e z;LaodAK(yi2uk0DyrpHt^Q+v0IA7D`60pjG0~x%+kjEL^k@^EZ56sZDCDqf4Y8R9u z-#(msIngIT{Skl>j7jC?ODk(?;en(d3us3n&8N8Uc~`aJGbC7qOkqg+Ib?%<$&`Q$ z`|!mUS3R{KEBlq&x-XI7WFv-;uYgZA(C)8==QqN$pYUM?GJMMum6C7Dn~`nG&CAR# zFin}3i)05JgoD-UATlLj;zNUWWrIsxXxkwIvVgSP^9a219I07U1AfV)@J(1U4ygzD z8uChNN^0|~7o?U|BiV5$a?cZp^Iq}dhNLGUi+D6GNO1~*nVCbIRavnJ$xb_vg6;kc z!U4aCH7RGEm~`g>-m;m{nN?EsU`hV+`CMf`IzSST>L;F<~H4bSm^H8_7R(%B$!5k-WDk~=^7kONT8WT1XqaS!ZB)=lgpC-KqspB?t_VMey z{HlLLcX8O~cOGH*6cglO*Rx`ex*G!cAGd?&rAb8b z6zhB>lyh`}#~~lQwG`(~)JyT@vtk`3!y7M))wpr1ON^^gNfA!rq|0KBpSy|z+2L%p zYRcg?&`|uS*5Zb9VuMx>@X%@Ck&jEsFUVUT9v6Z9ym99_u`gxBU!N0eWxf;)_Z1C6 zqmaQL+s}#hQ7n)|{76Yi5}aKH~@b*eUkubcRW3RYH?#N`43ND(O@pOe%`h%rW!>cOj=ZvuT+V9GUt!;6@> zz%Hwc!F_;HV{+0H%hRJX^OYz)Esi`6n|C}`{3tfaM+>RRnGJDfd{v>)cuB_s>Aboy zjN`f3WtOVy#`6qQPoQoSqtwhi42N8# z2w6lf(u6#xT%?bbiws^arf_mGVJbAip>;XAkY+(~z5&W?0aISdg5}jjPv$@ZFS45H z6#npeSCuJ`8llnhA)nW1ni+tYOeA0uCtZ_Ww6p|iXJX|gu_k8rutd#qNR<9Q$`lv3 znRhHUWSNLcGUi&&aP}T_9Tn9qH(UW`+daoJLgR->%!1bUR>7F8ZwRP*AC^Z}YtyiDs zn*wu6Nj0ys=OiK7MrSd@Gu%jUXx_B!g0wu+!$|rpNP>AYQ7+QwKorcp&fUzjN`uK@ z!T%gMhN7PP$6-Lx9p%zDnNZ%0fBp^+f zFrs#k=nv_ekS~m=|NR^9ySWi%dV6?8z2g{BhWG9sQ5<`;dxRm?>y#`ItoQjL^}#T) z(hr?O>f_-d^@%W~J_R^oNPX@cQhP@XseJ+_7*b!{Ii$W6hSXR5kosB}Qs0mw!jSq_ z7*gMnA>|u*kc!;G<-w3TBnZJ_UI>gwprz)`xUMT%OC2SR(?Ixk7ktsjDg1JhM5pi= zq`>31vN<&`b7mUyK7s9B$p-3sJak#Cr%!P}dY99j*2k4s#6Gm&S^U86WMBFmp9eAb z+zjRYqXXejPUa;*lo>xNFFKe9qw=zIRMuT_rYo<)I}uKY2*`eg1jm@X{%?K!+rN&l z-<>!OfBc`u*G+DGd2<8oFXsUJn`fN*6J@3ru%)S}uV z5;USiI7#8E^`U5f=7wSJK@0CN2bz(Z!OEqRR7w%ett}*Cnp-AlTyVfuv0CFw-!=)) zfY=Y61iySuto3&j&?F(1yYM=Tgtc(H60H>1T@`Cs8O;x*IHd9rfYQk31!C9okgq3= zyI`denqOOuqzV8fi_mza@uFe1&rK{&V4VuvuZp!g&0Q3c1%Z~M3IFF;vAerOPjfx5 zGw_K)51X~3IHWP+Z?B0}daWOAiswQD9ZQSJq*eYL@C?@3s(`y&6$nWVO#v&_$$6xx zG)QRVXrvAq*~rOxIWuyRZz$i&Fs_y1LMs!HHj)Nq?`$Oxt&8HC2?t_|u8B3+dQBYQ zE-?!YvT!td#|_iy5ih)w^$gOCA}v&pA49|P&xx4hwR-H`lt~h3UPX`NJ6}Hm`(GF9 zCfp-{&VpGzeOiI3rnb7QV$m3+nmC-{=x2|6ZzEt5UUprq4owtHTn4J?_#xA zfdFJieluupQH-fniB_+j3H3QT8~QH2pbQp7A+zzs-^Iby9Gqe$%RiX#vgn~>sZfkUnVJOcU4IwGfF=&(10>sP!(I5E9>%9{9-g2a zQw*nDy`B*?JhzU3^BzdDNtl_Qqrr~BZ#CP~C3<=I<@5i*`MV$gVY|az{Gc0{gjcxjm&@UBqBPyKWJ*Yl}n4&8RAdBE*~vVaUqoi5gwdKt8#+ zWaSGytDFg2dFBL7OPXy;$;m>7Z5(ex9NVqzl81;j7VHVimx$VhNM{E!!^?Q5S*rJK z6{gl#95gt$Xu4PNX|q&Iwc!uXxvAA&?LtpE7lp6!i^AcpVH+Z!$GyL?zX1v_V;)!qft8PR4@r&p#w%eS zCns{8M`>p9JPj6((##e6V`SiLwqFP>9+SWsl*L-$?_z-p%S@PGWCV$Bh=slgS zS(8E`05u8%N-KnbQg9YK14=u+g0Cy&T=1$Zi89Sv5CO5J0eoyJi>ddMeR26Ixwj7$ zx^YZlM-ZP8I3nnjmEo69$$h3z3hgukE**zUNn%{(1R=X1qEnP52e*;FP#~oI{}~}z zep;SFgJUzvBJ#mYPs=Chp$;X~A-f&1^#$B_T0T{DKDd4Yrm86w4*Wqri#m@V{z3k< z%cc-33*o(!{H3-_`$YX2#h2>iI(+z6 zdUFr!3&gvG8)i+?)om0Pwq3G&+2pN(z}&Xq?5;L~I9c2ySH`3$>0Re@2gt3q;4n(e|LdeeV6gXK=@G&HKi0q|02I5~54te6Hhb zT=W)q_ocY&yj-m<1W$JJKscO8J#VF2B^kDujZAMTK7L;ACxfVFM=;@5E|_riy0E)~ z39G|K1``6n9l?avVVL@k9*gT#4aUrckYwS?}u_fbbGUe{g-~zC=5d zwKANI!&iTld(&}|_~q|tUurAAMtK1od*dTHKjnf1@KcWE{FE!<969k*K0m@wIVY0$ zQ*w+3z7G2-p*8xlvAmxWf~_;z)W|#hl&O*6r<@kaRz~86DGXa@iU3C?B#I%Cb5s^b zf};{r!*-wThtNsBcfkD!CGPNArbcpQ*y70By_P`T2(KkaQX=QItc<+fYYABsIJe~s z?3Rez+?J5zP284TQ`VIw61U|YUdxXoIIm?A=e3NByvu6|DD3`hX(a0s$J?LW&U!7h=+VY$QZPAXc*d0z{8IR^G}?n~&bUHe?e#6wxaV&aD6UK1U! z;E~MSUYL}Uo|RX$U}1T+{pzpRu5*9zlfTL@(3Wwy{F*$#V_wO9@o`H-YGUEVyc9QI zlZPrpYS10YO&=!*bzhe^yos@=w`!EakN6(JvqpT6;GI;lXnsm%MQvHd@{*^99hMFY z2=Btg``$?zE9=XjF>}_1-;NwT_Wfy4f4^t>Bag`~5czNI-lHOje&?A=GWUGE#= z3kA!-w34MrHjkj;5B_NW!#P@cm-4M)%N(vR;$hpsFK=<@hpw{Z#do-T zmy95SbNR~3og_#qct@|a64Lmcp=KH9;0=^jJHgbof=e~n9KR~1wz@nf1! zED(Tv>+b-<58N=wy&Hs1etg&^$va;)PvE51L^T}JpT1SAp>?Y{->>_V!@l3{PLHfx z+-*ZRcbBKm^Xoh=7GTeRSFptLnd5Fq;ye~e`nJGbICK0iIdkmO?V*@0 z>zQ4x-cGd}6&XQh)6|@GTugd)Wo<($93NOvTT%=`_7aJhQ=oxvxVu;ppm7(&>Dd(< zInY@08i)*1e6d)e_m+vBN8)%~kcR?qE`g|hC4R3& z!Pa^4wWw9%s%cr7lhZTPW-6{eJhWs8uf22x<2$u|)=B+>GP zRXVP4PHtNEdaf)ua`bnW^)T=$!O3Pbcn-aJOmH$c$OqpK4n~zxK<3LKBPV;|ZVh2a z&^{!kx=R%$m&bXbr7Sl%Ke%;fQ$C5``P(ICYFb`)S{6CU569RMx_L~ZM)qLG7*^!i zBLh@DFV0j}$5NAEuDi0?qEuk2Qf1$~M)_4pDMY%j=I2U%G@5G4D=8|5U=NWDkp*55lHDoNG8$-N3tgI zQ4&rF;-k|i;j3$vIw}Rbty3CG}Q!jnR1iB1S5X{$v8uGP*^OS zJ}bo3dZk(hb38ZQ!rEg24uBMW@tq~3Ea1oSDZ$g$E4_^{p%W9W1SWLuT7puZnQ$#Z z;9`8PpxU27K1&Fw!K_-!H#Qx_xx6}`7{Eve;D+0+tATdcl&|KTaSde^4Jk#{5NRcWnVcM5moDewNkGnnu;i+QD+ORC zC!Anc2#A+9DczJS0Ul1UOUXQ5Q@uJR1wY-SG)N_nao@7mL&CqN($Q}fzqCB7BJBFJSAQotozqiAq4? z7Xe!N(#SetO7phjq&F3+DOouH?fLS^TqJIV67p9FelSlG)4upsNO6bwy|G+ug{qz8 zIeV3e)oYxrJRpU@J#HmsMpB#5u(8M+0`_hnE=k43oFIvJ63iVWM6Ij>X1QI!uPSKO zR8x9ZfeFl7Ncx6=W(*4K!Y^)A22i_k-$tc(&|3n9p!`!wz=$b51;K7i*&Fh%xcktY1Wt7{y{Aa>@xM6nn7&zTB*sRot zqM#25haMgthA`dbN5ws!4r|70P|o1gLP334dB9t(xGgdGBZQA{QwF$3Ks+Ga_=0V7 zs)OX8aPRzHAs%H)?lgamSG;uzG*>vk!G0di;(knDs|0Z6y@dq8RC zqUs!j9>ha(iXY#S(}LRhfyXC1BXllY?;$-mj8G3zf1L2)6`Pcvb<&?8XcO+XfB|p# znFt7HH5WRas2&h#*)Ia7cr%h;8lhZOSCA>*yqPG`aDg!ryU?nu0u{dsWg!?O?K-D! zM#>4+JgbbC{U*SSLYX&k!L!PY34cHee|-zY^ErYB4S$mWQ;zsyxlGF?TWo;#Q z(G&86m_q)3rgZbFMQ|}wO>Jd0xMd!wg~&kU8$h*$^4C2<_Dm8|S<+AnL${>5VG@!C z3dk*p4aQTj(*M2?Ater(!h~1|USUT(QC+fVd3jMaSr;^b0w5u7(G8@=th8(t5I#&s z;{rH;yS%ofVIe?NKoDF5JWx;s{sb!ngCR}DVB{LoNB|SL-pNTnS?MBOI!_Uotl?I`L}-v?GB1+% zW>9!nezhLI*`f@F>v6f=N$?bWszn(zZ|c7arePW%PvfkKU$-G?wm=}D&*ejm#M7x3 zq{<`FPfjt7hj`L_{N?jXpBXcTJKBuAX96$CasOamx*9TuMQaw{2v}I@=G<9%QfjIR zR(}A&>k;ETe9sF?pAZOm= z!pF#?4FBe6kxmhkRVVHA9=et6Mzu{OWx{;YzecSt{$pW^Db9m^58Uc`r}x-O_>(+1Yg7O zEU`*Z%Mdt8)Us?fpyh(KEGT3Resr5McpQXGl1q2g>!1_3#RZ5HSnOc!ha^w&0;yh4 z-6d^Po3PLG%1pg>!(Dl>g9v#VQ!golol%rX_YAhYq|^p)f)FvM4|x=FCxMgvT`of0 zWEjRvUQ&i;L(C+(9AShuQMHVyiwK?xc|TA14=aJVMu&=;7#K$m)XQJsdnPCh4l6`% z!{5K8j4-|^OiwQXA9$mjstgNwg>SO{)sa;d7pG?zRDwoAW7=@JRXLh! z$E{XnF!dV#+^USNvyphfA~@W^vs?8#Up2pah$kCO2}Ds65ZNw)s$l3@h@K=0#uP^; z|1Oe2!g)s{_czIiC2IxGw;(o<3xeeqv6BDsSft%RKbhy^k?L)#MLBYCLuF&%!K+_Z zj;VWBkOp4h2pOJxh^~a)3ty=eVkkL2j6(7cKxI@4>5@JY(O87sKO%ECSv^TVc5)12 zCrPI2Glw>Hv=jM#?ocS^^i_-SgjS_e4KbBuHzKkbJIU)N*f@gu0;cvjZq2VfCA4OE zfkdJK)u%}+2&1s2Bxzn}hPAJ-bW@$>DV++o6%x%4yBEnhfdvq!NhUJwkDx}#9-=_N z1fg|seVz2ea3>gkzLNl95h?vepaD)*T_VE6$%at1|7D`!@YVH7tr)8Hzk;nR618~J zt4h~=iJcc-$yK2n9D$t>OLzVD!N9RV3UQrWhe9m&4M!V?+XUOGKltv={gZE-;wEVm zx9laYM$*5A8zcFLpRU|)QIWBIAu&iXL`60PHNZV9H6`Q-jXR>@8xoL_qH#c*(mR;u zQ0LXsB*W7SqX@y$ekZ?exF_SKj;<2<+FWnaP{ z{@~(2-%9eyF3F5ku&pJPJAzz60g7Rhi`1~W9dR}&J8gCW@@R)D`CSY#MZCrn0-IaM zGGAij>G0oysh?GTem zXuAkbP#3oS-7oH4&@=P2QhGlXgo`G570R-6GG^qm^XJzTv!Q?^zK2Tmnsiw4V*r1D z$%Qk9JaT_YPp($gDK6*Cn=ljj@#aggx%u;EP5vgnsVO6s91&+@6TLvs({LF3@e zjbLjH;w+pgSvmP>ysZ_6b+7}WB!q`DhTk&4Tb-W%zJX@(gmT zn=)cJ0Sta5A(BrpHv#~;mPr}KCy)z3vS)y~UK#CxNKHxRAYvQ|$urVPg2cqp!5}sk zZ}nCAE6hAyJ{B>|<^=_Fa6^YgRTsw>8%bXLaFRgYsNn>GywT3Oc=E>Z34}a2urLiN z$3gDO$Qvh+7XYrw3zJ#Z1c5A5cG5JsPKR7`)9$C*DVl=}-6YmUH{Ijy z;lP%xbfkIbUjkdy@7);>1B!hQME$^qP0}kw(!9?{(gb~gYxk=HBl{d-I{bBik`GB} zC|vFO5uaX~o>~)Q0S%`6m_+Yr>Xe_5ibsT|DL)l3aC4s}0!crE_#JL*h&=c5K}lSs z%^c*upTtvf+W!ll3a>8-#i==IGr@ekj=SLMD}m0hc?h3x2*mUm;GiYr5&OPmme=)j z5kf>WkPM_eKu7?ER|?xJI9mc%3qFh$wkL{1jyyg@TzYso%j>8k%W()*JwOZFmJtzi z$A#v=$%_*_)A6jqWDoD}NjMqD+EW6_r+Jd~-CwHyG`LFJxcQ;|yh@~lIo5*29iTv@ zV6+luXWbk4>KPZUM<-|i!Y?qtb)gnq=8@#*Dgo0Jm|StQM^ZSgeFt})O4P{S74%aA z^4Wv?&bk~vxXT!Un3Pt>TsCB{3#H1`9b9ywWPzo-h8jt?0P|FDY7&AhcY&IxY3O~4J0KIc# zIHOe!pMN<&z2MVx>~I|#_zZA)Q zYbpEh)@LDJ!;Xg*OEvn<5OY!3O0#HG+n~No(g;@(w7SDr&(&*cH+uE&>h|9?tV`PL z+_aQ~-CFHUYPDXwTCZ!@>o*vFpo!sN_}JnT!g9d-EcS2L8`S59MO5-5A59%{0cW-A zgBSh;Il}V$7n)x>Uj(Tq=Y%f_&&$H|3jdVbX>My46b!~O&#OYrAb&HR^jCi1!TwZw zT?iV7+t_~JyeZwg?grq8#j5-d$l$KN24{u*&ymP(-j3`q0U0rOIgt&Ka}s2+o|~7G znggr80#hoOcVSWHP7x<2+>m?)Gft?TRm5dyA`@mNVQ@QMtqe+^4`IPfzf0cW>8EcU6=!Xk~<} z>cY?>kReZci4yq3I_c!*1H)W z9Lp%4Joc?O{Cs+jeQOL;)R8l>zOPZjdMLAEK{^CY5JRQo*o|a6x7iTXg@*xK)5JDjN z3MC&2?f#hGrf5EKG&>hgrPOi_hnw7i&#>n7{0vw~j%pQD))ti`8SKsYbJ^pN7&c|X zjtn*#;C|Wf3JD%xP%Z6ejdUGXiZBVuzJx-Yu^fP4p9bgn`5lTFHfc!N59@yOW)7UR z9?4+8#)HGWa)5w;Cx9O$;D-R*!h>@muM*g^k?oGhG02G9%$sLq-{gc>Tkl`jyx?t2RVKc@JDB)Wq1*5O>79i)(@{5NE za)iJA$*58w37nxL@M1VWhkO|P-UXv~-Cf7#9P2(#IQj7?fkSj;qV<%3nso}NpBsFF zoC(9-Db1YoUJPgIkcU(#!3m~R#up$97&+u>J!pL3C!<#c(tE;kh%7$t7{ne5A)OZh zB|g1rvMSmO&W|W*pYWFu%m?4YP7Jxj=_qT%-*pkQXdz{$gQbWWK9le_EgK?ZX7MThv$5MNWI^YlYN5e`+=p`6T|7q2e9Q;a|Bh0?<0>Q+z1bP zK8?S<7DaI#XHG9t~;qo{s;V(uFuO%~61jJ=64n4U-jP*7 zbB8N9{pm=nq-%!ySjx$0};P3D~e{ z4E{Nb)HI|6wMwoCsq^#|av_k;Oxp>_oh6}o#H=h2;42CWiviAH_HXa0-rWd1!u^TJrX-Gmi({jMT}VELs)^zxbY_Wur8Yc*#(T zn!gP9!kOL&jz_BGc+I6z_dxnMHLsE{ocSEmK_wr)GzxyB;Wwt|@TIZ6b#?k@dE;7E zYMv>7L3M&TzoZ-j2a#3?X&Fu@w=W|ZSoyea2u?@p7T#fA)Ieb3)6Jx-A-ILGIhg+h zqaUvUwhDVt2vUNm7NSCeg3XpyRYmyHvqm)>{>V(67eccy2X=_rrWqAgMN3Sxi)vw`?AMMvDiVBC!Su%=fw&B322qPmM?Z z5b(lb$X!GzA(6E)98JPMuHpHqgR1oOamlduc2LEAYQbc2H*1Xh5aBVP;;wDag8*mY zUvr5=e*5wL@3X$t7xa!QkQK2T8(8E+0i6!lyrA!_{68CBq5hf}-;B;Np2?rI6X5PDZb|+%8HAs;EHeL3Gp?A&S^<08DMVJ{9o;TcYIVu+xFQ_2un77 zOM0@KPDt4_IF!IBzQ6DLz3;#8A6GVK&YYQh%9%5B&pp@uskqH*XnM=W@TkrsQ97bfCs5hb@LiUn3UEIU04YRdkdlDU}%D!8Ep&av6#+ZS$+v^YTV$k-?%{dFr?(M7cT5~evNluTu1&P1v&S<2f=7u zo0^#a*LkUr^TOGZs5zU$w18r~^0c(Hl{ZhjTuCv|Ic;h(_{lf6sUyHd{$`t+3np^I zLe-UE;wvL;j8YN1)|m9ZGSILI(E*cayI?AdkGr{T#E z@uV}9-n~$DB!v57@h4%NrkEl`9G8V2UkE|0)P9AU8DSTavo^xml&|DQ(hV;=vcYsA zqK}BCtFBOoGsB$+ms}k04vG_LvjNW%k3D5(h>Z(rA!|(#K}={CTAediF(>w{snVTv z<^e~VA&IU(;D`z~C*zLLA}6HM{Ri-drqK;ost!Xs-E*ay+nFJB9P=6%UWNt^%Jk)J zAK=TI9lxwCE3ax$>{;hz&u%aQvU>!G$Yl{~x|r>@x1_!piMm)Gi@KUx+mw(zQ5(5U z$WY11r&HS1^x-g+kwb1+5t?li>*t6KfszIa`DAHpJvn0s6f9+$nl2>JRavDt2hkVW zQ680kfgjJ{UIiH9D*%(mQ(fa7rGOHQS81;l74xtj@zaOX2VQlg(VEvBwjgYDmWWNp z6c1h3p(YRU%IZ{=urg7S3<%0M%*)xnafcF8A;N|Hrb?zUn64yO(Qi9c#)=WLNF8Vx zMavecNrBZ6g$*q^W9a-vYPMl4-LXiGNgL5`7!cx43N@#RWsvO_zww z3NEEPu2S|VYS(yFK9@?wz#s~9#HjlRN=Lys~c+SzSB zQw>|hG#{)VvL_3akgI&DLV~Lyf$18=W*Xqda{sv#O~F3CSSxjjUSnP=gD?w*Ws6KZ zmKd_O zwVk>bqiSxsFh*q+k73>cG1%;VkkaQ?q#1de5R1KFVgwz-J`;g=FzXAxsJv6kja_SO z?kJ{iq}|+vsUE8$%rDbR@kxU6)%Qs%4dCCTd+D2tRcq&c=)kc2VHxy%g<0}SQ^KxjZ;Wc`4cHbDV`9HWsjq3LXD@TVC z^(J*S_l@j-Nc1$WGc`e_$z(AfMoz4~(37M-bI5zpQuiI)`GFMgLn#Y7*2k>(U^V}V zyajEgoMD=d2{Fx|`s(0w1FQ}7IykPI+xRMP08;!?R>@ZwH=r@?HCS}!i`gtxmQ6EY z!UGj0&kNR(-^p6~UIxLx%}FNNJjHwpL4s~B9C}DrVVF6J)(bo>6!7T%XQZ%DIm!lu zy$2MEti$OdbYh;J$3=+#?VRq;Cg4K0AQ**knPA*tIxB^OHHZjPBLBv}`kaH$9_m@g z4KqNYBuyLA$DngV3+e0J{PnQ;8EnMozPH9 zm0&QmlI%;%$J<~iA^GdXPQ`^n8Of@K-9fr$s37@0_+knXclg@`$E{UO?Mh4-(<b~^oDWaOJU?kDs3|-sM zK!aAO35gS#cx>8LUe2an&{m2f@=N<>whUkQV@lBa>p zU~r?@W}P1e{i6SDI&`I)(b+7-lXa9ZM?9LG;wfLY5UQoFxeMoXwOrQ44x}pKb9)l1 zu4ATxf{+Y_(>oH2Wtopkl%H_fkxUCj>kMy&G2CUdu=S0FeHRwPv+47HI@MoJTFnXtgOg}@oZA|>%t)sioCU^=<+OFR znhpmB+g7VZ#Vcj@4Ye0BD@rKF?5liYG%c6~#ly6w1&U?0h?wh(7`29;+@RVAMy$mH zGp3XLt|sofs#S@+&Lt~ubnXax<1>25xD zD%N|D;CT%jd#{nszfO%Fai92Vgxt>!K1hmpF4i0253nb&^RL&B{lR}~s)4(W^sFD6 zI%*GZAfw}9YF~#n%_DT+I`ch2h);QaF*bx#bk@XF> zXLRo8%Y3k>q)~5SW9E9*YQY}69FN}?Wv@6)6E~>kMMp#v@SUXach7Hu_kD%*y)1Db z(3>`>u|qzDpxC4h{s>)ynW?beX8YLpMBUg4(2Qe93q2~*l`=;iV+|K+|MY^W`AXbp zG;X6BTlfW^Uy$i7bMc14_q=y*7tTMW%*6!oxSV=?DSy8bzj0sF*?7|MEnTruO@p(v z2R5qFp(kX6K^3M9IBBF4W1Shs^dGitQqwFj2x6_?*xWLE?i@Bji$6^VZ&Kqze`XKy zx6PwCe=*YUVx0+g>#xX1*aqdVsPooU;W$;=Z#a*rrW*dBPj6DaaerdhkSl4EU8EJq zq}Vu}j6EO_<^IKD*!`7M#g7iU9_lj#oqWBTXY=!8T~FxC&@mVrn$@4)cD-tWX6@1I z)vScRewjH1;PRGjJhl!7D+^n5#w$bm;aU33Ce=zUo7JSWKwR=E=^!OK2>-KpiT64A z2qpnaVlbV&SxvBq^84rY(=fVzvl=@z0vQh4qIW7ORFH+GF0|Y>+dn;RMZ+jHHO3|VlRA;|fv8R(8N2lDN<{0Ds=z}(AjxoWHeqwXRr6r2}B&&lP zL9K+PN=sJdHNtmwj*^~6hi*~R z!qUa&m;837XVCcwjljm0{2+^uq$`u&vqjA{X8F+#c4w*~dkclKD2FCfb&$bDCsT~z zd2|g`Qw%t~O4R{|Li!<9GYv(w?^abc45aR@7+5iX+=}N^x^}BNV8{^cobf)us=nu) zVe`GAJ=wGQ06(K{9wztT@ZuEHAGWGi?+6f*D0a6Wd))VN5mTxXxrYX)os8he?$u+J zwYM#pCO;f0rL^)!H94kC?CJH4rlxYi2$EJom*1$S4yhF4h#sQ9s8!-2`J&oJUJwVT z1gq)iH>%meV?r6cb%L^k4+>fJ^roBC0V5}{okDD8 zUnG7l4Zh@MH#1L?sp3G`WZ&I7U$4))gzaXEZlAmjugMfTXd6_jQ|0Dkz7o~w*YiCE zmCM{V{fj!CRW?lI;fiDiYo&j9r!1Fq(fE6#i!>9D$qD|ym=PbJ)y@`8klDI=;b%ccpwKW;NY`dxo|2@tf7OS`g^4>XvV0=z8`Z7bMzefI#8aol?81RHRPqD#w;dRzcGHAg)#NM?=&&OiO|7$MHDQ`*278VixlNC-?hVBf z=ga9(vi8zBx2gk1fGdZW`f+USLmzB^lJ)cR=P{P2L@l;9w?X;Mr!QGgqv2W`X|u(d z+8OwaAC|vd>-nrGQ{eNg)A4Tjf=Jo?qG))G8kU#+aB7SR+A~C%4@gM|E2dXjhrurI zYcdFDvtGx)Y<`V{0dI)Pa>0Wi-Zba}N@vikZc|eu-V!qQB$;uDK6D%U$=me(+tm2Z z!??|Q^*iD>@9@8@?F^!?}^l%?~BxZyZl}HJiV&5stV_IK7d5rE(`*HBuZ%d zn7w$jl<=b>X{OXHVA{^8Pmw39(`4|MsxFKQIr!Rx2g&xipi1iK(r;k62>U`5B*k0c zVun4IUbbd7U)edBVi=euJ9!08Ag3U6MloFT{1o_jhk6f0{un-}K+~HBe!=#ASwUuBP zs#C(lMF7e+x~c(!gpYLPZm|3 zA_+?jJkJXf2sB=O8IE{`#<5te2v9uXJZXF{>BAF53gRBhYj()HAc{&tQrvDREJYNp z!czz4Ag^LhW05dH#-Y9pe_<&{@7k#b$M^T=n>#@R{AIU;g&OA zUs5#&???Dxk#LXdGhzq=I?-!-Wi`wn!wme~6vK->J9b!zzBL>*EVh(F-6CaKb*2); z!ip!zgW|Cj4`PRUMJ_UmxfBnxC0l_KUe3~%=l}Cix51VEIR!(7rXDpn(qA%Oh=Z}* zI?yyqR$z6n3LGOVu!dEjwbL{f_gcXhG*153%HKNi8(uFYLO+u=Jl>z5itrUXVuFa` z3o$jwI-e-(c9Q&^EPpSSzn65%O1~5V7goAyiWFw5{GBF$8>Mj5Mb5rPX}UnT85an5 zxooAGsQ*sAnP$mmDpW#e3t9ALYL?A32YKqvG*=|5H&csjCTP^>pVv%*t^Uvn^A6a? zZz(|wkswp}vXQ6r3Yl-7(ytxE6WdA-?GP^rN>v7O>aa!PQXk@?u4eM}(#DD7A=u0m z4!&a-`?JrO(8;Y9JIm5;A#{l-8b-tN>IzK9{5$y@7P?eqAqUEcW$ayL?U4dyCDX8* zmW!SgOhoh<3cpWH?01`pmU=rK ze4m<p~bo>5IL4ej1F?6rQX_<)v!bgsR)%rJzGZ!b;)(QA8qaTbVPceWQN8;a?H z_TmAC;q**rUcwPStAZq1%gpHX1T$$(g><>=)$9G2M3-!{f{$ zd93(tns>sTU^vV?OvV|G@S}l7`@6KHq_4$KvA-ve1Hzh2H#29ZWc)Z_5Uj`K9$d`F z`~+a%J}?(k>_^41K-)1Ie$s8NfsI&?skwd7LCm4!FGa< zd&zChJSj-sOs6Cn9O#*N=}MFkFf{1}#q8rJT5>$VQo=38V0@$V3oF4e6caqIn97-8 zAjUrkzsO|4*TfeuFbv~*g5PlxVt#g*bfc|^UO9+pp3(Eg;7{f<>|QwAy-@QKO|%eMPN1j?$PWo1LDlp zSEAvHDXRP+_-Q5 zkM1mk_uZ?*9McSnQV`IrxWmN}yCq3WlZK}4O?x)&?zH>U6a{B96;8u_`@e7=bN4Vo z(S9KNV3OnBqz#|ZXVc>12QnAG2R~xm-HwUL8}{LmdknXqV)0Ke`)c{ut8ay?Wnb9Q zW#26ScJ-}Bx<01FG2%qk-^))N{9xyagGZQCTJ~Sfm3By%5qR_gr__C^iwV z?wZc1FEDgZRctU%VB8nAW$>*srVA^?@nuSEfH8CF+?Kgu?V8qn<+P60uGWRqr_TV% zt z;b;1PPD$RxMqj~Dpbruz(3CUorO3Ry(9NS>!PIJ&~{cAax zUfZ|4KW*L>6lAxyNKHuNfC zHZAX2uNF_qudQGfU#v4S%1H5@=S33C;!8y7!a;4ylrNy2lFZ^u1+(}v$t=E{GmD21 zoe&XTrR^&OqsuD6=(1YyudI>$D`0j};D3iRx~xOiY|;taIFP$2jtzp*Wuq)C7+tRa zCq|deB1N41V4vGQTkt4oOgW>=R#X7guR%ETA@n7fLEI!8YMWqm*^bo3M~3;d7K|=n zc~Km<2u7D3?7n1l0dFZQeQ!n=9Qxp=JT72#0eh)#_Jp}Xw-Cg9mo5l)XoVb!_i#p+ zh5oad*)lEGs*3Np_1Q<0I;+fPLl^XuT`ekz93tmlho z&oX3O;8X&UPPrU|E=Z`7xs8}9oN^!zb1q@N^=j#{gL#(V7pL(N;=Ux1^2$9&59A*F z?PWZ8wEK0pzn?OC7j?Ylu8z51EFi)j@Sn&0q35~s)-p9|?1TRF=}KpG;BK){iQeN+ zk5xJ&a~|@?^aO|7MmH$o53^-h9 z9;4ZZ+)0MV>DWVVSNIb$?vvsd`_&r{xh=-0{OQM4&bY*<#Zo+EzgVr|70zl^OwX{; z4rRcz^w=SHX5w>rb_R9=^SyO_GN#1|43)F;Q(F#w%Y+u?z`W1yR5JB3`G&KBiEs%zvfS~ zMqx+oKQ!jBI}v7*Lk=VQ8+7tvlhlSsAYJAI+U1+KhOUTShKOaX$Wm&_u{Lw=W|mK#GE^8hJHu z7FhH{#>%V9T3DLn^n24-%vyQX+JQ7<>*{;S%Q!Wb@vHXUvWzH zQa>?E?f%$pl*~FH(NfHy*WnsfxJ7t?GwTe*u{r)t0<#W|%qhX3*YOc=;kcaKlkD>_ zZkiquY{QX{@@K>M`kuupImP~%;NZbAIk^EE`=sRHc}lMQm{&QbOJGc8_NV2dd%wIX zIC!2B96UJnCKug(o?~f0FBaP|FGvm^9C7PeOX7T6&#F#edB3D{@VwlUQ1~lyD$&Ei z^D6E!Rg|iv{YP-{yv{jzA`a?H^FXli@TEEZJ33&{zJZ^*Uoi)o-jbiTLqeX%o&l3~z!mfvXO_>rowiFH{F7o zLxsSr8>$0ci-6{W>flGAI*=#MdZ`YeOz^1=phw`U1E>)Ahy@h_R~_JZ8CMeJxod*E_4YIQew#x9bvsdmtd1I?4nGukzALM5_O&~0lEcU6QFYw#L}Dv z@Ua||z!eDn5_JUv{p-sBds=kzf2Tc2kr6>lkj69(*4PXKHg5S=Zht9Frj%v?o!U|s zW6NTe_}BpvdT>rikORdI2nk^E;#eGHcjd`M3lvknG_}V`G5$8@!-WQn{h3(-PKdF7 z5~&(!-g=iUA1Aio!86*CR|kM~^Ux=@I^8*mS})^#620|8HH; z-rwDRMtW=86Y+9r; zrGJ1$Is2L7IFlI@wC|P&N*YVB(n&Z~l4UT{QKw3x4Z(EAsS-zLh~P%Vx!U|?b$Kx0 zjtJF5Ts#C!2Vr_hUg5I3d=@8M4{`Aj#EH;D^3-K@g)ELm4{`Aj#E~9>qOArwX6X9&Vq+pVR$Lq0gTfsDA zPpI4;1E9oJu24iB9f`h;U{OyPTykNQp|J*Zf!#X-w_2FK@VUg<gf^d%5QE_yV#`LJV#bgfq7Ai^Ozf z9*@a3#T#TC(2Pv)(-%*P_?unyK80*ocsnDfS{x!4 zIl;8gAp4(dg?^Nw5zLqIMmo9G6Ni)K?X8~pKz~GHo2zL7bVsWv)zFvzyVWx^ep(yY zn@l2s-nLZCyfwSK&69RVSdfuy_mJ)0z1vGorB%h&8x+N%D5so1I?0$n;Y_pV+$_Dwa>@5I ztev)UUMeB`YPoU=uMi1l2nqWumP+xs9soNg>qrqN87`JQIiqvD;OPw?b#62zYP5XG zFq7r+YR1C5ICiYZ2u-NjStBEqD7JBWT(&!d!34FfMAgzC9>3h)FSJe;k&ZhZ4Oh+2(J1_{$SUMeg!37bm+1Zo4EeZcZwaAj!Q&cP|kXiCmNr`Q#{}9ib&U4{nt&y|*m<+wV zRbBiBJg?E=-^~a}2&j~R*2$oiO6WAdirSj-W4Tk)K}zg&T0FcW#xR36 z46kqwy3CI|bq5=z*i?a4(oDaZj5-M~q}*o-o8>o?GuX<}KXtYw|KSWu&1^}GdEaWz zpfqRSF=L;2!(MmA=OG4#eleyZ4L?CO{HLI%Vu$~Onf(LlJ2e#vhU9%`YAVcIJKNei zT%d;V(wz}JU(#rh&G#O%|x3+w7EoU zA=*5m%_rIdqO}sOjc5yrb_LO{Bw9PsI*7K2Xjc*KYNB03w8cd0B-#?9brEeT(XJ)h zGNLUf8X?*WqOBy_Dx$3>+8Uy*CE9gFTSv6@MB6~LjYQi-wCjnsnP@iyV5bai?-A1(AiFOCk?j+hyqIDDPE~4E{w0nqlFVXHJ+AgBq zPqYV!_8`%A6KxOC9wOSqM0#qiMF3;&k*fd zqCH2n=ZW?L(f&=e7m4-~(OxFn0iwM^v{#At8qxkkwAYFD2GI@@?MHyg3(||@`I?x2n04@VA2WA4ZfPVqAfo5P1Fc)Y6 z<^l781wbp%1}p@w0Imeufev61a20Sha1F2+=meGkUBFV{T3{Kl93a37U?s2$SPiTJ z)&kc7>wxvZ24Eww3Ai5E4BP;00VuE)xDmJs*amC|ZU$}vb^x~mw*j{UcK~++JArQC zF5qt99^hW!K42GcKkxwXAg~+Q13Uyg3_Jon3hV{;0gnNX15W@?0vhlX@HDU=cm{YD zcn)|Tcmen~@FMUM@G@`!cm;SBcn$au@H+4Ya1eMCcndfLybT-%-T{sP?*i`u?*ktI z9|9i%9|NBNM}cF&r@&{x=fD@hao|hfE8uJ38{k{uJK%fZ1aJ~K1^fW~2>b+`2F?IK b1HS;j0>1&j1AhQ#fj@!23=e338$AC7>{Ya> diff --git a/.Floppies/A2OSX.TEST.po b/.Floppies/A2OSX.TEST.po index f1dd7bd6ab42c2388770f029fc16e74905c595f2..2a4a6aad792edb9e1459dec8b9dfa8963551119a 100644 GIT binary patch delta 23593 zcmeHvd3;kv_xGK9Z*G#V>6&!qc1^kvTA)x-fzlRQX=!cC<{A{FWmohOP)t*5B{_KW_@j+?ns3 zIWu$SoHJ)8F&G9K36BE1)FG+R&)=?*feIpfYDrLDH%DK%fW;k981p`SW-So zTRyqGBEJ~Jugz37wqB{r2P8W{L zEgGMf@2(km#j9esyW%iOq(8^%J$KF&Nr*lcNii&-XB#+nBCl+Mn;QEWxBSAd>=5+%Ef=L?f7- z(bDt==T=z;n<-lrFiHN7`sO?8+FWC$X-YsMnr&JdFh~Ek+LCG8VUSvs^z3A3SGAvw z_Vc0rd};cfBuil9SIHY)!~w;hHWXdyuwZ7)CZjj1EjT3hL4uBmw~&x_vYFT>?93*t z<%;u93k7-S*gWSZXXgRueT&Tev8@|2>fUy2?dsmv-3>L3a>okOKLTTc2UnW0yUSK( z?Ci2VGF+w=AFzK6xV7ChV$Qnv9q>;_f0$hJoNPf|_t84+JF{$q z!?9t%W5qX)x^Eo?yGNRGg43c3)HUJlMd}*d8F;|?`x#r_w(nB6exF)2G~o<0JOd@- zfU66BH2R0stv{w3#sWoq{#1o+e|3-z8I5ZCi6ncfNf8pEYf9bP%yzyu$XI;ZSbAD_ z)2O~2R2$UXZaZDAr2nn96&b00HsrToi&>42lM!6>R=mY zd|z#I8ds>Z>BWhLN1MA{L(NhslhA3V^C1z>7}XnBtG6`OD*y+au26U20Q@27h*9nQ z*qd_W2Wpp3<7#y?QA5yQ2#8;G^y^7{W#iL{sw%>mm&8#q<|i4SF--{V7dkS@hS4LF z>fTkmb^(K3A3%eq_d*l(@2l4-_SF#U=zVo7)a!!SWZXU-%eaS5eiD#(SBHlC4I5_s zKy4$8bJcV%OHv`BZztMiH|MI4e4ut-(^>rY%vbML>}%fOl}u9cO5c-aD@ufsy@d0xF6`?mc>wbSo_ z;&z?iw<)e>gNrcwI5f5uH1;jMq>G;PkT!JLLaUSL_=mQ@=IT(JraEkDxXsK?zo{dv zf8ds6<9Nr)^p-L+ zXlH75Xk$Zabr}7*W{We1HkcgBp?ZS`9<8BE71pq&L8@t?)uA=`8uxYu>;K!B(SxELtvAJGB@6tFQ$<|q;AfvE5ds=vPaBZ}Ul5K%! z$e5SX-7;Jh7x~EN6_U^_T>kO>q@nzq>1Laztja zw&b2WM~9_C#R=tkWrY)XJ4Ag7_M%n7RqXJKnx%ztoNO7^q*P%wZmFOQnC- zZk?T3Hz#w+^y*4j%AxewjIDDs>*l#L{)--K%KhdM{mYqkuekH}00>kquh_P}mRa`? zcZm~9(%*N$zpe8#>t6NF-uilG-2!(;2a8+o0465U&&Aid_7<35=9n=LvZVn}=~EmE zSvuxWJTNL8Y*T(5QCqihp>o3_ll0q)gwK9xTh(UMa_@Hb-SQEQ{X&N)*t=}~x!g;p zx4s>S#+vHCjf-_@XgtNZCy>tHtatRQ7ZiEITfGZKW%Tw`kf zp-kVXHSX2Y^Am)=f5~ygcVs`u7dsC2jBU5}w%**Oy?N-r!8Uua_wB?1=Lub1qc;1h z!`P^;#x~dO)n1iCGt~%mycZKP^9Yp4J*xD^i1ZaFwZ>Cg zTN*chX0K7?M5FEmH>7pPxsS$U9IVmFqbY9e*!dSG;fQy2Q`>=&DA5#iaESg*rKaw; zz0HJEZTr!vSK4HLIS#r-V{WvC(|@SVWj5uC8==OVq2@4sUFY+W<%ildRnKFIbh z;LXiEZjuML5O8^*-zyw8o$~jIoOZNW(V#ulmDS;#bTxH!p3`hWeaS&bq0rRq$&SU5~XF!2bty_3QIqu zF)mOV+ry2w!&3r{Zz(zbzgQ_-kp7Ot96>j0%)#}T@pYw5PB)wC4}~jRG)FG%)u?pP zrvfNBt*P90MzeLX>D-~{kXl_&V}!OS_zQGwnZV zDBaI=@#y1zUn}2JcER5YRhM!{f9GH%)%Aa=|Lp#+nktXoK`WS-50IHSvBI?Scsx2{ z`r>%j3$q3oXAh_}R>J>j1L}et#t8%Jf*r=P0d>nAVAD6`W^BmIpl1$njcHDD_Gc5r zn=_n}Ik_2z+cmz;!|YNR;=ByQB@5ZUZ~*Hx+%p_l?z831w+1vnwRi zG0pCg84E{dtQ(mjYjO}ym*#-;!Wr+g`bs~pqy<*-P%gJwUhnNCXFc> z#>|XLqb9?cVH$8UJgQsky?eoyR8`NQ%QIQuAkm~dIaHsR36Iw4OEGKZQly$Ty?Q$M z29@9&%%(?Va=yW!Oz;i3G(kf;l1;O|!JtgeH-IPBH-IPb4KVtdOwKo$0~xGuP*siB z&gFcAL7A*?Fr%8V&Vw?+H^9@_3SPd!%%oYoZ%_`^t7igl@G*(VrqIy|U0@ z)SG_)>2*L&KfMXwsWTao3zZ|ev6%|*b)y|AGS{39V;qK5SURO=!b9IuHZG7tX2G`G z;ae9g>F(~E2GgQ5k@|&71$EOAvP-42I=dVp>G$@Q`kPVx@j~2rt!|Mrg{P=Dd`>OJ|cs=QwoR7ArRy9F5ByjRwcIx0IU}kelvF zbz2uGp*b5?)ZN`<>fqr_2W8JO^=pv?u2z1m9IhI!GFxoQqArzbP)kC;ACAY$G2*R-EmOo)!sxlMxvSCli%y_+wRY^XY8ODFAEQDE7@3(Q8Y8f~>X0l#p zG^m>3{cRQfRHH@GxKy=z6f7#}K0U2bhYjF*bY_WPwf~B}D&syCP;OLhY5Y#L%8#XQ zMl1a|DqnL{_L#=B#>o$>#zu^d7!Wbm^m1!d;(42DMO=h2J_2xlE-5Jd7>g<$_`vJ^ zjENCV@SbF{x5h~ex%3mJGp+s5m!^BIBj|>R#+f0;T@g!vs;smHS-N3_J4mMktbfpo z0};l9rio`Y>DtI@!xq=@jyU_U#_nKO7X4Z~Os>oov88c(h%q*jCDAXEC9zFw`uEv9 z|Cv$t@vv7}-fka9FEu?pTaxf=WM#GvwrY??*UcncVV3V~KJ=JIdvM)0qB+nh1Kgap zM9^WTwnkKIda^xy)Q;%gTZlc5=ye!)2)E3;Y=kA5ULI}!0ur%B%cCu)fZ&+M8c8#9 zZP%IWY{U|>T0+-GTV#87Mc0#i941@)RP>H1;M~*bylKX{axy1|K4sc}E{tl9HW2h7 zQ`@CPK8LO$ut=*Vkt9ab@V!F+0G?;vTj+HrLWHgi}nKFJJfi9Wm{X7%x+0pj1 zf`-*{qdPKqp;EWt=0auV{j0cr^8rKckwvQ`P`q{#o4rVBIB;a4a>f2V#&7n(#DmW@ zYX2UXaj2k!f{q%yls<6b=EBugC<7I)UXQHk4JHSnSoB7N4xzzFvM=y`x|msqBRae| z!v2SQ#I9{`Gu9D?cDj@~ild?E8Y3l847opo{*uuUC@r=$!v5*@w##kColfqf!Fd^; zXnR!6868x5nLwejGo$EL$GFY&^T+Ia&DiebV=J*HhunF$R!{pgpAtw*HMH0G0L{~o zM#~wBM8gB0iLlG)eUT7$IRBH5zQL4}Xc*ehd_bZozsQrYmHA^i9eI+jWxga)p@06# zT3l77sK%YkAj?;RBK46c3o++iI{)Mq5VH<5W$RukEBfq2(UD`ue-j(F61E`d+lFm~ z4L5#HSPs}oRz}iT`FAE;h{lAV)s?VzEK+k|V`z{g&dpw=U0c23?+M@Yk88 z7Fac_D53oL^UsiJ5-?PqH zUNq#onOs8Jf~qCDT2eKwvYLd(B|l8p(XqeTsikw4P}NeM1*KbXn-&HcqEO3=B2SuQ z>PbaX!LoX?0D>;JPnZKM-|H@LQT3#pUP%VrKH(&_w@*|qb6%;0-bDm%ft5=_b(pI^ zm#?BOQ%?M{R7d+UO%zIo>1lF|bl%a?#SyINRKH;(tKXcucpJh4=h_H5zRj-OF5B|vyC*A8Z*JS%R(bk#`|0+6=Zc0#>Of1dMdp*gM*bT4McWrp zc((m)d+Iru%{HO1@gxyddHQQ8V*f73x1aMaZ9Pdr>0Y7qYJ2WE5Z@1_r~r*+&Pb6q zeBkLCzs*j0flYF`ZJ6^xrEbOIQ^vQLXc>ANy})dep(tcxzLKGM_-L1*I5d~(=AYu_ zXdtCN4Lj~~<|#R%(NyLQIf@&({v_zB0vjdJqi;mm6Y2FQt%h?WLYx7O{X$&wP2Itp z`h^r7y#|%9M%d%%hLd(*E*VarWZLAYp9*UEZkIV_mcup#0<5#Cvvp<(eT@n6L5riI z^gcT>f1Z96x@Qu)cdp(3GpwksK8Oy0WhS-k=j%e3TYXSL;I6Yv1F8d;%%j&|a1uo- zCes&<2%6NwJ7`1cPcDFuMlWRE_C;Zd(^_01ApXq@obSKYtv$2=-tXHp3YOC!w%)gEmK!8&Hck7tu{hQ8r#@pI_eaTS7cpa2vS^X^&k zZ^hXaXU%6Db!Q9cftL)@MjewGfZjzB%$ETu6}`oD1fUZ18j}$SlC+C?G7x1Y?`pGC zTc;9r(+C~C`GUFI;@5Pg9*0G{8I;gS?Y zn0Y}c2`ysQ1fhkJRgn2fLufE+4}4U1y8I+_(th&r$=^;UpBi~;@lOkX+H>mFQ^Zd@ ze){q!*=hCZfu|>)o^@J!=K5*PnXEH&&n!6e#uFBbHgZ?R1+hFZW|O-n=8mLUv1F)Onlo0Y2Q|Nk@K*~T{_?<>>+YH!Vtmap z;|s-_hp`ZBM?BZ2SaVo05{_@&+%`-pM!Auu$N!kVLxJB$Oy{%6w?Q@og}x+o*(r3{ z09<`pjDxAQoPRtRkUBCv%$^vuLB;16&|Q|_!=SW<4`=<+-_Q!t(nF#@|v z@S`Ha!V1R~R(Qj@fQJL9H%v1wzk&^Ex{(xy2<$RXjh_lVh{P={!FwX0af$%kF!2bE zX1N6B;G}NSP?5Pq6r7@U`tYr~kF9QD;f3QMASxcDQGQ4qAh+n;!jf5POyvdSrw;%+ z5xzVf_Xt9BMI~bj$BE5RVZhZ3ea0ej)F|%}!zdLZv30^s0#^d_>Wcg#7!LPPU{o|v zE9R)dX`Dy8Ixiq!mA(Yd^Ase8Y3NkCI8H;C#LbL*BksMpwQ<(CopBfjF%zQxcr$WN zHT%{dw+yGTJ@#idJ7d8=hg4Z}urp+G*+9!43q}u$qZNDT^|XBm4;j$x@040_ z$T!?4G9nVvoz^T|ibut;**hP{aUxcSE{yV?L=1Zk16hR^r;X^5c(k5|2^%b) zvT_p^i-L=a#kq$RnE+yxA*{0wVV%XIgu=31Zyc3`WY|}JyfDEbt3WMuY++H67mi8? zYRTM+(FbF0F#&S9ITcxE%q zLqO8AgcSpQ;FyEM=+KJ%GVk6}j{$cta@=_{r_LK!UN~-aiJL)cI52lrSf_3gjzx+2 zd4=AcpmLA``!Jdx60xhvqf5#_mtpxHa!(=(TPz&eyBBIK(iE2FmW&%+I7Yxx6Oj+r z$)8qx6nbn!R}K!ZesN6DHhGsdAD2rLlhvA()HD!=zpN#A5Z-?PCoWTPiS-2%S*PQ2 zDr;!=Bf}nr?EBW2@j(3X5gg)eTp}MiDmO2G^q7J|2Cbt0wEh$CCn+r}uNV)22iAY# zzG#BUZ*8}A2Ob3Dw+sb&PcAS@PJt0j6QsrAk(T(P9(N5e>P3*2IfAsfCnOdn6_w}Z ziy920X2L*^>7{yL+KS3cMq_&S_`&?nhE}8c%v(rtUX};~*c^a;FTliBLyEbZ6CNZr z7hsnKm?(Q;#bfgd%RDGdPt5}yh))k&0%A~HQs$XkfK#tPC#DIV5XB(A*sG%~>@|?l z&wI&eEK-B=EUyq32lXaUdxw=hJ=_ctg-<=MJa>FWp=UZMJ>bR(t%|V3(!z0WfnvKt zy#q>MSud>(3#hc$d@TiPiGsunBS!?sBIf1W^0bE3AMkT1f40{H!r%z6w6&DuY9{)4^=l@v6P8TO%cGbF=;wD^$ z&rfCcsK|uG#i=&KIt(-H{H&w)JZ)6h)alGw^{vhTvk$2MKS1BoMrK&Puk2)6Nuo zfSL|SrKQPsIRJ~U(Kakw_vS!4@rEK7a5;(p$&e=gq41~oGE18AK@%P%sdRPyI0I1^ z%YD#aCDUyQ<}eFcf0?xy%ML)Wf=V(EuD?vbo6P2{W#7z0gkt`q_7a;}`@+;|FHNtk zf)&cHca(dP&9#NH?{FCd2E=2E5D>c!{I;CVY0W_7Z0(?ZJt675-eurEF%= zvrOS3JXil9sb+|-E`et>-iuLSO=z2M%TH`8j(#NB9u4%A9K9fRjQm-m^s^~;vPhb& zf}NVc%&h;JnNXL&O$rQG63;~C=utcs zK3+PC4@B9_x}$hi)b-ly;2ac8y>1v-7say^$$gmDF)>cOpWk&?u+85R4?|x2CsW|W zs#qX$+f?;Z#P{M&RGC=25XU~yArqnSOI(2K%UV&tOl>5j9KZG za4g||8j`-&$7i;N-dvYLS7ACm3Ug#616M5In|}Azvv3y{!8-+hz!kwn?X&6OOO){ zECV160DnT!S;)H41mz5>!iO??IT?i3GLJ_~RA>@I_aj4$p%_Chz z(R>Y^p33C(BO`G5{G1+fsiJk=5kNzeRQ6s#!w6|f3GRh6~5a%HQIUAcwy@YI6Z%c`o8)fa1jt-`7= zL53@l9+OH}r839v;Iv+o0t*u|C6K9NXXLq52$w;wdizBubeEHlB^RM)Rch@;)yu8b z7n^D?!3Rra(2vUj6o;y&_K8NFX^a0&rZs=nfQ=F z!VuQCa`aSH!#4{_A63k3(h;NXpEb&t)*Cev)KgHiv)47{y_f+3@I3`1i1Z zJdO8Dv&8QP8*noIQhaB;p4QWE!{50$_OByOn&6udm=Kx}kr0!RkdVTRIfLtwmih4v zJ{{d*2DISE@ENmMzh&oHd^KuV(}vGL4c+ZH^1)x8&-pV~aS8eAKVDzJAQ$=2`aAA# zy$AkJCoaL?GH?KbkPvUby9CmmRyiTXtN+Pm2N8r`hc2S{9?l^c%jMv0{v~*uqW_T0 zZ*f?2%Dt!$!KOYY*i_Mwc!-n!Rrdg^Pi_#1oe zMZvilQw8faBJBr9W}Ff3F%OvI1k4u@+VTq^MQ^2Qf?xSgsH}5hel; z2G2T-z;W`u_g5G}Djr%R2s!aV%}P#K5(p`=>Z8@B^NpR>G(zsrtXu!_hK-y4%lwJu z)6W3$A8Qs76;S)H&9*K7-deZqb9?>v9guz7`XmuUezCJ*7lY=>A6n-UzW7&rprFh8 z1|h*4_i@R$35mk-&9~ou|HF?>%?A!1I(+1)lR;*Q%lc0ufjoZl)K36=X#F?vbGoIK zdGet&7j}?eS-*sgwsSv2VW)K;Fxc_S1up#~A<-{GF?iCLiu`h~S*9*Szo!Vp;|_Af zq7;4I)|cbAnNKVD3H^ z7SW#`aaNBn&o9f#6XOK;0hTVn#MKcpvB0wpV<}Qy;Dc-W`0DW!du&cYoH3lj#tM5Z zadp6cvbe<4CXl0W3HFN6syHM{mbtuq(Yf}4E5$CMRgpQMRx;5$a^eTnrntpfBnP-L zWghW^R)YZdLZ4RSi+Xl~3J2J30Veh#Ay*V-Zb+hV0{c(TmCK3qVGw8H(yv!Ip*RKt za`oDEF5N;%sT;q$Zr%P5Jl?mSC;Z7j?*4fX9zbh?Q2q7bA%pIr&Ygb|GI>OiOplF> z!mva-nf^F&W1>!zneuqbu$0_}IGhYe=vOA2AXiE;&I|2koCKLcb|ai%V;c_Y^Eyj7$`t@_IbYlaZ5ri!|K9L$+?u1m=W{yo*aTyq^J| zte?RUK4diVXJ{XC7)ocJ_90WEl$u`tfl>qh0j;4&X*mCY+2=z_{2@~UnVf$xlsV=@ zs&YqZdU*p%4TqqIYCMLQbyw(gjkhJ2G=?U zV|Mvw?M=%J*4KHA^&ues)!!LUua2*|%!hM~ZjZ%oF`VpP?V@WMq$Ev{c!vHw-ae7O9>)v_B$JuP z2ao}S`p2`v$Zer4qu2>+h_n0E-cs=U3m@2yv6YITQk_Q>SN<0nP1+Oa{>-KU7me3xuQ-=@w>WKQa=vFy<{<*r_U{uO;~JZ{L8Kqj}Me3QZBKEj4W<*y|7NiX^Sp<1p<9@`nfOzr>z3|?Q49Ej=%+FL33EMB%?{J0*5lu85`xM;nCN`g_&&K$z3crY0$ zV9#FQ-8j#8THLlXAjepd0-!vePYI%@`CXdd7_D=MuVj~!pEq*e9`#vz3g7$-`c&z zoQevsa2!UB16UW^)t=5HXS}_vJXHqFb@nmdnw*MJWhE2K-BU(Y0CPM0FsII`fb)?O zkCmq;!pJ%Q#(5k8B0rT2%Y2iA$8owXU61G%CX zNjWhnYY3z}t+Pp~-%tqCKKA(V5hHU(yFzIZu%=Fw%XBPGOyNs}SnY286e^fCBHcw$bDxBre&&w#Et-6zDaE-TIL zvC(`UU@d}YE^Zq%a16}u%rXTvOT7TFSNp({;M}O9z&kK74Ycvof;R49QF3}1o>zd} z1%Wvc7Lt?OL(NjJf?Ay4XD6i|S5camQ{mQ%u#@@+D7=q_U8C3u(2K<-|Qra%VH>=E9+&t1*nmFjw5|xYv^wCJh}ta`4Q-3kJVCc7qHTzPwq{M5cWNja`chI>(*BhQZM1GEZrm|uF88c_i zp2MIv>h8{?WFg`EWEOuFi{3N7q2f6C2Ocmv%SFO1EM4tGr=;=Oh6$(0ZbE-{2%VJ< zm+$WC;1VOY`{$mIm&HdCN^f``3v4#1R|)?uY{4y0fh80k?}(4V@F*YZX(J3Cqr^vP zJUnNJ&q44wRD2A9$AX5tEu=#lum!IA8e|X}{|KD6drbFZL>Tk)c~YjhKd81%RVAx# zgFD?m^!-5%f1U@U3hozWy$ti=j`@*NxDXX|NcKCFD6F?gDZcOxZg&16CBARIr8m6& z&bv#hm$H$*JJxP8!YjZdk>elKaM%Qi1Yc?5k|`1bJ;k7ON$87fKpbKPaS#_$ymtVB zmDP0sn zz6hjt0dwE+%sJrF56wEe8*p`bd9DZoa$iHMwSuN`M~Z+N3lsQfN0QnHupPVy=C~tJ z({I4j$CTximKNo^Ii$XYR-fcs-6al5IyWS6$|^KX{Q%^a@U42m_>lwHKpsaO0GOVK zZ4jxcOAEO^c=RQ81X?WZcb0=Z)VE8t;m9&!y`kAoCgACn?$jzmJ5`QYM1 z>llfgfZ!rXM5pyhi4@rwX@T@FZYfNbL|Ny_k@Yo+g!pPtBL{gyBE|PQ7-S&2tnWhl zo9{TWdS4>3t^nvtiIiwQc!-0pgW|(Sx#CYi>YT^92TjiYr~Z=@$8K*Ppg*zCg)-7A-vFPXmN>n(+RNTWq>^`z}(E~ z+we&CC?L-v9@f5Lj{!Sgkxc20coqtZ_)F25PV5zJ&Ps{4cCz?EGF59VSd-DxD$tDdpDj;D>z znNU~&(xffS<>S;iA;*UBzj(oFPNCKQ<+(ZIiVDZ&mupKZSjqDx0x<=Dc*8D#9>z|^ zChIu~DZ9xAXW=LgX5yapf+Pt4^DhS7MqSoB5?|EGe1gkv!0HbMuY^G)IITEE$q+$G zC_V&u&nl;a{C&KCtbsvV{69ORC_GC4JaMtCq{Mqsd7BgL9!%u3a2*nfP7D4l3t?Y@Q2=wxio0_WL^x0@$Q&geb&(~2dy4P7=SF7)5y7r_ z?I;h2WGTR6c$jDCBZ%ONp7xb|5@7uWYG7ZvA&nrS#+9({tk!)LLYCFu09WV*Bqsq5f*dT4Jr5H)5z1Z)@`gUj z6^rwWwj1Ue?t~JAI%t#^eMpkg(8LIV6ZbGh5kb7#^kB&tfSLNh)T75$3>+=0D5@Vp zVbg{16;PwOMH@w=2so|KyZcE*B=&0t-+$~vL@qlJ0OM}NY5^=XJOX~mrN-Y4_8M-Srwh>6anOlpdv}6eS>Ib9gCn9?nn5FFUDjO6mw?N53>rs; zGt@l%S8EA1NIIO2)#T;FQvJ*NE)__PE+~W`0~7a>{JzyhMF{)Kopsa{A7;uO*6T!2 za;AWAp6er=K|N21G4e&|*D#@94v#bi7kDpfpf(j?>OQcroV?<~abEjRavIy?0yWbbzJxk>M~_OL+08Co2KhAdnN6gtD}(WOQMX$K;b$ z00a8m$`O?gtHRv-Y<9-U836lIfQc$Bv4T5=_s9e}iy*OovOcB|Tjc1%x-K2-b&dz* z<^nnQ@)ya4R1^*J&|+Ji2QWc}vAbD;S}3enudZSwd}R)6?a3S-3=8&K3cSK^;4Z_D zR2V*UHj`NZI=`Lr1y#O=fW`**Xly=Ei594cjU_^3-b|6Nfebw=II1G+oR*#>az(xl z)ZBVNob)7izy^8?$3h@CKp-bhQ*ebyie-{~1IVQc%z24qaZmL_z6r1!efn^sR6JK^ zsp$h31I}$~#7-!S$Fe859-$D0AOy(6-O@BZ5j%A`6X*WIHasE z0K(BB%35&6M3yPfi!=ebm3=x9%6|921A-y>2w=knnAgY^ddn@qRs(FP024-z6OOCw zP4ZWnmA}eL!6*3K`a31X7c2t((Y5nWDq1RfX9V^NAyunObBgjS!~oAhJs61s;Q#^? zWOFf*=%sF0W)Cj;TUZhc^BTm1@AZa}purcjx|H4KzVMk8C*Cr=&A|C^r1 zw@GCu)q57!0nDx3#94p^51RmQIbRPrwdF5CTwi5*0xny4w;E_Bz_SrHM?AMPw*OCGjJSUARAv9qI@oo%6I|7gdzUA@6@c zO0YEE8@bYbp=A~i3(f}@(CbC6f>wK}FHX)grMM6N@kUOtAD7}%y_&qxtN%UxSaw6A z#MTfpwT9a~cwsF>D7MqXFh3AxB28EsS~qg%-@-Ip-$xbeJwt!sZ*eKy((n+&z+nGf ztKRz?uHoB%3k%8hUS=?d5L0S9H9p`_!aPiXRU$0#K?b`JlH-C3=W&5S-;9KmT z?9Y3D-GA_~v#T2uF1g%X6Ga953+vViN2AJ+zLMNs*aBWwKDc1_m#=&s_9T+^K&zDnzQdU*;s>;DEn{h2-|K zeS{slS3qsEtwf#@5*dWMnV*kz4dC-9wNrL(7tN|r#Y zZg;@lsNPJZc*yZhAAAy8b@nn+WgC1Z~`gCJ`(Pvxyix87GR#W_$ZiA%oiU#gh8{&F6&&GJopjM zfiC|=n|&HlfD!uW}kCNpR|TwSe~`AeR8?s?r527N<5uX7iEN83$R^+ z{EDWOrB*&r??rAM;5hpNn_ZC{AEDvw21k=x4=^y*thr#lEt@{O$XbAbm(H6~afE|&dTDDb;6nP4Q^Hq0E4;WP{{^u10!(y3;p=T)z6IEb%>cX7 zbBhJ0l<7*7$3DYxjDd~BF%yZ@+;DnrsL0h&7n}(mCMf!k$kS{SUSEp}Tm!J)lJgPw zwMKCF-rK2_N8^E>rZDUyl2GqJ_$jnzi2Un@uLsKWeaQ`QkCs~mBjj@YlTRVpWi6EZ z>rLc0B)=UI9Y1mlv?s(cJz?DlLd`~Q3i3QSaw|z<62TGjn!Pbz?hOb7`8iO7N*sd@ z(ftB}o|NhB^w|MdCg4Pg4j$FZuc`-FZ<8EBM0+_xtY=DY2Ur|W4ICjp!R{>!a|K!E zKJ?@cz(oqJx{YW=-w@0f0CR_b#ZIIb=Rg>?2=2I4Xt`E*fgtpR49h7~l$Fi_A(9%?=Kj3OJMSns$sl0pxT- zC;sp-7XJYF>GKTBylLO^j9ud^X*4RJH?|1Ifhgig#)FOhSeo zyZkt`V`j-_WDO*@mw%^-Sdg)Jj$5W4B}%w>x>mlwqvJ&``WP*a*yIHS09IzKhcJA{l_#PAJWf4CcN z5*z;QuF(@|(D2@}yi(o0!mKbKfb`mBe8Vm`j6+}1{V<-eH@*oR6PC8If!G`Pmr2oL zDYmDv=QLZN&Z>VVYsLKPN(*5RWy>?SKATm)%w6#wTW-$%<~GA~S@r*R*X;z5N!gO| zGXA^ug{*pm`-u~tWW3q}f445rs(;?QdaE(3{zZ317sl1J024FW2jc5pUuiAR@yu9m zx2FS78FN|!6&XZJ;7wCt3+~E~Cu;9ERYz=CX_kLmo>0H1fh9uKGc}DYM^582O5PIK7aUFQ>k_^mT&E8M%vQK6RAJ@l}m1+coFDfHg>L|6(*Tt z4XH3ul)|#X+_tCGut#J1Uc)|_AddYjo+Du(dwIUF92}Y3E`8O0ahK-ek^cdE(1X3< zp!PZs>Ff7svVUta?a@>do9n;V{3eHPs*y?7;>Co*GFfFO))K3nH|uw4-XKhLi(V9x z+PwYyhtM77u+}7Wk9ksSRPdaU%@2*VrU5r1WQBQt>jq@yemYI7%rR~LXooqiEfIZh zE^bqyE^}quD5N&O)s~Jp^X|6zgcBNNrs<5PIq+-KNlkOm*QO5OXD*?(6IIC6jvw}z zm3xztbZHGlXF-~i-5dC)MczO-{n*9H_HT?n?7x$ZzU-sP_Fdafn9KGiJaSTFRb*Iw zcE)8i$7ihR)R<0b?CHGx>|RpjRinOx7is-T{-e2_hc%xN&>0)? zb+*2Zbj7iKDUMA0w}7{_3AmXa+)IGVQ9NrZZ?Wr5H)N)pvJL(8hFi3nouhWd*pK2y zCxu4O*(1V5>JLFHKj_!)#LqXGf8RHP$-z>~HwXR@6SF$P-o5efuN2SP^=}(n?01IlWN885Y1BH4p#OK<~WdO5dI}usJE_;DCqA8!(eI*Hzj@+?&G6cyLBQoPNt%UPNrD2~6ly*x-L1v)b?zoS=(ED6#3*+o4P>iZ% z+k5dpTr`c&G)>H`G-YL)Zp*Ci?{@`kv7pcU`;|s$(Yn`p1wh`;o>);;{U|#-Yl}0M z9hqfYU?I*q>7Ioy|AzT%t6H6xdn$V#HJXE;?5VUH zs~54_EQj2xU~kVdrV3>Wm!oi5X~kuw0u~5-3$oJqTj1sff}NM;ye(UATMQMt{_J^G z)nwfg7o*q$-_^SQd5>08w#T3x6&1C`LNt&hJI@?&Bs_gKpsN=EEAX*UZzr@sw=!+7 z^Dn(>`~0LuE|jg9x~Nw6$RhRubIFm|VJ~IH-CldY`GJQPw?9v8F#r3=NK|dMA8C;- z1LLvEy!dEo@|p-&#ep>u#(?_BmMw+<2@jlBV}kb_J8_^X+!YJ{%E^s2e%=rhpt zfn%HCz4urqylalD(pN`(Zfr?qT@QY~ss&0$72DtMDBV^Q(fq7DRpHWZyBrRy4Jt3L zF+YAh3R9uvGWBC|)Ow-U{-MYzIE`4P<~^B2dXlUGMs_-QB7Jzn$#eDu@4T zmm}8v8DY63VDu*vW5QL#p%wlk07k3Uj8R##>?%yeaw697hRQ-UC??*mu#gQ3Y;Szj zkDAOXD`k;2_-%YswF<%;3*DeFtx>hYyGg~~*KCzFzoA+;1r`qsl%3bC7gX%{3cqUq zHG5U2eX5$fO>L?z%}&)Dewe%kt@Y!He9seU#YCVfG-GjdilPvO5Ta?GM2#zP`3cpG z$QhB7B4?Oqw?`{3*i~yrMw&EcLwlG!GqSb8&y;0;w>>VUf-m12`C5NJm@SlHAv2(# z4sB~g$8*^JyoKLh+hy)Wqm|)y6HZJG6Px>kUE|o7IxVO{;fmbSJU_&g7KKTqM`04%CYslu%=3RH+EHOA zSgzAi#J*wv^<=T~Íy`5w^^W=_5^oDs>MlvYlVYe7=R+`9sXx1cVNkET7paYcjh&#_}I13@=!3e!NrV+Yn<_?A#sGK=1s) z{ATA|^qTp4=l$q{x#Cn=QDH3mOU&j>d2k2V5|m-5Gwft)zi}9QB*sXw$73vLdq+@* zi?kz%@d%iU4!z2etzh@ZvVp_ZwYha0^Xmfdus@t(x0%+&a%we-Rs0akKhH8p|2!F8 zGT;C60@S+W`=0~Zk<}5}`z%3g57xA6jz7=tk7RdoArwkSv$@F>3XjJ= z?oJv_LZ01IgCwj4V!Y9ZQv|4(iut**O98Kz-rRDifxGDrys1r+z?lIHPx&S zsU|J`tqmkJ&=JmSZ0X^1pqX73#@@eHQLy_^!GWJlA5-?A4O=M~u7zGfuAr-_Y8j|5 zxn>(>`h>FXwbNK2sCx^YH);w} z4qIXy=)ffHss>tXN2dNmAdJ=hS{KtmE7`U5u>M0%TGM~1a+UL3<#sw1yV3?4nuFy2 zs(FLyVVq=-T+gaF8-tP!P~UQw^QxYm6^V7N`rmfCdimVv=e}T-ov)%C>gFn{bL0_- zqn%eROY9Uos>2bnU9n|(?ct@zKJEClW9hLooo6~TP8H-v>A}k2NA-vQjQTTbSH~`R zc&_tY=Y&((n28Vf9uA0JdaM;5;Xi-IcmD4E^w-0|@N}Q}^jc@hDOeMWqd)^D>@skO^`Iwm@AR_fQ(95KDh-H@Xf(GqUC0!1SW_m%?1!^f8j6o-~`o&2}; zN;H}odrYNgALO!?h((Wcvy><<{G-EQ=CpQ3B*$KlbR@DLakWYm8FV$$5y$R6?C{mI zPz+~(;l5C!5h{TAZdW)J*8O$_+N!swWa}+5_Al;|5 zckD{;L0=S>_{0fU2&hZjv(DA*Z)Y3<>}%b1n~YTR@)K-bd+mPvbJ+#MxVL;!BAU!K z`=Tf`j63d&67E@j;)4^-Cw8CMcYVk;R?W=#MD_`zwNd<&#LrLy0P2px>mOZ zKcFCn)8p0?wD5kzk*Td=H?-eysB4TeT+Omk9T=yox$i?R+8-sO@3<-cC=~^A3;oe> zG@N@CDj!&Q(&e)k9KXE)B+`K!UajWaU#zCts*{diFU~z_th4v4;OX)gPFA70NJr+8 z3+bhFCDM{llC>wLKX0FW`(*ve=0zv9?C7&bdGjJJU>JHCC3EIsC=I>JeKiafqnEg0 z0iavoaiapzxa99T9L(0aRQ)_k&u;Fv^jrN}&oz*+h&O|od%M$-w$q4hYamj8ZSLugvEb@b#W^K(VZhscH$^0kSaKN%A#mc9PF?t zad2~~qjsr9&c4F^5{Tw0w*B17zV~y1fh!6^N#N3+3PQ_d??L6ZrprO7GhkBKG5z5W z4>uofKm6C>F-HoI)Er%TwC%`?M*@$w9R22K*s-z4CLf!7Y}v8UI|-_zd4`NX3f`KXSS9XC53X)29JPm<8Xo<#J`v<0zm$G#VPD(07%zhbV(B*Z4i zrp9K(j*1-}dnD#~%*mLN*oxRivG2yd|9{6c{g{L*l;qP{kbL{BF%!ka@Yy$xEkFr; zDzXKVlPu0jawP>wNWK}}RW?Fhpqo;jTcRrwLPCORghb^v6`w=%z_?BBmV`TzXCsoK zWl7F-u^mA$-$C~6gphsnz_|17mL6h4%V`xlspWnmgxC?!_bIiUSct@2s+-%UIfW=U zipiOho0mVeDJN5LFS-5!q-at+ib5X|N9`0xZ3ISnf?SEyJ!*H=IU=R3JWp4w(Yjfn z&jat3V(PWm-EtGO9ET<6A$E=kFU%>|N(~9x1!>@Vp)2Bs*z(*CM24WogD56YWb3rZ z7IDin8K+T{fln2?t1b~qxw@%kd2Xgaq_+r)_>B?-;|0?d>B_xf{Q!GJ%wbC~by0pf zPO7?*42dYBN1!Imh5^QQiAeCC3+SAY0dAs{5@#`&z#L@GXHJlq`#}L&?Oq>&Id>ZQ z5)rN|f|RV3*k*J)Jj9{V=O}s76Byc4%pHiM*0OD|qf?$RNwL+d6WXC-U*4vGIRff+YzaB^vL?%0$ zZHmieKacw>?nvCPaTns`@e%P!@nhqs#Fxesgi60PhOLifaWZBn7^;J9$hpMg+i=i& zCsa7*Z*~rcjRBPY)fVgw*{WjeBL%FLV4sg;19xtXV(X)YD)uwhFp`&j$0KHGH>XBAL_mqWBD6 zrze&@HRp+^sus`JXfyf2*%^}sY5fE8hnM08 zEVrN+t=kbu&PD65VVls0{)QbYhwMy$V>(HqCXyukC`5DULBD>RoRrDrN*`Z8?$SYY z)8O~qd7F5?z3f)ax!)Vu5P@IB&p#Kq3$W( zDoPMb39tt6;>|64I~ik$2X!1Po$2uE$fDo^d}t-T=p=%pTbGJ@_TJLq>@Tjr*f#2?zK%P zgUC_X`S#iV1-deNEQcyVR~{yn%!Jz}PP+XLcyzgC;nqU@z#I=JgEX-d)S@BfD;1(oI^b+Vb zddLKZ6y}YSKmdCTVBd)_sn?J~{=n9QWF7}tj|h{LFsyKTp03n`A`HwEfCD`m;4(oU z3X4lUlMHa?DHy~&aS)O|#20!E6vLhYW&LE3va%=zd_-Bfv`Uy4fZ9t~2@P-{Eoos& zQCV(9xy~~k%!`045_^?ki6y!sw`Sp?Fe|_stQzE;U?r6dny*zrEm2f`QF2rei@3)x zE7P0Oz9CPc{AE6yh`YiGB9EQQE=XRKY)YG-{#5$1^taMKO8+taRC+*0enwHo%#7I? zPsByXcgLTFf5IQ^x7f1ep7V9*xx(F~id>e)?F^+85?@ZU8{Z}fW8;Tib-%FYl-hc| zWkN%{bC|^kT-p_|@T{5Jwwp|guCa_?+wO5Xj{mGUSN&U!W!&2Kxfi($yTR)H0omU_ zoSlh(WS(GesU4YYU$P@I(Ur+;Khvgh1;9SNC-pJNt8ZbK#5uCqkJYsei5D}K>`JvG zVb4Y5hlzh?%GgQCuoFF+>KMj`rm_CX4kh3UF0pnZTfclXn|LuW7hpMwmonvvmjnIT z-3cF_sXNR2Vs6!UWa=oCtkQ$e!mg%%IRC-6B(tXzEMZoG2aB#givO^@+t6;k!o8>?BMh}Necc6Du-)Gpj{}LTF1g)@FG6O{$vVnae*)kr#jcaIk$@mZiTEP}1!t2AI^sLH^GjeW*HnF+NWwQ?|@Ko z6b4Bic2=4-6pDhNpe#$AZC#J5>aOZDb-z{-)n}o? zmB<#Ru}jjppDvNCL6ZvW4k~3(slu|Kn+EAWs7={^#tEYpX6FpFT#{CIM)i1m^_kYX zv+#jQ@ok`m%U28M=Ixa{gP6Nu%umz`XeO|9*X9}+%91pUYF*o|(yusO-HjhjYE!t> zmUr2=Qn67I#-ZZJ5w*5`i!hX$s=GGhhAKVQE1-;(h5a^_yYws>Id272u%pvf*+>1< z*V7jM~IBiPC`mPrGSJRI_J!;`+Y-KoR^gy-3?#6fzfaYkwk>gOZ*k234D)Wom|IZrtiU&G{W9Rn(lP7BnRsB-_#Y z!(>eQ2k~FTpNc;c-xJ>#Z(t4Vi}2@E9RAZ4r%3Qi2uuh|h)NiqkeHChO*>2)&?xTv z!{mH)jT?1@{0S}Ovhv9O#$)6<)b!?YasjfnlRo5=OZnL4EGc82ese*Q@;xCTvks{JC>O#6$rC5!mceG9VAW! z83dtp5Ji&otESgp2d%he3!{`|?He3Ql=az0P;&as_1s(hgA_{X_x8IRHg0;)^1k(h z59>Hc{=5g#aD^?4iXQfneapvN>$iR4XxP5vKc7N_%eF!)R@V60=U;pY-)`C#P`>2O zub|jxdxnycU+?0J&r`CXZ+3sT=lj;Sz59OHf8a;wPX`ZiK{4djCgx@8Mm&A^=&|E~ zyk+|c_&RZN{b#;?wgyT`o$C4ps(Wo;QgZ6_nX`QPTS{iAK_kedY32E4Uh~YHgOSe> z1>{c0uqaiKW3F3}%z1!)Bnk*-Pn2VVcTNq@o(m$?C1Rv1O>)YV!jjP?2Hi|?RtV-V zn7wz!W%QRvp;Ic#@=J5_q{PQ9fMtjaG)XeV zG;v!ctq<5j78ZN@1ab;eMm!<*Dv2ZlGnbbyh0%V{t4r)vVh*Sk&+twK4FhU(+zKs` z1KhMyj{-ukA%J^mNU!k)0|&vV0QQ3jlg5ycD=9NCl30=={v5b=d4+BRg{FS}?Z3QI z?5E_m;|SS~P;%xEZ04^*^$lAmg1-lJ}MlcZZ5l@TFV{oCIh(>{ZtB^zSHX;^y{^i(P%wM zf5Z6PXQ7RHB85BTgQL&qQ`$1?OpsJfq_Q=>!FgCd3yHADpKk;7%?>PA`~^)I*#(fLxjEgdv2)u!DE% zfWc1P*RouUl(1Xpzj$H>7$bJ%O(PZbA$%8>7ShW;^xufa*&>+ATQ+~%m-Y_`4C22; z(n=~gG>k)OWN%{vt&mR|!z?833KHhh82?iIq~ylrUCEo1>yz=u3u4T6h+M3X;sEG& zA*yW~>5ON$#MgFnyF%&M@s=vsb9M52uvrPUP0&P4?m4aq`z(n1q`IyZ|E>PL#zJzh zzeBT=FT%d-qVr_kMdva0i$q6~u+efd?4r2Z5Pe&qi-y}(410rxxZ6G*qPeQX+IsfQ zBnQJDNGj;z&Zp7Q^4;-{8SK?KZj>*bJV8_U8@~fOhu_)p@%V$kq+y+c=c*;n;aBGh z6!tiANh&=Htqa(z+=6sE6^-ZCrPKEW|DiMbRO-D5MR0+^3Ks}C0HlfN4jA(KD0(zE zQbYTbd^wD}M?G5)MS3mMVwEBC><(gP|2^k;mHuCpXb&-LWUSplLsxlz9b&T4o@Dq@PHw& zz?|n|7{BmRlLrRo@Q9DgtJr&!2E^s?0JM9HzBFdUZ$4GPR(OyUD`Js+5#Pa3k8 zfd;JQ_#wP`uVugpYZ=Q98x4Lfy9LPy<>$Hj`Q(HYKw??qK%6fQa~B~dkJzlwEq(Zj zKU{yfn$)A|?~rXQO_DLgVP*H(CeW0j2hApvz#kXpV+SC-m^knj5n`0&<$EfM1~_ge z8DJ0;4oX*vK|prac#&E<_|J!gz|nI_-yJI$#+7=4g)AU9RqRy?7Wmk#Y=)Z}!Hg$q zV&RaOA_a#Y_hn~##xRleC(c9&%t_RO3kQUkgn^z4{y1VtT3TJEttiivTr#5t&v-z{ zetAW|@fCyo?DT*1vxzz7%nU@G=R70fJ> zbXvwp4F{@n3;eOK?I9A`9t7C93E7}Xy|yY^&fErh;5#RC=mqA6?QuGqoTkG%)@Y&? z)c-n+Ar2#Kh{L!W^5nd~{U6*#C|B|(o%X-(0z3Wxbr%qqge3oexr@yIM|V;2rs0pswRZ6obVR^StzKAWAEQ8VwmpSK8~&@#ga zay^-tljFTzA(#iiR2>^~c9BwAk~?5$JqKVX#EpowOH#uzKHi9CxgFJ9fIT$?mINnb z&LprKr3lFQ?pXlwsre zEZEz3#Z9s_2r$cq#T7-K-88ctdOafcD!~$Sa*MssOc(>{N2tRDhbCn>;7Dq$+vnj= zrUsb1hMB{zMcV4c=T&&aASDGZXt(HXC0L5KFelgRl#5vl%v~0llTuO%W%*uPU+DEs zfPMH68^=$XMtn?A01T-mr# z_1CFeQsdS0$88_8bIh4Bmz(Zsr9VM_kKi+og^Lz1dF=5gp!+M0`)D2IJ7b)13y#TW6Wvc4ei_e>%YZwU*YsAoh4}pJz^~_}uQWXU z)ALnpw?n&1_-Dlr{_;GqhQimI($`@48Zg1rM;LsKlfKI1;rn>$dk}oRL;4y5UyGWq z9idy~p{tQI5VintA!9XiU9-Fifu)P<&!)mu>mg*+TS=A}Ti2ngQdD=qWq%*|)^w>8 z{JHHkOpH6@Nz2J+mT~AZ(`UOt%WZ#ycfU%@d|xoW_|nTOs#m_U3THR3+HTU3UP&z( zPHOS0d68kR+MY=OGj&RbQ+!l^8u_FqY&n_<=8 zFRXemYU(^l+_*7#;9JRIL`)=J&W0f4O);6ZiA)9CP?j$NnJ<93-2!thaBYjl(e@@X zrK~Jh0s*;Z=(SF?HSW|HP{S~he=JC57r=H1Az;f@k(yx>nK7+2r=+AH-_0TO9rSvS z(CcTCNHTblzyY$@H3QigVujGF7fg^Gz(xx=<_CZo1lR_NT1ttIAA`qY!ewTPC>51K z8pKF9b0s3VLjcnXunrHoroP)i3&{80=hfg2nT)bZ8Px}DxiTdM8C7`LYb%t={XX_& zRvVkRASV^du@BH^n&=AIttj$yoM7#BlT$6q5=yKcDO`cyU zC)hTF@*X{Yq8;aFZ#I4`i}4q)*?k5I`!lQt$W>!iz?%`iaEc7m7MGQKxeU4sU=NC0 z8&FsgmWVGIVPz0s^l6x#=S9^4$Ks}@D=Vw;ObuMbI1ALG_@{8=Mj{u7l;ml z?g3n_Km{wTNG<}@0pHZ~^!h8nl)_Vd>p+Bs78Xl4*Z@nP1K9l{%*~wP6d8rjMDjE^ zyU$ake*;{Vfa{WaRh5*KNFX5hUx0xD;3Wqd=4S5R{L(U=v{Ryg2N>Kuz%Vc7BJo8$ zAU9m>l%UT8?p^`c0&7?x$Ds*y>iV5BriuANc0)$}(tQT&MB^@5Oqe){N1=ET+7A9z z{spW7`;c&H()DeMzk;|xk||NTqP+Z>8f|%@U>4~=psijohn~@1BsJ4>ipp}zrb2|I zDZ#fgE|E&&QUK4@MYoIrCj7?&cyB1cZh!(@7Zl*)+jtcC(&R-lvaEboLB1xpOw=j5 z7ka%Z^y-<}i!c@W1qE=?)WcjKz{-X&ms*&kE0R!f8I_`m2%%Sx>@Je&Q*-XsX<=S9 zx?CY$Pg6=_yzoB@V0$Wzh8$Uzn^RPvE6Oj^6qjQi@TUTZK;aY%8wCM|r(%<>T}I1X zI8V)?|57(?Kg)us>o;%l>-3V$m+XftbQ9=4L~`f^({JmOF%%_ZIOK;9_n06*zhRIH z#TVzoBUBv9gis=aA)^g*s57O-#ojB)+p`dV!|Xi(msU|qXhFeL_sJ4gRyu}~Zo;`! z6VcQ$F}UUR8Qub70p?Z^_lTmYaG<8mnj#(a(f0uEK4HL~>$%ZXBwps4DIN~#Qh>z@ zFps>Wso?T~o|Y~H*hrBYcv@bL(NuI%F^+9D?qeXj+yFRI#1S5xx-e&YzSJo}-%C-% zW+8s`n5t+hG^b>$=U4}eWF}C{5vjSCc{CLQ?#nF>{7KIO7_`Id(%{J@0Xe`)cdY?; zAK)P2!mnS6TqwT!P2cD49|Dt5X=0S<6=%&6g%y3dzsr@k5 zaw3|dQi7&<(Z?JQhb|_IoVdjlO9cs5%Y&sy0L(lDmNK=deDqYwE-`TwL(CUbX+VwT zS86PkD&jQa=x(G?QN*vA!uW~q$XqN4fbrLxH2@Yn&Vm0Wqt@RI6S|M3(s? zV+bq+->HuC3<1Vk0)zP1;6dQ)so&YM8AgUPOi8fnZrUa@zW8>b8I^`}0eZh*ZPS@C z%w7DQcMh$gZ`ce>fLzO89V~_h*KMyek>W11(ay~AK?&TP9gNT*3hasui4?Ku}sn=_3V&sNs>M4rXNw?zSIe8d#^3)KW3>tVWPSEpUI1|O;w0N{5 zSnIu(f!ZSgOBn(S%gHO$6?yGJ>G^<56mf3%8BK*@#=Ml0t^`=p5Excn?;RIi1+erX zsvCxlgBO1W`cc3&iR2^|k0>cEo~kSGxOaL1z<~Y$hX?Bl$hr60c%tcr0Q*#gNtP?I zoWFYDQ3ZN2nD$q2lqse+dAhKiOQw4rT>?4yJ)>vUOXNbz3&wb8;a;Brm}t51j#i`= z3d_`Ms095az}g2gM+U(<{Tfbi$%p2Gg7n$GWx}XMOCE!Auk8fm3r74c3i=y6pueR+ zC`KeC^_K|!dGkd-18Ou$j8-M?dHXz5VvJq})Z7+8n)f6uv(euA@oykEN+c)ERB*XO z3NuMR59HEB=Df7BaG>F#UjW#JA!9gHBAupVYK8!8#@$Xv8bn0lbbM~@(M$SufI&bp zNIyVw1GuAW0p?a-Npfj!r=S&7n0^EFLylcP=tr02+JehxV5U4TdL58kJ7f@{_$MSD z5Qt;FRpT5RFnHaegh(Vr- zlBcAUFM?~(ibo)XsG0^U(aYLkM)$4!M_3Yuc?odetAk-Qc69s&f7s_f zWV;2LY-;18%6TJOtNEyn79>QV`FG z`yh$A;2dvV0|5M-nn(~uBI!8pwalwm`Z<8LVCDwez*N|g;8A#7^>R9tV3Gt06Wuq8 zu&AS{3_hra4O2lLkGxS!%ZPL#207Q?ePW>i3(kkY&+A3M3B3+7UA&Hul#@QT+hwTn zHaRIbq|)7k20tKl#T$Vptsn&}l`bv|jT`ydKf=`fz^4=% zJaYfxA91Pty6_Mqh^~KxdGBNRj<5V9EF{-^jll%U4Ird=aR%Ls)=CBEy2BztptJ&D z>_5N;1JM{S&OIFGo(2@(=24A8od8;ZV8kx-DOx64C@W%XT(@^>xdxZ@v|8 zkbx1oU|S?lA@}X)&^xHlwhnMV|8lzf%-Nn_&;9n_-_KvT_y=6`o;!R>h0?%5XoQS`D1+q-*_zgGO5lH%@rs!YgXVZdx{QSMPR*_NBF&xS!FWN#B zsq~1r_ypdX-Jwv@iODHy{>wCl9R7PLRHO(sq=B50hlqWMfzJ3R0Z?hu7MP#xeOdnq z3&|bi-^O`V0&*bIBqriz;-P0_mx4f&Oy$|N~IL$l2iG{flJEk`R z%x};#8R%ZH2Ql1u4|K=R<6o|b{v{c1Rpfa6@Q(hFmVP%Yx!mBKL2k$fy@FH6Jb6Xz zVS`hO9{~(PZ^1`O5)RH8WQjKeE@TL~2>2Opxfge|9boT>Fe$2pALn@m4PgJb0PLI? zHi(^qkL3DNl*4E9924OGNCN(!m=s=|UK=HvgSHSQ2r$uzucwdUF1&ur74R0o25ZiG z4lyMDZyHxOrYU2{08dv0v7V+OO{L&8j5g1MqqosCArs>pueqD%6}5mW&<#Kh8u9G4 zNKqB2^UN&oSZ+JuN=2Nc&%sj$g-bgCHrUxlQ!!rg4GuWz{{Spbpa$`cP{2DzU9PCf z{D*=56mU^uuWt7kJv5)v2rzd7R~ke{VGblx3*hohxrXocGf?MBArs)$Yw$Gh7#NuQ z0;uu9GLGdWJryPFksrPDA0-?DA)ogk=&ym?V?)LOzXpJ?5LS)&qIUtcSmCV3o!8@I zxiTe5Q}v%XKq-1{vy>sW#~`j-q|70?{3XgSL2GE+V@jI(vKikE@3k#eDy5SPrOfa# zeVk4aqfmEW%pT}#tJs%hO+o=SAnVWuoF|B!OLLB49&-pJ>Ae7hCCsbPKv@2O?Z7_3 z4IhFF)_Ek#^GE*xut6I)2$6#Z8VQ2l4={g`nlwql<=(7;siO}7&b?jq#to!L{|GS6 zKr;co2ImY=NP>0(%pGw{)P`J`KL`RY7q`4vg;*>c@DgyO;5Fw2eFVtq#X(%@778#{ z*n@e$=%WCG+n<6B@xa2SkuVs#cPuZH)}sRx2Z@pAO;Esouu- zm2jaOe(gP~Ov@=ZE9a7hc}+_;E7wwJH;1+=Lk%Z@ntNKiJe>xY572IcZUWuuR+ I(Rc6v0f;A}=>Px# diff --git a/BIN/FORTH.S.GFX.txt b/BIN/FORTH.S.GFX.txt index a8b854ab..290c39b5 100644 --- a/BIN/FORTH.S.GFX.txt +++ b/BIN/FORTH.S.GFX.txt @@ -22,18 +22,14 @@ GFX.Close >LDA.G hDevGFX GFX.TEXT ldy #S.PS.hStdIn lda (pPS),y - bit #1 - bne GFX.IOCTL + tax + lda hFDs-1,x + bra GFX.IOCTL GFX.TEXT.RTS clc rts *-------------------------------------- -GFX.GR ldy #S.PS.hStdIn - lda (pPS),y - bit #1 - beq GFX.TEXT.RTS - - >LDA.G hDevGFX +GFX.GR >LDA.G hDevGFX beq GFX.TEXT.RTS GFX.IOCTL >PUSHA diff --git a/BIN/LC.S.txt b/BIN/LC.S.txt index 7b285218..bd52196e 100644 --- a/BIN/LC.S.txt +++ b/BIN/LC.S.txt @@ -25,8 +25,10 @@ ZPFileStat .BS 2 ZPFullPath .BS 2 ZPNewPath .BS 2 -ZPLineBuf .BS 2 +hSrcFullPath .BS 1 +hSrcNewPath .BS 1 +ArgIndex .BS 1 bPause .BS 1 bContinue .BS 1 @@ -63,13 +65,16 @@ L.MSG.ERR .DA MSG.ERR CS.INIT clc rts *-------------------------------------- -CS.RUN >INC.G ArgIndex +CS.RUN inc ArgIndex + lda ArgIndex >SYSCALL ArgV bcs .5 + >STYA ZPPtr1 lda (ZPPtr1) cmp #'-' bne .4 + jsr CS.RUN.CheckOpt bcc CS.RUN @@ -82,6 +87,7 @@ CS.RUN >INC.G ArgIndex .4 >LDA.G hSrcBasePath bne .9 + >LDYA ZPPtr1 jsr InitSrcDirYA bcc CS.RUN @@ -91,16 +97,14 @@ CS.RUN >INC.G ArgIndex >SYSCALL GetMem bcs .99 >STYA ZPFullPath - txa - >STA.G hSrcFullPath + stx hSrcFullPath >LDYAI 256 >SYSCALL GetMem bcs .99 >STYA ZPNewPath - txa - >STA.G hSrcNewPath + stx hSrcNewPath *-------------------------------------- CS.RUN.LOOP ldy #S.PS.hStdIn lda (pPS),y @@ -282,16 +286,14 @@ CS.QUIT jsr LeaveSubDir bcc CS.QUIT >LDA.G hFilter - beq .1 + jsr .7 - >SYSCALL FreeMem -.1 >LDA.G hSrcFullPath - beq .2 + lda hSrcFullPath + jsr .7 - >SYSCALL FreeMem + lda hSrcNewPath -.2 >LDA.G hSrcNewPath - beq .8 +.7 beq .8 >SYSCALL FreeMem @@ -335,15 +337,7 @@ MSG.FILE .AZ "File:%s..." *-------------------------------------- .DUMMY .OR 0 -DS.START -ArgIndex .BS 1 - -hSrcFullPath .BS 1 -hSrcNewPath .BS 1 -hFile .BS 1 -hMem .BS 1 - - .INB usr/src/shared/x.fileenum.g +DS.START .INB usr/src/shared/x.fileenum.g DS.END .ED *-------------------------------------- MAN diff --git a/BIN/LS.S.txt b/BIN/LS.S.txt index da3d29b5..6091aa91 100644 --- a/BIN/LS.S.txt +++ b/BIN/LS.S.txt @@ -27,12 +27,12 @@ ZPFileStat .BS 2 ZPLineBuf .BS 2 ZPPWBuf .BS 2 - -ArgCount .BS 1 - ColCount .BS 1 bPass2 .BS 1 +hLineBuf .BS 1 +hPWBuf .BS 1 +ArgCount .BS 1 bPause .BS 1 bAllmostAll .BS 1 bColumn .BS 1 @@ -148,17 +148,14 @@ CS.RUN jsr CS.RUN.ISATTY bcs .9 >STYA ZPPWBuf - txa - >STA.G hPWBuf + stx hPWBuf >LDYAI 256 >SYSCALL GetMem bcs .9 >STYA ZPLineBuf - txa - - >STA.G hLineBuf + stx hLineBuf *-------------------------------------- CS.RUN.LOOP stz bPass2 @@ -186,7 +183,7 @@ CS.RUN.LOOP stz bPass2 bmi .1 jsr GetEntry - bcs .9 + bcs .6 jsr FilterMatch bcs .8 no match, skip.... @@ -196,7 +193,7 @@ CS.RUN.LOOP stz bPass2 lda (ZPFileName) cmp #'.' - beq .8 + beq .5 .4 ldy #S.STAT.MODE+1 lda (ZPFileStat),y @@ -209,11 +206,11 @@ CS.RUN.LOOP stz bPass2 jsr CS.RUN.PRINT.JMP bcs .99 -.8 jsr GetNextEntry +.5 jsr GetNextEntry bcc .1 bit bPass2 - bmi .9 + bmi .6 jsr ResetSrcDir bcs .99 @@ -221,14 +218,14 @@ CS.RUN.LOOP stz bPass2 dec bPass2 bra .1 -.9 bit bLong - bmi .91 +.6 bit bLong + bmi .7 jsr CS.RUN.NewLine bcs .99 -.91 jsr LeaveSubDir - bcs .98 +.7 jsr LeaveSubDir + bcs .8 jsr BasePath.. @@ -238,7 +235,7 @@ CS.RUN.LOOP stz bPass2 jsr GetNextEntry jmp CS.RUN.LOOP -.98 lda #0 +.8 lda #0 sec .99 rts *-------------------------------------- @@ -720,15 +717,15 @@ CS.QUIT jsr LeaveSubDir bcc CS.QUIT ldy #hFilter + lda (pData),y jsr .7 - ldy #hPWBuf + lda hPWBuf jsr .7 - ldy #hLineBuf + lda hLineBuf -.7 lda (pData),y - beq .8 +.7 beq .8 >SYSCALL FreeMem @@ -830,20 +827,19 @@ MSG.USAGE .AS "Usage : LS [-A] [-C] [-F] [-L] [-R] [filespec]\r\n" .AZ " -R : Recursively list subdirectories\r\n" *-------------------------------------- MSG.ENTER .AZ "Directory:%s" -MSG.REG .AZ "%19s" MSG.REGEXT .AZ "%s %6s %6s %10u %s %s %s %H %s" +MSG.REG .AZ "%19s" +MSG.DIREXT .AS "%s %6s %6s %s %s " MSG.DIR .AZ "\e[32m%s/\e[0m" -MSG.DIREXT .AZ "%s %6s %6s %s %s %s" -MSG.BDEV .AZ "\e[32m%s/\e[0m" -MSG.BDEVEXT .AZ "/%15s s%dd%d Blocks Used:%5D Total:%5D" +MSG.BDEVEXT .AZ "\e[36m/%15s\e[0m s%dd%d Blocks Used:%5D Total:%5D" +MSG.BDEV .AZ "\e[36m/%s\e[0m" *-------------------------------------- -PRODOS.FT.HEX .HS 0406FAFCFDE2CBCCCFFF +PRODOS.FT.HEX .HS 0406FAFCFDCBCCCFFF PRODOS.FT.TXT .AZ "txt" .AZ "bin" .AZ "asm" .AZ "bas" .AZ "var" - .AZ "atk" .AZ "pix" .AZ "fon" .AZ "pak" @@ -869,9 +865,6 @@ TIME.SysTime .BS S.TIME TIME.Create .BS 10 DD-mmm-YY\0 TIME.Mod .BS 10 -hLineBuf .BS 1 -hPWBuf .BS 1 - .INB usr/src/shared/x.fileenum.g DS.END .ED *-------------------------------------- diff --git a/BIN/UC.S.txt b/BIN/UC.S.txt index 0a9046be..6c97e38b 100644 --- a/BIN/UC.S.txt +++ b/BIN/UC.S.txt @@ -25,7 +25,9 @@ ZPFileStat .BS 2 ZPFullPath .BS 2 ZPNewPath .BS 2 -ZPLineBuf .BS 2 +ArgIndex .BS 1 +hSrcFullPath .BS 1 +hSrcNewPath .BS 1 bPause .BS 1 @@ -63,13 +65,16 @@ L.MSG.ERR .DA MSG.ERR CS.INIT clc rts *-------------------------------------- -CS.RUN >INC.G ArgIndex +CS.RUN inc ArgIndex + lda ArgIndex >SYSCALL ArgV bcs .5 + >STYA ZPPtr1 lda (ZPPtr1) cmp #'-' bne .4 + jsr CS.RUN.CheckOpt bcc CS.RUN @@ -82,24 +87,26 @@ CS.RUN >INC.G ArgIndex .4 >LDA.G hSrcBasePath bne .9 + >LDYA ZPPtr1 jsr InitSrcDirYA bcc CS.RUN + .99 rts *-------------------------------------- .5 >LDYAI 256 >SYSCALL GetMem bcs .99 + >STYA ZPFullPath - txa - >STA.G hSrcFullPath + stx hSrcFullPath >LDYAI 256 >SYSCALL GetMem bcs .99 + >STYA ZPNewPath - txa - >STA.G hSrcNewPath + stx hSrcNewPath *-------------------------------------- CS.RUN.LOOP ldy #S.PS.hStdIn lda (pPS),y @@ -229,6 +236,7 @@ CS.RUN.FILE jsr FilterMatch .9 rts *-------------------------------------- CS.RUN.CheckErr bcs .1 + >LDYA L.MSG.OK >SYSCALL PutS rts @@ -280,16 +288,14 @@ CS.QUIT jsr LeaveSubDir bcc CS.QUIT >LDA.G hFilter - beq .1 + jsr .7 - >SYSCALL FreeMem -.1 >LDA.G hSrcFullPath - beq .2 + lda hSrcFullPath + jsr .7 - >SYSCALL FreeMem + lda hSrcNewPath -.2 >LDA.G hSrcNewPath - beq .8 +.7 beq .8 >SYSCALL FreeMem @@ -333,15 +339,7 @@ MSG.FILE .AZ "File:%s..." *-------------------------------------- .DUMMY .OR 0 -DS.START -ArgIndex .BS 1 - -hSrcFullPath .BS 1 -hSrcNewPath .BS 1 -hFile .BS 1 -hMem .BS 1 - - .INB usr/src/shared/x.fileenum.g +DS.START .INB usr/src/shared/x.fileenum.g DS.END .ED *-------------------------------------- MAN diff --git a/BIN/WC.S.txt b/BIN/WC.S.txt index 4e3d7a22..5cdafded 100644 --- a/BIN/WC.S.txt +++ b/BIN/WC.S.txt @@ -306,7 +306,7 @@ CS.RUN.FILE jsr FilterMatch CS.RUN.FILE.LOOP .1 >PUSHB hFile >PUSHW ZPLineBuf - >PUSHWI 256 + >PUSHWI 255 >SYSCALL FGetS bcs .8 @@ -418,16 +418,17 @@ CS.RUN.IncFLine phy CS.RUN.IncFWord phy ldy #fWordCount - ldx #4 + +CS.RUN.IncF ldx #4 -CS.RUN.IncF lda (pData),y +.1 lda (pData),y inc sta (pData),y bne .8 iny dex - bne CS.RUN.IncF + bne .1 .8 ply rts @@ -523,7 +524,7 @@ CS.RUN.PrintTstat bit bWord bpl .1 - >PUSHW L.MSG.LONG + >PUSHW L.MSG.LONGTAB >PUSHL.G tWordCount >PUSHBI 4 >SYSCALL PrintF @@ -532,7 +533,7 @@ CS.RUN.PrintTstat .1 bit bLine bpl .2 - >PUSHW L.MSG.LONG + >PUSHW L.MSG.LONGTAB >PUSHL.G tLineCount >PUSHBI 4 >SYSCALL PrintF @@ -541,7 +542,7 @@ CS.RUN.PrintTstat .2 bit bChar bpl .3 - >PUSHW L.MSG.LONG + >PUSHW L.MSG.LONGTAB >PUSHL.G tCharCount >PUSHBI 4 >SYSCALL PrintF diff --git a/EXAMPLES/MANDELBROT.F.txt b/EXAMPLES/MANDELBROT.F.txt index 5f066cbf..1aff8afd 100644 --- a/EXAMPLES/MANDELBROT.F.txt +++ b/EXAMPLES/MANDELBROT.F.txt @@ -45,7 +45,7 @@ NEW CELL LOOP LOOP - TEXT + KEY TEXT ." All done." ; MANDELBROT diff --git a/ProDOS.FX/ProDOS.S.LDR.txt b/ProDOS.FX/ProDOS.S.LDR.txt index 79121d54..5dfc40c8 100644 --- a/ProDOS.FX/ProDOS.S.LDR.txt +++ b/ProDOS.FX/ProDOS.S.LDR.txt @@ -145,8 +145,7 @@ m128k sta idapple Save MACHID in temp location tsb LDR.MSG.PRODOS+1 tsb LDR.MSG.PRODOS+2 - jsr LDR.Scr80Select - jsr LDR.Scr80Init + jsr LDR.Scr80Init X = LDR.MSG.machine type ldx #$F @@ -362,7 +361,7 @@ LDR.ClkDevScan jsr LDR.IsIIc lda $CFFF lda $C400 - + ldy #8 stz $C0C0 stz $C0C1 @@ -377,7 +376,7 @@ LDR.ClkDevScan jsr LDR.IsIIc .2 sta $C0C3 stz $C0C0 - + lsr dex bne .2 @@ -401,24 +400,24 @@ LDR.ClkDevScan jsr LDR.IsIIc pla sta $C0C3 - + plp sed ldx #DS121x.ValidHI-DS121x.ValidLO - + .6 lda DS121x.DATA1-1,x cmp DS121x.ValidLO-1,x bcc LDR.ClkDevNCLK cmp DS121x.ValidHI-1,x bcc .7 - + bne LDR.ClkDevNCLK .7 dex bne .6 - + cld lda #'D' @@ -528,11 +527,11 @@ LDR.ClkDevTCLK jsr LDR.IsIIc .1 jsr LDR.CheckTClkID bcs .7 - sta SETPAGE2 - stz $478,x - stz $7f8,x +* sta SETPAGE2 +* stz $478,x +* stz $7f8,x - sta CLRPAGE2 +* sta CLRPAGE2 stz $478,x stz $7f8,x @@ -983,8 +982,10 @@ LDR.SetDevID ldy #$FE check attributes byte. sta devid rts *-------------------------------------- -LDR.Scr80Select jsr LDR.IsIIc - bcs .99 +LDR.Scr80Init phx Save MDR.MSG + + jsr LDR.IsIIc + bcs LDR.Scr80Init2 php sei @@ -996,7 +997,7 @@ LDR.Scr80Select jsr LDR.IsIIc .1 ldy COL80IDX,x lda $C300,y cmp COL80VAL,x - bne .9 + bne .2 dex bpl .1 @@ -1004,18 +1005,13 @@ LDR.Scr80Select jsr LDR.IsIIc lda $C30C is it an apple 80 col compatible card? and #$F0 cmp #$80 - bne .9 + beq .3 +.2 sta CLRC3ROM - plp - rts - -.9 sta CLRC3ROM - - plp -.99 rts +.3 plp *-------------------------------------- -LDR.Scr80Init lda #$8C Reset 80 col screen ($0C:HOME) +LDR.Scr80Init2 lda #$8C Reset 80 col screen ($0C:HOME) jsr $C300 jsr setnorm @@ -1146,6 +1142,9 @@ LDR.Scr80Init lda #$8C Reset 80 col screen ($0C:HOME) jsr setnorm ldx #LDR.MSG.PRODOS + jsr LDR.PrintX + + plx MDR.MSG.machine type *-------------------------------------- LDR.PrintX bit RROMBNK2 diff --git a/SYS/KERNEL.S.CORE.txt b/SYS/KERNEL.S.CORE.txt index 7561044c..eaa832ca 100644 --- a/SYS/KERNEL.S.CORE.txt +++ b/SYS/KERNEL.S.CORE.txt @@ -595,11 +595,11 @@ CORE.IRQ.TCLOCK.Off lda $c080,x ldx IRQ.0n - sta SETPAGE2 - stz $478,x - stz $7f8,x +* sta SETPAGE2 +* stz $478,x +* stz $7f8,x - sta CLRPAGE2 +* sta CLRPAGE2 stz $478,x stz $7f8,x *-------------------------------------- diff --git a/SYS/KERNEL.S.DIRENT.txt b/SYS/KERNEL.S.DIRENT.txt index 70981bb0..1ca06fae 100644 --- a/SYS/KERNEL.S.DIRENT.txt +++ b/SYS/KERNEL.S.DIRENT.txt @@ -40,7 +40,6 @@ K.OpenDir jsr PFT.CheckPathYA *\-------------------------------------- .DUMMY ZPTMP,9 .OR ZPTMP 9 Bytes -K.ReadDir.hBlk .BS 1 K.ReadDir.ECIB .BS 1 K.ReadDir.EL .BS 1 @@ -82,7 +81,7 @@ K.ReadDir.ROOT ldy #S.FD.DIR.FC did we already returned something ? >LDYAI 16+S.STAT*18+1 14*(Vols+Stat) + 4*(PFT+Stat) + \0 - jsr K.ReadDir.GetBufYA + jsr K.ReadDir.GetBuf bcs DIRENT.RTS .1 lda (ZPPtr2) @@ -186,20 +185,14 @@ K.ReadDir.DEV jmp DIRENT.EOF TODO : /dev *-------------------------------------- K.ReadDir.DIR sta K.MLI.PARAMS+1 A=#S.DIR.PRODOS.REF - lda /K.Buf256 read in + stz K.MLI.PARAMS+2 + lda /K.Buf256 read in Buf256+IOBuf + sta K.MLI.PARAMS+3 - stz K.MLI.PARAMS+2 For reading - sta K.MLI.PARAMS+3 For reading - - ldy #4 Skip 4 bytes linked list - sty ZPPtr2 PTR to Buffer for PASS #1 - sty ZPPtr3 PTR to Buffer for PASS #2 - - sta ZPPtr2+1 PTR to Buffer for PASS #1 - sta ZPPtr3+1 PTR to Buffer for PASS #2 - - >LDYAI 512 - >STYA K.MLI.PARAMS+4 + stz K.MLI.PARAMS+4 + lda /512 + sta K.MLI.PARAMS+5 + >MLICALL MLIREAD Read A block from directory bcs .99 @@ -207,30 +200,18 @@ K.ReadDir.DIR sta K.MLI.PARAMS+1 A=#S.DIR.PRODOS.REF lda (pFD),y bne .2 no, we have all we need.... - ldy #$1f+3 ldx #3 -.1 lda (ZPPtr2),y Get K.ReadDir.EL.... From Block +.1 lda K.Buf256+$23,x Get K.ReadDir.EL.... From Block sta K.ReadDir.EL,x - dey dex bpl .1 - ldx #8 - - ldy #16 - -.10 lda (ZPPtr2),y - pha - iny - dex - bne .10 - - ldx #8 + ldx #7 ldy #S.FD.DIR.ACL+7 -.20 pla +.20 lda K.Buf256+$14,x cpy #S.FD.DIR.MODE+1 bne .30 @@ -239,7 +220,7 @@ K.ReadDir.DIR sta K.MLI.PARAMS+1 A=#S.DIR.PRODOS.REF .30 sta (pFD),y dey dex - bne .20 + bpl .20 inc K.ReadDir.EC Add one to EC because we include VOL/DIR Header bne .4 @@ -270,8 +251,11 @@ K.ReadDir.DIR sta K.MLI.PARAMS+1 A=#S.DIR.PRODOS.REF bne .5 iny - clc - beq .99 no...exit + bne .5 + + lda #MLI.E.EOF + sec + rts *-------------------------------------- * pass #1 compute BufSize... *-------------------------------------- @@ -282,7 +266,9 @@ K.ReadDir.DIR sta K.MLI.PARAMS+1 A=#S.DIR.PRODOS.REF sta K.ReadDir.BufSize stz K.ReadDir.BufSize+1 -K.ReadDir.DIR1 lda (ZPPtr2) X = EntryCount In This Block + jsr K.ReadDir.P3Init + +K.ReadDir.DIR1 lda (ZPPtr3) beq .7 Free slot....goto next and #$F0 get storage_type @@ -292,7 +278,7 @@ K.ReadDir.DIR1 lda (ZPPtr2) X = EntryCount In This Block cmp #$E0 directory header ? beq .2 - lda (ZPPtr2) regular DIR or File, get LEN + lda (ZPPtr3) regular DIR or File, get LEN and #$F compute filename len sec add "#" + S.STAT adc #S.STAT @@ -308,21 +294,13 @@ K.ReadDir.DIR1 lda (ZPPtr2) X = EntryCount In This Block inc K.ReadDir.BufSize+1 -.3 inx +.3 inx X,Y = !Total entry Count bne .7 iny - bra K.ReadDir.DIR2 we reached last entry in whole DIR + beq K.ReadDir.DIR2 we reached last entry in whole DIR -.7 lda ZPPtr2 - clc - adc K.ReadDir.EL - sta ZPPtr2 - bcc .8 - - inc ZPPtr2+1 - -.8 dec K.ReadDir.ECIB +.7 jsr K.ReadDir.P3Next bne K.ReadDir.DIR1 *-------------------------------------- * pass #2 Fill Buffer...(ZPPtr1 & Ptr2 can now be trashed) @@ -330,11 +308,14 @@ K.ReadDir.DIR1 lda (ZPPtr2) X = EntryCount In This Block K.ReadDir.DIR2 lda K.ReadDir.EPB sta K.ReadDir.ECIB + >LDYA K.ReadDir.BufSize Get a BufSize bytes buffer for storing results jsr K.ReadDir.GetBuf - bcc .1 + bcc .10 rts +.10 jsr K.ReadDir.P3Init + .1 lda (ZPPtr3) beq .7 Empty slot, skip @@ -368,17 +349,8 @@ K.ReadDir.DIR2 lda K.ReadDir.EPB ora K.ReadDir.EC beq .8 0! no more files in DIR -.7 dec K.ReadDir.ECIB 0! no more file in block - beq .8 - - lda ZPPtr3 - clc - adc K.ReadDir.EL - sta ZPPtr3 - bcc .1 - - inc ZPPtr3+1 - bra .1 +.7 jsr K.ReadDir.P3Next + bne .1 .8 ldx #3 ldy #S.FD.DIR.EL+3 @@ -393,9 +365,28 @@ K.ReadDir.EXIT ldx K.ReadDir.hBuf txa jmp K.GetMemPtr *-------------------------------------- +K.ReadDir.P3Init + ldy #4 Skip 4 bytes linked list + sty ZPPtr3 + + lda /K.Buf256 + sta ZPPtr3+1 + rts +*-------------------------------------- +K.ReadDir.P3Next + lda ZPPtr3 + clc + adc K.ReadDir.EL + sta ZPPtr3 + bcc .8 + + inc ZPPtr3+1 + +.8 dec K.ReadDir.ECIB 0! no more file in block + + rts +*-------------------------------------- K.ReadDir.GetBuf - >LDYA K.ReadDir.BufSize Get a BufSize bytes buffer for storing results -K.ReadDir.GetBufYA jsr K.GetMem0 make sure 0 filled bcs .9 @@ -471,7 +462,9 @@ K.ReadDir.AddToBuf sta (ZPPtr4) inc ZPPtr4 bne .8 + inc ZPPtr4+1 + .8 rts *-------------------------------------- K.ReadDir.AddStatACL diff --git a/SYS/KERNEL.S.INIT.txt b/SYS/KERNEL.S.INIT.txt index a39203f0..1f9c8b77 100644 --- a/SYS/KERNEL.S.INIT.txt +++ b/SYS/KERNEL.S.INIT.txt @@ -897,11 +897,13 @@ IrqMgrInit >LDYAI MSG.IRQ sei sta CLRPAGE2 lda #$60 - sta $5fa - sta $5fb + sta $5fa //c EXTINT COM1:Dont buffer but get IRQ + sta $5fb //c EXTINT COM2:Dont buffer but get IRQ - stz $4FF //c ROM FF - stz $4FC //c ROM 1,2,3,4,5 + stz $5FA //c TYPHED no keyboard buffering + + stz $4FF //c ROM FF: no ACIABUF + stz $4FC //c ROM 0X: no ACIABUF plp .3 jsr IrqMgrInit.Mouse @@ -979,11 +981,11 @@ IrqMgrInit.TClock lda #$40 - sta SETPAGE2 - sta $478,x - sta $7f8,x +* sta SETPAGE2 +* sta $478,x +* sta $7f8,x - sta CLRPAGE2 +* sta CLRPAGE2 sta $478,x sta $7f8,x