From b2d59ddbd780550a2d3384af9cf5f91f34bb8114 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Wed, 23 Jun 2021 19:43:04 +0200 Subject: [PATCH] REPO Cleanup #2 (hugh...), CIFS,UNAME,XARGS,SED,NSC,IRQ --- .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes .Floppies/A2OSX.TEST.po | Bin 819200 -> 819200 bytes BIN/NSCUTIL.S.txt | 12 +++---- BIN/UNAME.S.txt | 69 +++++++++++++++++++++++++++++++++--- INC/IO.D2.I.txt | 4 +-- LIB/LIBBLKDEV.S.BB.txt | 2 +- LIB/LIBBLKDEV.S.D2.txt | 11 +++--- LIB/LIBCIFS.S.IO.txt | 9 ++--- LIB/LIBCIFS.S.txt | 2 +- ProDOS.FX/ProDOS.S.IRQ.txt | 9 ++++- ProDOS.FX/ProDOS.S.LDR.txt | 15 ++++---- ProDOS.FX/ProDOS.S.NCLK.txt | 6 ++-- ProDOS.FX/ProDOS.S.XRW.txt | 4 +-- SYS/KM.NSC.S.txt | 50 ++++++++++++++------------ 14 files changed, 128 insertions(+), 65 deletions(-) diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index c676bb3607c426756f90f0774ad22b57fa8c65b3..1b4e562de1e6be69002f511b0c778d3edfae9c0a 100644 GIT binary patch delta 44716 zcmZ@h30#v$_VeYy4-!a14j^0!geyQuLV#c^C4nF)0s@}33PKbV0RgSrBc>I#t<>9* zdX&;?ORH9UNH44H_H=h!x;@;sw%cpF-R-V*d#`Qv|7PY(2yOrVN|Wz>GjHC!dGqGI zH*Y5Ef4-tv4}Ztslc**k6oqa|$&5l5KSk)AIc?{clIfCj=9tKxlclNf#i-obOuv|v zJ2y*L0z@O<`HM7De9oM{Gc)XcWmF0BW+X=;^eHl&Gpm)4swWx3arZ)zc27JPwzEdb zbDBrzC|`S#t9dm2ohxE4;?eZ?TDi2C*`E4_s@fVP{F4kCIRxa8w$T+zOibjX55GSk z(yR#M(O=}qo2HeWfj7{MN%?5qha9I|6Nxg-X}`$^{Algxk4n{R=gzE}9w$T>#_$Mn94BJ` zB;=n|Dh&(U^B?&?AGz=*moVAzcIxEGm04ktFXzm^^uUw;Gb03aYCU}J{OYTig^Kj` zmve4C1OE-7kv9xk86y`4(Ad#C&cJ_7{F1-hWR1>sN}h6s}-BaemFb! zHGtr8h=1~}^!Y>lFq*cF`{HW!+~%=w*KHpAmr#1=(u)R7o%zU2^ZNQ$<;ZjWsu6A= zF2nE*-hauRSzK>E(qJ}xka9>hFyq`n-MOX-NCDm(j8DslGQJ>OhT#Ptn z%y?D2O>DSMDDjUbgCUJo9*~$~+r8K*(;uApP zWj$#TXWtc->Wj%3RG!`@9yFXj8k;ewB43TCn+WkiR2NLpLI44@ojiH+fIeeHJn&Px z;ok(QQfk;|I?iACDg8Bl#@Lrl!;#NiNqNPu}uUsOomJ8O+#ThL(-#HUO%CH z_k;8?)#lC0?_zoA!l_TO>KAwVq%YH-M1T0;D_ru6J4Z3AUo(=~uWi+h4AFrs-4~Us zEJ+`jb!K~d+cfz1;3-QwzG+`nlCC5@0{+1pReI>V6Z@j{n5*$z8HE|ZagThT_6VK% zo3b9@MzbCeotuRJxG!oUUVPb|DS9z|r*iTP{O)CU2B*N>6}K(gZSL2cDl_+M4OeA8 zIF@LTXJXlbs6vT6({L5O`HDNnmyvmV(lBp`&s?8npx?#hyPkXxA12?!`So)0q#Blx zC*=btl!o%mv0`V_;dlU4U2%GK{n3XKE{5?B!ZQH8G5zYpiSS*o*FQLfPDGRP(z9cK zj%|A7uhlt*W)7is@JIfQDjyMD6E*^?ai_?#7jBNr^tFH9NvX8i?5mX7yx0%n5 z{m>Nqh$c-B#rX>THt7<*VanrImqmzT=9|CB{xau6{__py#%CK(&z>^p)SQ^Paia^8 zr1x>+{U7c>`Gn}9>ws};`RK!1aZ@q(99GtfP4H1rE6&S&t_|k+rL$vSWLm`oVP`U% zg7f{l)X@1px}{e9LRj8!^}?xt8bixX)C<@*TRbmu-ILcFx1-I~zy@ z##6=*jOUCm82^O7n=QU2FH{3Db@TtCt%UdZfjMHgD6}#C4TV#l!%exU2UU#Xj^v3n4M=n*4 zG*_aI{o(_%r!4CpFUVIGOhB*e{dEe!SEaF>rD?GSbb7aMWj!`Uqt5 zNG_3>_mZcI3X~|7%&nhesrR-tceF3=Y3}oub@tsti&~K)jMcJPZ4R3g)l9;jpGD3{ z`r@G zzBS*0BBMglDzNqMP+uufx3a<8(%03qML@4rvFiEG{4$5t;cz$|(5>k4ZpEqb`oBlU z#%fr(0&9Vzz*$gMXf1RUI+0C_kN-W=%IQWw{(EHQ*{GO6%X|gOjm1UBqjI=7+QZSh8@(&K9cvt01w;iV+dh>~4uG*eV%t9p98oqaPKdzxF8-x{DSn^kr>Tuv9TD`%Wt@wtqG z=ANZqq1FbWR*Xf0jfD}K^vJvrl4GpG!1VR31%U|+d#nv_J)(%owzHPX%F3`LxR7IX&%t-asc~9QchR3SD@C#C#?_7iARUi$@gcVMP)%GC6U=QAMh;7{13( zmYDsmo$ZS|?EdD(Ev?=qxw$20B-RQKioXgs9aZQ>S4;4pA2Y^^)2B$V{BdJy1Yg2% zI(kZ7yjj_qD=Xg60J%zp9uIDsJ7YibtjRX?vHhR5^wQJ6fCl_2p%A>uYBq{tYzP-PVnye?fyt^(Gnw zq3}0&8rfUL+sM3^KujR)pcLOhc>9gcOxZPmWmrsQOf`z)-@_|2Y;mHr^EhctVN|U} z{09W_S|t4t5Xb%vKb+qcGyP*!(@<7kKigv8($TucpK3+?CxEH92dO?qgNs{Q{KETu z#Qz-)ws)>U($As(RsRT7%-^!Oy${G?YS_pB6AiX{*CN%wpn9h_P~DFBe?#*fK*oPq zf#?ep3e?+>>>}v{5aC4XuLI~EK-XFVQhpl%6>RBlYewys{ zEEdH7!1}=dNZM>h{QuBkPgf7(e-eoMc|zL-h`&sDgAcyilaj%Uxxv0>AXLK9%8^oT zu(`9SX)-B%10Z%%RDkZdJwhd*N zaP^yjA&tQst7Z@k85#KJg0A$s%upzl=FJ3MHzU9-5s4fsU> zf9Z$OU*eT16T}U}ic+Mx!ZXZ%j z7XVhP-D)i^N2=-o1`6;X)rcBGmu0KifJKt58;VSqDItKIED zs<}Z7&^vblQq2ouAb>)oR?QEhAP^w^+D-p^T?K#9@TZ194g6{0PX~W7@D~ezaijlx zT|epkVVzX8@mT!EV+k9NC2l;HwDDN-#$$$)$Bc#!ZVoo;Qzmos@B)2`MKmAbQ|k)S zL<_%NmfO31QU@1xegud_|uUD{4h}+6@Jka4(|MDr*U@i%-cE zwXw?TWfLoRAd3UvxyKPNit8lxV^U?^pb$Zgr-Bw<$#kQo0G0GI6H{o}eS<^TlzOu}!=lr-y zo}|Tj%dL^zt$50EtG;*x8f;n7?Ju`m8bb^ZB~T=z_4Ztij*D#6LG@p1-RT z#odOtEw{#+?g;6nrLN6dyJShuS|lHU+OYmvimfPSE4D(T$Ckt#>pL4ZTenTFMJT0^N6vcxeJ;7 zsZgP@XE|BNY=ynZun&K^!kVnxkIc8!&1$H0`=|KJ8yXs54kFV5ytTuc${oayc36$v z-T1#7WNJ~;5dK$(HF4=ZPy@O-+3$iXo*uI7g%2b10@70Qy@)U=*vzJqx>?oLbNut` z>iT+YNP8a|q!2gdWzX`ORV$G8P$;mxXLUnIABwpj*G4AkavmT&>IEL5=m!}W0sri- z4pNbS2!;ig%CIBAFQh$+kFT(5GL8wIe^}_e_V{?`sYOS7e}eYj^T_Y?Uh`-OP-rUt zu@G1#un^k!XIgV?S;+J_W7bK=tWoS=wNhh!f-tEJnAE$frN!IZi!@IX$gkM;l)yH_ z)A-#^Yx3-8LOB6kB)!y~3Iz&nBki-HP=QMA=}=&Rlg|-OYDN0z@rf>LtSIpX?CY{7 z=w5`f4f7hxT-DWf|5R_^4DSl0e~FZdbG|~}HO_OfakC@!tHerNLk6u4$a1e*g4BN` zpmkIV!Q&y6=KmRsx~+EZHN0|-HBO`(!*$(OE%yd{OnejjyR8Y_+xTF&HO_aAj3(G! z`6%{XWU*snp#u7{HgC)F-c>7Le#E_p2AB2r5NO@1J}|w!4hKjP7<%tBBTIH3gagbU ziyg&)$vIxOvAMm2mehSDw9;7bDw}RAv`lr?*-`w*Xb|_VvBrqvKgG2xt$H6Ao}_&y zrC@W&zyc+Plcfknej%VR6%-*E*q+pYw4+EcKS`Zb6D)D+e;5czad>+gJj#~_3|l6(~Z3mGuI?+U{M>?bZQ z8DKTyXrDtsat_Q@P>rd!LL?J0bJGG01k;vs$BI;7-A>?4G+4M~DF7^j+{$@?tOiZ! zv?5&u$3{|Nw-8}(@OD7!C{d2%)>;!xQN(}+GfzPcS)>L_SCE{5VCq!(<1ZsLK0aD7 zLy65xEJH1`48wIC(~99S98+4VSPp0rlvYiZ8->RSMYMV@s5q(aAa4`m0Z5y?ymM^p~wVyXEs-LP8ONXhu2vnVv#nH`>lq}Ny1?ptl3;LUiqCzof&O_G85H> zkw7M@i_8?fVuLj!B2}QjYdR(arv)-J6-`rr$3I}VN=}-LMugleOiX5QN zH6@_v`CMit>nzyTZ`J1Ifr(EYks|AcuFj6Nek-g9C>m^PGJ<5-g$2h3Z13&CEBaxc zYYXtUeye6mAuB~EKt9NwqKE+2u^Et$5{k)Cf%BE$@9-~%+1%^z>+-jd`Ho_)!XNir zbyZV1K@+fPl%EA^KwH8jth~Kltzz08-CEfLz&{_&#iqrYsj)=p-j*ShDeWE0OK z8@>K{YbK}sQHo|}I22D@{*>=LXO<{OAO3^&jus(AG1`@3yGO)g6q`8Pra`l9bkJi-qi`A#B4i~>u4bw zq{xdU8lBW=@9gVa+>vd=v!>gVAlTM7-EOFjXOt=v*s?>=OzoPB_(Xtrfa9GEErV$n zK#wAfM8gxJ_!LH*JQa+WZfMJ9MY6-yc2S}!sN(a|nd&9gA!fCqxuauGjXjaez)3as zw79Im%44-{SPW5#_MVmg-Y%48#tUlfrrK;~Ek@>mOY}ais9&iqP*5`o?@z~D08ED2fhU0 zs|o<@sc!I~h(dISr@p?n9)%YHtfHz0@lHmFwwSg}*vvveFiOaF6|SwdTZ^w|W5`C7 zpCSxgiNFe&nWe(O5nta)!dFrkNJXX_KT&JX;L7kvwRY{4a$z{x=t@1rh^`<*u@>=D z*^uy++Cr>jT9{tPwEh#ky~#mWcm+9&IVQmhiDGISZ9wl z&JDuIfXs)dkO8rygatV1!UDa>a2@u{v?p^5v3I6D$F?Z67T+XrzL~aO?Wx&8#@w6> z1R{PheL4EWOnYoHOkleLt5@1fl#kb-#TgnN=I`{hb7oGysd=Rx{_;ngS2~(ZoEksB z+c7yzBOXLnEf(!@Si~7RF?AuiSiEqLBT=OnPw*fU#KeP4oFq*Y??U<{e0+~1jx*r1 zdmPpXqnNpoDPlY`J|%-QQ4H$zi5N1)0XI@_Ce>r(WI5uX8<`7ViH%l(Cg+Ks{Umdj`lf1;4S^k(zjo7&Lm|?Su$6iYvvcK}T%5OCSzB!!j{hD<^t} z(sF29@4>Z$5H_C*1)wRWtH<5LDnJo%52w=(Y9>jmpvCOvX6^%DEIJ zm`3)QeLc%J6Dy#*r47Z+$6a?hVl%G=66uoLf3@G_w1T^{#(|^@fJXUs@M<+UZuWi@ zzX(5kmqUL|lbEi(1Oea7+Kp%t!^%a&j;-*Pgde@^jdH2j?6>=S+grg)^ zFqbR~a&t0?34;sjwB|s;Hn0_Yk#sp}yMr;5G!(f4&{C5IBJie8+`Z3{8rB7c4BdEe zpCdVTC47(QA;V2w?OxV#OCKKF=g6J3N^C||tASUpl&KItsNV0mCTtDBO4s7;HjoK}Qa^3C}&~NQ&Jo27_s>zq_Y1AC@Ejc6bCc!E^_{^PnSDzJwso{({~wJ8yx)_e#9!X+P(YyI zr@I}=+z^f*a-`(k!$yI~Bm@=a&ZUdCc34c5>E>QouKnH3eSO}ZPE>gxZXa??)g20q zl@&an!$eZopt$?-8=jOHk^KSuw;_j(8^Nl3K#x3xv+r?K>W+lgILc}y9K+ixQuLy% zhw-6%9GRBmv_-@}0Kqgcp25#ibflgGEA^sIcP~ofCbf2Rj`j285?}Cb5 zfth#ppYZbg99MylegA!+wqD1t-RH1!WBAH_j%@I>vky5ExHoa-A%|J@*2HG4Z{u4J zIr6x3_|Zd-Lhi5lUxyr7$?uN0y{Wgar@hu+HVGsD14~L^iH*HL)fusD zwooIeyue{4M$lKmLLd{$i|_<%uBlwfUi1HDxN^S6XYY4phkwH!E5F5;?{`cM|BgKt ze~)V(a5%$$0F^NHN4(_$M}_ErV%QlqR)t*xnCB;)I^rnje#UJhjw#|_#AZDG5u@Ib z?I#fg{xZW7bA^%!;d6UM88sNd?|?056tblm%Z+|N;;{b-?C7l31@Ko0e?_BNtDSSg z#?Jjj7!rQY{L%$boL5xhVr zN;pOwBeL?1Z%5&UK4g~b;YBA z*t&;cPR*#vK2mFQd3qv9ciB=ptb&S5Xg@9DSXlA)Pz6HNR596N3M73eWyrK z66h%dpY~bS!}iuS;1VF|Y3Q}=j8MRaG|#cu)#dfnRjz7XgY`>--`&M<6k_zA77%G7@^+&8@q>iO*4OxrS zA5Q>LmGcSnoOPdZ5C9|5u27CEKIdozOhBpl$AlIlzhKe@Vg3N$U2j1Dlc-_k>-D_z4>*u5F%xS zDK4=hd8~-;Ck{7%U{yyee`eU`T4r^2H!nx|m$C6yr=7ck=f7-7_h~p0lk*v_vdXG* zO1eTM8tm&`>}MdP5sN}OVySOzfK6->vqGgJYSPDtjhCr+&Dc!mw`X=)O(T*|5(%-w zd=$rvm^DLY3K51xGT;QEIzmJPfTSg%)5&tI+u%&9iX;>gqokq&QWF$WjNm->s8g_d zLia3loBQUukVYkfQKI<`bLy)aJ+4`EkwJ}b+Tcts&;-ztJwv3>2GG$*o$hzh#o{+M zIMtAB^Y;zT3{yNqUhbJ)*I3_>Uv5Xr1OW^59kL!E`iHIkP7MV5JpIm0rGdPKI67lU zk`dq3?@V;31kqGCG{W@KnpiO^AVfX@8B(VKK@e#rd=cb>PiH(Y$-w9Pol_vgM!(US z6P_iEgc;Xvbm~RfqTL@FvphMh5n)ouER4?7BBaSep z^lG7b>ajGs>Kl=6$~YOVJ?*Q#JNL|7T=8YGOz2*bJM%aX-nkiwnTns@>@=GzSsR&zH^d@~t%3@~waoAD z?DF@nXzuCj?&^WC(R8f1&8d}F2k|A=VC!wp1g;j(xXo$c>Tu_6&cdjff}EScj?Jpa zkKE?Wgc*SZzVNe!&pE>9T=r?0hZApiCUe)~DYrWfDGNkIks-}>@b*_@ z5x&(WvhgDAgQaz2_%u| zDk7Lc zzkXb*1Ee<8QDRlMKsR@^dRr*9K$GTH5jam1R~^}gV8>%O{-(oBS8gJ@Qr?UB&5Scs zZ^PyRXGQWIY}n|GQEmD4sNIRT4mh>Gt*rAF*wfjtqN}xi$y$F`H_VdGR>W_E9>Gp% zD-7;KKHZFgaZuSs?PSl&Q&Wml21Q;S?ujW8F!O+ z>-;W9b^nsCo))hk58vt3W!}TU;5zlUu3E7IoaHW9DxpH;a6p70pqhOz{^(ApnLC7K zTb=1s4hxk!x|VmYATpKs08wwmCNqI+x}m{SUE!ZmTV7RB(XWdi^8gQq)k_0O^*iddnbw zi_#v$u5C^e_c&g%&1uUTrQ+XA)hoytM0t_s3DRyrj+q$eK8eq4bLM~x`k!siIPMgl zwB1=8`7Bfx49B=Lc*b^TEF^+2+3rlz{Q=(m8b`tl_^$2Fc;`zZv!!THKx~CZrFAJ!Tz zqQ8jn-?YYr^f%dPYu+L{YVBqit}g#te~))5q|v>@-ld)sVWZAy1h3Sx(;36PhbwkE z(^c;?h6t-=#(5D=iZYrsst+g;WEExWrS(G*o)cxv6~jRfyiI3J<37f+UCtEl6KvV# zEaE=HOLl?M|2y8Z3r64{_~~8FY|$4Yyf4O>DJuRaj^6Eba{t29cY~h(68G(fn*YXl zw=>&!LBzHk=s1N=5Y1OX8pzV0ZG&Y)c2Q6!F!%oZtJg*FKI|KjB_HX&4JZe9$8w@x zm}>Y=Fr&U_%+&orN~tZs(nO{oMfe{kV~YNNOxlC0oxj91K>AO3+a71yH%K?99%K zAfY7K&yulEwVXKR6J%Q!CE&|PF$ze=aq2h~*6np>LtNRt*O@2R2$4iPiq+wp_Bvza zF)UgInmH~G5AAiv+Ttnt&?zHJfI>ugVG}(m7{g0uuC&>J|GC$hm6!q{Mpz)$vWm=9 zvs9JO1w$({73U2)GkhkYQ=MI{)O0|yGyyS~*cps;!N{W{9i7Q4m#`6;TVC5>VfwtXoqE(6rDA+C z$7sq_xJeYJC19E=NYomXkudjoh*y)~S$qXn?Q`l?5VEGh+Di7BISpUE4@j7fTlc}3 z&cNIDIklo1F|OY2G|fZ0T1Hcz(0;vVhN})~>KIX>jO8I#O%^f|r>61P1~!(OMsaB8 zsYcD7g-!cG$6>VyAto-{cr8F_i^YRD)@U?_nOcc-;aa0HIR_%wBo(5y zcX@j&Q3p!|8G>MD8wo872E6Z} zGcS50BRYsPa}z#)(3v6HEXKDRj0t(S!FwX-5a}kLQFkzjAd?V`nk~XW4KSoJcjCIc zojTuEGLzbobQ?6Tq7iSFzMfBZ2V)`TP2@JUthU-|g;`EEP+=2Kx(iC{c9Y!(q6z|U zbJlD?$~}xqn(z+eriREk;RG!++f@yELbf-^3qB4a<#clI0~nj!QiztLf&=*S-A>2y zgTaWZ6-D07P^H4=2$Bs2kw_snj-hVL>|ZG$6i!qQM475;W~0ax zWP;TCt81s$A~}S|X_yPnzsMj&PDy|=kFhGV8zE&@a}vAmajK_`vezNO5X}>;b{@fH zfv6hTQQ9YeU5r@HPvh-?Lh+2yn#l!e45uJW3K0sQ@-%BK5TQ^#C(uz{Us+Y}X+Wyy z82~ykqiQa+p?U#$N@6SJRkgFqs~}h9#bAj51j6m4Gf=0TVUa_?orW#JQjC5j*hH0& zp-`U%DY5Pm#-PzNw-G7+L`n&%EH)JR=Md^uuQ3ec$zx-}TPuqA3lTW7d8~Ykb#1Df zWZab@**l~V7@2U~9aZX1JI5M&!7mlqjg#t#I+bE?thUqe4g=8ePZLyB)g zc?Q{SP@8yR`AGFWTZV$%jQo*kumGzr5j919T2_E|@h5>(KZkJYvcRb;6MG5apPV&3 z;*o@`U%2)@XI!~TA_)kH&}FHFZkUd9F&d7S{fe)=gz+_8Liu{+K4)SIalvDv004^m zSA69ati>;(MW0H|&;_Hn(Qx{Ur1XZgsQpcgF%sh2BYCW3ob7QEX8$;lBA&GyU^^TD zBMYfK5!z*JM~Wnd(32d^qw86PZIMdXP;u|IS*-B$v1e{wS;t@AnfEQ~;3ZW?qiCT7-&SSJOJ5`)X=0z6W@xdhYHEYO#(RAw_|_d>l(blaKddq) z7+NGOoYl(42Yihj2%2~abS=tWBEkA;#w^29Mn<*0%ux;|7QqX8vz>f`X}?T@Tc#P) zP0Lv+$S?wRujvT13L*T)F48WFUm?Lqry1i!of7=YG-I-;OM?G7&6ua@rtL94w0HW~ zqKuUioHN~+R@MWPibMn8G?ulZVpB_px4FmP*1oijCiWm>uViq|;^xiWtNQ%St*wwR z)C*~3Aq7(VBzV_!V9qKD9-VG9Y&_!Jc%*pak;xm6Ty^ru)#ncvy*r)WK2dt*(~Qh> z<}hWrG^e`CT~<}mV1Yc51~_;4_p5US%3hiTxpB>uPHSGL z9qCrForUpCl}?y~v8(Y-n@eKkYlNK%NFZE`hX5kFfg@=-TJA>t`sR{Y-%SD;H#0Ic zK9bis2M%f23kt2)RfJU8dI1TT@3#bA!(klq(&Z=P8ohyRr%_E}xAkX2rghXt$QmX7 z)+X|#*d%P|*!wf{vyl8YvP;;q5njQvb9-R3hrZ*tkZnxZRlJiDwlT9X3-MbSak_1! zb;vt!Slih`a?fc#dE|D1JW~;5!0#lK&}D(DP15)-w)4cEk!*K>7No*lcu>P0zP*HR z>9K=36fsCLUdeGr*8#qE6 zFdY>dI5yq@?9G8FD2a`JEP$rbRaH$$e4Jr8DPR~K#{e6&6vI;tgZ63M zw6jFB;2AamFg8N&crIF1B`GB{ zbGDbh^2JBuvG3XpX7iBb#CgNf?Blf~$k{Y`$N7t81Tt(jyqUc%?A0q{MfxT~5UCiD zJ~v!)9i*Zq-&>-STXU7F`ISTB6My-$4KhbcQhfWf4U4i29i+L4T-r|L*ms7bS%yDn z89ZjggW1Q$)yvJ5Ba+Y0PagZJt;z6O_Hh$5V0gxS+-Ug9YzWVO;rv4-tGRIe;Gq&Tw;jKIs3d#R z_XhOIerxXG!zCJDY|}`ovuT8LHVI4{9%k~;$U0$z0U99#w08)o)!#R7d+7*T20by% zGY@kxxaXCkVexIeRWvNUo%C{i6vIMBajwvtSwoT$G<*>VI9|G;qJJvh_*BW1lpD?K zrNR&fD*+c4Wx?$xR5<(b7f+QW`Q`Iz3#4b=J zq}e>y>@n7C9BCFm|8&WMI@Sd}L3A;4^7iwDgZk~~LF`A+4)Res5%&f+$6q{KV)Z$5&nhnsq2Y8xS@w_wP9?(!Kb%B9 z6vN^Zl?SHfZiZ9RLz)wnS;~vj5#>N}?j_IwmDBjx_PPVbxg+X!bikRrIrBv2GID#+ zfyud0H2J_)xzHwji8xR~03RKg0w2?Eo{}5*TR0_m;S@vefvaun1ygrh}RD$ zHtdA>pez_b$?L(+uQn#?{iG1Yg>DfXj$4^QZ`mNhA5|N(eSALys5VNd0lbwsoi;F& z<(pWmMdbTtWuut89;1Dsxc0+1S7j%=qlhMX+CA2__ z?ly@zj2M#k?f8i|OKssh1lo4uuiq@q<#u7iTcyVI-4Yh8WQW7;;IKGtkXivBuqC*M zRmsD6>06~1ZZF>RR%w!NP!b5b(fd>Koi?Y{W{0fEyI67EKI%EmsIIE5w$$B8+@(}F zinpJ6PN}9sNaHxb9=c(R5Dcu?y8|`Ag{-sGZK8D`gflc=3`GxF!S7 zP(Z3Z8Gu+R1PVPBfY{15G!&9DPX{1Y3V|}u1RyrghK3?i=2QS;r4UF9c$N+3Rj29D zv_NJ>M>F1at~3vlXJ0s1nt$@IrM1^Z%h2m;)RSe1M8AA$YdUAnRE;(lxbD{M*&i>( z>4{SmHj+C*(i^%f%4r-3aww>qI*;zABjx;w?@bHv`f09I(RCvH+?Y!p1ql>%4nXb% z*vI&uX)c{68d4@`gw)$t?_F8#?L?YZ-29XxSDa%7Lq!f;H*~cu_x2$f$&DZhf5ixLB4|T!@D@&tbkmcYF+C#e zf5WAn3JDOb7&IniKeSa;ReO*Q5+De9)DJA{=<4;BxA!3F^`b$fT*IjHtZDDF)J{jb zbs~J?O_y3LyAcR1-a{$8NucoNJ)N$&6kmWp4SjHkk+4wV4^mZEQH~Uct6eEd$aNrX z0;OerYfy4Op5Ez75^WS=+lmT}d^14;VI3>d-;VD9w4ys0e@Pw#iC6Ih>4Avb}JC*&d!L`uj$2pwm4;gXh;>T)=_4VnhJiz4HR!?ecVMl=tfNDuZQSrSc7Oy|&iYrNHZrI|Aj^?FEo)K_e zL9u5M4;V(>%syo~;PP4bP!QbOLOx9v{PC6wHNNpJmvSncI3-aVomKEP8!Q#&mh!54 zi>J7VD6S5qPEvPTHHAD1A%5bTtF8$+tAaPrmon}t;lL>kslrxjqYE|%;NU57 zE?F@jl9d4kB$^HR?QjA$7*?f7rxJgkNHHx9%CM3lmBV>ciqsAf^l2doApvT-fDfYM zGeYo@v)zVTjz-5p3o?XRzFRBWAtu+n!s{pMi?1ULhLs*pr4su>QP0{D`lM(GY08dd zjY3m!N*xZVP9QyEE(fu9I=SZ2R}c>ra9$gN(+4?53qp_r{nrUd;j)uOAxH`R5zU;X z0OB98#Rex<1-+nZ4G6J7r54Vp{^qU%dSI0)Q&k&zPwp#lugarhuWAoK1Qe0W2u;E~ zi0A-mr#f5Li4Esm+PE&(6COfhR8g)J;ubx)=A0{5)EgrE*1id{4FmcZ6N~;;|o!+puofU?huFqp$UzD(ed3wgofh60Og78a~p#};{5njwRX2CHaZ z#Pb3ve_*7fy?{S@&t)olkujHlNqGG-dmZ(PKxtd;^_@uZDt#g`F!C647Nq(G5dJ?3 zmEp_)?dG3Z<;>S``}?j8snC;`x<>-OC^MewSUFjd6%a1T{bcJ_sHiOl=wc3961C1s~Q%fxn$>qL!teUkpN3L z%@wB#JLV$>bai)oJ6r3T``RcNE)J!#oB5eAXZM|VMM3Hpw6E4g!%+Yj`Hk5&KO`0U z7r^H0Kk*wt8DxKv0y^2h1=)hx{2yQk+-(zcfy1iZ6&jKDD_SN_dJz`d=>M|TfgZt7 z)P93!e&Et9_>N$JNth3Li$Ixw2`rJlG?kwjFEFCa*wXv}9V7wS{Qg=?8Mq#e9whw{ zaL4{nU}}CpvHBL()_H0cRaGF(Px!eHTx#dfP>-Ctfdq_Spm^eCp?HB%9B#j+x$dM+ z1QL-6xTYDEikP|dH(U{oi#~K|bYhnOHNiI0NU8m^A}naiL_wy0i2V~0w140nkW8?D zVYrqlKV|67uz_g^5&&tVA2zeM;{^(MbdXV@K=M zRWu@HvcMz30x=nbHfOk4mmMHs$i)?-agT|x-|B}7n>1^5J&(rDqz`DW==%Q zXEAe?H#759nLY8nGFQOk=!adlHl)sDq-S;qrAcOm-jj=*Tu5yb-s1TmxwH$F4&lw5 z`TdYLjN}E7h`FYDV;gWuRv4_MDI)9(TnMycIi$8BO)-qNZ4Vhx!OZj{`D9=@N%w&* zQ{B}fZ1|%~S&l9qsB9d!*ZK=c!DxQ{Ehgjq%%ZEScsOWw3N*tXH#n7&H)|d?K4@!&J`7r@@YazD~hki4}a{^ zi)Jvd;JO;Y6{%&eNJ1SXONQzl;zwHWoeHh7m_5f%hEfoT z5bk_zsG9F7vDphy(pC6~$(JWiy0RD@@K?NNJVj}tIRy$z{PvJxS3r5Y8n)C57)5D#g} zG_&Bzz?fT6;nVoF5_f^>REVm8ZK>0Obesv41b_DValt4+vOkOq#tTd^;$IX5gWj-n zCne0$-&OjPLAm z$B15$jM_>>b==`Tw@3j?=Q}|ehLxB4*zXBGHchDxiJ6=iG}i~LOUe%eEM_qk(?>yl z7a{tXb>H`i;A4L(_}HHb$|}^y)_qP8(PfatHehM?S5_hQKLY53dQ0^MtIdp4m{IC~ zQM4Na)!=GS^_QX5d@NM)@6dWkePO&7Ok>*ESE03NC7Pj4^c0BR*X%6}x$YbC6;zC^ z|9W!euipea&PethFp=oFLZtmcM7@-?l&Gr-enQoczrnAgY1zaa3ShFXS%V^e5?Kmq z7FgELgq`|So1gR!@IdMW;(uWiN_H8N;Yq3v2*VY|>I9CZTJd5?XM#0cCJ~aTz;Kab z)!quVkB5Y8>Xh*;3yKeC`Bo}O$|l$Xx(cZvJG+;tR!l<8?%GQIeCf(DN5B#JFX?2K-} zb0vOBl90c~yfzxuOBPsQU}=|fqmXG<1j&1;LOx^A2-85`Hdzwr6bwY&7NwK)G8%T% zWeSwo`h^jol9I);#6r}b%nWsiq!gi;9MWn@2X(+~qyY6)BeD(mgAc`ml^d%T)j4T9((Z~UCF%c5N$yf#mzBSMp z$mBp##S`kmRVL#BtE*Y+Xz~=XV6ag}ZC=)!H_>D(335zR$~Zb9Q0W%aKxKScpcu63 zVOWqL@orVyUR;Sk>Exl=A`{V$V_Yh1cXV7^Jv1@RmMCEf8Kddf}vx;<~^~`WU%T zc(&sD>)>vSjhBkVZ)WxiobfvotXs*lWtiN@0%mkWcW-dhxR_yAzCkz*Tm-Lc5wZ1FB=(1Jkd;UEjImG9ja!kR@Ew$egnq z7%bE|TYK^qFi^OvlV{=M@Sofg&U}%Y-KG?m?+!XL`m8aC{~P=yI7NfxUFuFSP0bb z4Tvh@2U+vEci|iV?#{`A{PN!nJmi@Z7;($Vz~4;F6ahKT5&7-WKo{y88pDC+gY-@7NLLYbhPM!rGhlx{*e5dRlJRNur4tz}8Zw}Le_ z?=Zv@B=WCJBF&Iq9@t}2zR$`9BvKA(=FGz@M!FA~bSk4hqS6^?^yATwMbc!dLldQo zSG9Mvo_x^y6Sv4U-=AW-p}w}Z@g{FyOCB5r?QQSsOhEzH$mrl2=hb;EvudW-)Xu5d zY#|k9b%M8fednUeIVmWCd3j!VRmfpWmnTkC#NnzgPkg+SE32Dj zDJ&?n+V7xc3kzDT_7oIX%)uSEo*4aPp@7p?7$^W=Q;0ityvviwU5#Js@))@(_+ppG zlvKh^_te*Tsx5F$+U*n{d|5OD&V;3)%xkc{+mmrkDf820um@l%pEYB~JQU%EueJ5C z@T$wWavGDYZ`?xc^TGlYRZc*jn({j>u(iW`FnDc6+)>Oq#8)u)Y55fn;p5kO>h>)2 zR4Uzu$%g50ACkAj;3gc!rOQ0Uc#2w_9LD&FuV3c5v`4QtE0keq$kJE=*YtGe^?FoVMhx=xDdJBdr{-)T`C_NJN}WJ|qwxrGVnkeNw8! z?*iDjO>jA)k*jAZ%n@=kli-FZ)rgtrJ4hK=b~WUg6#;cmI}1C#T7a@`CyEP#yE@cH zb|ZE&)KM{rY(wp0PpVi{-QMYijJ@pyu+)yC;!sOlbC2*|tw*iij`kH`koRn5RW$La z#|y@8ix-YC3bbhx(c-G5aMs0J*4*nI5L!w`^C{cm-aBBsfnA4}U_@q&T~bLbzBmx3 zjID3n*3!|66ehHn%&h}=58X5Tz{t_#m}`>$u;*^K3@7~0{TVS6RGLf++&`0rQqs^~ zwohJY+m2VhD%C}#3lQ5*yd9p?GlXaB&IU^z1d=SC*&ew3LY5hTBSzbE(*_()5 zc%xLr*&GK6b!CtwTvG)*Q+ALN_FO-!25ItxkL9(Ls@sv$0YYGrP0@3^@is+VeAOOO zf!;|{Uk%~IB31iJb!Y$DY(_ ze9CLk5DA_LgO1>4$Ixfc$U3)B*HT?uTerKUq1xlAL#lE#L<3uHKNTjV@dyBNt+=qw zsjLXVs{D{mjMP)%SqNtND?kA@z`k518WNU$9k{_g}B_LPD;<0#YeHBPPOK1h6 zWJz<-5Q)X4T6Yn(>%YDolIY>G33i{lY#!7vK0s{2nE9bhA!miRQ+{8|_^nwGcuf;q zVd}!Q7uTVo5OQnaMlV;7Zz+;36c_*-HBGGffbWGOo6!)ZHzPL#_Tr!*aelyRZ)F9? zbpn!mg>KkjlXPkDbyX+XKvB1mQH4b0pbkN*b{LE5>10!yX%Q5&jEs(Ozj#oMpt$7| z=!VVky5^okP*BxFnhj}H z(>nn@iK-)6UjQ?TTFoeDLKb(u&`bv0Rk0SDIS9@!L24wz_ifc2!#)R9~6kw3(F4nGeH(-Mw8(@TC zz(T$1A|%}lFZ6?~7KG~HXA%~7c=53}!onpncL6yrlV0Q77c%PP*8o)eg=UyoWI?uu zAcR89zOJgygX9MVYGF*JcSAecAyVzvB;O<85=f4MpJ^d-$a*Zj7i~tq==Q*nom#C_#Oq!^k)~Uz;Mt!7D5KS+Xo`VkE0T?g1liHmwVdQ-kZrk?Ua)DJds^E3h?InREk`qOgPf*veYeRhW z3i4q6T(C4&w0FX$WvhPz0XcqD{xUM(K5l&Y;hK}a=JrlN0914>S<>t6BiEgfClEWh z{{#+13B^|cM+oUC?NuT>!4+_8z0bRu&+pp_o68&x#4XqNb=GX;EHKfCA zxKQdljMQ;-RWSN};{$aKlARMMhF@cNcfx|Zbvk6#0egv0uY8ZOBCt&B-xqj5y5no` z!XUIVtgu@gM5z9d^oHCp5-=5!>~HXvs8~BPeH>7R0@kf`;q<4H4p54FQRFAVb|7)! zQvtIRCHA#F3$JuxJCl?TV-jqG{VVe?RNrr&ip~wsx|&T z*nEJ^Y^F=7`k;HhNcIDCfvyH9>VHg{nCgvyU!P#Ak^foHT)zaA2Q{R2u|*mVr3xxm zb@^BN2Yz;fuD|0+PDsyjO!kU)z+qF1zoyH-f*e1zphz*tRtIwXScH@l#8w?fz~dNT z)s{^H9Ap{HM~UIwqTuV`xWLl4fgELxj^LpqPbA(t*>hqBsf4KpPHi!8dYp+&2M@W zqvf!1s7yVoUQqTQvF~?L=vdwh@|j4NJv9|>7oLrVk`X8 z1?BJENS^>1TcOtQ)UG~8xGYgX1Gg>slAt>L8l2fbqaE%~gOOntuE3%k|8g?Rm%`mm zOHrJGvlND6tmzUE8iNRPAQ{nL2|5JE!@n4gRgxbjn8@~=z(j~Mah9~A-$NLc#@Lox z2Kg39n~t~tkf2sZWdxz%@n|y%R8yM;yn^Wld5p}z$rd(jb2wod1^T_68(Za@Y{4YHvAdzOB%nDB$-VZ2FND0b3+7|HlI@9bHHN{AAVz#Z}x8+XnS-QKz@6JamUTLQ&<+@jxdJg=Nf{kiMLVHMxZgb zgb|Ppgq8~(_i*FZSRFp_Ljv!sU`$Xb2N7|ZR%t*K1eYH4x)d($1r#l)t=}Ds{i6+@lRuao3dH?vy z;{Kz?4jBWg(iqHIr$B+U&f>}fN=z=LAW_qe)>5qbwa!qXa|xDF`pdUy(af3r$fvMd zu}xU?ESA|S_qWNr$J}lz$S%cd1VI&4iJs*S6=aSK5;m8Y59lponI|QV`T9bWBQ|u% zZ7$+opszDITpiV7%A!S8_m?=lp2CWW8AB#lEbgru17mo{$4R{_25}SRx=D*Bfi%or zYf*<}|5l#2dT8tQ+qRZJdA59~UYF#U zdEG57JCE;Q+}Ildk5``Ax8r!L?cFntzn*BRY1waTJh$R(`6+x0X^cE^yfNg&_wqBb zEVt~vZyx(*gZ}7Kahg6L*%9Tn$~ z+u5Y9ooGU8j;-3;)_A&_yz&|$diz$geV@k#_NlA z_4=au>kA&j{6&bNs>y$grPHML$+~$&yGxwin^CnTQ)|%X6dW;uT+J~rn+6u;|ASJ+ zqRF}NEAZba)tCg3tOav#!C1*4S;49XlB|h7k~I%2NTMBNwhS&+!{}SA z7~ja0AhNWR9Hpgn_K~F@ollI(l2oZvQl$nP>QX#qD%jARewo=lhtFI(b52E8MS4!Z z+64t69U9*K_>)6leXUQM67oA3)ed^%`*|mMncM1@6s)l659~8%IBZdhUKW%teCXQb z?`-|MedYvjR4frwe2N6N#S=MDRG}k5G8?45t7mcGiTnSqDF&U_U6r5f`Eeh#(uKSWV+<&$H}P; zrf$;3kPGTw-6UQ!qExm#IQ6ev2`W2 ztf0LO(;VH2<~C?zMLmPa7UcAm)U!caW1$w<>R{>_bafE*Y|zwM!PGOStglWzbMAV< zg~%(Z=iWZ9Sy0cJzMp>TISZ!8)C-B6dR~4ZF}X)D_3Y?NhKt31r6UV;!D3_KAHI{Y zeu%eweL`Xv$w22SYp1_5F9s;{LNd@1i37>oTerRN;!7_#y#6$=h`&^=Dd?z&cJTaK zyS5+_Hn5V=B!fuP;Nv8@yVc*hbgO()5#;GrTl-;$u}apqLW7kX46k6dq>IAiyLiR z58#tagNRebH(`jQ^29fb_&EzOMmPs=yuns*I{799RCMwL84%5nB4bGSM4pXtu){c? z4CE`W!B<}#Y8^H?Vs8AW; zd@*QgVtde_NEBbp32K8cW?bQw*1njhz+@e@}|_mjct zj;soNux1^kamKn+`iWvQ%iglZ3W4#YDX+sZKF0SMk4~ewvRN9dS2BwML~nj z{)@JaerXe{ktwBR?F}M~SmU|@)%@4`tG&ES~?I>ZvLcav%eir)W zfRq?4be&?Mci@(sg$98wrk`*|u3({GHJXd7v(T@}0`|SmG4%oW$QvP;=LTP9qu=mn zRy^^re;FCF?2252Ok?dqmIRIUraw!8G}c}v4x+K%3P_BivEKG2Ci0!Y$`JOR zFC}1tdDgXkMoJWo#S3!07v`;cEQz3deO*OByA=09z->#HEGk%VH;Dtg>kli2cO?EJ zpUl@0lDLnrh&&CBqZ@qzCwPs!$AZ%}T1iz6!w;%(;Qiu0{i~kX&;3zU9%8--2*$l3 zk+?%j3GV=noPMQb`9t(A{bxsbV?o^dDz#_X%o)S^p}n%^d?Sl5{xC1TD^1&PQT)oZ zJrbb8Fm0P;^9oBCS(3~w4-M1ycwlI+vTbc3WQEpo zsldvc-{ozr7x@rOE?K#RuhPu@gBVLzNaUaVJ8~e++{^lT8b7G&k^HEJsQQBQ-MfFO z5t1hE>))ar+J+sNiv z$aesa@+6w((hfWKI*;N|`YzIJo~+gN(`>tHTJ+2$hbuZ%^ElUi>NlXn_1lshDc+XG z`NB$0an{YOY$-n|tYp*S@0`*~9t|sbB)5`Jmp9b3G-}n>9S64!tsA)e`dIEeW!H+P z%40CYBOAN(E0rzPWUGmL+1XWRUvZ-H2X@LY2wl-!d6Jzj+q$e|yF=qP^Y%ndzwdHl zjBZYLTock#rtf*l?9k)#+u6L^JIN3!;?JfP&{%Vl$Ej)NpPNtA{2;7u{vobzZmv1G ziCz(GYq_{y4RKjbORE`9UZCexYb5t`va9AaB>W4v;Y(&4HZp%Gv89@-I-0NQ)c#Qr zV)|1zT@%`7a_S~`VcX`y(9ZJ$4Q25>jhLK0(WhNr(Stv{1{1Rs)>=G6JEC4=qcavY z>BFn@kLWAcB}C~1H|8&kiOu12iFpvdy13HpbLNtq#z5xN#SIMIufL3#UfB3qbf5nOsu^taa$ybxeB_|S|baNuJ`Z)`3{_}B`V1TqCi4y_NUlzRIR^MeSJup;p8 zJQx%cb0scWm`p>&O8mu)4G4OyB3Pvo^bkVCul6ShQA;zjd5RvsdWs%Fgt#@ZF+_^I zoGX!KY+b9;gzcw~l9j~14qC<7rvk$iylJH)Jy>*?wB9F{Ma30}E0K?>EBP1!lZ40r zdVidI`y@XvSv(Cvzj((IYn8fhKZ21tp4tZf@f81f8Xt~lFtsXU1o1P(`mBnVL(I=1 zVB+(>gbnYdUU0NHB%#_TmkA!mrI8zj)LU92Q@iPEskLKaNlxIsWz`O^G47m6%(eX1 z{|Jf=+d&1~f`HsKg8w4(Rs^&eo#g>j9?@b589hK7q`m5_cmCx3#&y(n!DVsBx>MX~ z?%wWx?t$(+_i*0BWwUKR zu_vs1)V5t+wcXu>?6fUydvDp}_0soD@Fc}Y`f9jx&K9ms zbk=p){B_NLxXM%yDb92w%_KXZ^Zr+ux?d~IVpSKZxW54!E14wS!i=DOY zU9?Txct$8|UM>4@bkv-&KG7P!KcdyLcW`U_^X2DA{k9&ME0UE_G;3H1B-v z)>rt;EH-2$ysFQ?*%R-5&8RWhaMd6W!?wIG|JvTbHOxNA$_e`CpZL8L&2<=SsoZz?qfw%B{#DG0GG0n2~N*7g&-`#wYhiQ@J zBP^WwQ+iffhgfe%m?0auU1C0iAYo@=i^^>(%J@hU#)ogbnWxR%Q2(5Dsb4Nm0s2QPLGGL!dl=6yUlXtMk=+&=Wn@||5oGtKi zPIw%`p!Q`#w|SLJ*KuE{SXT{G!}rh3x4r8IeWXcS5&oTv=9ym(mXlznc zw`?v{_QvX7^@}_+P7O=Llw&XMVO#yX_?$DG9Eq1+xPCsSP2pa+4u^CTrjtM5#W$Q5 z@YUTIjEUZ0-Y-8C@dNDo3@+@fvYs%_f9{m!PW=^-7CKGHK| zO-2SR;}GePw%yv^8D-mhXGn9jX?qX-I4jD5tK+&d!~OACj5Pw>AD3|V$NGSkMWub= zNO`ezT^cJrrY1LDn6PgjJRSFvzb%ay@FPe5h!O6U^|w|QrN%>lfP3XoslVak%A(L1 zQ$_vLD9_qwir{^zKCT#F6N+Qt6(PO2tzB);;t*42eJ?J}EQVKt?BY26%|pfRhMer; z{pQ--;%&jMnD}|TypvFCRM5lX{9WDvhwqn8hTOSuYw-bE3u!P};5$dS1o%h>i~huf z#*j}E2o3Pu1ur_%mjsASew20i!2m^{nx66sO=x%EQHN`ahC7{XzXr^)9176D&%O?a z7ah@&<@O`--(ZcI=ve}qJL_TGf?EP;;NU@rGxD+D$wm>5uy49)Z>Tsy0e*DA3VTT` zJm&~&Rwh~WhsXRHj!O-e@45G)X(axH&s%&Z4tK{<05B#j@UO!e=Gc>gs`?65Eq+y} zlqxve5vt&6XMQRANu&yT)JgG{b23yMJnblV-ag;maX<312=?b4{j@s&DFHuHAUhwY(BMo1rGpDRBpQbs2m#JrfPf#x>C*v00hHp10};ZTnF+lG zP=dn_loBq05}bFSlyCu*%b7kkI))9msDX5{i=( zlnw|yaOJhor-KWSRGgq7LiF*Xgt9zHE6z+%3F!j?(z?W_gbR??JAF#H07-?9Ua15{ znB^%TQE=AF1t^a`FhQf?t5;m-XNC0fAfb2rG;o19^RdBvvG|h7I})!Ywqjhw8!eWE zVk#1DmV8UjxbHseWxQiqI6x8O#5OffzzL!z?gavaK+Wc>nJ^11UjjYwn`rp{z@GYqQ7~p7^@!< zWa+*oE+xc&rEgzRs+La5$q3vlagSP7I91~NlQ1l{IHfOM51iUoLK!>V@xSRfkX| zir`o89wp!}M4uwG-0O7i?{%_8xEb74lY3L_PiYSK>cPu9b5qVXQgyKlf0_o zq^rbkrtulPK*dhuF^k}bOgx|1Uh*O1%50pWz^7Icd+R&a7A3f&xmPJ;rxxD}V#(&u zasl@9qW<9&w&Z^LsPvK~6I@f^k2hD=%G9h`v-p^}zw{7er29Psj`_g3lm%(p@o61B?Lpff5gPh<+g5 zdXmu+Mu#vul+j^~4rg=(qc<>mBcmf39mVKqMoSqT!{}H>$1ysd(VG~(nb8T1PGocv zqmvn(!st{+%NU);=yXPBFnSB4GZ~%5=&g*-X7n~jZ)fxlM&~d(m(h8Q&S!K1qYD{b z#OPv1moR!Kqe~gRi_v9_-p%N8M(<(tUPkX@^nOOYjFvN6!RP~wKFH__Mk^U*jILyK z6{8O^x|-348GVG&HH@xh^if9FF}j}7#~6K_(I*&vlF=$gbw)QZ`V^y2Gx|41pJDV_ zMxSH!c}A-l-N@)BM*q&}W=3lmt!4BdjBa6cE2G;OeSy&z8Qsq4ON_qEXdRx{m^=sy|#7o)ov-OcD8M&D#~FQacU`ZlBgX7n9K-(~bYM&D<2 zAEWyj{eaOA8T}tdKVtM_Mn7S+kT37(L2p6Qjo%J&FC3M&oX+B(N;#!Gx{T= zKQa0I zUtf0N8;i6E>;d!yGJsw{Zy*!M0XaPPPd3Y-Ui1bza31}*@<0KWpi0lxzmflI(2z@NZn(@V6?^x6Lc DMKILU delta 46124 zcmafc3tUvi_xRl11uiVGyX=cM3oNe%mfdB6)fCy~At(w0J~IOWgG4|;MYEPIQB(0* zjN~IC#V|F^lIp98mTC6F%ARIw@A_s~S!OE#Gk5MTP~YF@&!=_wo|!pw=FFKh=bSln zYq>QjX@Sqd&qOkJguKy%5wX5#;46ea()n!pNiAn7oW za`?H=+XRZGh(+&vqsl0yxNnf_jFlfQyvQ)pM|@DME=q|A^t{endARILcQ@&83<`@2 z_C{B^x=Q)sC10Os2-$}VzCG;bzpiclh9pz6IVF7mow?-|mGkbas=j}I%>vAP=KXCT ziv;i|B7bgfZtlbWF!QDAzvZ)Lk>Z=UIYrF5lYg|cmo zCgI_}t}z+%M=1weP3sILdLMIY}OY>PR5 z)p-1X@p#Yi9j4=58To$E(D`ZT+ygp45FE2JBu4X>_F!@6;2~+hU#lOq3ykUMZwrdC zXyUXjcfC0{kk;t|Wp0{}vHfpbfIT>7hc;&KnL|>j2-F6}ToORdH)QVy$MkO-j`~Q9EQk~RjhV^WgS`M^nCJh9dY)SVtKyzvdgGoEeC*MF!Fwtb z+&k@pw}W96_>(WZW4oUh2)ZwNi;lb`c=jGx9X|5j=aj@J!{@hmU4ig*_oV+J2S0`nugA$j|Bt-XVEZ)T%#a6O zUJ||ut(M-{zoxGzzs>!4X7D2ub$9j;Xm>nxXa61UB>nVKbiZu% zYUy=<7Kl9dEtcK3MSEP0ei^;?#jiO1wk<(2qgBxp+p4Tk^>lKJA!D0wqQn~AHnne4 zbkzj-+k4Eo<o*VP?=~lx6E$ z6~}UPtx8RL?B4zmjRcQ7BuEoUVm0abp+TG4u8TeB(Zy;)V_V`h+;1WI9Y}tAc9Gwn zY>PK}l68s5lk}NGQqB0-{!y0F?jQi<4O%Kyt$w?M2i)1c@C<-Tb*sEP1bznw2JY=d zhx|x=x3m54_?MnJ+dr_|KPn)wf2DsZkd!AKZ1pGKgRKFjJt$YB!s;+FXQWU z_`_4*zOw7kM`USauXcM^7`vu=+Q&od;4jx%yy|b1U(bWsy-b2>iRSe zTsT^MFl^855H~^hY;mXX@@Iv9eD~MWH-aRNjC44vW&XEe1VS5>y*C5k9 zODyQVB^Dfc-TRbhcGt}p(T?x$eBXcLnB(|>$7h<425)W*{Qmn(Uj0|EULNe1^e`Il z7abrL5YDl?VxW06ND=c`s$cqyy2j4 z920_Hh9~b(iY_%1sl)Iu2YpquH6mU0+{UJ|rY%mMh0{7|hBR}U1$jr%M>1$}Bwv(? ze6-FkfrqLnZj>dJ3+m0y<_$celxSRb$k!YYLmOEP7BdpZ(g&D0bsRo$$XCVa@To(- z1}47uk3+uVn#=-j~k>Pj2@1QXvoui_* zdTx!$QN|f%iHYfbB129#3L1+`_e%l==_35j3*r94=y4+K{bG2e7n?zGnyvWt{gPyN z8{kRJ#JBfLGNqqN(ZZ-$w&m(qG3CT??JYbY$qoQ5(tcX9fvS~RXC&KswSvRml*|Hs zwCqjEVYhtMJ7{uoW>(R(^)(e`j-ss08ASz?klVYc1F`R+j{2&4#P*|(#>#3Wdmp}= z${fYnCA60BhZLX%kq2FN9_OBx#0kcI+#X*y)+6Xs)KOE>jLXhQ6yBQ8`CjzKb@sqkZ}Z=G`0qjf8!;VC zW#C0H42Rf9z;u+=RyZQHh8)C-m=5>ynsOB9j>}T&Vi^_>oRcU5JsH}m7t=AfzQ)Oj zR21QjL*JK#C;58J&lv3!Vs%&LevC(8q&DLjGOROly57)jgiN;;2bzxqt z8oF<+K{5XL7yzXK3@1ZSF+0BiMF-*E-j_th1T!FRA(UV?lmSlEAX(V(s_{k?6OPAy z04O3Tyd-ZDK@p?Hw^Hh&XG9H$LTxsNpsNQZ12iwFcIYigrlWN-^NXkednGV>3vOs? zL~J6%E!k8(;~Yz&wWK7wX!4N{B{On7WieM}F}pL{{={X0QZ3j$D+8sqc4SM_xva5PwOG`a-v<2d{P zm!wMszxxRg?L?pKM4x`&|7Vvwz%CM4|IYr~XZvr0{kpAZ5CHofef#bV9vB>imTkB( zNE%)(jTwk<8@$s~-FEv<&#ksQcX~1pOxNw3LENG)mBuS#zbvNTW5Y3YSyL9>!geVD zq=}5sWJPM&NGKZ+?SHthbpM~xZHD6-bo|sG(FcR}I|Ol(0YzY2i=Zc|%^?8z8#jAz z2T3Dx7!%gal^Pk-s-n5l-lDm(OY;R;B7FA6@Dw|nO_h`^M?}@zdS30y##2DD3y@0z zW+y<&Y+fk2?&sV*d-8?DgqG#3&AfPSaDkO07DLb4e=0PF_eBD-VRMJP^9_K-kIynj;6oH8so(93B`k znwf=X2S(@xvk^YFEG0@X2jPWV%|U*WQpA~PHbbi|vlwaSA}o6#C6uYagMktLf_Vr} zcpydZc^~4IU0f9|42p;q+)rD}BsC*j*W&J=h%ly}G*(B78$dV04iE_nxsj?#eF{on z1PTzeZC-&s%Y+Y!B0^S$1V_Lp5`oOiz=f?0}d?l;5;9zZzp!IWr*(k+O#<4^B5 zL@^FLc)uZ#c?6ewhbnQ>e1i|uipS131ddvXI?5N+JF-mrl40fv60hRNk&Kj&a*BLq zX0fAso?}MBOh;WU3RsIb&NujL+lGx%Usz=*m^UwBDe~R`t;@?D`cVd?-h>U%sgHOI zg2#5E+|-Y^*BDem?Wm&;#sgg!*VHxD8W4*Sw^gXNVq=X#8QlR}5LEYk0_l>7{i4{0 zbdE@9P|`4;>|VyyMx@z}Z!a)}sdgaU!-Z3eb8U{Xj;!M1VpxDkyAwZEV~Av)#HVTu z;Y=sKwn8iygg%9D)fhrTyPyS(X|%%%O|l!vX6N}d(#ocnyGEifDk(V8s`3JN9!!t{B6#rBU zQ*dlJUtnNl%&J$hrp};@KR&#SCrjBk9Oz`^NnBb7!tokq7KMwNiUADvR3(RB zb&hXYQe@4XXiU|Qw-y>v5SXn0M}v?Lk=}@h3au3t4P>r3Y=Ix)gY||0?I%3aWaR%D z(wi{RFVJ~rRb~17#zhNY=>>d_f37$9$6ugkoA?Xh2v|^h6HKaPuWo%ez zPzGNaUOHkZL0{vtg@!=;w^X1x1{%Sl5q}4`!TQptA)g<3!n7%ANDS60SlW(A6Y>Fr zmCzWeh22yBGtwtxVzI~4Nr*#|tEi*8w%j=>;$L`-u)7QlFM-~?{C`DyGbXmE_&2^< zGH14SHyGU87mM8NV7hYjBqQ;k@RQS(Nck7irw!w4R#{USlHDAJos1>m76Qv_i2FnA zA!aQxr-#jBG717Ccc?PYEjyigIT*ZTOfWCRttMh52iupQDksPr%wVE;BaNv@ETm?v z9(d|TYepK7%$-_?E@t_$Pz|;jK+LZoZ?Kc+E(SeoF(8###W-mqa#LJc1HGdV9~{5b z5UiCD!x(%gY9Pbb?4rmi{whCw`6n;M-K?Cqdll4RWdSdzhSdyJ!=C;O)snIR258|` z*yKDL@(kpwD1#W6rsmWZDGd(BpDi^6g@izvPM23ZTBk$GP-djw?p|i_awp0=Aq@Mk zFvK$&yzqLqJk~E9Dvs1i5d<<)C&fl$`wByhmzJkc6NL}1FoZGDxPOH~A&6zLV}U`A z|5{;C+T(zCR8@P$Gx|}~>`tI&w*<^@hjpxTBVqLvtV$vS=2lx)b>sZJyp_ZQQX8Be zO`2gvU2V-$hXFPqQIiOBFR@R&KnO^#TmS8jzX-BdR^j701=&HMB&+-tgI}n zso}d`P}WplmB4l9$jd`)4t2N0;|YA1*v;AV-Hmvfd>p4Y7>$H8(UR#w>a1&R1h= zKh#;gd!KvFu(q5F#Prt17@rc*NX#lGc~ytb*xR8qsWcujEXpgI(vCO$5~`Ub^@81I zGfd#aT5bjc#5B?ZHT1Izi^~>QCTer6Fgn(oC{_>~A6^%mkVJxXTglunXoP7+Dk;vG zXj1z4aZnIj14>fhxf4x#Mu|5~G-+zoB(MOp?oV|r>0^A|48#VI$OJh3L4X>JO9IcT ztF1&{A!K79y|SUSckeK;wCVx3(SXDn*RGHhLA5?<31PJrAhq53CIJqaYzko_@m-Tm zQA`vrn`}~p!dN=lq%DY{?to7$nE&~C#U+k{$tWO>Fh-wKl$~u{tIwX|(9WAzZb{T3 zU!AKKL=gQF;K^3Zk;&CXL3;e($)>=0Nl=hg;LwfL=Hz7BP>=yQQB~d4=zvI<12nCp z41!5qk(UvwX6F}YBQFzLn_W~?P=q{_0hW_D8I%VlPMN~dLs$WU9~d;u1Tv=KngWwy zl!cFoakIvb;wNV`&k|U4WBAD-F$fD6Y8wmTs@QaVzQ7c7*Eqh^$?MG8c$m-(fRb&T zPNvyljdojG2y~m|{vum@&K&JCkSYEUu6I?8%$SBlJ@Ja41TWBuT!nx(i$IYYNmBJ2W zP+>v4Sphbzacofuxf?_+&iQ)K?TmX8t#X>CBwiX%|blkesHx?aPU@hj7KWKV$y`f zC5>W0|86yhFn8hgTg}n_V}+o;h*luP6jxTxFM$INq#cLf-fE6ytat#NcE*OiJIwyx znLI_{i)Ld(hgp%81Be613psm-Aeau`Fc{|s!y=*))pgiC{E3@>50`QgP?$aD^xVX*c5YP{wS=e-Q**TnJ1A!Q(uF zcAWI2IZ};X2#iqJA>^XhVcT%^ljczKc2`p)G!5QKSO^Y<)@of*Ubm>W$+4)OSZoG8 z%Iy>ag~p9F71;4sxCU>}j*#M?o-|9Cr|{oTn!}hb9Mox!NO+pg0+CQKm1oT0w7YVb zki@=MH^Of0s4r`3s%)r5x!t(B(>z|a$2nI9@R^<=a@&jodhogI2(`ep7k}AlHZsp* z*;Alf_T%`c%(l(-o z1fl&Sv<>?bR06{*>gD$F5nARItm!iQGylQq<0BH8W4LB~gqe92KQ%rgIN&&Cl+~1r zy!+@7=Yn58`UF1LWgf+x#M0b|6y|koeHteA6s{#-Z{SV>JdMviZBC3nLkWn5X2hcP zdDA(FoJ_=?r39tEg|)lPDSqz=VZKvx9&@g|G4JB}yUcen{dmtVb2M`fzq`w9VBW`r zyUg*-2RMGWIhcVXrQK$o?4yz07(T|2>^3JcpW?&2&8f_1_{ZJmxUkQM`d-!8)KFdT zsBCTmy$LQ{BMP{HjT0mMnTvR0w^`48iI;VogXUbK3|P`oIj@WpvnxWdrr_}9t6>F1 zfMs6~1DFZmn_++y0{C_qAQb=!-{ar9VI)7`us!Br`;Vl!*+IIhAWntsr(pmy0sMCu zAO!&I&%8prN*AHeFR;rvcVzzoPMr}$XB}q~Wu=%6WJA8@ssu72{S}^IL)K@kn`{F6S8x`8J@W45^e1u9|uO}y?IbB^F|A)Mca=egek zSoUok*<;RP?%=8(^H|}aP=_ZzA0B9qcaX3Hi|8SMn&Be)5Y9K{WO5OG@Fw73Trlk5 z)nC~AM~~U`He*;7w>SkpsqjhbjazJ)?k@HPLz@T-9Q5IJ%PmDg6VhJpiIdL~hT( zNfe}*aUBnx6Fp0ef9^DCKkximQ1Ela$AK*sUt4aG3jz<~g?3AT{Sc2HLb2>&zRMS! zFr+>LKS3z-vx@YYHS@D77nfw_Pejs}0GFYi?9Y)glVgzlW!eoFi`P#kRg|v~&{D?` z2xLV0pCNR`l}(H4GpnE~dz3m+LC2Vp{j4NzY;{F*4f1)FU?YK|OmJ|I)A5oLKgqIzAiB)-wdK%%O$E z0q|5LZ}N|6^T?y@Em|FT%vXPVWc7DORF}L*$>B+4`>C6YWe$tN&TY0I^1kawe7kZ; z4uO88pU{R{cqaWyKOK4|CIT4d=1sI~v&HQA)la(Sy$q z)Z2v_v_J?jh!-)?8~^1!?4PL=gk8ny4_iX*zmV>iLKGvf7NV~Zi-dtFu2Gsux08y; z>xrPregjkx_5$x`l5O%t6H@+8xe__Nmi+n<3kn;mYnx^u`JY3e{7h&HlJys*IZ~VD zo@O>7#Z7$qVT)Y$Hw7nYvj|jmi@{}I803D^+Z4kL{nXm}viT@^5bGYXm;^|G=R9JG z&J_r#&`z>u=H_K_)JlYcj;6-B4hljFk$_GLSqs2=F39gDpth#FfHU8NJn-9(SOQ0} z0zM*`i~>9b)FL4w&aET9tz0bNj9!A2A5)kQ4qIUf&GRM15W6BL2eKa|5=vVVeN;(l zJANek8D&i~tw`Y~;1mn2k?BQwCE3=gGmu7sSFW&xgG9;02h))x)9|Tm%y4*M$y(Tfg!cz(J%xE zz!FaK0>j482}zF?=p6H^!L}uFtqO?r;p?rIL?#YLuCydX>G)}j=cd-iY0cvDvf3eS z7M~z^{9<@qSt2EbUohe%p6WEDFwhcCHh2}LG6}d?UGs=V7o1FLE_1<%hF)4S6g&`d9;sM!VqGg`A=wn)S`~aAAzm75XK0|Cb^J>6yQ;h zT7m_I0{o63JWMb}fUgR|Q+$i~1)~L{Hm(@|=TS>6GZlaRs3jcxtg)!Q*qP8DgjqGS zaP%5W7&9B^tg&c9?h%mqJW|Xd)QseI(7jl{*%A=Pmcl9q6WMnzsk?NgHnSGY7g_l~ z=vP&6+!LbIO8og6VD~)otY)fk+*;_b8t1LGjAiEw^l6(>!~(p2ttFhP#Ru0~jP^Ph z9r3b3Kh{%uQY_@;3HX^!>_m49P|iJlHafFNM?KbOflBJWf&ZYt+A;lX8&JSuQq5oRq5#)pixQV^wD>YdaNS0Wk$DL}wb3G1zD#;{iVu~Z z#8>c}8!ZVzM+G{4a=Xi1C@hAA%|(s+sc7`8Sh~qFN_rfmm^aG;PQY)x5L@Iz6m}BV zZL)-dM|XLXMG;mN!zgNY4A;6)&;aa)uA{8ls({0J{B|f&rk|ek+z#CQJQGzQ1+^Y)L2rOUW zw8t!I%s061F;Jo3;wK-oXqoTv>yKH8`!?_x$jOg5=y8ih@RI-^+-A{|Jdpq52OqaY zFhAqY$D!IU`0d9n0e20!x+J@9yb*M+_!_@*frY>Rqc{!9-G3JVcU9N1rrn~9`Ga4K ze^TzLZj4y8ysFmzg=e>0A_H$yfp)2P_HVihqi^Akc1zUg+q64Q*|^r<9omRrf6Bps z)iEJGgKxB361{~qCIBY}kdA7^ma&XGuEQ3iFDs;$6Ita6p7;Q^#OH`f5C+b7yy>^x zhq$xU(V>Ec#1bA~GEz&2N{ff&7tT_`wgNX5q#G43+X@~A1aSESb$>MtK$7uHB7q#| z&gD;|L7*E00)?>TTtYpBSq@QNr*d?X69UcXmf#iUikfMt3#`FO!LOT2nAB_Wcht|)twwGhP?;ODkkVx~hhnJ_(RM4@5|C2;su zuthKx+(9KDTq&XRt(eNqw^J4~!4O69({SQ;i%vcLUqzs5qi5jS?UpF-nKbkdCcAnT zesa4-HREm`^K6Pa@E)!?cZOL4E`MGYJ;{Xp35+yN$Za_Qxoc>}yLMWV{8mwNT{vS`<8O9?A^a%zdD0S6u?C9Ca#%~h zeMvkTloMIL6^L!)XKX#isop>*yu86;pjjObE|p^=G=emEw@pBcY%|3`a^aK7F`oZp zc>R+W^;`&4BUL*&3LthvWj?N7R*od)F7Nijvj!37dO<-+0C1r6MuhJRQR ztA=D~b~hcWd=HsK!U7WG;s(I>@KyHm+<2C9W7NJOZsdUhvx*BF;a55>TKj&gkZC5q z^f~$jmQHP5!vf@UkUm${!9kPc5PblC-C3Abv*5ZdO* zf;tf0CK_*A38dUAdigq}g&tyH*;z=gfKWH7JQUJEikI=irz~>IEB`DZ2J%q?BzYVK zi<)Q%1o<4}@oKG*((@_=IUx>VmqIk0bnT2o$WHQ90eO8uB$6acNYB%ujkl3hzDy+km{bA_7p}B? z3Of-AXvXsiV@BdLvY(OVT!y?aI3;c#V!xoIG+z<%D#K%+wuJa{Yw>%2Yx)6~K5bFa068a*JPIyA4!LVXD1N5VdGD(r?)5;j z8RX>`UfLnvc#RI1jvukVQf24;8_*4Bb)>fB_u(u;;_J|uI918uYW_(#D;M{C{vry^ z$(p~3)*_Nm^LHp`ZtJpod6LLNhMiWeLb)MIvs8lffl6 zGPfW@{D|E}+*#+)i2Xchl_3c0DdO08Y?qUba1D*y;U)OeF0h&I?6Rosteo#cLEF26 ztbWRWbpdzE2o=M+@D~vu9C-(bhS(e^qKe6kB*AoKPBw=?E!a@WWilt5?UFExl&>S! zKx@Khh!VpEGGY_B*z5(ybQ+f_#71#N$U7P^l1x3K4&b^NZ=-p}L2f(A!;t7i+~R~m zWf$ZlX*_*&svZACQ5diu@-jFB4uYD6MFn8dAQoWj&Upo?;R4hLne#xp`SECQs*rSgBiGj5({zu zGnNF;DYUamMcDC-B|os3K1xeOa6DRG1@|uDT2ws>nkvGt=7tA&!IcOiIswx~_;PNz zT0cY7k)B^LdHkdqNH&vjc`iL%&9W6C7H&U~`C;MG1Njb_jSG4#!OT6lrU#-tbMX2e zu+#6whk7iA=u*CJ8U0qw1;lxIhQo`iw5p7$ey(r6brdd=orDdU5a8FL^xq$cofr!A9xl5 zT1|M{vrv5zKKd*SZZZDyS#YcoyM*#>GeWO+H-!v)=&Uugo6TN1o@B2-c}_|@N8=XLS&Rr2Doz4GGp z0kaodOAifV>u}iW^q9Pb+&RlilCp0|G9kZ4nlL$(2`Fvi&RteEkF7N%)j}+PF%9hx z<$~10qVZpXH>^%q`z+;;10dgS8N~eIo`O)loFPdsO6Ea)d3CzK{UQGJ!cGZMw2(x= zk@+TK2ag7f?nj)(aPNjBqgcUKgN(&inuiHmz+`BRHN_#{RSb2rR*@&kYW}#y)Eb){ zhrHL2gSzsSP-I7vwa%jyu8eIXhlI^=$ATklWo&93VmDIaRGUce|4c>q+02utO@k!& z#|R~yhUIh~>HKkeu0x-ZxZOz$l5J&zhSZ}8Y!GUj|l5(lvyMZ{~Cxuhe zh9)~Kj%WBDd-xtnrX@kg<+qFX4)wUt*(13Z=;{%UQ2$qt2lyVJ8|o3xQn^%?=V^xl zhnXxa-IA`dzvx6RGSyIv!v$9a5DTZLT!*jG4ntob z#XVO@&@gh-#N*lYR!?y(nY@BFX%cw4^8Wj=ycOOiltrYIpRm-x)y03kLyCm zig8(2dPMr{giRS|zP}{wzh0#=>pDe;E@)ngKUmO%ETyA2Ul_GfV*Ez;HwXLE z0!uY6q(VS?qAUF#CLM=8ov!k}D^V(&1?e$|-hXEdzdv;&Bt+?0-ff?_=CdyMY`M`(YF^y_y1j0s`)hjpcXpNoYox-*WA=;6!Fhr`1V5U z1n%F7-@K_LR#BS2=s`&)AMu0E90 znY$@t&6!OZ%bqVBU|v825Odl4$cVEbeK%j)=r=~aM#RD z89nHJ7>}l0*TujTUyusAgpaZYL6_SaGS;EFbRRaCck^SK(JAUdT>~0~n_o%Kin^); z5?b8&Npv*;K2*oSyF57Y+=wr}k{)U=cTHSrn=O&>Nyg*!-Ve_|M8U+tfJ-^Sr3myC z!7e%yB{z~z!J(X7m_{<1s@2>uhA4I$MsX;QJ&5vk?3GUD&;`E>{sUqlIp6LSJZF<( z-6qj)U#8s#kZ$oy|4Ep+i;HC>8_&sPd`O58aq9fz`2L=Bh0uoT4 z^zSxlpKB^P(d}}l#OwrO>~wX*boPieXSg{H4Agk&U?!a`;MSdUDY)|-8JNtf_Y?vAO6tKp`0r{YjGAymsUfz@;6z@HOF~+(hSRPHy6y zTutJR@rk9K<6h28+%y5+Uc^n52e;r`e)likZ^iqLr)xaZ68BpZy9_mH_&hwW>`On= zH_rODh>aI^^yaL~c!dF@kdbCp^j7Tk-Oltf8#4wOroMY~MutY(%)4N4`UV$>ki579jAWqbmxJ4oAD$q4a0m|In1@7oL4J5tm>sOynz^eXe;myJ zN(Wfta6Z!lbs;z%<^X3PKRhJhVNwZ#OONob$_i@t>szt(gN#J`O8O{UCF0EI4aAK$ zf|2dLn)aDSem_dhZxi{whQckx5MN7hgW121|8Aq-s`b1hMaG(wvm$bG9dYqCP|Dbi zgc1lQZ-TDfz-uyX#wR|=FnVs`DSHh64U{pDJuh=GH^y@eN?5TkiT zv5C}q(Frkd5U6v+2{Bq$6q`w%UMIxBL7>h{E=cMMNJ|08%OGRi@U=$kisBT~>=h@( zz(JtWf1D6w=8ED}Qs<}>V&EW9=a>^>%wAEPM(Vukgcvvooc$lC(|A`O$CL6pkdiXI z3i#PG*+Iz9I&KiK{Ot5+hN(J~tzg2E8gEnMwK-L5?)|HSi!Fw9eA6VlA zv0o+4_JFh|o+`zC6vAB;ECzw9Y(nBHa!Scvr1rfZioyFYuBoi7M_x4oh!k@M>;ifw z8CWYI9;Hd2la*Oh*I3D=(pTl=6A z?If!z2(l??_oI++GaWfasalE`PP8i3kT`*)kRd@R%Wkf2(icobss{!5DMO}Q3E2_) zQKPuK?raNBrK3CF8ZnV0748lJL2Eca$lO{rxvm1qA9k|0xCve=L>MK3{0W}C!kjE5 zS?PMrY-&bftFg4!8Y*~HfQ<`s6y9q|dvGreD6kC|)>;Du>*=J(HqZ%VH-0KxPCV$x=Q>F~fAgq%5kZ*Mi%5xqw87;q%UJ<>jJ-my0JxmTR{Yxrh|(;6-95 zFA`7kBGLIjM8XYnB;Z^C{_)#INf{;_-4rrHI3PX3DI7ge>=F*2XNhp67iE_?-~~1N z1gvn6px&A;KnRNtSobRqM+nh10j!@0A9M@ePq{wMQ`vj!fK|@m#=q~4J#x^R9Vzwo zr!G$w5elRV;BLigWRTF9o}UF5$eodTct;H3cojS&MJV3%nN_ZYSHzGAl32r~&k`wB zMKGL9gvhl38`bWTmx%?4jiN4?e>8se1FKpP!(dsR)gS-zfi)mKmQpb{r>5*aQR zsDL)l=@+ zc+@Dw-bE8$m18O4k;c~e?Ai*r-U#_6l62Y)A7YTfO;gvg0SPO}tWF1-uR-pb5>84p z>7`Jhy12wz0@0AH5tzhq0hJ5oLdN^};b9DdG>^n8i16~>D+~8Yx!5q=C*@+pCWzAK z55ouduP5>NAOv493?I28u9?E%6X&g=cDP*1h5m}6DcBv75{ix|T{3kTMiUZG<1xaW zcDQTm3N~}mlvxaf@i~=wH&=w%**wmBhT()Pr8&cJ+JVe_d8F{xm9k+-37KByj6MZI zG;mhuylM)(fE%V%e0eIB_W|Ia?@tg{5wx7vmBBqz`oaWW-evQhfC3(cPYof8UjbgV zAl{r_R@HNYPWT=P=7c_5pIrdgK_GHRn8h~m;fF>l`vFZ1PWr&A5+=jT2wl=2zj%c7 zF9EW+%m|Qv2-$I>zKnhcEXPlMZ1wkfkV;>Wod^={yDvxKha`Mlj-UR(hw*^oSnmv5ouI+62T!`4(vhXK+?qR1kx^kLDMD3U0fimNCF`_7uC=c zMCQntdEpSlT?XeF%QGW7x*J4vFUc?GMD$snEx>$;!*N0buReg-+i?CN_8>1chp5yj zpJ%uzGPmJpRX406M^3>nFu3J?_W-5%MLw#Aod>)|^#%Jn-}@=rd(<2F*k@L48U({Qkv_v0 zze$UI&+^1o6)dSmlDD`g5`iO+>bKz?1g~3_0q^jQ-{l*>M;piX8HIcS!y>n%|Ivh+j~87NqJ%31L>fle1?np}^-;_07`FVywNca0+zGkT=yqJ{!;Spxk_#yDVW!Yl>hx7ZN*nzBEkLw ze1a1n^#FAEc#guZy!JmDxcv%OMf`rFbPpL3O1K=rG0fOX?818s3bQ98^`DdscyGeI zy86mm$2{0+8Rsprd6mV;R3pyva7U(mT;!U;`zU{PZnl?`mcnJh#VKqU`ZVsRr0TcNcGeXCou>4I~xF`*^_cKnl1W zz%6|aL5ZHA@D1UINh}k1`5vuNMUTs(8<3xdK%DkWVNMB>h7W52DpwmxI2R#QX$9B{ z=8&9)djQn4jKGpaKi*jY=+U3w^hL_<5Kyb(`U6V07t+(4H8hB%T`taTf~sj z&sS57BK&f`?r6b$$lOEzcfm9o+sP8mX{fAp`7nwxgz9AuPD2(exnx}AJrN6*i%PYv0yHb1Yjf(qz82go$wRTlBusK$Z}f1 zrtm&X5%pPuiy@J8xW=lf>%L;O;j*+kts0D8?j#!CI6HJ7QESAhT3e*r5jE2dYLh{L59ua zx(nTp->!r8a=5$^-9T91-9tzh=v`#V-*s$c_Le54(Dtq>1^v?bJhf(_SDcs zry%hgLlb?PPIS;295l`rXnONslt|ukjgoUq-*G(>QP8}H?;B?e6ZF$*k9(iA=XR=~ z5C1XkoJU8e9g?oQGi)Y!Yr9v2EgAB`(;I9m-6<|6!r$;)PwtIE&eR(;c*LcKuc5Gj z)A*qVn}6ULSACjMyOI>cMawruy~cFGOs2cZCTKsK?t$5Ut2f9awd4JQ@*kaeT|1WSC)%1?l%UDvKXYhTI-9|Z zO66CFHM8?EhhK)bLvqL!2k(z-1@R-UvtNg`qK(AA@qz`Cbe)z$7((?2`3X~RY+XX$ zN$h}Y4v;`|1L_hrl!}x$1)QJ4p&wgD@Dr;3{uh4LEgqA>iOJC1jJ)m$^r>75S==Dq zA+Im7Nfd}?S&11w%L=Xw3b1Tzjy!}F(R3}=9a5rTV-?bA0_Qasp68}r2jC9 z)ErfQYyid02GRj2gE)ANIK-7I$A%Jw%}AvY>eJ}G-Qn&?IG_DSZF4TX7r`?llBRWf zYx%sjG)Vn}>~YQu7>dxv;>*`4vWJQS+p*7iU(vUg{UtVfc*$q5hLzT$OMgE6`Cllz1EmRG;=ESUY3N+mHCh{du z`4>vxG#JiMh-egWhzklt8iXihG+w&i7OWjJqDneRMs-G!#?iFXunhe2dRwTs)x`ou zCO-GIP0nQFGDD^+ILBE48Xj`T3Awz6&x5zKa2kH1lV?bt|E~ebCeb&GIF&wPC-Vt| z1w7wi=T4h~9UE+^KE=c6HT4c|u(_M@_z%a=>MeQQ_7o#jO@;Srzy=The+t(I?>hrV z#qaH~P-nsuzqik#Bb3}NT(1d80 z36cdb%X4~7joj)gVVn3BvWR?x*96(+!)*{}6S_q#0Y=li8%jtw|CiSk$fcc^^)hF` zfM8HBbC!HpcE4>C^)mhBcTxBIHfb+&1%Ahag4eK=*M;0#fpLB-Y0;3gq*%=@ z_Jl{B0*!pvLLJu%@@gZ?gNwlP3F=`R@Sd+>ZESS4P;91a<1ub+ggovn7{z6syJDau zX5N824Ven1c-xRbZl@xUxC2|iu_fprwftWq@DznPMSvuh2R{vON~*OgJSJP=eZi_- zWYXo`-`e1{T;lGbsek4lQ(shBTeg5VKYO^T4`3nXoHGud<)?lhKlK<4KzSrYDu!nJ zIZDU~gCOt_p7OgbKm|$Vgzynl_5wd;NBAl0<)+LIndASMvX^P&e@q$Vkt5lEMk){F zdz31@`a*CzkoZ+nObyB7BWr?FL{iC#_sG?Sttb#u$>DV~Q-8N9RggsfFM3aL^eQB7 zZ$Qs1q>OWdc7~%`3pwH=sdhUHwN!5nC%yX*+vs54(MRyo6|Re6()J!FZ8Q6wB7%J1 zhdM54^ZAfS8>fuTh&|6Ma6tgE!8v5IsBR}q)^Th+zpFQ#O$6L?8egC&< zjY0OKQsEhNp0q?c~MrkXy3lal->TdTU)nJL|4qGLoV)ApmnC~ zz{+($KeYd^Il-O} z*?k3nNd<$?x8#f6>QcY6=$H0B|HnO_UaR`P-u=hxiPd{nqtII!lINeh*9Tc|RQXSM zZjNQ_%dfueX0%`G9J30Kkd-Nziiik80bg=a7OjhtrqEbU$cx|W6wOr*hLJO)1OVEpc8<-p*wp@|2?FR>Txo=798q>Km z`Qwk!li|L}=YCtG{_(Tie3P~>=?l@4HtEKv?_A3G>{#h1-(KsA6)qjTkvFS$!yU)< zE2F>rQ`7AEK)W#My679b;NBAS!FL%Ar%$vz`sy=}2>u)+-9F&H#NHCoxPFZ3*Y`cX zvYZbyp}#Ik1e$Awk`j-bnxrQMF}H5`Cw+JN&hpZ`e!H}N%&{erKMPNfJJPzm5G7q{ zJ=)h^CYe$h8g4wZt=?~9XwC~a55MupR`r;s_d=3SZ`^Y2(u{}7)jt?-82s$6f|OgrvZTfu6che zDsMycUFUOKHrV60J@RU4&GmE2oyVWZv~PX9?6u1%>8Ye2{Ng+>thjE>{DVEJ5Xw)F z+pB7R#{K=RzisR(R6S9B`E9r7QZyg_zWT2!-=h2X-kv$PBKp_z*Up`4k3;gU+uocU z+H$01;GkgE*{wB|r%(0WcMNIO_MXq%5T5q+>Jz!P#aV;x;hBo4A4Tc!i~sBl z9dNuk$$reNs=IB8+nKVwd#pC-WXsrQ`S;SKQ?Fg_+qd^nQboIIYSQ=<>4nABW70hb zbLBrQ8|S%a)g6D2+n1(axq~Dkbo*gt@%*na&uoaQ?tNq6&gfN_ z&ZJKh@43+V+KbEHI;R=ateW(ku5aK{dcx|Yp3hrW_x<-ddr|yb$Uo%8r4t8d7{2iw zb@1;`1QWIQ7OHP=-1|bt=N#mvhTE;#ZpOoVJRfbm zvh~Y!O@CF2DRlYl6^T1EdDm~`GLriyZ3?&+$If0cYUu^9w627!mOVaGZuteT8K-f3 zxV&=#wufauA9uEVT!FpR^yl7VkF}gFKB2t2b&gpu5zh-fzV?ggkG}f-+N%kjtG+^Y z@3{Rrrf*y8(O{3m^?yFn^2nb*UFupSRAk0#{@hyp@FupwQ7g^a9&z(pj#u&FRh^wX zEIF6c*Tzh`mbIk5@ce|LM?YA%d>=~RF>3scGh?(!v19a&)ndo!rh$5|F#GyV0~u`p zt(NoXljj%>ifhsg3PfJk(niM3+s9xUmz6)Mc*dOSn!5Tfp@-zLrQd`u&A#igg@yG8 zmUV6VDoYgR|MrB~8A}$A-Q(fMeD8k>7TLVp(YYt3>JRY76%7L$ZT`)MqX~0ZuPL_+ zJXHsKEIsMzc~|xR1DSP7)#LVGPc>W4d{?Y5TIFrH^y6FGPPD$A7`{38!qLLs-4aM` zZM|i9XxSIb@9DTP^Y7)_V|ToQPPBftdWGfau~&~LMdeyU2L`_eFn?zY~AQZw6w76%C8Yz9NC|qy_Wm)|E9>=;3$E`^={k1a^%k97UA& zbnf@J^fMywn2OrgO9Nh#y2h?UN8h|GkmlCNg&Pgu#Z_NwnJ`Bx%03tK`h$KYmD(3|WKjZZj0ECl7vKu(Vn1vuQ={)47LUy7xn& zc-gNZo&|A&H7CBV9lJ)pcGaOuc&(eF=c_>Z(slC=7ckpf-!2f$|;Cr)2F(Kt|R+){d@_|XHS zug^dKT+!1f(VSDysulNK^L@AIkvpj$bO)C{bTD-Gk-nLwf!|f{nz#Du^0LWCfBopf zvzt9u`*de)``I{odE?ED$hI-R7sN7O)z0bY&J8V;Y(kIP-`g6#ey0ef>PBh7F3#wpn|=jh9ZImf(=yE z=WG(We*eD*UhiaccJ|E7W@a**?0inhfdt;$!Dr-AQ>5_bgVA>p>4U9aCLxy-KKNd} z_`T*`ME_<-J(-^=#WC}v4mTd-GETZJ=9S5NhE|?PxzCGDWoIyrvK^n4$v-D|U5{q& z-%qa9Q=BRkhE>WE1q$`NB(H-jNmi;vP9kgE7DdW)VO@ZzZe8fYY3pwspZ;2?pq#Mm zOrK0!Xj=*4<9AW!%zze7LIAs@%lC@b6LVGi`>ofg!ZR5W!mH7DUJuzl;a=&pZr(Q- zlAz7>Szme4hHoh!q;dc$U8J8!9{*X$dUJx%SmM;lSnNC~OH6Rk(|PgKCpm_C-~&ev z(Nv+kPy$XEdm66ybS|i^u%mza)RYxxd*HOaCogrbsltyIRg=9r*Bdvc-|VpbSTOJ@ z_Eulm6kQt|x77Hp>PFSaZtUcG&gr)2E4w!)$jPV|77T>hN2ngX9gUk;YA)hCa>nh+V|_yWbdkgdX!X8`3tTH*ghT9a zurO#nY)F_{fXBT{wcGgrZMY}diw~f~b zpV}^!*+}Af%H$yiJ+VMey|MmsvTQxa(GgLIP7>Xy*?YUqKR+!crB;tv%kHt)zQFc zb@EQxmvxSPGD}$3$G@uRJh{9p<#LhRHG09`S2uk1)83yO-_kff^J7)(3(kmRk#fVj z-k(w4u{pe>6UHI4H$K(c#xr&=7;>>PBn%9`?AW44s_$eFeSd!Qnar&^dEwa7=DEW< zVPOmTX*=)9)DSu3-T_e+?S%8oB{rn?_1g;qk86JZ>Y>ccRAuq6rysbm-~Hqeb7@wO z*Y%4(o)SG)W5~+E=!0nH{3C6j7?I=&`gB5mP%bhOMS6MWSGz20`?m|{f7p$%R9MK> z@cny{_oJM378l}#Ofxs`w+%^hvL+`re`u?i$R1^EzNz(%G8`q>IGW~D|^J-8)kj)=-({orpz+9b?vzRq9 z*Wqi~^7~CMv}RS&S}t{`rp8_$?`rnXp^`N}(#N|Fb}&W?R1l;5_gup7V3K-DYe&JH zkt+SGbuRkBZKLfcHq>k*Y;~;Ct-otwG8Csf^UTlCkjbRshC_CQ;l@29iL!5bo3oWT zv*^#b1%&9;1`VpDWfW2rhpC}JkJD4pSy6m&6`A%ec*(EdyVK-cC_O6b2nBJCVcME+ zq$~dzyqb=rGTgtGxNyk^$k?aT>aghW$mQ|k;VPN*>>n}=S9J!=()P=S2SPKlj(A7% z1m%P)qOho3MY_+5bYT}hTJ?6P+lV-{bjH%XA6H%&zI}Ac`^TT2rJq#mn|)%j+=F!0 zy?#gct*lE=OtpS6k8Wkhj!AiJl{bqpkiUbuoZF(ae1ne$J0V?j;evZG4rQ75)V(#; zi^$WwUvtH(q)fiUnOzMZOmAqj>g%ylJ^HHp`ap`XZk^%A5}m{>!&lofD_$zfZ&6gZ zTF*O0yxXO4BXF3{3szLk-S~NmNt5;$Wb=|**T54yQ%I$k-o4t^y<_6CfUe#{Yu86> z^4F15M|}s*HFy^jxg(Tk__dyO24Wal9-3<=vZ-q?H5Js-O}xsi*vEUldDTRewOZYb zM3sq;PGrcnH6YX_U9_Lnvrg@=TwlDuagzFWhhB?Mg7dC09aQm&sicH8`G}BMMc>o%&kdr9N1} z;nN@~F5__M)e6${DU)NF9Z!{nb-Z7S)HY#Vsjx2pm!d2`dz@mu+rf__1>!>1yV=SQ zcy!m$4#xzgv?a=l(}cptgUWJlJ3rPLh@87qH_=pgkV6UNP|8Swz8Y2Tn7tdzp1R?3 z-q|+^hkCfe>SuZTyc^qvUAA9tUTg2Pz3%IzM8tONXmqwuGwVn3hQc@- zThhvbk2KRZOSSDEyQjWZaj73M&{K;U6(Fgq*G%;5qEGxbzFl$R`>9l}BjIj|M!Qb) z_?u7PFtl}QlNL?4oKX|`abMVp}q{W*Jikt-YcGbe0FO8j$Dyh z5gKm0H`kcUj*Hh6dQ3gwbKN?@ z(UdR&Q9rFsc*>aWJgVn%2hr)ha4ZU{#;&-DyjA21NfmxC8Cqc~(;xnWxbJG4Q@-E) zJ#YVn3j=wpD+_OoenryE59EJ4^UtjJsUuVP9qQkM3Cb^#r6Vu&csHN+HNQ*xPF0?< z={sU^@Ni3S(dx?cl|#Jw{)2LHYP%MhC4P0bIh=nE**rn*?C59uA<+!-XoQ)_IP2jM zPsMAtK_)l)(8=_5FEzWG)h@&j>m>2-R4;yPS<&rPm^>gV7(c!BjekX7*mv4u%V@b` zhx*#BdFZxXGTEO984b}(SwBMuAAZ=fB#&@%XJ0G3nH#Awht-_lQj^P9eV{H1Rab&QQE-cPjjV_3H#x4n$uZ+D7X{{|*8$h! z26}gCp#$HjPbtTza^?TIFnPMF+i{oX;KCDLXO_GyTvf2F&&xq&cG$1-kn@BDFIUUT zS@L`4I8A5Be&&5Xvw5et!gPxmb-z7?ET@SZ&_`4LNvl}?g)54v0>KqKa@sbm_^mpL;&guQv<~(8c^uKBpEL+6m=`T@zQ(Qf)9~bOn!Vb zB{2q@2`{OtdY2wobVOIgt$(z+QRww-63ryEy2NlrM2Bc(iN^AshO9V>?X5e zB@;sl~YjF&{q`BFA?56>bh>}Zuy6{%%ke&nymRJ4^P~)cj2+LMmyh`VW}I= zM)YW3R8z@VdXRj*Ztue5*x)tK-Go!~SZ_}rZLP1|629_L)SS3~*KjA#_CNB4Rj+w@ zo`UKI0nza?=*A-3UJ9ux*ZgO?JdNAkA85R^?jub(a%HxP+;{kK=0Mzl*51__`(7_% zxpbZ0GrZ&Y#3@TF6w}iVr3AEF1oG#U9ri4w@HZxBP3Y&ywM6OE(vSxwL1w~*YrKwL z>ig0y@N@b|aO-PlCexg`t6uW_EIYZyMExrq^=@S27M83Zk1Sl^mwa)$K(>K*xmn>k zDabN<|DnwmluiAi=6wH(;dzOjYKM~?`V(W1&McEN-jHD9Xe)DiR&?(nj4;w1wA8kw zPH)#m|8v7U<$;6dn^>~s64URYDve0uy3-1CSIb>xQv_dxqoEO#s8{+5N%`!~*5W`{ z8E)j?h=BrezX{wiP-bR+pbUKfjO>qo*R<`03~9XtJZ8B3WKZ zIp44H)tm1A_XBIKp6wO&-!vpNSm&J>q#&#jhRlsN3SuzApycbz6H=Y(Ir7FcZdiL#Gw`Py9iCa&eTzRtAg0O6O!_=TH`bg94 zk!IPgJiDMRhJ-Gm^0L8nUg8tdD~r?3Cj-%4jx*gH_4UEp1%L2j#*7(GWTa7%CE_UA-iL&5;ZMZjD!d$qy;rVWxk^R` z;ZMXtqHJ}P;3$jEbQc5Iz7sFvD8}BHKt|LcS3h{VzV~tFw*30KQ9YH$)Y_Qv<{P6q+_8r^ zd(lw;7SRUAth1AD-_aY`*_0aQ=~cCerL82>n9?pv<`}3_n^Nbniu}zq<*%jQCC6N@ zTgo>OxT@EvFLM1r;@W8E${YnXy2{UIIl5?Gi=f+f*r?}L|^O~ck=qYEWy=Grbxt!wP#z~*WJ%5fgr}=ZLJ9Ed( ziF0ozG(_6&_caN)IXq)pTB>vOmj105-5U+6`jTCY)V5wTtd6Q(I$0<8hEa9j&qWSj z!o4!p7+=+v)#9ro!L8>dG#!t zIZjD7-6hQbe8iOTDn*?3O3u!OcKU_h$LIF%e70`JP+IDXhOn3?$sQ(}+pdSlKKY5& zUXr`ky-D@v>$q=4FE_L}Zl}}a^RHS=ypo>?$h%eK&0SHK#DQw0mB8}ofmv~P$xpX_U;oB!;+Rkh=lwJkP` z7=IUM)*Bnu?DGY;k_}x9c4Tp9y4wtG&APst+!H?|HP!j(sW|WApUk5{!DYKw4USV+ z;@w?g`%GC&J@?tRo4voBQ#hSZiCdePHfDOM;Wm5Q&PD|~P&|$YlmxGyKP@h|<;|9` z`cRR!-flm~?jv{mrc9f3uraNn^OGQH@r+@WZ8tuYg|sl`Y4HYunGJ4ThC|%KDx*HH zx6F=Rk7f-Tle`68>yQ0%$qaL2&XwEwV`j?SlHl@|pk51^S&pv-Pgk=S^;r&UowI7? zxrFWArcN(to@lc*ry-s#xO?Hmu?OaM+mur8N1gAmnwq0bW9Q_#PTterXXlWc*BF0Z zD6)6V%XdBVL^LveMt=UI)&?^}9v7Bj>QfP34u^MA{KJ$wl9AiaGc;v{mRr&z3ra*( zn$hu6f|{+Jmw%LisG1W_zy5D|GL3jePqvG2kfFNi^YAO^>#v$fj-1KOr0?)I zN+VV5Jnl#%9%N`kI?e>%_OAL&j&Nfql2%XMId>BMbDrlF8kah~YR|kq&8r?jDuY z3WH%a?p7xuPhXK(-L)Wg5_?ycX+&D;1wTbu*4x=D%8y6iPJDf%@H_RO4()WALk44A zP?$rNT_Qs^72!PW5iGGG^awql#8Bd#esD*KEsYNo)aGA{41N2&0cpKLBbPnXW`=QW z!rySN&DHE;Klhzriwx1Mndz4W>#_=McBdL`9cpH_-)}US%Gh~dTkhdjuZUf#s<9JW zVztRVo!ghGdpfi8FLgOP91rNXtSyn3k!mL<(m>rYE`)% zRUW9IXkH_K-^!@a>NmlR;)KC31@R`%J5Jo^#eWu>DaJU&H%A<+G0{=CSNP@-<2?LP z(NpL1h&K7+CONkw^Y?w8b)@WN(CF9HSR-Zsh_-@Z7BaVFBx`W?hRSI#rVkt zE2qc2b!OO1-~2$tw72kfLCev{JEkk0m8J6Fcea)avnT5A6p1)0WF(+I_#`&->MnQY)gG(aiKa3u@+Tp$s_tuQ$rdpz zs1w@Lp2;pM6%^JSU_8I$Kgl}6o;^B5%HuA5vi`;!e~O)O;5GNc8G-68DJghZW89A~4z)dg{^I4UkVD@t@roRSY3OgK{6?XOt+LN9l+B)?a^urV zE=6!O%5;JJV$YR_q_tTvT zwIS2%Dq@{D#Fw|A5Ck$Wrt z)hSAP9n1-zKqkCm z=r!Fpy_GWK=5_SWf+*G9OG`Zk&B8OXmm3d`C#@)3q;$9A8U6HyCCQzU=IORKBW(3r z>W-b2|Hk!Nud(iv*&^H74cT7uNhQa5%rKYaZ}#@~riZZ$IBNWTW-?S1}2(540`~R;GSj74}9g(bV|m{gUgG(mLlayD)h7s>e?`E|m~6 zMdSGl8hL3tKlv>&xb=!+J_YeyG|r~~;dWBgx**;Hf1TGs)heB-B#&=CW zT^7G4C%>vf`&E6t@QvDB8)h1=HC%-y$s;OQ%anBbyZ!3bQ>|t_I7xvV@ zq;9A#?m>X%-bdygRbKT4ms=;u$mTZ^yG3=Auu*?svs!_%toP#Wb^T@^nWV3T#47y= zipDQR8QZ{3bb9e>SE5tJdnb^yDc`|iub}3(I+q7<*dJP*VJo-Ixjc~5kL2ER&TSXl zVf#M50nZPd8H;U0%YSqDb1YoULBe~pg-a~x3JhAfOkYyNd4HZG8oqp<<8}E0=fHVR zAG~h=eCIqTD)$_x0`_UczA-h7QVwHa8sjsg>KfyOefc>~*?G?13!H(!QZN=7;QNn5 z%He7J>`5*YRt&QR+SP9DR1imTGt(Ct9bZ6SGw77DK!8@e;ai1n&DCxzkV3ao0vrR5 zt2PL9tKCG#|C?~Y>7+QFU{ax5b{3>2;;BzN2*&?OTO8v`oG$jPTy{{LDv+aj$%e)xn-VDZBv+{F%KT8)YZW^c5EjOK0O32ON2pH9Fxfn-4 zg{Mpo6d*T?Bd~yiuOQ@Z#b2*La@umu18gxp;GHM{|N9EOU4zz|aK zInAnWB7AEPCqgkoDMC3yMKBozKHLuM;NSuurHZ*}94;i6lxg-^vy4ThGK@!zQu3by zmG5_vmWTa%k)%kFM;4wJZrI8h%Qu??S%aA#xkyqrC~A(lEO2^ok3Cswi^F zgEOgggaY-=6xX*b6Ze_!o8gCNnc>e~GvJh~B|dQ(bHJ(i)b;we6MN?fCY(!fO{Y<` z6d>Xx9~+@K@mt$vX^QjF6=mimN+N3DpC#G`3sNvvvgWT~tFJlv8av8Zc{Edeo+krC2ERdo>BQs>nP zkZ`*s5nY!bo#8r8OH&V7ItzlXMXvS%A?`m57RK|dGhEgAH&!Xy^9M-IYW#9mlyWiV zN-pkkCQ=(pPo65PsI1|uCMj$1VWmmW?p#+nzT5$~;o>}3W#~g7(FFadY!Rb;F@s00 zb}PwdB$_f-@y%H-`rJ6vF zmQ?WpA6f)7c;7$l;AHrM?ZZya%w_mHL&e`~-$}b&#O6ppF#UBHefBF;{5|LX-9``o zX`}!3ng8h_(DR}7K_<|5@JI1GF6}5f(jqZJ(bFEHP{vb6={V>WrRifm;Jp*x1IX1L zbOALM@0PfPmWe&V&IDe>)dLSu zKj^_wK5&!aFDc{-BxBD1baLpUrSed$@-be^+W9x9@{iwWX+9GhtdU0uv6GGE zba5|R&hYj1^-(hYyyE*D!qAs#RdUyII=rW{e3eE#ltvVV&a68dqi`byZXIyIqHY`KDz+hQC8Yb0vtlMX9#b*#>rT14Fj92o zWNXEXee>+^GP{zzn?`r+Z!w?YSlarE&kW*rY$Y#%iFN8BGHW{C@V~*m zfgx9eV}3J(1_(W!PA#bZj3dhtrHgVyqI87^_BHt#&u`Q#Bw9zOB`2GV|2iZxJRFoq zri#{2b^gVs$>(ueXLg9#l@|$=kHb$?IvHC8NcVdrLgw)YWMyZiX+1;s^>~O&C_BQf zBYFt!+C29haf_W^sbc6ZtgB_2nEXz?!`SsEFW)v%XqqTg5}uA;*)jXGcR}5qwwWSV z5rVS%c0_KSY3`FNm>M9}-k^-vq=KET*!md98yC zwNV=3QaxfYN+bSLk8JqWM7;5y^Y#wy1F1oi+@QzlbLOd~8|tM`QTtY66YH4m!!^s3 z=?*JtituHQb37}X(JkW0Ox}1lT&Dih#qg)1N_J3@d6QE*;_J72bNsm0yPWy|^j7C_ zw)l@v`O*JMWJ;Usbyu}%B_<*?a^VP-`2~Jug6JEFf2&oJ) z|LsXgPV}Fvu_9!W%>9oAHBI(i!b^Utmd(w^HXBx%FE8OUhWGN^t~WgJi*|N$Mz$B9 z{am8{z-P_6fv4B*8CTI2UKDs9^SQEue%fKvcI(gnS_6ZZeh-O~qO82-P8>^zjo7Ci=`Pyjp{jm^&GX3z6FVF>q(&sT^XAX%W7?F z)*6YR9b+tU-qK>(;oT(e)#3{QyjM%^7TQ66c80>3h%ida4ckL=jYI_UkzN{IF-IV8 zP*he$q%bYm5s}cX?{Qgg=)1nd7d_Ti4?X8k^kBE@-wM|-N|En;^D>ayIw$Z*?Le$g zYDR3f^V^Kp&ds5D0=v#7r?k`)YW`VgQ?pS(^6lI*js2iv$}Gd%cVdo}XnyjK*I55z zW;g!5ve5RZ$kl~2V@c*ZVqExCHJ=u^ABesdeu}Tv)A*iDS3bt|b0n##lFtmqd_qT9 z7RhuP+{*eX<2a}GU${X`Ipq*-zaDv{eezpmWO^c2>Sso3K0X>VImS3d;ayqLxPIYP zn+m-SQSUMPUG3Z3_~_|PHa**a@CB2NLr}tVvmildH{z4j_d+fl@6-&1PcgDIk^2^* zzORNO^YH6FuJKAzbjE110da$}p<^;?1l@Yw?TflYqM|dL#1U2hQ#Xj@b^Xzq$uTB# z?bVePZVf%>CJ+@Oz6OiCwd~p_NV8JSGvsOGdN1hK5qTGFHPS{y2}U_G7v_D-W_k>+ zxY1mg$g)zDyZKvqwqWAM?xVN$+43{kq&#!uUd7u@EftEG%P##ibtRJ4uCwN2MefEm)26sQCLD8i@T1KqVIPLu3_>5kxJ=lO!#wl_qKQ}sur>&+!gBKh-%v?pjOLV$4bvK?3etvC;Nf-jp$;X5C5 z(NIgw5Kl-!?^zP6Tz?hf z8sPR{ZL@IUfw3tsqOclDEz!)StbthVlxTR+iowsJIN@Kp^7WI#wfM(^+-Yb6B8h*p z4iW3QQ`W;l8XMp&ibarSTjEV808}Ql68Wl&+{bY@!hZE61Qd6~PXClzVUslT$q;V? zl8oCdd9R#p*2V{0;;Fz7LfHbls9Z^wN<4U$gtLM*+bPogQz3eLHFcdl){Nd~HgHp!I6X5R- z@e`D{N%y#TL-?9;Id~SZ3hl2Z1>xoM5YT84*H&^pfGFfkv#~=c1yB@9A^xx(f7k&J z+Pe@~@hUz*a-E>_r&TEImL50-p}@Tj2z?KK$pUvR?%w~We6v6!0#V-gKV{qh|5vtg z2M|~t`&U-thNf^(n$1*%atN|f5a4eHteS)6x`$yGG#klzfZmE95Qr!q`BN~E-Z_TA zav#uc^^;^8 zBaDC`X#hhRL8dU+jAaH<21gAN3^y948|E7BHWU~Z8_)r@puM(1ha%}MPbHC-(fQ5Y-vAq(A&*1XGjpYbN6FQcE?OGB7% zXv*bpufReNJ;vqn42HV_vyVnA@4X^#rgE~@ka5{aZ0n~@EH`Id@7Uf^j!K^Tu83c~ z5kHj@@fVCh1Lj+B`3@3KlBBZW4=r@pF@hcMY1&5+i|#8lxxPM&kt_bhzP?&Z#$_w9 z`wujPf7p(!Lqyp8?fa!NB0QCest?9kdB21kDHhU$4m`K)mb4~TaTo4H(1_4W6^ef#d+`}ZF{%!?0O9?5WE%)=MG?Pk0BPleHLfips>fb*?ciNIRdzZwCA z`#}_{r0*yjp;SX6%4v9@L;SbJ?pi8m|7%$w?C~%E?mZcG?m0-Ha9+}Fp`}nSz&M1j z*u02Hm8&JAkWMX(LjMgy3!E`=*Mh<*U;f)Is+J4;t)y`q#bt+AJzWbhX;c7K$LIbjWAp) zTBddr&)Ut;eZISIV79m>Ez+8_N@}8b3r{g;o_FAOMEMb`%R5x(^&&IDYu$5dvV)gx)GCL`1*2&}3Hd9J6@cftmtK9`=; z4oMbTFZp41y5rnmN&6=g6sHu0`1qfg!h%(N)J{aaFrC^ZEmF6nNb)^+$#JzA>T8K* zVlToct#vmdzCrk=Yu$~BeF)!wt-GOqzvM&L=+8GE7Gqn8{#%4!u-09V_zvM$taYao z-y{6yweAy1h93~VMvOayIDqh{#JKCr4N5Z+@$XL5({{ic;i9-j(T2$QA#m>;kYboN z$t%Sn1^@a5UuG%UY4&k!rw{DJKYxlt-DM#1JR!>Ucd?Nhp*YH2hF=xqu0{NW@NdSr z>oPu%9TEVCz`&j&9@!-h4ZncEUo4dCE5bL1XA)x=;jfH!*C&o3{A@4~zVYvRIBPl? zd`HAno_W5reEouavn-t#rWnqP204`t(IH+zhKTMDNdlN8<%oAW$Hc6Tw6cnXU84v; z#MV`t_!HqL*}CfL{z9M_Fe!3n*=!T1onjZxvN1%oeP3&Of8%j4V74)QGF!;GqcE19e%>`st{rTKC0*6;Yl z&7=dxOFTdxYncbEJ~rZa3d6K&w4yxv<#r34DK#>29^VOslyeClR5b`hD2I6%a68TM ze|=^&vwxywyVG3B_|Mj#XI0~;#+D|>Og5QH{xeM8$0s< z`dDeKY7%GSE%mU;w9eGhY|^wz@5~XTtb|cs$~dwIl$@*da;Hc%opYv6%4JUyAf-fH z2)wI`l;Oi8{0{XsW|I5(>sUsMX9!VUjJ|S>nPB-QOMChLW<-r#B0QzF$nKRoCf$-$wC`F*vAStqV z>JFM>;t3s$eXk@sed^NiH5*jiY(3!Ydk@I@B;>XZ6<`?oJ!abZAx?2jwZ9K(guG~1f|i*0Vq~&4a<0cyPJ_DyfSE2N+zzDMX$T8@MbM z_;m#*5Pe2k5ZnMxmXA5X4d4Xg(MX+u!EG|40wHN|Lmh=>?KTBbg~&8g4^T2Uh`Y3E z%mZ$)M^qtBjno5ffXc4Hb(rz$v!HU;Zcwo3F(ZpmAe9z~Bxli1A}Sa03)I;g1h4oI`7ODC@d0CzHVdWnDk!1UEogAp(v#8*4aY z*6vVLkUp2z1~<4ODxiIi8{m9Q#>2BEjd_5R><$G59dxM&+~ASou1PS(VMW`Sb zhqrtUIpY6q)1VdiSDV&eg+~ohRg}o`{MV?JiTu0Wp5wT*F`Xi@)Fq+PZBwG{zJE+hc1hRLeL^SK#_E_)PVPg$sRD2Zy9SuxB*7yKRpIIG8mw7gEGFf z8R7ZQX41wH&tV_Gj;hR>iKBsyCP)1>be2)2Vn9)2|pE^yJYXb6brBxxXctTjXd6!N61 z5>!{bwLtD}5)n44yCh7qye9~$fu1ky0fjvArG>XNfbvZKU07X+p4E4v*IT$(@Ljy205JTr-=zI(f!q8w0U4WqrG4vk{U4)^FF?0!rhG1wY zhK6D2QVb2p&tOG0S~|v@B+MnnZPVyHsAyJ0)Bu$Fb9|m1OS1+JYYT$1Ox*MfQ7(6 zz#?EVumlJJLV++~DG&}s0Fl5lU^x&4tN>O5tAN$O8Xy{23&a4iKpYScBmnDx^}q%o z5!eVM0h@qiU^B1<-~v1#1xN+bfOH@Oz<^933)l)|1KWTcAQ#93@__=N5ZDgv0Cobq zfZYHe*aPeZ_5u5WBH#dU5I6(~fWyEM;3#kmI1Us8Cx8;56gUZ-0?L4LpaQ4_s(@SOcKof8cxDMO^nt_`@3(yMO0&W9$ zfHt5VxC`6^?gI~ihrlD?G4KR<3Ooaz10BE%;3e=15CWY*7tjs#0Iz{w;0@3R^aF2! vcffn#126y#0wQ1t_y~LgJ_BEXufQ-c0(=9$13!RK;3x2lP=Wp?Jox_r0R3Zf diff --git a/.Floppies/A2OSX.TEST.po b/.Floppies/A2OSX.TEST.po index f1f3bc18987dd7bca3c95d42c13fedd468c0137f..3845221acfe4c1397129126b322111fc82b9ea76 100644 GIT binary patch delta 13900 zcmZ`=3t&^__5bc8H%T8fOlvBHY90zsF-f3pv>u(l`btet>hvF-od$9HdI7n+*NnwrYGvT6Fb*{Ew}X;wFBKH{%Y*XM{87F~4KkAxK@t&nJjwXDvwtk|>M ziDkD`* zX%Nzh9I+}rOS)A1h*lkG*sFP#CMeFDtuE<_tk;zCi<)O9Ez?di4Nfxcv;{7oMImr}J z|F#$Xn_X#a(gagsiOtJpt$C~}4}Ld9cdqaEbzU?EO8Z#Ucj$t#Yf9%jvM^~VH7n3W zhD!qLNLYXO^1hKIEx9tu*fcuhv3~duRQx~CC_ETIk8Q%{o zYdYzJiylnc7`c2j@(nD(ZHMN--;66dG(ogz3vqTXI=C@%@u7{8|4QiAJN0a;%ly(3 zbCY|OE_|>#IZOmHP3(o%9o~W)+~$`&yjeSw12xA3uH(MJOw;M)oykWIM_%_mp1L6| zFqfUNzCSn@q5=L1FKnJ1KHi+p&Tbv?PQAU*6j1dC6F_Ye)DF=7VH7a-g~tM>zQLJ& zFVE}?_qCPv1s6Dzj3D|w5UmE$FK3$CvrO!_hAvOXShp^sZ`K1{x+xq9WSHi#Mnlt$ zN5+O`cc|faD)w)!{`yRRrl~E*wEJ+k4sf7rhG~EV;Je8mW||_;M|1AYG7ZJ`=9rF9 zTPXHc330~9viTx$fHq{Tp#onS1TKL=LqKJSgHN+<)xuR3VO?|Os;X7hEJ7VuyLE-+ z5XF9J2qPW*>`XEZy#~6EW`QoPrUrvQ-LzfTw}sly_H|~MwkPwKIek9fCRxOw0VIc! zNDewpFE0;dnZi`ys*ydI2DrM?M`= z9o3zm;{)eEyJ&akRb$)FMmxVUv^_f7Nes3gYskw3POz(ojlK?MMu)0%>@VHA6Q39( z$s0H7E@fyy;oi?%lb0IR=Zpu?+n;>hI)CYmr26L6a6xn0s>$IFA(+2jYDt=J4Al3x z7*{WX&+gvh)>oHKPn&P#eFN*s#;D(Xx%36%Q0t~;55S%!md{LHXl_pJwV9jK*c%IW zN2am~t+wT}>4XCI#@0udr~6F>UFx8Qol?+L$O_jKf1Sl&YlHl?R@0Qg-z5iW{!X{6 zTgO%uL~fYl3r&Fq$Jm_PCpYVNW)Cr%-Ea>Jx2HF!gr>rER#w*T4%DsZ@v5Vd4>Ej* zk4A=eX5?pPMIO)afkKXgvE~f^d#pLr7e;mvwAy?$a@m)$H#I+Nh?t|P%G#p3Ba5B4 zZ|;gXGJS>lY|*(HgR|c9n7wa$`x@pg?OmF_EOXy2S!)X&_LD1u!>^*YbE9V?S9;g= z4XIDEC&q@iq@O)I5EmI7{9-JU5GL4!K8Oekz`hyoJvX`)0r;We)e9bO>I)Cs!;SW) zFIow5GIfrg&g4~$Do8>-0RA|SL$Q^ zd14GehDVbQKdcVy10sDCK{H4V5sSh3a zWljs)sJqhnRDakRVETMd=Je)#l5;%got*c%OQpp6lIUtEmcAckE4z&>@Np|Ilau$C z5!qRSzc|)Ohz{$AR?70WaxNz!cFrce6hZ|~$3m~o<#6%Qgb%YHO+w#h2v*B5t{qb% z?EMfj0;S8kSR~^q4}rf45(-{0O$=zmO{h%w?T{2gcfi_u_u z&U9aa_~(&=qXq6f{!eS(Se}Tw%kVD)DN|15@e`xkwAyr&#Nobz{RM`?+#4+eMF_pL zi|q`v!Dm_YSyy(T$P&B=S5MEd1S}T50R@NnUr?J%EWXYX%aAJgE!=k&abLViT+e<~ z)CGHgj<5WgQ$zI~ME9v+DXeW_j*La@Sw1$*mG=>zANdP|_p_S{gQz!UM$3Z3X9uQ4 zF0D9x819S3drjmL+*~i-=p&a_vu5sKA7MxX{&kqYt%DKy_YC(Dab!Z++@bAmh~##t zSU;zGi>QhRIS+_(>|EnpMDF}0B1cN#Xzrl9PqCfjIKqme@oZjW%#;GA2j3!YC1!Ec z-zFv}lv;GjE9@Oq_g_Dl$ywhfa{W7s*xL%(wY*tz7Qw8<$R)P3ko};Ltu(W{i@GT1 z-DZ23K7Fb@a&@(j{jjLZ00P)I%w0L`*Jf5*wD;8MQ_YKnpNmh!HQQ3;eAvuxH4kYO zx(%-8C9jF_zrhh z9Zs%_=tWDu5IvR>xzv;^u3VN^R>0&?wR>ZCRfoN0!BdA@7Cf}qHKgIyxCPV*oB1Cx z3i((N2zD=!RGB{;g2>BjUKUC1nAhE~dt-9LP;Pfa2h&n@_^FmE-Y=H?u2lYeTR!`+ z`H#+>HKE!JpL46(`2;uX5b+?P<#rz;%tQqN2$g608TYb5+$}o6#KF$Hj@|2z4ab(i%T>ii)S>HSl)7q_g_}aDMq{vMc@Y;qHbY^~s5%A?9f0%A6*q_Y`;bDCGYf%Te8M2MQWlTTurM$0+#H z1gG&|NkOXH-nPiH5l(d-sonNM-H>WJq(vhtk~81g&1^wVdli zJU8H_GsxueRygX4?YN24=b-zqmQLIwn6e!xg*i)Rb_PwZv3l(aA)>#AV}GY83Jpt? zXM{Oxe}u@^Nooy9YN7Atab`eLOI{j6`TW38ft7-x4#DGT{Npve}Bv_f>=%jl_vE@uLE+{NIH1AOnw3Tq7)r zk72Ocz|2`!?Wk3lF{uQF?u<2H4^U3xr6W8C4Z*Zsxc@UE+a>v{jFjeOtSILyBPDSI zl9w}yJcrw+2m^nyga*ByAnGntw#$@Yj$k!V+N|Djl!c(^R!LEr^1Mumou5hM+VHR` z(lax`Umr>S0@VZoT=^_gdGI4HHNukUFKl%tVW_NdMC-xKC3L7|s%QY#mfIs!V&`WP z1rC?3uC~fiEm^_1i8y3T7AWO__l(RUr>_@<_RC(&b;?7jK+LQJuel_z$riZwV|5kV zQ=Oi=swlTHs|f;Km6Bgpw_T>h%rc3T8sV{=Ov~H_l&1;NP{rRer6SW%Q|Fc+vH?Bw zQ;5`RDN?e`@*eDOER$=5rC5KPYCX1kufvJ;U>*Wyzm%BauyA{1O3WX^?uv2RI-oR2 z&k3>2o{=6>elpp*(Q{RKYxq0p^)(Fd-cr!SCO z^rFU?VJ2bpRytvCV}%j(6hWi8Ql-M_z_}P|f~|Qrk6hn)a;B9?M3M9um$%E5ip+va z@A%x>LokTbjL$9ZyiAGX2l-s>u8iIu%xmDKCdo_KnOwP=k~RmXB~t=3;dej@*;Y|sBWuF^6P#v~4tJQcXGBd+e?=6kq+6lYQ&W8X zb+*zn(dEn+K>DIYiY0KaH?X?c0uZ{e##QEWEW@EGw_$T3;J|3`fi~5DMugv2p@brE}3cDPsJ(yDF528*UIIO`2}c;2O-xOi}l zuq2v}LjWbbqF#)*^pklE5+*1m42E%gfKnVzaCOb(h%usY|86>atu&oXR*BQey|N0f z$rrmIqo*hChB(Vj|72MPKjnEj3z zq<$DrS$=nL=P{*RU4YW#u@yU_C|?Gd`^3!Xzl15v)1}u^ugL~9!jj-Hd1(`AsrT9| z-Ozo?M25@(VCEMM*dbHy!IV*ISgPGtm&;k{c1e`|V1QjT;1s6p8A(@7Un}@}0Q-8A z{8B1!|KqA`ofyNRw@rz0@KP?plwq&)cx$aScp{+Rhg5=6%{zqOAmY|_ZNu-%AR5Bb zG1B0u9XD#xe*t42ks2xFjY!#k4a!X631e}cQ!2FdM<8>HNWe!!q&zQEVl|GDsWo-p zsOpU9kAd=LkpRgu%Q-0;12VHlSQ1Yj&^ROm(i9*45&>3;w-wZu%8$q_}gYuj@w zriXb}HJq;c@dIntuG;@7Ge&T9mkOVfx>VVzCxJZnMJLy|PxbqKIx-E=dsP{Jp$hZf z*FcRRO<9iGippihc5jV1LDByN9zJm+%gUc5ix*pKJyuUurN>+Bs)Y&l6H<%*mn7IR zh&2V6_|BHEWFqV|MC3a$B7@s`yZsQ=lVqmHyWCk>Z1YGH82u$ky(&tT{eP0ovR68t zaHf-$`!B#e6O=2gu{vrM6r#_A)O1m5KWsrk%adeLm9@cPhXJJ6VH2D45Eya&B;nWm z?v-#BkIh=^bktUQitD`mZ2TRWgmhDc-}0F*!uY7Phh9<9np2h~j~V~8LrdfROY z7q{I`tG6v@MtfA>s75lU)lgr!>+0k>33@z$`1jGGe2z_Evi%y0K+yBVm`a+dspIf0 z+Y6E6vHT}V3ox7)*Z?&(+3BpZ&s->C;3qu~U@IhU_yDM;GWlIryiit!o)5680?e!=SkgBE&LXkH{Z>s)sjaK9dMk^ug7gA_!Mkz61|-{1P-9(OsR%{%LW)AW zl(sD3{c0-J>Z+3GGv0^_U}u%s;r*zlQlN;+hVrmVfB{{M=TZTtyaoVR6~NpRxTQh? z~GkR2n9cV$hx9{Exuvtgtb)RH1|`mNw>a3YCS< zl;Y@Q+IWWnOnAaj3}8Vwge>rv5w=KgLU0hZlv#i+RB+b;tZM=+g@5;wBXQ!t=(%9T zt+7UM1Wg_a=@~|qFeyow4BP5zD3giL)TgFRPS429nvy+r+H^K2H_wo7G!+z@ivV#Z zl+Vmk&nPY_omn;u?!OByXOf5?aY?nB|G{&nNuf1NCTX?7Z$?9pF^RN&(PAgud>?9I z)R0|1O2|W;tGsG;kcXef_(Ltdf}+tA{CMMsr%8&` z6xZUYgABOtR$zxWy238S>zoxO6wxaICRQsQ8ju5#3Oh5(R7Bqfu#@AJBXzJfw=*P3 z*1CiQX=Bo; zmnn+Tw*xo4>6N(W^FAw!&W}5RU5Ug_@tNMMuoCiP4X`sy%0*>m&G=eF-vzL*CfM*% z)Jd4<=1;mCaJa81AxN)T%pZf~yrh2vFc=wP@&i0KPCNQxfZ^m-JZHv@z%HgR{V3#z zns4rq9|KCd>Ag#%GjI{=Gaf68`!0DF_KdAZrBh} zts68v_Va7P@_AU41CRYO7DhvTI>BhE`|ke*Od|A1 z=yOI(KlrO(KP3F-E9eW4_?zH*By<%r+()gW_0=)1Xa-kItXceyita`9;{dCf;Mi0? zoKbNM3x5JD4=nUToIGjXEuH`@li2l$|!AAH_+6YR9Pi_FeD+3Xtp9XGi zQU!#AinRUG_(B=wQ$HO5+{8-x5j+=)mYTGgf(b@Ccqq#0t@0ia(Ddyzf$}7mC>hR6 z^+ZXf?*N!EOpLF9g)Wziw?gjCtLaq4i~7(_AT_3N2^p?dlX2ven}oDZQIl$a8vS{U{n3Ld;?EZ8Xal7& z6$s~mDC`z0744T579FvfDw6g^F-HFJny>=F_JuWm+4Zko6IUo~44D~1?_U!ZeN+(y z4_p(LVvF8n5abJfNQqo2h1vby4?9CI?h5bz(@UK%@9FB^yYH1( zIpQvAFjTEJCH5S6{m*aozS-A*@U6Gs;fRf@3!$H>P2{l;IN~{CG{mV*x_|K}s{fom zbN0*so;&~5;MW}SDK!-8Q(JW3UimKS;G|+WB7q*-mJel&|LotYAqY6`ek1+>b#CdY z{YJevkB(2A1b=f5%3r!hOY8J0slrW;MonzyhyqQje=_*aI3W}hs&>Y;oI;tEldq5f z$EIErmST%(dO09eOcTE@DzFBA>xh{ovdgUFnwcy?~yKP8Z;kAR5Cb z=lJoIo(EF#9DuXQznLnO*@>c$-2_r2F&%0%Wva2d9nR(Qv&v%?v>N?RdS8aw!M>JY z@>X07uy5mImBYC{O|BMhsB06-1V8<|w&^wc9=%yJNYUvq@Cy+vfpBKS;4j?Vpoyn* zVg46xDm7ZtRM<+mQ{eVos7_;{<}a{v#Cm2V^oT~w*ea{4?GE_eaOeq5BDu)P5zoRo zV5=rEaf!!U->`Jq@)bAVa_dTtc!RtU`h%t@<<2#C-M#jw&`0n4`Tf6m;K5&teeZE5ij6bkjr7iuI0b|^b3H?lBD9U31|A~ah7Kn1lVK=rWi4!#tM&6 zoeSM{-eN)OA0erwx7krr_0{9q(IMa_jM4m*r_=+m8ga*UNG=hkJd2j3sqN42fTS1+&C;fjf7mJHN3~W>y%^ptEZ0HW zy!5iLyj)B9Ir?qdB+aB)6{Z`seMx*dfq*~DM-raW0c_k8h*v<{JV{$6&OA&mguKUJ z1sH7Jm~`M_mE#Tv`vEs?0#5Icy(s8M_W&&B5C_U7k1r31ehpxW5;r9G+HP4>${Pzp2F77;}lnW&>sMW-kOs7wh8h{d+=J9kUk@_sKUx%cG%?aTSj zy;@f{p{{Pio^+c2A}>4M%hGI|W*WlRXwP?uJnw{a-pXFvjY`4j6x1VW@h82S$J7v75!p|nDxlhz8et-82GqKrKs zLD(-57An$WcvY|SEBbhEtpY2rk4FM4eLRUiE3TR)vBBT5>qrtI8bn9Hyt{8g=omzJ ziWHTPBM5uIv8ofm??_!wCG2CoGhgT2Rs zJw8>_mOwu&G%xGe+1J0TzaJ<)-legb3*!u-d{2L0V46N?U#IdVz!IA^nk~*^Rax*`h;Clq;cEUx?-|$5qV^-#^m|4$ zFC%kfLkVe~Ix;ZUvy6oG4|?|X#;VC0t-h{r%!&^92UPq7GzxTkP_TJj2mIqwJ=L7p zq4Fp@Jm_$9bO&gyYNik0^+fFI;4jC5Kfw~*HmTZ9>gP78eCVzX#Dyv7@ao`|r&oJj z&J^!bttP>dx6_$dS9O0};849LKzPy(>^1LJXU-kfc{^ueqqb>00`(#=UTyc*ZOfqIo~ZysTIRf8`x+g(_3na^b%u$Ra|@TkH~Co&AdA6>qfcSwV`DIqPUPv5YluQ{Y^c)qXsviJC`tSq2`67AKy znwT+7ist@*w#0RI>4TcptK+Vws6e&0b6(ACy|P<>5FP&dC-1b`V`6LS69PH)iT5W3 zn)ulK&un9?N$;ud*r;D{7kqZKjrX=Bjv`FnPZHya@!s!e|Ajc}U03rslpHZc1 zHw@otd^Em`+ujn#mgNNRnB?-0fCX#+qz97fwcFA|jA{qm!@>i}_3{1;xK2w;+tGwt zv>2~A7W_EHb@W&;v@In&H7&R*#RUpk`-Cf}X+ zV}AFT%eNeH7@dcl@69Tj-7!0@CVk(Ww8golzMi*H!-c*J!Ru|y+C$1O*)RHgHl$s+ z&=nQz?mp8Wj13U%uy))M;p2fc?`gZxw+R8h=-ImVK#wI*YpFZ$CCF6j2GzOGP#hqW zq0|n(b#iE_J-6Jp@0PMohA82PDzYCs+~vLY^hd;PrXx#e(|z(D(~&&!XF}Kpy2V8o z%AZkQP-QBgU0F4Q-!+)mkODo#@UYM&iMFvL?Ka%>uS+W~lm~>f9K}`ES;B_Fybk^S zO9fBZCBya+WIVC=J^RCBZ_+=0$Q)u+@CUKmaPD!$oQ!74DO2njz95 z#2f*qbP7(9VcbQaoQI{$%mm7^YHOJCV-%0}jpk*_lzwCY0cLBdyumYFNQG9!2r$Wl z*4lF7F)}@!{XDC%(2~olY(8t#l1(AMuVKk z1vw6`_B~?swD|%@iszWuM7Nw~4-DW4D`AZ%VvQxH6fiyX9&tA@jT?2CNE$ZT7^j(Q zX`)&_{dfvzI!ugqznRZ2%w?azW+fVVvtoi*+16b4WG-8o$G)Avhq67MX9>{fP8SAm zEO4=%`FnIAfc-FUPbS-w$0p?OJ$>$U{ayUuGtR*^yEWgoDvxc-3#r3&EA90&Wl5t2 zNzuWpW7(v<00Oz}jQ>Mev?xr)^W-$|6Zg2wb6H;RCPhnWhz{5$xsJA!9@UfvwSpy= z1dqiBuhxwgR!Bi2tjvY|yL89umeMB6#-g=HHx@m;*B(+~HRgjFfjs=zAcb5k2n4&! zL{&qYFH8C4YkFMQViv4nH_MQ>=yCHj9C7*3?*tV7X zE4EHHrnqcPdA66iF-M3ehy?ESBSaptl{;~S80W@628t%R0>mVj=rNy9NQQd_o5n+Q z_TlKf(gdoey)kEFQ2;FhGuZp`d;~=HbR6lU)~LpjKE+yWVmO3MLaNm4DjC9{82hHV~Zp1#)h>V9d!~OT! z5Hv8>#V4)6pg>^ohG0%2Z%z~0Qfh$^Vv`oE=4}b9t%y*2q{w}Q;M1w!;%e2NP6Em6yu4>#;sG0xB-flz}J99yjcKulU&eh zqfbmVLNNm@Fm~%?&VP&;nL63{5xFP%=+Ty0KI-eU`61?5@cN`WrtLiU^)V3ri2ztZd^zai1QR1d!ScX?oy>Jv^NwR z1Mv${gW0$`r^T`e52OtxMu3iLC^dpixQJ@7;)SjT3w+)6yu~Q}dymCK&CKs0kc|I5}rQ@X7kK!m1Wk7Ff%5BpwNSY8nU+BZlD~_GZQ8! zpoGagcXKzPYE^wpB-p`eAb_1f;;B)10Ksd^*(Z>q%r4&5`uJ2L%UWd)O9ZE}gbMv_ zm|%cpC)X%bf=xU#pfsDD11NJr+fAajGUY{?QgU}HG1@H6r~*AR9-Q`>=rmAG(6;av zQgoUmldFX#!D*7|sf4bi*czz^Glhsl8#4q0WXjz#r4)fwBFAbsS5}l-%S0=fDk2K$ zH9Vy_aX*tf_`2<9a%|4w1@Hi;=UDJU&N#}$bmX|ChdBrD&Wb#YwXXk}+@KXSmKdfI zdS{6Z3S3ecF>47LO%WgKN$J4Z8ES~FS!RdabHR>%vXgJ++O^)({+ix?E zn;^KHISZt3ilmYR&i;<1u4DlSonCIAV7Jytp<*t9x?AwE9)$iPHHJo+Qqlv8W45JI zqQc+Ibtn$qkm8Uj!;#81Tgoe?#*ZlxsX*nTOvxy&uubx}B$KO!rNO2Hv$87LXTU5P zn3)DM+bvUu)yOi3ea#z?NK)u$G3=6uT6^25QI8A}T3r!&dPmGi5DMwWdrh{$Ucf&) z2S-XFNF~HbRmqXc1{coRwkj`7?{figS363oBErYm;K6&Z76mvUb|29njEP{nNnJU{5F{fZqpBgp-YG4w@ew935J$eR#(XFkNG2L%TGlSh0GNO zB!5fIgR6xl!E`AE(87zWg?Nj9Gb*v)>nj)B!Upi>rgXC{fDY1t=X3bAdI2au>+lCuBy)Rf)1NnSZ0Ck3S$APzy`E z!{nuPq_Ns*DXD_pXM(_xIS9<$f&p7)%H0xWgc`=ODwExAE2*-JlpSDzMKIvBMA_P# ztQfVJ_jQ-#>vi(`q^$j`6zOq73`2(vF=}|9Hq4M>sdP9iOy$xq_$30gIF2rdwz zbnTm^*IW<{e(5-=vsMfkwdj9>G0%w`Ddden*?1GmRQ|zaL8VP>wDe~nbAv#DqajdU zlqn@Oj*|)HmClInjOfpSa-KjCF<^6IG`eJFwXnoHrVcg^!2piPr=Q&Xnu2L%UQzTU z!=q=KqxUNC;Rg@Qdll)4oxq)c>lo1|Php21C)|8L#eP(wQzU6fvsM(B)D&2p<-#mP ze+jfMp(JHBI>~|=rV5A2QCi|~7T7Cb);vwB(dAfPqi)GgfC(>7aZeIp{{i3rD)_eh zRcwJ9lQ*vzNe#+lTW%<2n>^Mu*u{VY610I0`DAc*?y_ z#-g|p{I57?1sD!Pqkq4GR(qJ%`^S^!h(mMEk!W2!E$S zNq2`X|HKhziQoKZ6q;eb{MvKlx8A;fM3B_xhNvr>AD~qnF^}nORa{Z@rjE2xXR9hJ z*>4rkKcN{T?Ra|!VjwPL7~@F3d>QNmdKiB$ni$^}VzhDx&8N-g83 z3mEvDo&vDBA~)$Q$55%bRtl!es?bvbmchf^@v>_fO6!y-X`UrL4RA)0owRE*)QF18 zVw1C^KvIyt6JYRinzsSTHsq9>W|V}5BHBbzXnS~b6QpP&O39iU9_6gFKf2u<$zofRzBOY6!OkXoEOwrN)EkQowZxNh#MdLuEM!PTjPH zQlRaFrV^&LFBS$Jp(y*ID3yqzD1#;(7+!H&4I=Iw8HqWH93H!A5WdO&;+lFpMHPW_WBIl-CXa z2$)MX@f@LNk~y-9JnuI!w2v3nTSh2 zLO(ZM4Y*d3UASzL?NybfR$DmFX8{cO^KlM$k_2EkK5PP_X9MhQ5hhLF45f1pJcZJ8 zC>p(h=MgugO;~EYeMZE|16(&B*hw`VW*6_Y-4Y=b(RTw(XiWSmoYxXM1QcO}sffM@ zU|$YYj*N#Y+`^Ege=8)!pP59eX6`(`f4|K{yKU483WVJ{K$r`-q>Eg-osjk^?+9Ks)*wfJvDf z_FSIS@GV0A($7J1DDegk$D(2kbTuF(M)IEiDkx%K$b_ghhDH8kx5M zTMjUI3d-9cdX7(yLkvliwfFIj<3mR2hf#C?Kbdr8c$T8jy_C{mu$ycpPN!Tk#}`A( zw}?krB<9H?D20gq8Pq%e=uKhS7#88Z<4+8R(a>=hwa#3nt|k1ZK*v8k^zaf;@;Coi zjGBJrv88|HZ~num$;Y4Mi0-I9|0QU9JtX1ph0MN}s-v}KgIur@Trjjz;WCQcYv_7_ zl@D=f0uEzDfap~KliFF>?@;3KmOe7GUjUqxJmDP6gtCwP&}*OoeiaKKoY_gUz~$kA z2hr<*TZ7p4;5Z?cT_Wy|5$<%;>j5{kJ$?pHZz83}dMKDs#N$C&Iju>~eI8BUPZKCh zbV)d~u~bKdRQdsc@x#KvwwG(S+p!h$-uob(fS7C{7twb;6*wswY79RR!$W>sF^}wd zhE||_VW_y!|6S)|0j4d1Q7+;`yFu!phQ(*LT1iHctJmVp-k+!>mF@)kyFvCx4xR{~ z8rHXFd`-yApmuHw zi#&$#g8zL}SiCv1z97gyxgjM+i$RnM)QlD>`h>UhTtmz8#4qp7WUQR zN=HFyO@W!W3FCi-6Za2u-3i| zB}r;t+_3Rw@1Hhp=3aUAwJlp48vo1@(}+v{Or;^-_r^B=o7)3B{<^by*X}(nd-uKd zHe}C#{Z{yG|AB*l`};d>@3wawdhhW2M?U!Q=tul-k17qCWB>U0#6N?deEQkHKL6t6 zsm?FI;)wm!CI72H_6r?YEe!$2(S*9P(+m;AAM7HMvJL@dUaJHvvk?~O_@qf>T@{4u8QZBiYYU#QAhXs-6}OR zy||>b%mUyZ{~A?{!aBoNUcnJt=^K7d6%%i-ayYAJ&90d z6P}~++Xl~*c>!RsQ{&wNk5{a)ZKUvB7(yfprdI=O*q~||xC!BX#@(|PQfQdG3tbU+ zAw4`@H`&5Hk$#D$P@%Yghc{-eY0zf15v0P_k9EQ?)c6Z{6an0L{ltBjei>kg@iq@T zX;H+CXd2Y4y?~oKgk7?=!r_e2j{XzCUK3$qZV8bw1zYJ&0J|U#7h+gpC*}Gm^~m|W z#t61Z64lX!fp=%b35s40v@lBuFwwAO^hqqD*jgnz9mTEy*x<}r#u1rn{GXYw+0Urc z$yiwwLd$5%u#X@=CpM=kXLI9TWK^y9MydZ2O|MzE-oxi;vRX~PxB)Kv{F&+)cOAWp z77iid;SU}m5(xgc0iJL85MsbjVJyWmj((Mi?UjyL4a2^*_#|6Fn^ZkACx}9*&3kFwzKm2e5l&hz)rrGdva#&yn;_P_A4kxhByi{NOiM zP14jWuWf;(==I;GjwgL@@cCg?D=Gi>0KYsF(&n$t{PH|CwRtB+yA9%)DyhQk0d02* zZxs;mXK^Iq*bT4&Pa^h#wppUK;W%TM+zGMA-vSs^@1S(RFyUAsrVjl!;6@I?X|1vs zdHv}902_3k1LZUW`vam|0Tv^23kOE)j8qMjJ3td|`4kz68_1772l~22h<+bn;RdD|4 zbHlEqO9g4c=QCZm9 zXxFFDZl7s6HS?vCtn+nI#p};)Ag*otEl9D!sP6BdnpJ;*exPEBf?N@@Ps!N))NuD= zw|eQdBj1k=GNb!D4lT({UKjm+QPs%j6V9AJZ~o@_;+f~q*c+e!WBK{Bx3+sJ?f;Bh zQkMF&?#`X7TuUA=pMP)nsu1#@gTno9V_ny4<`oZC^#J>J~ z;PjEnjkS*z{c!e^&yPKtcj@SZ?@`+mj;++bq55*mxPry=e_WY4Vbt2Xtfh+|I$QYE zhLr24M!suavT517eLB^O%?rtEiLC3d{M7j7 z&MCG#PQKrGG+va*PWW zk0RralH}U!Owk$?owBK^X8DIL51u-9amh8NxLz|_XSt${d3(waTRwmAuVwV?4|esg PTCZNUKFYs7`pW+TXEUJz diff --git a/BIN/NSCUTIL.S.txt b/BIN/NSCUTIL.S.txt index 67b0ffd2..4744fb65 100644 --- a/BIN/NSCUTIL.S.txt +++ b/BIN/NSCUTIL.S.txt @@ -173,7 +173,7 @@ NSC.Init php .1 ldy #8 -.2 lda $C304 +.2 lda $C104 lsr ror DS1216E.DETECT-1,x dey @@ -204,7 +204,7 @@ NSC.Read php .1 ldy #8 -.2 lda $C304 +.2 lda $C104 lsr ror DS1216E.DATA-1,x dey @@ -245,7 +245,7 @@ NSC.Write php iny .5 pha - lda $C300,y Write Pattern bit in A0, with A2=0 + lda $C100,y Write Pattern bit in A0, with A2=0 pla dex bne .4 @@ -263,10 +263,10 @@ NSC.Write php rts *-------------------------------------- NSC.Select -* sta $C300 +* sta $C100 lda $C00B Workaround for Ultrawarp bug - lda $C304 Reset DS1216E comparison register with READ A2=1 + lda $C104 Reset DS1216E comparison register with READ A2=1 ldy #8 Read 8 bytes... @@ -281,7 +281,7 @@ NSC.Select inx -.5 bit $C300,x Write Pattern bit in A0, with A2=0 +.5 bit $C100,x Write Pattern bit in A0, with A2=0 dey bne .4 diff --git a/BIN/UNAME.S.txt b/BIN/UNAME.S.txt index e5e08235..dd69b2fb 100644 --- a/BIN/UNAME.S.txt +++ b/BIN/UNAME.S.txt @@ -18,12 +18,17 @@ ZPPtr2 .BS 2 Index .BS 1 Count .BS 1 +BufPtr .BS 2 +hBuf .BS 1 + bHostName .BS 1 + bMachineType .BS 1 bCPUType .BS 1 bCPUSpeed .BS 1 bAltCPU .BS 1 bKernelVersion .BS 1 +bKernelBuild .BS 1 bKernelMode .BS 1 bKernelClk .BS 1 ZS.END .ED @@ -50,6 +55,8 @@ CS.START cld .DA CS.QUIT L.MSG.USAGE .DA MSG.USAGE L.MSG.CRLF .DA MSG.CRLF +L.ETCHOSTNAME .DA ETCHOSTNAME +L.ETCVERSION .DA ETCVERSION L.TYPES .DA TYPE.UNKNOWN .DA TYPE.IIe .DA TYPE.IIc @@ -98,6 +105,7 @@ CS.RUN ldy #S.PS.ARGC .8 lda #$ff sta bKernelVersion + sta bKernelBuild sta bKernelMode sta bMachineType sta bCPUType @@ -153,22 +161,34 @@ CS.RUN.PRINT bit bHostName >PUSHBI 2 jsr CS.RUN.PRINTF -.6 bit bKernelMode +.6 bit bKernelBuild + bpl .60 + + jsr CS.RUN.GetBuild + bcs .60 + + >LDYA pData + jsr CS.RUN.PRINTYA + + + + +.60 bit bKernelMode bpl .7 ldx #0 bit A2osX.IRQMode - bpl .60 + bpl .61 inx inx bit A2osX.F - bvc .60 + bvc .61 inx inx -.60 >LDYA L.KERNEL.MODES,x +.61 >LDYA L.KERNEL.MODES,x jsr CS.RUN.PRINTYA .7 bit bKernelClk @@ -184,6 +204,39 @@ CS.RUN.PRINT bit bHostName sec rts *-------------------------------------- +CS.RUN.GetBuild >LDYA L.ETCVERSION + >SYSCALL LoadTxtFile + bcs .9 + + stx hBuf + txa + >SYSCALL GetMemPtr + >STYA BufPtr + + ldy #$ff + +.1 iny + lda (BufPtr),y + beq .2 + + cmp #C.CR + beq .2 + + cpy #64 + beq .2 + + sta (pData),y + bra .1 + +.2 lda #0 + sta (pData),y + + lda hBuf + >SYSCALL FreeMem +* clc + +.9 rts +*-------------------------------------- CS.RUN.PRINTYA >PUSHYA >PUSHBI 0 @@ -233,6 +286,7 @@ OptionVars .DA #bHostName,#bHostName .DA #bCPUSpeed,#bCPUSpeed .DA #bAltCPU,#bAltCPU .DA #bKernelVersion,#bKernelVersion + .DA #bKernelBuild,#bKernelBuild .DA #bKernelMode,#bKernelMode .DA #bKernelClk,#bKernelClk *-------------------------------------- @@ -243,9 +297,14 @@ MSG.USAGE .AS "Usage : UNAME\r\n" .AS " -S : CPU Speed\r\n" .AS " -A : Alternate CPU\r\n" .AS " -V : Kernel Version\r\n" + .AS " -B : Kernel Build\r\n" .AS " -K : Kernel Mode\r\n" .AS " -Z : Kernel Timing\r\n" MSG.CRLF .AZ "\r\n" +*-------------------------------------- +ETCHOSTNAME .AZ "${ROOT}etc/hostname" +ETCVERSION .AZ "${ROOT}etc/version" +*-------------------------------------- TYPE.UNKNOWN .AZ "Unknown_HW" TYPE.IIe .AZ "Apple//e" TYPE.IIc .AZ "Apple//c" @@ -261,7 +320,7 @@ KERNEL.PREEMPT .AZ "Preemptive_Mode" *-------------------------------------- .DUMMY .OR 0 -DS.START +DS.START .BS 65 DS.END .ED *-------------------------------------- MAN diff --git a/INC/IO.D2.I.txt b/INC/IO.D2.I.txt index 6ea387e1..476f5f0a 100644 --- a/INC/IO.D2.I.txt +++ b/INC/IO.D2.I.txt @@ -1,8 +1,8 @@ NEW AUTO 3,1 *-------------------------------------- -IO.D2.SeekTimeR .EQ 28 LIBBLKDEV Recalibration -IO.D2.SeekTimeF .EQ 28 LIBBLKDEV Track Formatter +IO.D2.SeekTimeR .EQ 58 LIBBLKDEV Recalibration +IO.D2.SeekTimeF .EQ 58 LIBBLKDEV Track Formatter IO.D2.SeekTimeB .EQ 28 LIBBLKDEV Boot Block IO.D2.SeekTimeP .EQ 28 ProDOS.FX initial IO.D2.SeekTimeI .EQ 10 ProDOS.FX increment -> until > 128 diff --git a/LIB/LIBBLKDEV.S.BB.txt b/LIB/LIBBLKDEV.S.BB.txt index 3e5544ef..efb12b19 100644 --- a/LIB/LIBBLKDEV.S.BB.txt +++ b/LIB/LIBBLKDEV.S.BB.txt @@ -292,7 +292,7 @@ BB.ReadBlock lda blok figure out track & sector. *-------------------------------------- BB.Wait100usecA phx (3) -.1 ldx #20 (2) +.1 ldx #21 (2) .2 dex (2) bne .2 (3) diff --git a/LIB/LIBBLKDEV.S.D2.txt b/LIB/LIBBLKDEV.S.D2.txt index 21a2631b..c77fd0ae 100644 --- a/LIB/LIBBLKDEV.S.D2.txt +++ b/LIB/LIBBLKDEV.S.D2.txt @@ -84,11 +84,9 @@ D2.MoveHead.SEI lda D2.CurrentQTrack bne .1 jsr D2.SeekPhOnY we are on 0/4 or 2/4 track - bra .9 no wait, next operation will be phy/plx/Ph0On,y .1 cmp D2.TargetQTrack we are on 1/4 or 3/4 - bcs .2 if CS, C > T, must move out inc CC: C < T, ON next PH @@ -143,9 +141,10 @@ D2.MoveHead.SEI lda D2.CurrentQTrack bcc .90 lda IO.D2.Ph0Off,x - -.90 rts - + +.90 + rts +*-------------------------------------- D2.SeekPhOnY and #6 ora D2.Slotn0 tay @@ -386,7 +385,7 @@ D2.Wait25600usec D2.Wait100usecA phx -.1 ldx #20 (2) +.1 ldx #21 (2) .2 dex (2) bne .2 (3) diff --git a/LIB/LIBCIFS.S.IO.txt b/LIB/LIBCIFS.S.IO.txt index 65fbb208..87356ebb 100644 --- a/LIB/LIBCIFS.S.IO.txt +++ b/LIB/LIBCIFS.S.IO.txt @@ -277,13 +277,11 @@ CIFS2.ReadDir jsr GetPFD jsr GetRespDataPtr1 jsr CIFS2.ReadDir.FillBuf - lda hResp - >SYSCALL2 FreeMem - + jsr Exit.OK + >LDYA BufPtr ldx hBuf - stz CIFS.Status clc .90 rts @@ -568,8 +566,7 @@ CIFS2.FOpen jsr ReadSocket >PUSHA >SYSCALL2 mknod -.91 jsr FreeRespData - stz CIFS.Status +.91 jsr Exit.OK bra .90 .9 jsr Exit.NODATA diff --git a/LIB/LIBCIFS.S.txt b/LIB/LIBCIFS.S.txt index 2af60505..0814c8cc 100644 --- a/LIB/LIBCIFS.S.txt +++ b/LIB/LIBCIFS.S.txt @@ -808,7 +808,7 @@ Exit.OK lda hResp >SYSCALL2 FreeMem stz CIFS.Status - clc +* clc rts *-------------------------------------- Exit.KO pha diff --git a/ProDOS.FX/ProDOS.S.IRQ.txt b/ProDOS.FX/ProDOS.S.IRQ.txt index 7bd51991..2dc8aba5 100644 --- a/ProDOS.FX/ProDOS.S.IRQ.txt +++ b/ProDOS.FX/ProDOS.S.IRQ.txt @@ -5,7 +5,11 @@ NEW * address $FFCB (ROM rts opcode) for rom switching to function. * $FF9B *-------------------------------------- -IRQ ldx #$FA save 6 bytes of page 0 +IRQ pha + phx + phy + + ldx #$FA save 6 bytes of page 0 .2 lda $00,x sta IRQ.ZPSave-$FA,x @@ -63,6 +67,9 @@ IRQ.Done ldx #$FA * lda IRQ.DoneCn+2 * sta mslot + ply + plx + pla rti *-------------------------------------- IRQ.GoX jmp (inttbl,x) interrupt routine x diff --git a/ProDOS.FX/ProDOS.S.LDR.txt b/ProDOS.FX/ProDOS.S.LDR.txt index 407da201..b9340bb1 100644 --- a/ProDOS.FX/ProDOS.S.LDR.txt +++ b/ProDOS.FX/ProDOS.S.LDR.txt @@ -362,14 +362,14 @@ LDR.ClkDevScan php sta SETCXROM - sta $C300 +* sta $C100 lda $C00B Workaround for Ultrawarp bug ldx #8 .1 ldy #8 -.2 lda $C304 +.2 lda $C104 lsr ror DS1216E.DATA1-1,x dey @@ -381,11 +381,11 @@ LDR.ClkDevScan php * sta $C300 lda $C00B Workaround for Ultrawarp bug - lda $C304 Reset DS1216E comparison register with READ A2=1 + lda $C104 Reset DS1216E comparison register with READ A2=1 ldy #8 Read 8 bytes... -.3 lda DS1216E.SIG-1,x +.3 lda DS1216E.SIG-1,y phy ldy #8 ....of 8 bits @@ -396,7 +396,7 @@ LDR.ClkDevScan php inx -.5 bit $C300,x Write Pattern bit in A0, with A2=0 +.5 bit $C100,x Write Pattern bit in A0, with A2=0 dey bne .4 @@ -409,10 +409,9 @@ LDR.ClkDevScan php .6 ldy #8 -.7 lda $C304 +.7 lda $C104 lsr ror DS1216E.DATA2-1,x - dey bne .7 @@ -425,7 +424,7 @@ LDR.ClkDevScan php sta CLRCXROM .8 plp - +*-------------------------------------- ldx #8 .9 lda DS1216E.DATA1-1,x diff --git a/ProDOS.FX/ProDOS.S.NCLK.txt b/ProDOS.FX/ProDOS.S.NCLK.txt index afbcee46..6768dbb2 100644 --- a/ProDOS.FX/ProDOS.S.NCLK.txt +++ b/ProDOS.FX/ProDOS.S.NCLK.txt @@ -18,7 +18,7 @@ NCLK.START php * sta $C300 lda $C00B Workaround for Ultrawarp bug - lda $C304 Reset DS1216E comparison register with READ A2=1 + lda $C104 Reset DS1216E comparison register with READ A2=1 ldy #8 Read 8 bytes... @@ -33,7 +33,7 @@ NCLK.START php inx -.3 bit $C300,x Write Pattern bit in A0, with A2=0 +.3 bit $C100,x Write Pattern bit in A0, with A2=0 dey bne .2 @@ -47,7 +47,7 @@ NCLK.START php .4 ldy #8 .5 pha - lda $C304 Read Byte... + lda $C104 Read Byte... lsr pla diff --git a/ProDOS.FX/ProDOS.S.XRW.txt b/ProDOS.FX/ProDOS.S.XRW.txt index 2365af5c..70b1d39e 100644 --- a/ProDOS.FX/ProDOS.S.XRW.txt +++ b/ProDOS.FX/ProDOS.S.XRW.txt @@ -784,11 +784,9 @@ XRW.SeekYA sta XRW.D2Trk-1,x will be current track at the end bne .1 jsr XRW.SeekPhOnY we are on 0/4 or 2/4 track : PhY on - bra .9 no wait, next operation will be phy/plx/Ph0On,y .1 cmp XRW.TargetQTrack we are on 1/4 or 3/4 - bcs .2 if CS, C > T, must move out inc CC: C < T, ON next PH @@ -843,7 +841,7 @@ XRW.SeekYA sta XRW.D2Trk-1,x will be current track at the end bcc .90 lda IO.D2.Ph0Off,x - + .90 clc Exit wit CC (recalibrate) rts *-------------------------------------- diff --git a/SYS/KM.NSC.S.txt b/SYS/KM.NSC.S.txt index 52646ca5..9f3f27d6 100644 --- a/SYS/KM.NSC.S.txt +++ b/SYS/KM.NSC.S.txt @@ -67,7 +67,7 @@ NSC.Detect php .1 ldy #8 -.2 lda $C304 +.2 lda $C104 lsr ror DS1216E.DATA1-1,x dey @@ -79,7 +79,7 @@ NSC.Detect php * sta $C300 lda $C00B Workaround for Ultrawarp bug - lda $C304 Reset DS1216E comparison register with READ A2=1 + lda $C104 Reset DS1216E comparison register with READ A2=1 ldy #8 Read 8 bytes... @@ -94,7 +94,7 @@ NSC.Detect php inx -.5 bit $C300,x Write Pattern bit in A0, with A2=0 +.5 bit $C100,x Write Pattern bit in A0, with A2=0 dey bne .4 @@ -107,7 +107,7 @@ NSC.Detect php .6 ldy #8 -.7 lda $C304 +.7 lda $C104 lsr ror DS1216E.DATA2-1,x dey @@ -202,44 +202,47 @@ NSC.MSG3 .AZ -"DS1216E Driver Successfully Installed." DS1216E.DATA .EQ $200 Reverted YY MM DD Day HH mm SS CS *-------------------------------------- NSCDRV.B.START .EQ * -NSCDRV lda RDCXROM - - php +NSCDRV php sei + lda RDCXROM + php + sta SETCXROM - sta $C300 +* sta $C300 lda $C00B Workaround for Ultrawarp bug - lda $C304 Reset DS1216E comparison register with READ A2=1 + lda $C104 Reset DS1216E comparison register with READ A2=1 - ldx #8 Read 8 bytes... + ldy #8 Read 8 bytes... -NSCDRV.1 ldy #8 ....of 8 bits - -NSCDRV.R1 lda DS1216E.PATTERN-1,x +NSCDRV.R1 lda DS1216E.PATTERN-1,y + phy -NSCDRV.2 lsr - bcs NSCDRV.21 + ldy #8 ....of 8 bits -NSCDRV.20 bit $C300 - bra NSCDRV.22 +NSCDRV.2 ldx #0 + lsr + bcc NSCDRV.3 + + inx -NSCDRV.21 bit $C301 Write Pattern bit in A0, with A2=0 +NSCDRV.3 bit $C100,x Write Pattern bit in A0, with A2=0 -NSCDRV.22 dey + dey bne NSCDRV.2 - dex - bne NSCDRV.1 + ply + dey + bne NSCDRV.R1 ldx #8 NSCDRV.4 ldy #8 NSCDRV.5 pha - lda $C304 Read Byte... + lda $C104 Read Byte... lsr pla @@ -297,7 +300,8 @@ NSCDRV.R5 lda DS1216E.DATA+4 Get HH sta CLRCXROM -.8 rts +.8 plp + rts *-------------------------------------- DS1216E.PATTERN .HS 5CA33AC55CA33AC5 Reverted 7->0 *--------------------------------------