From bdb1045920f17fe5cc10bcf4dac39a0ab26a129c Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Fri, 29 Mar 2019 07:46:36 +0000 Subject: [PATCH] Kernel 0.93 --- .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes .Tools/ASM.T.xlsm | Bin 81549 -> 81561 bytes BIN/ASM.S.EXP.txt | 2 +- BIN/ASM.S.OUT.txt | 4 ++-- BIN/ASM.S.SRC.txt | 2 +- BIN/ASM.S.SYM.txt | 11 ++++++----- BIN/ASM.S.txt | 8 ++++---- BIN/ASM.T.6502.S.txt | 2 +- BIN/ASM.T.65816.S.txt | 2 +- BIN/ASM.T.65C02.S.txt | 2 +- BIN/ASM.T.65R02.S.txt | 2 +- BIN/ASM.T.SW16.S.txt | 2 +- BIN/ASM.T.Z80.S.txt | 2 +- SYS/KERNEL.S.INIT.txt | 2 +- 14 files changed, 21 insertions(+), 20 deletions(-) diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index c6561831272672e409d4235e70fc4eb8733d5f1d..95e64c1e967677ab3337ddde8a9daf4029749876 100644 GIT binary patch delta 412113 zcmeI4dvsLQ`S;J6IYX{PCYdBN;UYtTKp@0S?#U>U$wiO=F^LLVYvQG91q8HJRGiRO zyi_cx<%mTaQK_+_0b8Q|iB)SYL9NkRRIG~D3*Io=dTF)geV%=poSFE~yMF8a=Urdc zf;s0odw=)dpM5#|?EP$dzB!WBLx1}ZS=QPjf9iuDSzeQ_3Q}+Sk7ov5ia*_S!S z$VxTV7UiT)G5&6diwC=3`Y=;yKiKy6H=Igd>W|yry}IpPbD-^AmwAcrw(-U#Ma5Yh zBeb^2n`(ET?w&&7a#9DbIo*BdoT7L-?PcX6okN)(qa}sp{W=|g>IDyGuYSPXe!Kg2 z&jr>NW76(ptglV;THBmswT*kaqQ81@_E37?+{_6^i0)==7-wu4PnY%ubF2xm*|Rsk z-q%~(wPU>T>^NgMy<~#nbItE*ojp6)6`Ehz@98t>x-0ZRMgMm~FHY-k-*HjIyE-zo zV8_bH{3E2bV-^37H?~UoqXt96;|5EHj^@DAWMJ>A$k2ESzhQ!Lc$_ihIXvDNPTN7@ zXU~poJlqxR>btkLi!;HglyJnE_g@$pa&2?<8V|3GP_X`jz59m#$=S~)eJ|;Ir~nPe zS^lGRlA?9@?xCb8Ny?@7BKykMf+73H2i22YM&(JTR2O z=}ZaTUeo$j^{x+!dxlflkkfinO|NI?2U}Ly1#_*!85HS^(3KVar}j?Rbb!*|=q4Iu z*qAd|GHeZ&4mI>n*m)o{+rIGiV4#FDI)yVii848!Lp`KIrL)z;mhD_lLi>QdeXcb* zm(1huyDPNg?ojBZ@_t|FgQ=lc>{sVn3(W`Y;s&d15@jIeu0waf-&#LI6Z(=f>gzpb zo3Ur5z3z?RIQzy1>!jE#75(poj;`n*dtL9uO$Yj>^d2R0ymdvS&$Z#g$kvsSt*ati zS4Xy96bVt-hbi-Eq2Z!_ckAzqx&~(s`FoGs=H7YWwv~}<_W8b~43j-{8zmq5Nw|Mi z&!vM?hf+eXhx@>wi&%v zFeqaVc@AAO^v_y)pt51V*=YHX{Xt}{h({0qvC-AHt&8K-Qk4J1&HYy2Hf~$NE{Yki zYc7c$ZnCCK|G0kW%f8avKCVC1*D3zx>)s=K5AA%5SoC}7uIIDcKejJ#vSypR?7k+e zhkj-@Thqs!85y*O#@Q#{Y5MK&H(QP7nFIGXTiW-)tp`$?CL$a&)9U}#*cO#8Vn8jc%+!u7Ydy- zt3Pkop`IXLtm0~|qNktn-C5Md#ugRKj-4}W*C95{;>Vwe$8_;FHMO^-!gX=)$~8t;|cAq>fg)R8c&fj zIe0MiXlei0={*^PbB2x!JyY86?lGuI-9_!??%J;V+Cw`!M6dt!ip~&?X3v~poo~Kk zS1+&%W8=#D$FzR8tZ#d5*VeTW>Jc<}yjIrJ9$H?}KjE&=?*0dl7XDoa?|t{+kn7zy zcO9gs`zYgK9vbHN9z_k)O(r#pQU@Bc_6>b?sIOau`#1OhEGp^to;1#=MVG$_y>oPb zW@ud5#*EN=)5;EdhCb=Lr?!hSao6zOpZ?_FRc~%H4(&QPJoVYNk)hmsb{>3Xt^Lgc zt2?$P(rfPgV#8&Ty*s}c?56SW%8GRQv*Gf{-alU!+4%+CdoLGb_z7$ndL`I3lyU!> z$l*1SJu4$U?+&gW%G^OM4zWZX~+nS8Eb7mXSeHcP$h0+eW3jtOG$Vp z3r}O=5LHG0+bjC##B-Y{ z8ViN!=|ryb^lk3+Qm1yxzM;d(s`KKigJF7smJQVun<}@{DYsKNw?}bq3)J)M6B0D7 zo)<^5NzKweYF2?SXCb|F_db7cXdIPh=b>RwXrVoKR>8Ew_v&AJuYTA=ZJ!6m`~O=1 z+Fu>Fuhzfzs@<^IDhk|IvC%i!urXusw2i5Q-5cG5mva-i&AxK6RpuoY9?II6K_+dR zB?ZNeD4eQ=HMtFC*+Ksr~%ujRM(vAqj-9=K78s0y+rFY?`1NJ)? zShF%#Mh+dchCXMdf$__%d(66N^Ja(CA7k1)x|?>Myp7)pgY$0Mc}6I7+Q5zky~^Bj{)#n5#(Hzhva`F_O(`>iSKE)@V&!L~USn>#culua zaILv{e@_0uOSf3}ngi=^wJtH&Z?$%B^|Vf)X}UkvZhOe{u9(={^$&YKG*7s?=y#rh zqTh|_{oMCbkr62>;%R#E!Yhgb`B(3MAcg*>(%&@tn?A7rfsDr2GXi6bEv20;>!R}) z4a~S9^JSC1Ijrp)Gdl;&w=<8J14rJ;^jz5*ZzlA?A)3^ROt%qmQT=Ce3h%Gti`QHU z!MHW8$_yB9%+$dq&*xwRZD)IbX!;K3>->dIUt5$(`8vl-f5#5&KPPL`Jm34R#;R#E zSUfPDzgz|`xj$>(1?Cj{u>#-Zfwu~LM~yM(uMhdwhq8Bva!#ur=sG#4*Yq@&HZ(SF zUy_qM;8~XQbs81Mv-^iRb8~&(Or6|6&gJCnw(D{NHGe!#TO*Zgi|SHda}{%k=qT-2 zx{yD3s0iOn%QCi&)4{&GbZt>us(t%)Idgn}GPJdh+J8=}{n2$fA5DvQ6-LEfWv}ik z0u^@^`o8+lPjkMPkx9kda@Ml5jMS;d7JHSQ^D}eHz!5vgbPc#}&G~PdtF(6aT{&x} zTAmACTLv!OoAYCH_r9Dqq4YkJe2)@5hBk=o$UABKau=C^QP570;VbJc&{q_++X-&9i-`KblIgniiLK zT)cak|0{F%3jev8zNsdCRThrruS(;JqL6>!lFR(pUiDiu_lRj)+g-W04wzf{diD0( zbGKP%o^{r`fg{J|eG^FSK5O-b^P6rc-Mu95D|7p)d6%Wyt1rIDNd3eZSapK`XWTN+ zU%x+Z{r>#z`vaf3i;XF}_XhuCZr>M7_fW0R3h#a~_%(Hy;51K1XG43ZJ#&9>hO0De zckBkij_ zQ)4|EB(-`aShe)UT+!y6)F?Ya<`leq3J~SrI9- zJ6;Z+Xg+D*{c^C)Jk$Q-<=}10ca_l8rh4L#hJYpeGJYBeN`<6CJ%i<(m21T^1j;8j=IpcnH0Crpm)J9XVVmHT}iNOUZ}o= zLQ|0QYWXGc;>@A1Lp8HPo725ZVu3X3%uNmJrqq;7omx1fyQHJIWND$1m#)lJ%Dkkw z(C}v{V+9*e>pq>rWje!=i6duwl`+iWx+&sVXGBVaOj*JdTQ^0Bb(btDG;(|@ShUXk?5d)4Yy#Wo1U{cw^>c(`Orb6O7K%_U7Z~cXT$lcid3g zeB!#9M)pJ%Re)hqM~xVArxp^I>`5b*smm|C$;|xk_BBB>r;tjX5gJKm@<D6%PV2-wjOQwjLt8VJ)h$$Y z^dMh9ppuCPHT=Ndbc#PeZBf%EE_A~pyZ;n_V9cT>%J4Y5Y*S|5?C~mExypA%If>;@ zSh?xOn{NL3X8V>ckL-E$_m4gP#2=pg<5Pbk*dJ^@Bj~q%X9Pdqym4&WK-$~EFOQ8W z4a%x$UKN7rL}jInN~_?1l(K66)NQ0wJ}}TsgH(rb(E{>n4x3n>Zp(p ze8on?qNdX3^{PAM%`_T1T4nWgw3ar{3eTQt_-Cou0vtW3@|7r)E_PWpl{zq+t&KD~ z#S0i_d$3d|uM1e#ESlBP+O7*27WP1yeTUDRKQYXiab&LCh&DEg%+as?>1qDF7+p}h zm0E}NMJDUYRu>w+Dh}_6UrqNNDt?D9T#bq=;?Z-Gt4)@R3b{It?vSfDqOs-^rC#%i zS}&5I*L))B9h0orBJ_?mq8u&GevT2H-znwhcWSxg66EH068pJYu4GC~nbU)=3t{X>l!I<6gASM?Yc%Pgx%N7d2{5o#fUDPzo~Q#^<%oQ+x*`A zx`p#8y?I(dS4D*=>wFIHh<}0+UDPbgx~SQ{oubDUHBo&D%cx>x<^sz zHA3zjj$WEEg6n(sV-^0~*tt%H##YYIdCJ6qrif`qxRy$EzCn*2CHg+y%a;oMYTg$l z%F$aUuxylE6U!~<=%s;?46PsowQg$M^lPuE_UFf1xpA)?6~Bt(uj1g2?5w8ylU4MS zb#^XF%+ARqckw8>D9inTqdVk&Xf!UI)+Y59wrRaf67&|f(Fky<{brswFSbU@s==^H zyhJaHhnGWSlX%r#uB`gC*d$(yS17A~EjEg+nksq@muWv z0F5Rx+N0rl%&>q4mtsuKPBzIb@qud75~-Jz_y zUss8KeZR8meqAZTKA^0+Uss9_+^?*x-u(e|NX7D>&mQ>_TAF%=+{-UUk~UAx?fkSe*KVsp!;=|>eml5aYqgO&X~VQ z&M4_u*TDa%*rNW)PBridnPS|pb+Ma8Yu%&M(XF*bwAM$pSr@Qbw$|TkyDnghY^{$e zyW=g#jrDQP+(>3!c~97PjPvG3{-A=Z{Biqya+E?dze)Z`3eNWWls!xWxqnI&;1;^q zHtXpvH%JkjEp(r8!a_Oj(XZz7v@<%{X*&6gGKHxP$uCGboqSf?sTB!(jkNz++li;J zHwk+z_?&*A(N&5E1w-1dtGbHpJhVQ~IUIqM_kwXkhwM}It8@Gp6_XHXOUQ-Wsw~mT#{kpR1Zrvcd^&85nyLE%;)(4eUck8II zzNxIbc{hk&{g$%oUfmEA-TLp!9Pidq(XHRsW(}@EcHeijUAOwEw7;wE`fVMRz4|?E z*Pt6zuRdh7$<>mu?$%M&t>0(ljw<+o?&Yvfzq$%ORJwvH*@^W>_He|Xx8h^SFm+f7)+M^yba_ zTs?{BMtsM8q2={Z6D9M&k%|JdDHi-vKaA%|^qSyT+8#$Q?7n|do}AtM-$twIH1w-^ zeXSzP9CD}0|3;Z~)+z<4*ne~~I&W2i)PJ>E=c-bYI->15S5eE=jA((D1QnN++)q)vLL9)}Jn`zqNN#4AAFNb%;A8Rgdmm>)M z>iAjC_++Q*@R@ecB${1i>x`)(BP@oDoOlE|kc7pk;8#{Xf`r8glB=wG1Su0?^ORMO zAZ22#$X8Z9f`sM7AfU{;ZIp>2B&e*q50!}#q(E8YBS=_`Amg-IH<&UxQjFJj-C)Af zK0(?Y6N9iELMG}6dI%{~L&#D3fgVA^Y6O|Y$Q@M>GTWME70|D)g2^hjXk%ojHc?0> zF)@hG!doiEdua*_I0UECz5Jx4UoAK-QII|{^NW;;iYk(6;@+^r zmMcz_qaGWWsZ5+bp;s-I1ZHu3hhB-6Noy2S<14b<(}6z1Dc`os=lYwRf_XTM=Jew}hunAUb%ivjpPned)%o2~0yQjf1_?N;v&(F6 zmgS>g-8#CRa%88W=1gVMLqj9KHhgDs?9%4+`1|&3dx-OMPJ+N<-URrbGU*||TucYf z)v|gvP$6am=V`MZ+{@)`;CyY@gL{RX4SZkO)ldBg$XSKeF4R zw1o9zt)pH;EnM2UI2z#}%>M2UIn4(;xta^&l zBBvmjowDjFO0$@v{8U-vQY6wBI1@og-_K zEpm$TGaW%sQJU2hWutzerzkCIin7ThqK?YC(SCdytp?nr!i(0^!Aom_o0Vy#F;?)n z^>dRRI|Me{z7i6!69sr6y=9a@3kz%^0p~z^tG%<4*6n|hD8TJ^>nMR57PyTBoXz)k zx|bsr{p#lX%S1UIiF-%MHJ6jz9UR@ck+5xZ6Xoa51c6TKhxvDD0oDBKMDx2l9#A&F zI?<%IE30mPb)xzGN?CRDs}*7IQC8jjYN`3fjQl=j*6puOw90#xRX4v{(fod`th)Kt zispBpvc{WVooIeLv{^U5TG`C**LG@tG4ZWaCm%eZALy^rI@$dCwOu#ATGjk^>Ib^{ z)v4yU%OsZ@N9%cz?p57&#_R4k6x+w^WU@7Oa^?Njetb4<@9pOBj`#y~FW;K=$E4J& zMe0xKWOV8^BK1FMvrfHEe)jLxc0Cx?NeY9U3r7{~vsY#3`U=?<2aG0|NbOxsMa&xNRP`&H4WPx=%Q`Bl@t}xkP@%(65gEX+rcR)P@6} z*>AQ`^nY-0NA!Qvy=pm2;w|U%#OT~|zOc)Vr-kw_Ik+SGS9CAG$mmz6{;$O7+%NvE zOd~Ann8nu|-68jl*|}5|W@)@I{~3`>n_5T#NbkRPjI(ou!#m;|uFj>3<z2y++nc;rI@{RM#m@3&n6q zzgjPCM33Z}7Lr{0D7i|0?`Lpyhg@dNwInKW(XZC?I`zm-dSgfFHFNf|IJ!g6=UUPz zom1#n%Vj6XHL|lxj+QGK`J!VzKgS=@%gm*4yS3j)Cr*X*OU zn?5-e+m)^U^WA*W+v*ST#lT}XWX?6ajeAm3Q^y!f4R=FRqv@`$>vp+o%Ddg}nsB$} zCYM5wn>+}|xT|ZrQ{3bqnCh;s>P~Z4S9GVl$<;5zV#mHri=FzsRt?Pr#(IRqUY19= z=NU`wIXPB-tb&Ee6>f~1Jl|5>EwWm@cy=C#=SY^+DPnq_%} zW0^6Ta@f(D>L$0abT|2cWmxR-m1(hm*AZ7)gOO>t$u}#*O^#afx+B9)URL6ooT@V1 zGO>Pn%H+e;jag!57io1ykk%vQ?n;aU_-Q>QIVX?D9rp2xaUW@$@#(IR;L6(Pn z3wBMg$@$SAfFQx3T znRenS88#Dc+SeuvI0(V7&-CtD@h*4Q+_tSJ&a#?8e*zKmhV#`fi!yY&7 z1dnmk#&3$dipxT~x@m6O#7%e8mTiW`8?>1gZ^wEq-g_PE5j(9}935}|*`dc?k-F^)oY zv}aqqDMuAfJ8;y%c)yL?KJE3GZrUw#xoL0A?T&IGXd}$yrhTt5ZrbKbanr6=s+%^k z(%cPP2-={^uy{KvGiLGblh@+Crm-Hevy|l#+eqBnXs3ph%Q5ah3Ets2;;L*gVqU{dyAQb*??o8m zrY+avjRb~7+W<#g%?(^gT8^fcz=fpMe7Bnx?=3g2)qC8uG(W~oEAc7rFc*@R+0)## zs!rXE3t1*=ir2@z7B7a6^@yeKERR^|HoS`sH?2!&SiDNj&7PK(sa;p9>-G#ctq*ff zXnB|NTPrW4M_jd0dD%pLM_p1ks*KvJi@oJO3eX^}trVapYEMNO89?HTv>TbJK@DHCLv_AMu$M zf3kZm{yrb;5ntn39{TpSTW5Nw#wbbp)}+cQH#+IEv%%%2Z%DVBJ{c`HeIa^il%^Eu z`!B^!Uwf%;`nXGT)3;o@o4(vKEdEZ*wD_aUYw;)8SdaMN%JPWMD=raz9UXBsMY)U9 zrwR#h3#6|aird^>A-X<&Q;?n89esU}om<>Q4t^?eV>5jgWLW$WKuI;*i_b2YxS)|L zq)Kq2sV#LzdD+x>#8neDatt@k98=vizs#_BHYqF}WTB}bH72%D)@Yt~#8nZs!?V0a zu_a57xay?JQfec0qK(jW;fO0Ltn{Wo;%bzaO$({M%2|S5umn$7>D_q5)e@zXH?Aq& z?it<19{O9dG({a|pu3VK#cn#A;1TB&%x*WGK%l=%T;1JPcR5{HbUI+En??f<{iRzv z7*ITh{-Jmlp97%4^tj|q!(3{1m`et-pK^U>ZZ7ec*=6b8z8F0@lg=gR(+lErnI&jO ztj{l0^Z5lS5N8T# z4wk`37L-(hVbkQ``t6xsSI*E2e|hn*`(Jwbl>@K-?X}n6IQZsUw)teUW^qAy~(mR$l$y=Nx9Gc`V9*eeDYtd>g zdR&rdHHpqm7Nuz)i#D(*#f;Z|lnpgnvqoz+CTZ4?W|MJqOn3&yOHY$X7A0SC(r}29 zvv{;aH`0!HBRxJ*w1XRI+lS@y7 zE{l>^d6Z+uOHXd)(GJ~6JK~LWagt^^X|}N~rj9y@x~Itmi;{0SX*fj5xjfpT8)-+p zk#;1BawF|b&M3`HSd;wANy4E?4(8F0%8i0QU+H=}B}tT9sdh4tR};-cS(LoYMa+2V z$;~|4p&MyOypf)kq{)r+JJLow@4B97R?DK~Yfc)DoPL)Lx{-Fo8|fKIqTEQklr64F zFJaas_j8hPXp;YVw1XQd@#iaD`m>Tmxs{%sEK09*79}Ti5mT2w?|XE*L$3AEuTu1% zt740hpX~IC&@Sn`wrhXw^QOnn=a?g(dwJid`%%YCE=W|OrG)%t%A{9`M4rgG(4n@hkRFiS$|N~?$YfR0A(PeLcF5!+hg>0ja*^7_%0x?xqVV#J z$q($|Y}$|hp?av7z3H=zK8G(E$$;n(@<>VGQe~#aEMZnBO4cNuD7j3YDB+=;Pm0KL zUasOw{(QhB{|e*gRx#{oZ1RA~+N1*}S2_=vXgNJzOF2GplHX$wy>F_bw?a8*}%~qayJ-J`|o~lPTbF2;^7k^`5TimBoBmaG7=Aj z+(`GM4uss4kfD>g#owHyCeMTXoMTgd($oYbZ?ilPVmk%od5~L_X{1ErJjfO!@jS?_ zbT5~c`Cx}?OTS2z<7MToqvXVSklQ%Ab6NRzGQ`h=XuV%1>hbbd? z@|Z@TUzus=Mue7!G<-X`5RO9a`nEF?561II^K)7tp*Hm!!}e9vR*v=_*Etl2Bm&BJ zoQ_M&#G23QrlHC=kaR}kp>I1Q@o-{dG<(ngoigcBQJ#_bAF|fdz(prrB|33NBJhX` ztkZ6kY41roBJrp^BJq1?YVwH0W6Bhl(8SWu?B6l#>=|KB&wibsgraelziOLEc;PaKYab z^TL}1Z;uiXhYQ|GI$ZFsJY4Wzq5xO#A$hpq{iMSMAAH;4f)Ab1<>7*llqtUN#cPK& zwbO3fVZF^M4;KWq{lj}Z8leUfxkVEEgP3VfQ7K6^a}K2s*GCl3Vp{*mPO|4(*8 z7mb~M?GwpwuYxY9!^T~=RlB66OR|2cRP`V%{rta@e*XVb548`1^yvS$vg+0hhDl4Ls*EH1N+bJH zQwybIz00&ejnJU1y|Uvu7Mu6lF`Lin$+?Cwdz4N*%d-8BQD*(VhzheWg|p(wS}NVk zu}1i|iZQ7+E357W(kq=^uAMJi>1aMhS#`Y$kMh7&W!3dowt`k*sWj7+U00lR zC=V1Vvu; z^0pT4!|ZMCoEovWwd{os_u+72d>**VmHRNeS3A23yH}5LAFfJ_&*S!J@9HXUL+oAc zY~JKuJ=%S^ZbUBbK3uPCBW+T+50iU!g8T3>bg%G?_~#~iSGO{rIiuu+d+u=@-O>Bz zvOlrHHR7M!Fp@uZM`m2nQF_8Zmz=K?{BxV6e{OT40P|`YB~Zh>$lcoMpBtEGwl9pz zRctXS<_9z&oS|}#n2;&Pad6Je? zinI`xuU)UTqWHg7vQH5LQZdH=drx2qTjXLbUuemtNs_b-mVatexy32h?9+sRBa`1@ zgIomT3$3WVv32EC(NV#C?T@W zXvdBOzMlk6czNevpsael6;9rPWy-3@Tj}E+Sgy=^xK%FRE0lR;JeX6?9p=I$7w?td z=Hk6dMOHcG*XL^c4t`x;q@L)k3777`#mcI)#@mb3XMdo~I%~?Gd$a>~HRp`{uM_;a z+5bA(pZgg9nuM$ghwjUiX{4yapPL=9oht+X&m6ED|2GcU($#vsJ$D*?k|cXv3%}@q z!{b_Xk!Crsyf%q$i!@)S%=$emeWHCoP4aNQUV1ohaN?96&Nqz0DLkBiMh?NwmDr8a z!+BGp0I&SqI7&cxINwA9P7mjsrHAv+69sswXY(il;o)qPfTKdmle$BX^?afG{uUKm zu;K^QdT&)W-BH<>*vS3`2_%m7TUk<$^?acvZ%dNovHtcXN#Tc_{YxR>7@&IDAjf*X z(293xMKRX%6O!DPBq>f6XWuCl9FljjL5}r&p(XFul04S)15(_cq$o}#Xa7nFNJTl; z-@_I;*7Jpy>`Rj5vHsp9N%23GWdB+SI5K%38{}Be7g}*glA;*v?@y8>mmjK~2ZVw{ zvY!odtmg|Yxid+U$NF7KisI-(Hn~+Nj`hDWJK|$KJ&KR@zfF?lv3_@wB>6f}Cdtz} zajbtxxXC}P0*e8ZJQ^te@03YT&ZV2YcBf zGdp?BYFBGLBui)ZfcCZ4L$Y*b4?M1<^`Id=*?sJ4?fgV#S8F-jbNH1%sp6`#^17k< zN89Ji$vf^T^(g)^Dm=*p+P6BMH}Sgg?PYbxjXdY1JaK?(X+aN zr_`ezs~c+RJxq?(34Y}Nw~p1iQiNl5;7ti87GEV+j@AELziOR|@T(5ItMF^kqui>G z7XKq<9{W|x2JG;IeqTivl}vU*^MUk(W;beQizGMd(SFb$Cqx&1(1)cT^d}tLQK9Tc zJ<1RI)5Pesl|ydS><2B}sGYl&%nO zC-^t={|P&Xon`aB%~`hH4&RWu$nI(NPc+-@+gtsGX8XY7t^VW0e{yVjQW{chE63Ut z8*5nPlu(v#vD1qo@51R8d#k9s@^p*+Ow?UPy2TD6>aH@~V$TkBSCwwDPlmdyPPf>Z zLfzG*TkHiP?>Z&E z#<;qp1bIi>zB$Lb-g@u-4?d*-74w_zzYLmo$Z!25LJLw(r%`fRt?W**cqf8A?r5=} zEY;+=MwSvG>t)y@o{}C1vE| zSkq0ek$e;Ou$QB{Dfh5Hvbw48uwSyesU!#1_)V3EeOT3#YVwGV-_&^6Dcb&hv9~8( zWS6I-Y4%WE!E{cX-jb9!O}jWQ-B98*VNy4gI8Alb4JA&q6m>(1)BHl+P~tQ*P&bq~ zz0}nWB~Gtn`@)&t3i>az*~gF4A}sd9Grf1)=g;yMXR%}2h%?)z1CN|t&}B61`RQ^j-JD3~#nfN84nH6Ne8fH5{KAzmf5C$M z;wNp_9J6LZXGwTwXJIrcG%sb6p=4`%!vv#Yq9pBm=9rV}^9uw26$+O7S|=KBOfY(r z3MLupaaI26{6ddURb__VAw$wW)mjq1Q@xoT{(5VjmAb)--rQPiRb7_0TG#8}iAHm* z`N^HCcS2`D^afoxJ61S#y%n7(q)*jfWa~!qbcasJ zkaRA-6>Wc^*6M9lpOpnhIamI0$e*&-YF=l_nA79!!I^-6?s z>|EV@!Q-p<_*yqu{&;D(q1FnygiPwk$9_!bN5*S!(hD1{UU$;b?XM`^X0I9gfeh=x z1GdDUxZ7G)Yl&i4`o}(J^SY}yZyMZG?&%>TuX@V#79(hSJh7Tlch9cq$K|r4zZ>?M z1?H%m?zX<|)RUbb1>!<2K3%6=s(d+^9X3NPdZ>APQgiTH>oc*77n)hq&Q?S(g}l$5 zt>_7@aK3dqc)6pe?W|aY-LmDs`21P>k%eZVD{O}rntu7oTVzg4_=eSKMkm-``#aYt z`|d^N0@pVpFDx?ejI&cJ%@WrW_Pk1SPST??gCrG%XR4R&U6tkx*Q55!mF8DR3);w| z&OQDw?>zO6+~S|KuCvEhnO^hd0`;g}S!LegddogoWva3}ydGchwvf@({nY#IJ)J6= zu5X*lkW#hz;W6z!eveLU)tB!^*?UoMtyQh|7gc6iy<~piWJ=;)8AxYi_3Gq?l48la z(ogk%f2U8zzZ58vJ78L{s;xV{Qtw=#ht-^+VO1hCOs`k{eOdUYez*GJjo}X6nTb*< zMHly|AA7?i{K=hjqH|T3H*B{pF-IrL{rlne6-wr<|5##fl=CXTS!NkeQ8=Oc&Z5xa za$oZ{O9r@14R06uzpm~n2~RqB@z6*5z8}?o`slgS(YSMG+E2^gF6n8pzR9bClVzO6 zOIH7UDfdIv?23Nc6I$bx`&{47X>w|Z{fW9~rX&xj-`o&RQJ$hevU)GO=(IcfLFh=i zPdah1_t1w=oZfM!#pr22-8=P-ZB}&jk+##n+GhXpW^+yb7Axp#>ub2z>S*ihTqCpF z_7XkiHQZ-){KvgkTc2#F-sjBLOLRu`R~}z9`Gqakg)P>JTFZZ~b6Yf}RWA7{HLN&X z;6K^9DVizUGS$djIWA=QUsol?;Zb6%GZKW`-!AvrkL4zk=fj2iZPu}E*8XjlPkvsK z)cw}3&Nb0bTH^NgCd;;@O}Pa=xgaIfH;V%qLl2(`(q_>Zxr^(p!VOm8dTT;-m}I5L zd3-VnNZGXhr`k9&ML0xKnf2ozh`q>_-^I>;Gt<@pfl2|W^4LY$w5_LLJXFaS( zQ190U?=d}1F33%jO4`3n##V_;U;(e5%5wEim77+nep6Bx9=BPZkhNCetqbzF{P_iW z#=dc(&Wd)#?%YtYUb-qxEE#HA9!|6S8}>@WdAi|j-LR5N5uVawj;OV~>n-mF%UfrK zQ@f%cq&kQ2zagwLA2?H>G+8~=i;vcD+{yhVjKNw zXLBf>U1unDM}P6TtCSJByxEM)iKIMZe(ZWWJtg`0v*y!r@#A;6o;{f{Zp@Re{TsJ@ z%Shhk+P`Vtn#Zz=49|C5cJaxKv60jJ%#h1;A9L-G?E0?xkSjjd$lBR#9&dJ6PjcR^ z?V5jdpOaL1nqBdd`**H|Pv-o{9m)AoLhzIHpXL}=PR?+-aK%fv=j4od^5j1Zlh1JZ zB+4f#a`GRN7yQ?Ri3!Gj?~28beWmJ_8{`%LhWX2vdRKU7-dHrlE((mVjy%$s@|f#z zAZ3MX+wpf(F1wDOOEDj<9vmtQs+|?V=RZssZu~secpy79Nsj1UuZPO1xWQso%VNbk z5{4NMCJz+*PMtHNYSyJb;7WgQ>_C4fu6iJ+bnKHSYg6SjB66}et*J8oe6_JPd%8ZI zvD5SkM;?43t@0k%RQuKO=~G;fN6w5-pPaB$rSDWDkE@J3W}5B}V}E4jZ5iKp9lkwd zw0nV9RuacIWqgr%{DF*lqtnw;1`hY(hV401N7yyHGscy@I(Hy`al!}~JgKG*>2~Cv-3`R zrn{8A;-qJFM1{4k!7v53hm{; z^nA_r6Z_CFJvA<$-S?ktlhrj=f~OqQ*IWpY}ny=jg)v3~XcuufjB z*U7iW*2$~oE%zh6PCljA$xT*jK(CV<``5{Pht|o`{?IyE3TMX(r|#A3GqrZ8wcM8#ENJU4N)GvD3B6Dq%a+_jRT?$pIvxV4XwT<*R2~GSbfWpu3UYOaaP|mTF%MHs+%5rN0KTN zj|Elh_m!GhB8!H;sp`5?d9N<$)vJkN`aNoUi~asQv$Vd*8K#+X(!Dnx`mJ8p9O}{E z!5SIqpGuqIk$YAc4YwMiJHyd!m(eJFh^^um#8&aLeMw*6*ebr!YU=Lk&`($ADi+F> zNO7*jJh>9pTeJ10(0k;c-7?=?R4<)-Pu+jbdOxkSd5>Q2H(1S$R`Xt~Ibbz6S^7j` z>;2F4dcWhU^?qY)y`NSp`Ra^b?{^HX_cLb;3xv^}etzR(aF)by)1I z_tQ%I*ZY#A*ZZ-3vGu;}o1)rE!lPBewD2hZnBaA?w9%{Su#&+h!g@6wyk2LjznT_) zUw1qf6j-N&0*_x26sT{oo_orP3Yai^moH|TdZ7_t9q#9x1C0=2@yPP zeL0wYMTp>v;n9Cy#}=#BuiCQ~%0!rKIiZ4NONR>dw^zRVLj}ils36&rP=RDAxm3x{ zZ4^{`ifFA;`bKE2bbdl{dgItvRO$k^uF_b?LGauK1R~+ z+MXRff%tR3)ho)-X#3r-nmLJ1;Bb9GxYAxwY3All?t1rJv^Vq@D_b(9&copmp;RXo zN^*XD>e+(u4eDPC!g==JRGQP`t7jJ4i4rZbU#T<~%9xJDN*XQQ4JTI8;3Sido=yz^ z@yD6!M3!o~U0U`z-~MZw58l<*UD4Dnk>JrXwflo|MSLr}D}$>p}LSCGJ>o0^LFE^BX#!eRp#^r$&~xfK2&91Uv}T0Mr35#(vg)70}&ZXY}L0; zEFvRYYt=_OB2!quA-}yxm&@0?W3d@Y48~?Q`&JF2V*nWmCAH`Wo$-vF3>mk zoS~b$L>{0Ln>ikf&HS^D%}BRmu^B1uQ9qN|OuZAFS*(8T4afTpnO_ddRg+ot*e$Ac znKQ|MxV=W{V^2^KIh$aKC=Kr&>DgFvM5mp%kkAjMl6;yESO-5o^vM%_J1({N1zjWi zdcSt4&$BUZ$Js-dHX1vA(|%TBT(VZpk@aRm=Of{`DplX{v$N50UD5NBohdPylzrvS z0E#>JTmN{eyshu(p-Vy6*1nz6sQ+m7HYY%&r@VR*ctow!VWO$U{#*2n84DB1gXyX; z(bQrcCi-{%6j{AaEsR)B0aC$H@_$z?D-_2d?%G2CgJ-)u@A0jS{8Ws}p;z`VM(^Nh%;&O*+w} z16S9TO5ken#Xz3-I&dXtB)351$SHBE6fven79k`NL)(<=ws{*%C!v|2gX>J@>jSi`ZvmGpM9?(G$UtD*kd9k-a7 z8BN!QshVP8Dz|mYEw2LuVXBWRPJMJmnCi2NQ=i4cRA>HdnCgrZrb?AQ4uq*>d2(Wz znd@tmIF%6DmR#XHxxfwkEV;sYa)D)t%O%c}E8OtiSh#7ZuU6OzH#J(DZG8o$?&f`# z)RwB7_ghj~YHt3nC3WpJb>_T;pjVy`pW6RiXU>vGpACCSa_WV9J zQ?-`G@~VG55R`J4+5fcBEHMAJO#Q%#O6BgcB=jUxuc4o3SA9DZO6$_tEK;rPDI3l4 z_D6S{_a+YI)!c1*)_ts>cK!c16?<2Wz2EL}qFa0ZY;;TB`u-2mt;WIVR)dai-Q=2l z=e9eyqdb)XvT3)Z28*aEe#oyJp?SEgRH=np@T4 zjhkvKvhR0xN&uoi{-yrc@v>*1F&B*YX0N*`yDs+6P}xN{E>y85?Iq8cQ-?p2z5Lrw zKYsFzIojU-jG2?ZXv;daY`vO)|NXU_@7nY&ZzTGRnc+HII(}g!@cWGWrbm3g%Lt^} z8F?8OB1IQdqb|M?KmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** z5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0 z009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{ z1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009IL zKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~ z0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R#|0009ILKmY** s5I_I{1Q0*~0R#|0009ILKmY**5I_I{1Q0*~0R;X(1YVr?aJ%cj0c6rNMgRZ+ diff --git a/.Tools/ASM.T.xlsm b/.Tools/ASM.T.xlsm index 5fe0ec0ba5d727ed2153c9b2d8bd22c5d1b71511..be371f28ca6f20480b8f4668008d7ad669cdc397 100644 GIT binary patch delta 19867 zcmZshRZyJ`tgg|vcyWi~?yd_f?q0OGyW9GpxVsg1Demr4+@0d?t_wK-nK|cb@0;8u zlX+&|H_1$*-{8{U;A$*2;I)vkpUD&LG)Mp>Pxaws^M7kvm4Bqf<-U-Sq*M_=qu?mW zefcUO(rh5DEhd&Aino#=f|3wFFJ?`&EoF&sEWR^Px9cghn8Rd21el&j?JJ1=rRI=M zABgwpsFkim|AN?uJ}wu(!)Amus^gu8LXwZYT3)YO#RN zscfP{i9+Yo%P%BGW;eJFjPd2PkkG(zn* z;pakQKye=6LlMWpNq;JYQiVqCVeGN>$Bg?V{dpXE8OjAZ-QUZfvB$Rurbn!YyocD| zRs^*NY7a)wf6!mB2ct)|huxpBhNuRBaisCzv8Af{T!Xj;j|H;`qX`=UWdn5zMF>6U zfA5dtuLLCvl>t=*Me2X|954o@3$^c$C_L? zEO{U)J({)Diz98zP(uu1U8FtQaUfLf@AlFxKg-3=rvkCI2@%KoB(@A4aMLvxBbKd1 zd>e1HyV74Y@o6-FS}(~j))CGCq?F;R@Y3+hP0t>(1B%0iZ!d0{HjOghW+OY zb95s8uaatGw}JWyHfAlaBB%hIVaiMFAr7QzSn1z>SF%dgk*Nive|FQCh~gK%SRv0b z9@FMIk-jGX3cwmuLNBrZSW5n=VEyida;y!fo=2TIz_!EC*YjvRc8fkdigxN-%gPHF zPG5N9&o9(;AScx3E|JgnB~W)g65M2by|IuUTE#gr|B3HB+IF0_*sqT3OFXtfCu$S< ztw0So#B~C`P4=%1e@c}KT|xd3zNiU0gON!t*O5vs(aAt_!zqF6zOd8Kb(#JK%T8c1 zI4hO&x<6MT>&HM&ULquOl%_6O$(tMaNq0Q%-%-e-bEGDrdskC4iMvlnKre%~wriRz zHOwxoz@AV={-J&EpMxApjTsb$IrfZSk{b?6z}bEL@ic++te9WPFIs}hz!nqRG|R?( zKkzvV!4-m<=w72ZQr&lRFb~&FbjL~;2oVy&R0T8LJz4fl<1k&|j?CoB1t__2qB z)vyx9+Z1nvdza3`T4ZEO`T`Wm5`V}15T<|nNmVN<^CwM>LPf`{1TKp|GJkyNz9d0j z`)X#9ksCcZeWJV&c_b$lg8Crn!i@1|O)ha4hbly$k>VXDOkq1$Y7dlb+01LQ&1_Rf z%$B0z`Y#rtd95RYrsDFSXHL~SW;#>5;4kl*4kl1< zHp%eA4%g6&o~H9!2U95sUt%>Rl(C{%SQbxMobf0xAs_)QakV)x?po~hJ6E{-vE7oL zy2wLLSBim}rOJ0{y8y;CFY~z;=wlNVdDCrFw~ns&J~;oGzx8u{)W?C6)~|$anGw0o zDMjDgx4npJ5XfTzcS)j&ueTr||0gw#s;R0f)^A}66qwWNg`EtX!|PQo>%cde1RjLP0x{)l)r_~@T8u+%W&cgK8EJ0`ceZ1UzP7CR0 zdst9L6Fx~>dB`n+pwMW$rj_eDj>P_a0%;FHgm|MVxojtP5p}`*RQ~)k?fn=n7j_XC zvxr%;Z1a2BF+h7Sn$(6>#4JTOgXW@1yWH2|X?}H+BL-m}Qtcv9GW@lhC7LW_UooFV zt{1d_hAtc)Yf{V*!2#=sHg_vaxg+sve*>SLH$s!-2@OV9czwkXk=2R!4#yi`3^C*| zr3IY0O;W4j_&||w!!Lr2#W_-uaq1W=M$2e7N5)3}imq@c*PDP;hB0d9`w{x5#luq^f`uvt=qM7k zed75Z(3fuI{^rDcOM|1T75hFXZYA3Dc$Qhcolvr(9@%}}_1$1Liwm1<>EIfj>Un@* zLQLx|p1`VpoC}idd)?~C-E;%8cy`k*aTn-&fXtA*!BLh(HJuWhw6nq9h|;4!(!kAJ zot^sBkgeTc$x}=PE94A2zdb0MKzT&jdQQUnU5np-vDsX#Bu2s{OSfu5Cs{!xb=!gj z_JN76%T6TjKB7uc%sX+c(d-F%t_Zui1^V%?sn6$uV1hr82HA@xICGo8^cn8(V$O(u zKndaHQUYXYkFNvM^Z@7nJW*5-P_y^{F2UQ0p4$D{)RV1QUZ*h;q)ZMGZH&p6stUC`l>J z0ZOqO#p&O{N@jm0i?=W%h7qFveNJ1VR5E+LCK)SPu~VF`)_GEwtd)Zt?mU@;vg3SV z*|8p}Ka7xaR=J=5Ep0dJg&LY{3m7$*l??PbNUl+XKru=#agc_;eIDdWzI|mt0$ReM zf>5f{n{f=yVC~39R)v$u>vNkFPg*5Ck~^gFOX5G~GM1A5Z^)sJ*nePZ_6#L0#xaHj>$k z8uX;!yAYpoBEdV40he&y)G-b~ldiE9Zhq9FysYNy=iUNe?VVi=G}zc}uc)0Z1L^5( z#rv$%9EaMBGujZMh!&##KXDF{;P^pi9a3K%j@Vaqq?|nkpTu4oK3F%IoRMx)(J4m zwEXv9;l9}_%;!j)XX8DV5lBJq2y?m8xnGLepCFl7%%><@TuLR%rKq+bl)qoy<<8(q z23}5s3L8m6BFF4{Uanvxg?AD`v1&p`Y)cv&!q;==Rn3WY`X>SlNF}=yf+z8t$Vd4S z4{2ozlSmv6JH1Ki&eXu>fSS`jrjwW2g*jUz4g879`%zM*KNY zS@v2|7%wnTvZvrhGg4;qx5o%aoE6%?_IQ9yCrOn7f!5ibzNjtpX(yYl%3y^1nc%J@d zFPg_^A7k484UBib=fn(o;O{k!ETgP%7>abmQHfVYiTqmNSMV}>Oo12bOLP-^aW`W z1Pdm7GABxh&^L&JV-5|2Iu@pi1q1(!)F31X#HQgC9+=^J`uSb8x__y+d9{CEn%uuw6~Ot7N}O@nX?9+z3?l zJJelS`Q?Ow`}T2!kGbx#^h0wjwmDV|%TtZE4U?`pP~61xi0h-EFo3Yy zEqCo2Wyp7CR*{Lq660X~)B_ep#k0@d7H#wSs6i z%k{HH6b0hUagCAA6-V6_dsGbT7GM#tC_d{tbr3U4KZfBd$u4Jf1h*va;b$;+BQ4HpmiRZB)y~`v96p$|6sb$`yba#40Nfbv z?slS;Q&?sg_)D<}jDkeqH|&9!Pz;Wiv|4AA^_m4yTV}m*(LK*s->UFu0s2Xt_cqP} zM@vE?eiCvSEZ^^YJo!V6^hyoea=EOL%w2M}cyjFbau}!WXAFIb7N&e90+qsxG48I~ zW%=vS6&^=4I1@$Zh}|sAqlBrf+N!e?Zd?uhGUtD|{i2?uvCU^5$#F&et)AJ|Ur1bm zCKqbef2239DOA%Nx#9yM0Jv|jsotD!AO<>3a*xaQqBi(xZ@AZ^NOo54A%})DQcReT zL@~({yxexRzlHWaG(T~15q@tH%Hg-6Ii%ciKgb;5_|0Ujn2ZY;E~~++$L=EBU9p`c za+~g8HW?YguF4t>I-k*k6=j510KH|X^dI9)XY%?%Q~wkZgpCEl0gdoB#c~1iXVXI& zE6_x0WWGK)RhZE&VVt(ntn)NP=`Tn_`(OlQR7|H<$D-TY#u+qWJzyI-T+VC~V%(_k6gph) z!wfgklFT`ntu(3zRG=nwP?>Z7oqK)Voev8(r0>Qi2+vWAD|y1F61GHxxRW$Y7u5Ii zdZ|s_alMFnGou@xI9UwbaRzp+l0-jeay+XqMPIhM9%*!@mlDAAse%>O6ZSAYMn9Bl}Q@%7YfR;zfYiofG{5RFo*1+&{gdA-jHpAuHgX z_W4U|cgeH-$qj))1v_O-c0tvUuhgQ^*miO{P$ihYD)vScYX1Xul~6rl%51+p^xVIp zW)bQQjTWWsbVd07Tg#87_|Jrf?3Ap4No5Jbe6*eJuO=+bllIR{`(ip4x-gvq?+?oU zj864J<eN#~0aoO_~EO?xn0N>2g(PCYc3s(l2t&&Yl_Q@gu$H)^!%0*Z07plb{C zgpyDY?}MG3Qae?;DY|LZVF1r)a#;!k$Z{z^&@1)=UT16#ZUp~LB8wGP`(HVIHfSx~ zl}sj6;-trUl%pCy9PkM>F_$@x2>?;WbD}L(%Ey@B!{A@&94+H6dLQh2*;lXX?O9R|K+Uq?fcecYP!{T7r$}5e&3aP12m{? zN+$q;R=e|Qn|oN9r2nL%{5pT(;a}2{rP=+ZotihtRmW|4rhPx$m*{Kg9@-7ketldH zOjd7H-Oo#r(vRtlxS74OKP-P3sx6U`@p8={=CYGu?nOr*hO{fA6LYmsJB;O2GSdMrad6>rBY`e^fR0La~ z=Rq_-zlywN;*-|WO8W~(aL$4IjMTsB!3zer9bd_LIDY%-+Kd+T7r!^A2f6DfK9@c$ zS-A(s14gk%<{?#f3SKXa&xn%1)$2FmDOAT+;VYT!t3P30D#96DFCOZ6XTlj8@&5CDmM{wq4#nSs?>9G}l$aDqpWbiDQaIrW4<|DJ zySe^OrM8d`K=`7sH#KW9Imn`iYx%1GqP@3goJ8eAetG03-W9XzSVxzO_(%b{IWzVq zc*VZs?|5AGG@9>Lf>NHNZ)p%Vv}s#Lhp^}2hEw9jSETC1)y{k0^85f&;Mt80q+QG1iY|-3)LjjTv`o<*^03|u zxR?`3hQ4eUF#d<82!iKmD}21Hkt9(&x%v!0jx}OrPPJB5gU~c{MUa=9PQ&MdreLPz z!pD=!!980g(l{V2?{oiW#`%U}Vj;Lx+_@yr0^eoT(y;jL4(cYU4S>=XZm9^cU@uP{ z7VfL~F{El&Sg<1b`dt4)c^2~=aAztW3tc9tjA(kJojoB!I3TxMdCrWv&z#gf>dW|J zG!kN8fk5#3_;f46A8fjI5en8(dYP53o|ELB6XyDgc$e{@6oC6rig9i%Q>*qUT!kls zLf}@Ni@<SY+X7t7tQ?(&n6OQ+uk%Zwh~3v-7BnwRBA(7@zc$JtSzi zdvi_z2}?yiTFf(8#`@Ye3o&_k5qRt<`713>^O`}AyQ~^b8+YtX{n&|0kS!SA?XxN% zj$C!g7y1KQxRdMVnzAtWVH5at6&gXjj<_>;8o7cVK21nR094b-0o2-KlT`-gEN2uMe$w{goktw|Wt&06>~N@4Mc&++EyZ6ph=;uHqGVsGXDCyt z_KP`!AxQ&9;YyR3w|JZLQcLhD)kc(k~U(O_`xeaybWRM&r`R~j(|5;?06$ThStC_j|=1cuR8&Rv< zJk=v>u~?t&BHmvXrID&(~sX)0n_(dy~+F*vM-snU7kKqzQF=o<8j=!Eln zO%qK)3%hqBcC)(SAgSt4lZNyzv9%%z8;ubsmF%I`N$BTr$eB#F99vww|Vl%Pf=fP|jPv8C^ zJ|jL4!Oq$IuML~!k;h=}3d1=IA*IR)0VTUMr)=w9uam?#*G@gEx6|Dh6wiC6CtDmF z;M!A1_D^%;)slQRXM>a(8q}FAfh3H{$`Jxe$Pr_6N;UI*j&AuS&*`Rz&;9B6ONObR zOQzy3T^wj?^WSn!d2OC8Hh-P0>s~qd8~=%AGF;R6t5-$wq@n$~-kW5(5;)JP>kDY; ztmXdMVElA*1M+)VaA8fh+U$N|*Ww`q*oit5j(sN9EwLW~-qSwD@82HYJm^Btp0tj; ztvs?;HkgJ`NIiZd?SWw5Gk&pvjQC3at@Bl{J1}l2*EkQ3!r*GwdF**+_O{8rjMi`% zIbc{!#|jk0(evle!+HNR3%Ic7&&2za$`T5a;aTD1Gs#rDCc+e8($;EBS7K)c;KEPl zK4QQ5%3ORlH~0)7vNuDr#fU1d1}>dd-ga~!3@bNF9c--~5!#VSK*rs%_cW4&)k~iJ z5(a@D$Y(f60ZJy{C#J^wSF(7Ma5pe7<@!U8EU5Zx*3Vibreab=ds(kxDPL@>aM>74 z=A+MwEWEuL6uHY80k$9VO5U{qG1%Op!tDCZI`I_}6xB|>0Xm_e!Uz61cuK~EwC~rf ze_f8nZ3+Od=(a3q6X;tQcSfmjyxQ#FhDF6B&*6YH6+5)TqOsRssuw4*R;`WJRh+ut zTOpF2=+_F(R|ovWw~A41KR#yGa?)qm1SuAeZN+ZanU)&uI9@!~-fn;;ot^Bv<`K7` z8a}irUE${N`z4)^1Ht_3l^mR`W8MYz_3*~DMWfm(wEx_u#jo~obourdUaEKGn=4`z zi$l|V>78wXomD*d zq|Qm2$Pe&jX|<(v({oD`jt;scN0;6`#l;|fZ_963XU96Pxtf4ILj{^eFfM-dOP$Emmb-mdnw7vvBB>y`{EV(0sn ztfIV)q?Mx3%sfE3Myss+bP#lSa*6gph!S7|8@lYX1{0Xa6>ZcUNlKkwQYko1{3TeI z{?HB|VW(cNx~`N>FY`h2U9!KsY5kvT+C4nC?HT@;6rr!a4KJ@$&mToEGd`F&UUj3Z zuS1u&-7)Qlm+&wBkD*fFI3D%RnGMc{#)bHfdWLyEdjQCy+7>q+nk*tLuZ}jn3pq@o zUf_Csc?5f_%*G0B?-d&=;aSU?9M!y34sc;V9->ld-nrw6x5n&cuKNNJmxI?SchxDM z9`JkLMSggAuIrmOJYJx<#*(t2D8jeHo_7{C#t%1ff}9H(e@yv0eY8i$UXU8j2z1-E zG}gZL?gIVUFYZ(w1g}^^$h^l^xlA*2d)Kq*yUejFS0X<}cRiaAXIP%kJn?vAO9JA# zSW4!z6!5K{F(JRtZ|41k1_5rfe=At~_YYq0sj4`j*{`fmF|6+Sj?sRVDuUp7!^kDN zRsXeO{sh9&Uo>d$4>Tv2?N7$%px&j*0BKADAUve zKL(-?hR#XU1sv?%NjBWa4oO_hp4qNJL3y;{LegdC?{?lOIZRx8@1Y1=yLJq9HlD_l z11)v%M8R(MA*i&$uV_c7h*i#MJKI%WFR6)IIDTGOLoBuu{q>`c2U}x@FjRw2V4gT; z54e=ytI$sGcW#WoGXvGFaUarqg!hMa=5f_pR7EP{nC#f5rretvzpGM6O{weMuc4%F z=VMMWgjb=|BTgoFn2(8OV6SysRCto@cV-65=2SLOUk7K7XL|9a|4AsFPWKuFR!G!c zk~XKZ6bYHveTR7rG6a;I>X?it@tL!h`dObpj%urQC-TO>dAt$8=7ej|xx;}FUk`uDHh;&BRPb+9yN|g3KS_KJrKTxtMp8{kw7B8*QcUesX9ZSF zP&32AYgEmRfZpDvr4K!;n|r$|&;#yplQk0u`XAI^Nc^t#3XjjlM`H#a7jlT-hK}?W z%s!lzy?jq!Uy?e@Zi9pl<9=Ix^yxzHfBl1Zc7lYz-+M_N#n5V42DaT4A8qMcXqijv z*j@VgDe%|&mnb)%76Ofe15!wova!DE@Z5gPUmjJ|Wkc1Fx$eJ$;R?D^0B+@|)u>9> zba!Wo`OUBHhrTCoT*cJ6_917<1EOO>$dC|r+2LiaI zBrE8^?U?1(0nOFdx92?xxG3y!g&lLJ;hzyXHnV`dSx|i`AOpCHZnf(I$rjjJ zTD-L)P7837KL6fzm|QG16?iqO&rg1iJWFbInPVNr2nnpVw`URqW?ARraLr{jTep+&IM&0(;2+4QnJ4djFfs@6lGs55bJ9CkKn~ywO{L^PW-}e z`#oCPqwW;8_d|fc!pa*O9o-CABhQgLAKCRx+8FHRnq8ev<8MPWvfNn%2)=dBzUSGa zS3Suwj;ELcL+87IZO1UM`RG$e*!5A?y`Bd(TRaQKa;GFu>RxZ7A$?x&=HAe6;eT6NZK-`e z>KYy1ly}f_7WO0GyOE#!@z4h=yM#7p2&oVi-L_t9nfC-P|C}Ucm2G#K3DuL=TjyjQ zlpI@|J;bURC_Qf)GI}yP?MlyQN; z(QxR?JehKWqfOh)UJpkDKPB3H-`c*oumWB!3{|sr7Arh`qnB$QL%?l~fS~r1&yAnFjoU6yCz;2>d}4~1 z3`{pSzwZPuF_#YQ0qkeGm$TWbs64JIpMe~8>u9I@UtL^vALn`%b|1IrE@we@4r0AI zZ*Q+ODaF+W8`l=qW?A}zUWY!|Ige&R-Ht{!;Qhn}1SN)eaU~KhRQl7Xwt=>R@&Q~` z@)M3qoj;n@oiq2@;2OR3#NBGoR~{uggNO6Cr!R*-WF~EG4s!SUAj6BYwT9=J58yg- zGYI3MhLj4K5|1mswj0c0PjF!`3?u~bWx6T zOG2{*=ArHa{PBxK!REDe{o((#fruK#r%y03dnbMs)|YX0CW*C8f3NCOIY0b=>l;_F zu-4V+QFe|m8U>_HEYhP3v9Z zELC3qa0WD&AVRn}V%@T4Q`zAaWpO?A4i2X;5p%W#A0C>5(27e1R@-^BKzR2ipe*eFBz5+y zVOM`;Lv&@MniMDfc6gB1SIQMP7;e_>Hw*%UXAM2W>U*P ziksaZFjJ}E59OO~%5&W=*(6+E_^OoWKt6In`5>`_{J%sGs^Y2nufdEm4`U9v&IeC( zyS02;t`NgDax4HuWwzQ{+Sf&KOKC%$OuSEFWFsd@_x3rD()vsFdN~z0)kmd?KzrYN zR>Sil#+2H3Lw8w0r5g`fJ=FKl5`WHw6=KgS!x?|1AZo+?{hTC`V#$PF$yAp|Q5VlN zBZh9rnsBNO=jF$`bJRmS)$ME#|V@v|143dKw>X zX4{36zCtnF=Ui-qKJ1j%C%c9JXD(%-Ck&O>;p@j1#ZIZ#XiIlXo3g11e3wQn<8bqW z<5#&8^Y}3!mD$iJCOBEX+xNSrE8}_}M>pAh{YSu1*yyKZMDm9l#E&G+6`}}a6rZ`z zyjVv`y@&ou0}?o6v-=D^=!EYS(b4!FoB%xhtC1KC39}+mHLxnf`@fQiZ}#={+iV}oJizceiMV?Z+)rxMO=fG z0>!dx;+g)Gv%T+hwIDs7?o`q9!!RpzWSq$eXh3UR6Y;3cX^T#|>TX^PVF|#(dZw3r&1bL4f*1q^t!~(3Pq$?4FSlr4_QG;T{N2 z`FT82Gz>QP^ZSCe+0mFG-seZ@Z}i>c0y8(_iOZ?#WDf5uI;(UuZu*xn6Mn9-Q_uYjPv@`;w>3mr=UByH~+#t_vNQ`CwVgF8H5>4 zd#llra7w3g8B3maPO}!W5rcf(^}E$m+$DUSM&>2B86MW4Wfk7z=ljD8)P{u&8nRT*nn^Uf`%rw-y@O@4nx)k zf!)h)f!#R>FrLxmevk!p*q#j>$e1wp6pfdyi9Ggxmwm>2*yN(-FlTxRlz7;r+S#li zj5hwQ-I&wzEq-_nXy%m~kOgLj*JzU6B;;O;q5~6( zKG4<7vTe^=p)r%jPY1o2Yna-WJ=X6+bg(9Pl@?2xeTy?42=bVH&AG3Fm6(qV-Ua|LGAzn9 zByP!cr@^U71^K1>LQAyM9q|rOxu}P15-^&5V+u|5lt3;LAUv_7>k|@s_>| zrdgp*uqTMyiS8~%d0hPAxmmbiO@2HDH=9bI4~A&`mNte(-3OG=q}fTRbl&UzPgRdC zZ&;McI@?9w(NW|$KXgpV_G5G9sfW>GZHeCQC}NpQJs}SJr)`R;v2vym)_d!*Lb;|K zgIbdleIzE`gNg=!dzjtNChR7QVmV!;pyj8uoi$D{-t#{qP?GU)9e=4AHjt|fXMX_! zi1(dv2+i-R7a)4U%1Yb~z1fDL9Y#AWdz`QIdmTn=Kq_!lA7y`Xu!UTO#U{c1l%Hl$uED7MS|=5ppPnQ?Cl-yWBk2ng>CR z9u9Y&2)Ws8z8^{*pl6f5JS$}>@`rzlHGg0Dv~zu6cpq+F-Y@SQVz^gj^{VZ^^Az>nZ{rg2M>7t0V%{EI&H{83b=W_V+{?+N$QokW|H*_{* zkJh#~7liBNt?}gEThGD28~vU7DP!9qU=ywzz<<|#BJKUad*^;y+ivdJgzm&Q(DUd8Rc>6WR8Q@^fUc%`KM24q5Qi-Q=|d1A>?!*}Ud7V;q1XxFFTGhmX#jeL zfdKJ46h!oi=RYI*fQ9H@0YNnUn+=gdhv(XrhRWxDS@)6bvemlHyq_QQW0U7l$OL{_ zpW>jtx8lh>;PhbrXiigtYf&@(b~9c`^o73XrwMMvzx6N3BrKh5aD{(YNjx#E=e`J{B?!e>|yx z-nB9Gdx9=l-<4mWIWV(jGo|yRMV!mRe!sQT#uu{jHoV6{AANh0xa~%5nSHNh0i2NU zDPWb~OE>gC^HmEU0q-z+K7Vce zj&vADg^*-c=<{<2Q;6r@BdIgBDtfFS*q5WmWk|;6lDXY(8FGcI>oEDB^gKV*M5l5L ztJA$KW_sX(?rmHoMp`KhUv^*mj<2HQuV_Q2LlwdEU54FH50!>dugohsh|7p>L@NFq zXurNJ5liic%5U6wF zBlR!k#?u%W>+%}~(2*g*F)=V~*dB2Di>4WmFt9xhb4gZn5XSb?t#R$om@xS*k1x&_ zcFz`p7pI`F1NTz#s30g1EvTEqI`5Olmd(2?W;mMsdr-pEGQlZl15(o&+GQ z51uH}7ni^`z_NZvD~1#&3hU7sQX|<}vIsdR1!InzvIP{ilKST93*pM)JJor zb3Yg{1*#U))HYIU0?W2TaGtaR{reTRb_O^)T1(mTmw74apP1y>ij>%jN`C7ki&~A) z!M>z@x;k)y9pjuxvy}zK(^cx*o!>uc`j#J4QoC0G_U8K0o(O!AS^bJ!S%V2fi}L-7 zQu+-Dw$K}o3IZ#(?)9!}hGtOQ{KkU}F2v7GdW2(cN>~D#JciSnYMuFZ|NX&Mx71M6 z?ftblkCtu4N^87Z?uyOy|ZZuRs3jTpC*Y1F~u+aHcX5R1fmjp_t@x%ygo{iWU8AT`G_!$6tH5N3|39}$hbz2S$V0WA;(DH3jy5?WrjKWCdA~e0`r?hdYHH@ znzpv2YaQS6q?I(lPU)3NIhof3Ax*Q!QqKRL&_;CQzDe{5B_$p!nVDgKQ2h$Gi9AIo zh=90S=~L5kF{N>Khq!a0WoYfl$#ZvnZf|52>#985ie`=scJROWcgHYbkH^o+Hd$UN z@M#WzQ!LzF*}Aij>Nd<3PiL&ulvUHr5RZ!~MZo ztT@g>l+Za*CV~Re4{CkD=uc0^%#60+>KhH&jISG@73<)jTd;DnKuqjkT9PfjW8ps! zwGuy94J`8(dVuEjAGtpU{~C|TkdykoKWvyy)W&y<75-fo_oWouf&bQ7PA)n1!t!$r zlPtF%-xX{BLuhuhV3yYTIn&EsH}zlg;f-fxTgPkGB(sN+R-Flu)qINM?r!7QitR^; z)c8ZnBLyq=xIE8}B_pH81W@=cu5aU_%hBZ5tXa?-s_lm|>B`{YlipcVoX;P3iGTKZ zXkg#kGHcD!aIjVpKxLC%`{KdHm}kej!dSd_r~9fyPuRk36+7%YyGp#HE41JbwS8HNgS zAik|DC8kCAYA@%a2jB5wp>;o{L+h>iHetmOp?&HQCAf74e_$`W{ z#tq`irnTPCfJ6Ur9jeW#%;H?h(X%U zS3Ur>F=C+_X8MUg!P$}dF5&lQ)Ze-A6TF_SD14fMXto4jgbzjQOFNts6m_JI*HrSU zXkDN%dKLpqA5nXxUmJ9mQVUsC4^b@>(FjgO>5ld<1=A+<{CUyI8JdYbysk*5nN-J) zB^Zyz$=>1Cdo{^Db_%~NZ7e-6SLSL_SLAClf(p~8(=$h6^e=>O zhKLn(+9s@Ykj7c)FKA*<5}s`3bJ@*(?sVSLIxZ0;?Non+N*=iE@qEgTeALi2?-t5J z9z9jr$}#8gTL{lNfV3ZkSL7y@+B2EOfB_otEdzbNlTG_ zNvt2F(STCD?lS!nIxG>Nzcbe?wpTn%qcJKo*tITc;^hY|Cu*HU|ED>8UKDFuLai~Z zBEl2eWU0A-yMwkWLL_428`%{sQSW-Ww6llBlBdu=hR-BW51HJR91#^7NTFz`lkN0*o?p31t&UuvGuzj zSXrY#G0d-1S*r6I6e?&AaY%2aSaQDVX|3N#xsu8uN_;k$`x}wNq@K;^aQI#ZV7#9t zQst!T-(57k+ON3pj#Aet?Yh3(9{**1J{UuBNw!g#HNjj!n6dTG+5E>_#Fj*C6^>qY+K0%- z!^{1Y+*8HeyI%AI}e{7gz>!-J>Be+Cz4&p<%UMBr*p2W^z zI}%~hA+OM`Ip=f1Zo}`4TirgkR%|}q#U<8GKk(yKg|dqtPLVW5xqu1CJ+5>~*An2} zEE{Zc_rD$1juRiHf;z~8WpHpVZmwsZUv?uHXG+(0d)oOLC2pRn zX~hEeP9|Va=%Pm$Axu6hVCgO5TLmcx$igsaKi+T0@kCBti2o?jz z(o)}+*qxW2{woXc-ANl@wJb%-g4)$MNG?#(lAWi!2l)=?ufAb7E&G6LYGK>MkVCk1 zxhhu0dwB{aAWiksK#iEDIhA{O^)568+%3y*s&`H&k}M@cl7#C65qS5tCy z+=dFh%OlX1a$KOSLr!fDP3d;eYv48J%WW;onsoC7Qx{JC)O*WMhG7u1E9rnAaXvvW zz|C#aEh@sTxaurp5K|!j`(RRd+Aq=>oP+E%N61Q3+L7!7uKN<-k!I&wGcfl-U0eED zvZ3Q=>VbxFD5(Sh?aeEfUqGc#Mh1mGES<@(w~BagS%u2~Bmd59tE}}JlTs|!!&>6F z<%^2EVuKTM+zJNs$vrJ4j``mZI|0Lb>>W;oRn8R{a_4<=e>L(i?jtyb%JqGs=+Su= zx}05ci9e;OYR<{%X;F*5G>Q!hUy9>vHDsMzUv#uaG!T~n2AQ9zg1xhC0;*=;h{B1r z@xN;^1$^7QOV=FC$0?VoDw&)e=z+F7Uh)6=BM8;2Iw+)s4KW_$Y4W>Mt!nSsMlzO- z0XAEEt#EuH4lcuwQ^P0~L=hgtvXKD=3k2j=SeAm&gfhDocpnIK^qMcs>olI_LlwKo!tG8*4WP*AVLvW& zuV=jLgE?(#SV`!ha;wn9d7lwHXena961qT*{QCbBJ`KV0CufW^Bd$e_O971mz{zKR z=;WT4b7()8Kz_#^(jUyj0BW%PhU^Mc> z*#;P-kHH_6&=Qr7#*Rf1>5W6lq$IuIE*bd93n5ue3pK!3P#F+pcI*&U$$f7(+;H<7y+_bWefvuKG#nd_>ZTl zvc#mRL`SCbBkY8nyG@m3{N|5JX8bOYYE0CI#-XfH%8h^0EPC#>n@_3Co8I+~@6xCG zg-<8gvYW3td)oTSik~*znY3os0I_Q6QXF`;ecSqT@VO%`whw) zuP=1W_}OnSet+*@{=A1^^?y!zV&5XC^SV#oPyS%lt2fFLjANrB`k4=loK{+)p9BML zCgA8<{Of-r%0`MkN{1fcDmjALbg&ADpUiM`BF%FuzoUW^Q^r>w31)mPQd5%YTx7%$ zbrTS02B}fLPK`8{^%%Ce7^k68NgOjq52^wD%>h)l9I`K+Vd(4o zfkq*xLC*nK43%o+<<`;A^QZ~Y$s`@^$wJQ*jhmk6$h2CkO*}2N_@Usx1|{xJmZ*@` z@|k}?vLh>YIb;+ovAKZz(QlAsr}iLOiPy7iW$ioJT+~AV`4ysPwX*@BUIyyj$;t(M z!AH;ZC7tf%)>}GSUmGp|MCoWcD;f?pMbZ(-fj^-NBTa1_c9R`}u7GM~gig*qX)36! z#)u;$pW{nyoeL>eK|g!aFPTb{cW+W@(oKIP)m(5128ELigqpo^uyig4OIAhTp0Oj_AUgYcn z8ZRJs>g3X>p2RE)jH@d}2oPs*gZVFi{}8A1VC!1^@IZA)mYI(l=~*e6m0c=X)AfH) zvE(PFdf+a;kyRa1*dZ3pM5+QEI-mp0@WDrc4p<<8MLJ-S1QzRn#S&Pm1C{~^X9dW| zA6T32-hST&eYg2Hn}=1GE-3d*$+^hmb2+?Tw=d7`Dk{z^v=un9nCf!n`8*D{&uO=n zy4@u!@s_Hmxvpb5j{BLSFZKA5({X>=A$K|qUJQE=agHA13VMhuijKQ}=2#rXU_}Xq z$%pD+hN#@+xK5}cx|1*&VpPj;geOBZQjjniVkAE}!jmC9IU_I`N~V!6sVp4f%1|<> zclU=)C+2nmu?uOWM;@!d4_{3MuaPVAiNGl=?+I5QmiI;&!Sx>b@Roi8^2UEt2#($? z5$-%8B(}5P8KL=~&zo^~usG%OotI3+`5;I}tFDLP$))mHRVuqU-K$bDu^l_3IB!fEsF0aBlG$bg6=kMwI* z>5-0^p}C~NPpGirB12XSnC6I=foajaK;=vmfkM2rHp|yW1RS~=?BCL!x;)3@? zyz|{&f4+CVmn1-9=N3?6Y`vN}F{bb?0f!Z-Fgq0hu7&@~A7adA5E8*mSX3gni~lko zh^$5fHJyTc_1$XuYBhg4e8$vypGv$d_#Ve#xW|Dlz%A z%7|c6X!H9jY>lei8zq1IN=zO!R4T!?Z~4uJYfgXIzvlc8UKoG=VcryiofHSJw#l}A z>nMB0wL=%aG3U*9A0oT~9wDGzA}1dtXQGZ#HXY!YFdB;7bL%doZ5pHp>ddi}fT~h& z@&nPVOjtO|02fY%f~H^eZb_b~nc1ZZ(Fx zTfhxD$V;K2tQ+NTfds^xG$h5>rXnbbnYyP+h`1en2I-A)M+br#cYTmN=-Z=& z345flX%dXIJ=(ql^`K!OYHA&+iW{voHNp-=tkcUQKb;@bGH z4_6^ZXp?4tfhy+f2`DqKb+Uy`gRO}SwO-VIHMIubWvFJ<)v_*VWhfCF zqrNqeh73SXWPGRsKO*r#a|lR!b?6X7&E7a}-#07G_cugtOu4p(o)zU0*@uyWwhcwal}5$kQ0>r^9LylqH%MUInjUIwq4jor z%}7Qu8$f4-THCPtkI766zD!-x7%Ff~$tfr;a5x;WcLBj&F>OjtQE^eB&EqRAD6*H9 z`0O6NC!<-VYf6sO?ezL46#48fSE1c4JmRLgkr8MWmD)UiPOr^NzKG7#$x2^1y}7O3 z>g{X~;j6+{YkAYs=0#cQ5nG#m%0&ew1rASfp)JqtE4Ag>?XcgKcnb^iY&NIOU23<3 ztaIfg3}YunQrS3VK4xqQ)`dV&ZwZ)UFDe{o6+cKm&RT43&bnCx2ZMV9i_?UZ6zMOMOI^D}M_yS{#N=*$#nVe$H7r!K zD-I}21*hBqbCt>FD?%bNPVvdC*EnzmzFyLR06A@mw!3|6_)`#0WSf-moYp6 zIs&P>mw!3|6_d}p1(%0B0V)E8)0clb0Tq|XJOM8OGMD%~0YL%>J8aV*~006+DN>Ts- delta 19870 zcmV)FK)=74{REBu1h8mT1xTNVix-n^RUUs0TvgYV?>^@qE{89@ARsD{!xi;v@OnWs zXi)FvD_}qnP%)AS%2kNKMYtrG&x_{6v}r>!X~y>DCA5>~XJ69^nNE#;?NmtHl+5Hc z*v?CxY2PbO`(DkoGa;RH#$>Gb{ny_6+N~&#{=aiP_kta00Vi_zGwOo*ay+AP6pn!(qGJ`X0d51711bQOfGR*8pc=3RP!Fg9)B?5wsO|yay8sP~~9FLv*OC_I#XmF5rU^Hk9ZZzpwk<9kBD=3+MS+r}m zZxw4|9jue}W4r?B`$3Ea`QxBfkP1ix z%mbtYG60zX@<$e60bn6u5rE?3Cct7q4!{890-S&)fIPrbz%syc0LA_a;FW-z0Tef@ z0K{Jn>;V)23IS^XMS!({b%0v{6k_WE8vwTgN&p)Hn*f^uUI6JT1ulOBl*@dj#8tr6 zfGvRA0X2YHz*ayVpdPRd&;V!zGy%2)b^vw)ngP22)W&Y$7Qme{zenOW;Jtu-fOdc% zupe*$&;jTK+zmJg=mK;DdH?}HFW?ZM4?t}Mfd>Hh$o!zh_W|DzcmVJq;32@nfDoVn z-d%#L|L#74Y`M-a|bja4%aFf=;3gr`7YY+sNNnOS&f=gqhis0$XSnaW_VvNgW zUMWDJ#W2Zxg|W;6^Z=4(A3W02x5Ua(35W93#HM415o^JTGyq{E*HU3xo3o?TUYuL0t{Y%ODvGdL1Gy zBx&M%W|7~7kUvE-*0xYL9m|kI)=k5-9;UkB>sYQ%2wKaAj80t`(lsaODj~1wSh_}~ zjEWkk1dTDhp#q$7VF@{&Gpp-&KP(ZfPIUzDe4s3bjXkCA~M9n1JM$w<12{A1Mvej+TI zj1w{rEa#^jf+qe*YLwq=;Wu04JBYUG1f52#_!vIgO2fF+6CZRY(D|E8I)!yeeifEC zhbH*tpod8r1^Nqa%Vkz7C39i1Dc`5?fyt*~>N_>w*@`%(k`1*!`^*<3HjqKo|zo6Zn? zPLngF%!N6E&V;;2>MX%_)k^(>4EZqR5HyWZajgHP%z0@8scx3zNIJcwAHJf*=Q!xa zpj}d)>>PiS^0ZRbXQ9w45B(HZ9u<7hnebe_ptUrtfX5`AjN`%yipe2eCwZ^n>vlxk zq@PM$+iX5;#kNhT!tXlo9eh{()kRZ@oJ z&q{tfdx1H!MZcN|5j~_skIJT{9->bP+cc`%A^3k9wN*@XD1o9h;=_fYb?HMMx5Cde zWT=@@K4&fdhY!i;6Al!D-h?B^1hpIE^DG>v9En^8_BdFvq{+9fl1|4ltV+;&CXQMm zNt4ez1g&H$Ov4$PX$u(pCDe7m!tXC)?8kt{N=8K-zFYqItJJ$CU>pPQBxr>SMUOcy z0gZp-(gF3e|Ks5B?I%1Xo8p{*P_#fN_h6RsU;cC@DEUiDUV3A3{97L+R($P+jMbl8 z8{X;wj|Pf@nVd+GNl8&?`Vch;tjAW1ZCaeiYb#6zsKZU9Ut#>uW!}q`l45JhW3E~G zR+)b-ngUj3yK6`vxxCR4p`H~KPOeUqQcHivq~&UfBSNJ|sH_N;%_)Vspt%WcD9lI? zhnxABs3k^3Ek>5Ae= zN8dyAyb=yO(7ua&foEv)2_;^DAEIf0UkXS{EmAKKgV|c~Ged^*=jSqZ@lt^Ev)G^1 z=V1|>&!0h`=c`xeQPG}NH_--FMp}QwreRw$Q>B~^O=G-7)F9GY_a?}aT}MU?mH4E6 z8hLsaCmQ6e^2w>|RN5>QW^0AW3>nH#q~fVH9AL$;hV;5L1)hsXPoBlO98ZHsi+Ov= zC{2<+Be@XDD1A~tZ^C*;cHe|&ZNdg@aRA?=o>CuEKW2+_+S!z((M8-Ikiun1jYfq6=Iyv+ocQ=(dierMEL30o_~aYi`lb$KdC;NY$F-+ zU$XSy6k;WWHfUT(_XzSNVJv?A z44Z9z_#kVAOcF}7G#j(y-!%I+J!@b*LVP@~(i{yCU~*^Xr9v8ms)&O77PXGd3HpEUKtE`18Co2+ z_BeJ?_P_e5dfB*mAWP#ymRsXmx5T{x-82qR#S_VfP;a(zCjM;ttn9-7{2B}20lQ5-B^G`&+BW(5F?^bX-`V9}Rl;dlK$oMZMIY_4w*`bMCy8F^Y)seBaqD&$)%`ib8Q zz61Q47{1wViKTyCh%#C;DOv3w1ds9|iH}J<3_OPCF^YpbAwhdO)pwwNzQt~`qZo21 z;&PO)LcSUKY>QpAK6tfe9{0%>KFOQ*CW23L2I`VNlYil2G(JeaRklZOgGp|f>;yi4 zG3rkj^1T*0Di6tgFLaQe6Ec4v%1Fbed4FiTyH03yVQ587fQpMdDJ7n8PG+E>@icToEK)^#DhsQ zL=&&v3`>6w#n)?sjg7e2>TPw=%gHnn&^1&D!Gy)#g zw^~ec*1S`mflPbo-i`co0dgH+n*O139={kP@>}fwM&(U_Sb5^t159}bTJOMll=#+u z(D=1#EjAbQTl+!$4)DAHx(}iHRF~u^O~3*C1tx!J;!mLSghMDNO#N#GHsiyLn-E?O zTKiWo<(nm@x|HZFAgHVVl6_W*4(PY$PsEg4%dUkc{lfsVkH+CR^jpV4Vf|kwJ|*io zIN%qPUym|#94JOQY~p<9fTia7%LRU`R%siBe)AkdvJSvBcoP6hG|rSr&N?m|)bC)A zit2x(_@wrm=ggdsX8l&oQ#|JJMEa@_cZBH$CeH(#_VmQSNSmNUnk4Q|ipOhR}3#i|-$XJ4t=xfF?rm-&^3kj%zn3^&>XjvM8hC{ z2<_H@Z}z*-DA{Dnmst1)66xNcwx~fom6?BfY)t5xfFA4m*%UAuNY994=6IQU2Cv`; zT5qVGtEG_`nNhTD${#~}CLN0@Mq+uh<&Q(32ficwC!vq#hv^@L1?QgvzZHBlj!b?P z+8{s2^3Oxw>St4)LEh?Tlb;2C{w(c!z|WqA4^}w&3Va=Wt33?;qI40+LF*bdibQ|B zdKrI%XovdWkv6lRJLyA+dE#6!&gM0R{r&#Kp?B`JC!rDfP;|oyt#G z=qt&862DpMp#B|4|5{MN>L22df?orE?6{Nes#)ZTUktFy6K~8R`ac1El%JINti)3i zpO<)AVg|pRxER$3HGDh>AHM?sSPXyv1jdQv9|7O&ALXfkgo82iCcpg({3Z*Z*7s@X zCtPmflU`F#(G~c47Cw{xrF!WW{&^Wc)4=pDgukf6FC`Cvd`XG&f`V^tQQFF<@(D1G z6H_KHp57_tYw4OF13WUZg=Q-JIIzG9}vwP-n}k?Z)RA@-||8Q(AH$(ZY>FkR@ri|&yo zBu#g26BvH;9&A$3`a-<=)zhQ$XQd3upSQ^KuYveo-yC*a>ZChB2OTSibO+r*72}9P zbQ)}!7X0)qybPlw4ER~3(~y5O-LttOw92vsou0^&7&^iA&|RNL^5@{NFd=pFyFN+N zU0?Ac$cGa6hc_cc59#T2zc(gi9CU|fNSgSxJVA!v2kL^aQ@bv~*Qj5uqFtT()hlW0 z*Px)2sb9l_M&I$Bf~2WmqY)Z+j8dNTj|p0*eoaa~_3LbePGjdKP5pnGTqyc=2T(83 z(&h^hJuse*VHg+cmo8|H+Jf2KC-~*ljr@}Z+9mpnkE8mSmuT=Q(NuySepg9HHlpc1 zxr$~oXu6~B$c)k_Bu)3#Q&OJptB;X7t4`2l`h-o?CHdnHL6Zu7u}P<}UMwA^4Wk*L zt$JXC2ebFsEH?A;CH;Rf^88*}woCWeE8S zQ^+K5z$akmvjxrXjin6Thc`=lE4!DuZsIa}Gkc7A7J!C5H9++^o0HFNeL3@Kr^0};Bb+a9i7SH-aWUGV`~~>sBtD95>genzFYFBj4!Y5Jlc@-otnKP3zEB(G@(3^9e;4qL z*;&s0)S{kYUCOnOz*2ZrMSM2EuVgpbK{&+im>2Cgcbrk#+2x1jd({_xhrUj7G;*(? z(sK4G^_%EBjn^xHve58dja}L z4<%8q%6iM$uo}mnP1E=ba%$%wmm;}$)jakijF`b?p6B|PBlm)u!JcQYLqF*?*hAb7 zYVRR+5ASEQLVChsk4^MD3z+ngy(IUp+QQ|$vYb|Rv%jYOGy6s3NBw_AC2Oe7o4IXm z&})D714)!@qApPT)b2Ndr?{O~zX-b~IG=P{b=c9n74du=5QpRs@(bm&ke>pb&Wy4^ zPk*p)U|-N^a@Wu#B3{{vg#m4tojH zD$er~PfLtofxYCX9r!(j&$Inpt`~fgC;SRxUX;&ejlLZf2D{59cwc32V-Atr2`PWC z)ZM+TeXVj55?2$ zeDa@y6J50bcd{Qs7gK(#orQ%8kN1CG_?_mL89!4`DDmWnDmflr;As0vtjXO_aT|iM zqM;FdGmd9QFRi=oifzV99<2(GlX+&GG`W4{dFrFRhx)b6_LZP#7vGkmqqD%8EP`f$yi1-KJ%SD1E3 zy%(jf=HC?QU%9yQ=pmoe_!ocM&G|?1em(v$K6Ktd5F@gazPjcoSn#`WzaxN}s zY@}U*YKeWA_Ucz6<>XhItBX*F#w zCTQ$G;lBscDP*zjZs@1=q&JoHI&5S!?Nj|hf1hdp6nK$+#4^3ic@>SGD0Q_svNLy8 zqv7$;?4&g)x=tow{W5=}%c^VUibdnBJA^-*VVmf`-F7qkm_}!r!R*|pbgr@6)~_J> zWAns#e@eZC_Q=O}+cR>Pr*S9#1o&hPjZ+rDJf|H7EygSMoF&dE$uxRAE*v)6v8X)< z+OzsoO}p@G^L%Eu7qz1w|534>TS2^7eNj8YVR|D)<27aJ&jf#K+1c4c^@V*i{jsEO zrayXkeJ|>p<4gKHqz}m@u?KyoJoTIKSh~QW460{ig=>r8-C>+ZPpPzT5>0YhQf@j^ z$WKWelKA+1F^}<0ePrErZYXeK)UIjy<@=VCBF9}j1>4qG^jxEYS|=Z8u?`nm>N~G> z5y#3;JF6N0GxvWr+V|=FbG_?ev|cpwqtvy|Cz=m54kPe))D9ax55i|+kD?g6e)|lp zbY?y4IY+c-$Z@S;hD>sN3$MDbI`OQC?UaVUu6`fRrXY}YvVK=zKJ~)|y@Xq({u>)_ z(z>bMv_I;Qm*`9= z&cUWVG@oXUk9lr1&nsfwNbhJC=~>6ux2T>Qi8o>2H1tK+-|OACqjn1WJswY?rzjeC zI-;Szp`x<7`CV4m7;VRT4s5F9{gUg0H(S^>waB!K&IOxaed5OjQ^W=}musrUq|BD;UQc&;UTs6E1?z-Bx|dl{u>YNi!d zoEgNap)=6qG**+IG4zA>M{&Nw^B(eI|1-}+MzvY~oIavqsQG)67R+4Q_eQw9ID;kP zMnjyPTF`q9JNf?LhV^TEt>>xLG3TibGtN^jI8WW%N7u_$(!XA=-+))&hR^1(7Pq^l zw0eJQ3xCbzPDOiEb`QX=q+%p6mW}S-g$I{kg9CKC}K^H&(yStiMUtH^=ED zo&x#v{PxC%)r}2htI?6wq9d2}1JO}Ot0b^x-p^+>b-r@r+Uhq_eew&9^Lh9&YRC1@ z_hMfE#^%?2=C0maI-Av(kDu9ZiZinNEarcAbe*~`|M{Z+GuQVU_uqvavg6;S|EAq_ zW_#BeH+h6(<2fdJTClEaGoNH&2olw&zaM`= z_=4OI%)Ac%6lAXZJ%u<};fderr256z?Y~-|QQz3q;1qTlJbuJ}AMH<+ie0bqhKale z?-dDOU#%tXNq>KL7VG*Tx9i6CqcrAjsx;{EQC_h_9zduq z>q*L7?1ykKmP{v1%rK^dk2EdZ|o@Dv$d@o#74u3 z%TH$BemV|1QxX%OEN$yo)F*#E_wy&B`r?B1tC+)M)63#nx|*isc|1ky9vn(};I^*7 z-nK4f($U!4woiGcvaL(&_b0cP_4(U^dfVQvjGX#DfBUe1UsoHmSGTj*^rpUnl+ud9 z-T(yCvzz?gy zH+ozvQWDv|-d@(#xvwpVgEVuO1-iT2dfL6Xw(fU1hcfz^d6k_vfOnnF6(L6t{*YFn ztKaQwZ`X_<+Y;z&>pQG%*l1gul33o^&5Xd_yXynJ+gp1LU%&CVizN&=d+m80b-|>U!`;x%z+l0)0k<|B?Oy_WoA?U~p|?$mQgRNak)W_jmb&p_a<|w~Ykw zIj%>^ZVVNtzL~$#@U1I%H63QX{tX+u`vYb71ddd{QIh}Ps*l_mm+j6fYwI1q%l8`Y zoWJew3*8+W`Ci^o^+tQs(3U+Zi90)k9pm=bSOPvEX6#Iwp?ZH?`2}w%qcowexyQHf z(d>jDxUk5_59%eM#Ogj<=+JxzxYk2=w{O zx8t-m$d+2C!yW1$Z$G@;~yvu#$^Ikh10?y(oU}WvfNp+`_ zMq2&|KXaY#y00bqPAA=RI!Sr0ZNBfNq$LkF_zk`jj6G4f*1pat9zW`<#cLF;-(^!% z5*x|_#|L_XUPtR8U+pnPOG$KMQ!YqJoIn1#z0HrTsvLhiS~n0p)jJT(Ezi~3;|jau zTI!6hI0N*W?l|9)ZjdR7%f~%NRV%-uDc?Dju_T1c5+`nW#)@pMyW&(ar?l$kud4id zN5}one^F`MO2&0cVV2^={pgsuAl(!HWJ23i2|ccc?SF4?(kk(71E0r#@ZydmJ5my> zjFqc$*sXuLnlW$r5A3INhi!!S|fbug2qzV(P|MZ9_R|nl8FtO!|ixlm2s3MQu%dPG-*hoXj7uPM|VJrthLX zpgx?V{5E4cb+T~tO|NFmSDgR2X?oR2M&Z{oHrs!56i>#lPN$xB^(HFYvaBFFQpMFkvhwVo1hL7!gQs>vDrYXB^y25a= zcy52ULeF3iC-8s034gKJ_m#1@_KvA;d()bSYyFB+=ijDO`Ri{9o$Lw83-Rie;ZMbF zcE0aORqma8$i89qdv_OP)}|!(P2Jx+P#$2$_MTv8mr=&d!|n40D~1(c0a_ej}v(26y>8MxOQ?W80Jh_QQWIQ>u69f3dxlp(E$cgr9w9K-m%hftvov zKa6ImPWu-EzQ?zGpikMGI-aoS45*p*AHVHa23W;lXK&Cb4}2?bTxt7K^<(?*SH|@% z#?8j$O~3e^?GUR81iX_ON+@A^YanO@9-iZ_D^scd-@W{O0!LQ#@k$i}4wx4eLX46Gqzrwyqqx*? z16!1mSQ+Tkj5hYM$H0;|;;StS46wUbJ$gj*)v^>mn;reGwiQS3#G!AJPJKgv=w!Ld za~X=WGn8JSIPqB0?DExWnL~4GLdmsSXFE&GH#+kT6dg^H1xXk#ndhe8-siA+~<=u0_b84S+ zUZ97hYGv*ZlU_^uT)~5n#cv!*SiSs=y<6S8zVn~=#k=Z^ZFg>OxofwcwC9d+oYAst zXY-lGwL6ToJGL*qIlQOouEsqL^zR3i;gnyeY~Hi2E$K5Wo9f=u_PX0rE+szk@v-+G zPTc(9eC3u0>+Y|6`2K&zO7E@zWOvd`)_hyVOg5PLI)s_;Q?w@cwhjD?DrSCC#eDmM zo%i?~I~cw)bK~UUe|##PZTyx-FH}xz-#x9J)(oe+@mpG}j&Hj6J-uYjhw1uf>gv_9 zJD$PwEtYHh&f7Fa;fuA7H?q4GTCAt(GJd!6;&4u8s`9IP+naw`1@zrjCo9}_n~wbN z7k~Q8)cAk3><4lEaYoJ9Ux(j{n_H+CeyObCZ&%m?=TGl^KTQdFZb{GSUZU*E>CWl? z=bY~J?z%iw*0pR!|jimWza!KVY}uILg*3_r>)mKRku?pfi~IfSNV$@GpyQNjki?IPP#vVsY`}aLo7;=S{p=eCz zMY+m8z$aRi{wh`AZOH9B}2||8>^rMm}@?UWY{DH)|D@49p;=hu3*Gj=ZEb&KX{${~X$QQU%;*TUQ zSS9#xN!;cZ`SC1)7f8QA70_N`bg$_pFTk64PgDh(eYi z`{lC>IdlB!@z|UzI{vP05sIIdGHricRAJwgd6G4g%8v4pu(c+ll>UNH0yTtJZq*W- zlK;`Mon2yoC~f|U#Pe0rmz@&Nm;9X)mxKQy(JH%3)5H5}!b_JY1F`}6fRgZ1ttG6r zhBvf_H?pN#Fsu!Rb5pV*uMdV(+VMtM4~A2!@b~oe)^N%r_#Y8^OE@KudD(x8MEt+j zV7N8h64t{k?smMrXyN+w@P?YO56W&paXu95dN}1-R(e?jm%^-z`T(1)eYiAU6Q;Rw zL+w#Vy7chIGPXbq&+JjkqH?Bd;gn-Ag_XcFR;Mkmff4O+-XQ+KM>wTkDdYa)>Vn~o z75G*?yaL}=!$B;ei-j*ukbHv zmCd8b(6BdssCbx88GH^%Nlaw1EM2?=9Jb*v(%6{6OXK+OAo4*#E1(6S14dEOMWy)v zCStUU;ee`t>1bD7HriDbP8e-A4*+klalSICPO94heEe!ptNyBwS`@GJ!yb2?PWrNUn(nLK1Um&;7?ty=xpUbYOyW#=5u8a8n)Lqx(^ICV^`Tnn}Yr3ar5+=z01lLMx zy86|tSFc{Zs;+v~UF9(2!|3wRP&YR5`Yc50SZKTjR60XLh+H}w2fDI6qE7Vr4k9F= zldB6U*a1snaqu!eikFH18D1U-Uya0^8OI8o_07rY%-j+}`%r(o4Rja#sM}}>{d;Rw z=we7gVgK6jIh7}K5<^#0m0cMcTT&J>PY$I{hBR=kvUi5YS5k{<54D6`Nzl8p&}3C` zR8Qp68QLlv9iht>9z%_XDV>nh2!4-_9ntDqVmhU<1IfliG8IK*5~g$TxK!iDSy%u zC_>!w_W{?V(uzI)w;)yw+r;MwvSdYoo1vQuuRYSWgergR8fG3ECI4ZgnOjAop^BM} zHd`Z*)-ZA$g$>Id!PcnQVr#z>bf0Wr z<`*Em4*q{lcyd(g-NirJMpc}Rn{~LSbsgY?!NhoU?{{Pc34 zR7yErtuC8-<>k`tYuW<1tWYf^YD(MJbOe7rnRXG`0wn4MDBK-B<=aA$-0?=rLj;$O z05Yt<8sVJ)P#L5vLenKzWvb#l>C=iZodHt-j2`xJ=w!5yLl8SN;QLApAM=j(p$VdF zkA0;p-F zTmT)eJR=uCQ($=#3!s*Exd3XdlMA5z*$%k?nu4#|!~!U5R2M*#&NGcr7eLb+_yQ;w zbgzD5KR#F~NA|cNA`z|^&kx(BBpSo>LmPiN zBIw3@L*p-cNc4p#*~XJ@8jxa*zo-rO)>(Mpq}6IX>CXhxS1O`@{8boFVgnB4PY-`c zG9JZS{12yFmV74U0GbDr6z$kDyE@#}5y09R2jek1q5-{%PsDu9HLK z;u$|oy~;A_;_avVcTBx=k!8!=l@`#AWBg!DX3SdX(+}eZ8y`~~PveJ?-_P+wA*QK$ zcoZG=LzhG|JfiV~ z2EPUVcKEIEcfj8XPkH(6n$7ydqY=n`MYe-PJ);2(y61pXKBkHS9& z|2X^;@K3@&1^-Li0J4FV<&rHgJfFh^JUn9H)fFc5_ zF1~dO8?d`wx5$UJL5>@ptQM;m1;^01vG8~vH_BRyZNDFe=stfcinwAkW|K@Ku5$dS zWQ%(_ejKCTleQfD<467Yqb9O|aou73q4Wc0Iy{v)ojLq&VdX6as96rT2PJz_(b?*cp-wQ_aBVOj9Ck*{jh#< z{H9Fd1J52_KaJ%+{+&f|F;5xf749zTC1vm$MHi4KJ(#RO2;nEw2h z$??N%^`5$%V}JY*yZjauNsOD4!d)kovIzb=dwz_-+%BHy$BOK|EB?8otmKix)J?0` zANXTD&yQ<|EK)vyZb#|5&i%Jt|G{g2x1gYLJU@)dj9LHZpC8)z>qtgF!u};6j&w!2 z59@XSBi4WT%Z^p<-ns!8|MWKhUn7%P<1hOWyn~GV@oo~$G~*wC?E~TU~5gR?vUAx3Gh&MhR0pr+PpNluC_gq z-a{=i4O*IHN}Xa#O*XYEgHjTc2J|rv;LYcHmTsCc*_3)BQ(Cc|NFDaMnQA(Ti5;t( zSyz7}UP8`b=de>vXR(B_mD8}}Q5S6jn}_#(Q%*LUy)4z#R~di}kCSd$$n^I4g@1N#_ZhoYg_qNs`~7x@D9^~DXf z7yAONzKb>NW3_92cXsvP+@-AXF-LJpL9u@=-^+4Bu$M0JEIF^bbxEt+zu|HVro8im ztxa|JuCcK6Esq@Y4G7Pc1A7yP7n2G}RqiYhf9Y2qNOjZ-_X@*>hSH zk-FwBpIDfgCsf!R6!rFbgpWNps$1XLYhlT1l()IDV|i0sOCe<`c0peCWmJ|T;=O-! zEf|Ki2Ffpb(ZW(W0j;=!^6RgNf?@}Nw@Q#15_Pm=qn{II5(R9|GjkPinJOyWS5C69 zzD9~X$);JvH@?(Ar#<5Y2vH{Dkiz{ImKK?8E_Uk}(w_`T#qJL(DLZ$u_ls5wOHoTq zM?N%R3yY<@Ev#Q;S{c>4L6cp1b^Cugj@3xcD38zA4xNsjWUE zN`@k$-#QE0#*AukjA`GM*?^IOPlI~ zoo;`WT-BIjH_8av6wmnz^_|H0nHxpW3=<2Ud&*+Emd|7KO{v0P*3cAm-5TALM9h=7OO6!nFg95||2Gmec+nMxokSeq ztl~_nC~S@Nr-LNF=}Uj2t7Li##GlH<{z=$Asr7P?%p=@kXpx^ifIOfrd=Hc>hegQC z-Pwb@+y@~qjI9-ABNH>OP$)0KjQ232XD>rcG&dT`N8-aw2?!52F=)5IJQYV!q_9!I zNo8XYo2J5ZOo`Bi@h18zFPf%+q#$i5lnQE*82$J+=?Yr~-i&{a#^RCgKM9HCtNXh; ze0$p($yTrK=m11L^>`9L1EdDXj+}n|!^R=K`=Rc-xA`wY7Bwe{eDwL-dzUrGM*a$s zB4ZEn5f6zMfb^=07&+@dpDmAvfDUKS5tRRrNPo9V!|5q;=h$ssc2AC{*yrr>?Q^@k zy!l;;j&;7So7sQat<}q|=-XJZ%{scXz%{0;lD5BWZo-cJmHvj>*50n)vSU{Aicn4GHbmO&5KNIv@#ak3QvDy7cyGKvEd~I8|FYhd`GN*w^+o{ zm#^`BrIHN_xv;in<7L=vq?m{t%Fz!sv$OGAjaFa1^8@p4Dh_b zT8_VFtU&6qDw!WCYB`lQ#Ma}GASk{s*$@Dwz+ED5nalw8I&;9VlDV<|r4~yzm>J@a$`*tq^mlYbcqQt10dny{D!DxDL$0yt_)t4UgXBdibHSe# z^lI2rKx=tDlp&uQUbn4SjMBSbvRL|+2O59hMp6#WgPr z2cPqySyWy*NhhtN^zA574ayvV1aMFf>b(On(mt)$^(Dy<*SQ1QR054HMk(m!?;M!T zJb<_nA}hBYcRBFIpzBo2=|(K+Lq7fl+`(2NcjCl}Ywzp)+q9GbxGiC&y#9qY_#uC> z0CTi8H-x=Z^u4JFEBfkG!@G-w ze4k)v-=6i;ev_{cY<=~_+1vbeFHza3YuUWw?$KLAH_zOWZ8@-i*{ruE{Lv@Jq`%iR z(*KJ^$}{IavTv${Kl^0C7e#*;zB}#So44IC^Q+lkN%#Yhp#}7AOnfD)zI?zag5#D= zpLufr-z^8r?pbi(rxUKJFOvAfmLItB%i>pjKYjMMEkmE1x=6z5TCUayM$&A@hXr>Q zo%+Au5S-}I)q?Bg3+~U^Ubp^v37>V^zpwQ@QSH0tsgE+BTu|#JIF)~ou2rDdg)m;v zR(7%krE)5T`!G}2Aljdex!V|_Un zRO3r%+f|0HSyIE+&@`izSz$X?JmY0*+OV45bC<|;* zIYDsxP?#F+|4eV4%e;Tu!TBRvY- z+=o!InXg>5+gHQll9Vo1B(AH1!G-AaxZ3t#|AykQV9-z-JOO{ktMn^IqplRDKc)W1 z?^sm3zrvRI&Z}Sq*!Ik{p>0ib^Ri_yNM?lZ=un2HTmE8UgESD17~m%iV1tu~UZcFc{Fs4HZkCpI zg{d3m()kT{!K8ng)&*Tf`P7pf=pcvGp&D36aMdKT441YCm%ny_v^Zippt-4?&Ubiz zCZx|`T>Y|@0xN=Tr@RHpa_V8D7ofIVq@@?E@Qtbc!KMym`!Uk>VW8f^Pl&kj4VV*D z-b#yqwiuDTm~C{x#MA#Kv8V?}w7g)K=2c>cA4mauI8%S3qa{@#ApjA_Nt`-d+6wh( zo(?);qVQ$lsk!l!B!Z83YDDSS2vJN5bu z8#kW@C53-_F0(*a>3*)n4y=rztCm2JZ~9!TVo?hfB-c}CX=31jw$w~Ouq;A{yq816 zQy7Iv2}+isxLB#+W$#LeHm{19U09z;P>YL|to1KODFvXLuh3-TrGo^9T&W3eNpY~g zx}&ju=`Jd0YXcRO6AY`>`P5&O!pLqggSQUY3MGF6kC#=-1{+S}_K*LB6csCd7wi>0 zRbbX}2A|z{FxsER#^b&Mv%Fk%tGP4*UJar0mPvzA0HF%n;UxJUGDg5rN8f2mW98`V z$rfl0ahsaB^80a;N}Znj6yWA{j9!@|a@eYQ-F9B4$Q8m=Dq{DIKw6BVw%# zdtQS|5c9Zy(RdC7VJ)CBInI~C%yJAGDoY~KgFIgUnt^6qLsoi-Ka}zSeD~}DWn*? zEFvyTr3We~WfpOkVBDF7V8-2BP~j%xK`g;U+!aLJ6)G4h1AWluibP?dCv5%cSa(Y509I-R^5Yc|Y-kRj=M4 zOE8X&3hQSsEOJ_Dg?`^-O09VNo%%+3+A$~H$%?&rtsr-%# zPD~kJc_f(ewMb1#rgM=IL)1+`oN1&+xjHq{Sk`0MVq%$1#H{)#6RexHxappGLu3o4VI~ET*e}Q zNXs8uY1$B8gj`slgl1PlWLh7r)rLFVIwWJXdXSA?s6VJo=2YgQU$ydH+yT9;fCVoX zR0H^%3#e>4WM4YN(AW0^jeJgno&%mJDiz4ftD~XkQ4^w@Njln-g`O!IH$BmjX|+}x ze_CqsL&1L~O5B|+Q6a14Gl67BR_s!L$S7K3^8hbHzd@3n+Jj^zUeB_XweM#0P!9p* zmye#+&IW*b5vX@3D-ZAmA3f8Tbh?vUZ|P`#ZKV9;rK9PrXgJgqNkQy#k-|Bn5<$ zK>6r#MT4FIl-mb-;j;&5ynx)PlS`p`60;~UuC5dzK%Bu1=D+;?LmbV6t!wea0~JA8 zWcjHh2qU=O zBOl(dfpkX>|nP^D^)penHb z5`~o#1M44ASm`ma`l}_@a!ieZwP&~>reP(;z;Z{?vc$kzsA8FanO&%w%BOY_Yu(zt z3^k~-m-q6z3Dq0R0Uav==tj?JkO!D9LzX707|~)Q?i>+!c@cN{5qHjrI~O$0L^D~< zM2DOcJ~9IfZYTd`CE&y~9$~y5Ts|i-ZH{}DqtYAj`vbF9*(?2}-Z_B^1VDrQ1pN3W zzg4q+X*+k95oo%_R+fLWK<%8L}$CG)KG)Oo`+LDrcGq6yl||S-v(R z-x`sxir`HVVP&io7rZCpTj2GT<@y%*NCG5wZUH66)~lHlV+!vQa9EKFvr_@!TKKR0 zA;xS5ArZ`kMI~}O`7bL0k=1CRrc-dQzFRF{t>$l53oEIABNs1M6IWEb0z_=xu4YYa zsoe0kOtfbsH*#J4SN;$SWiNe|^Yx-k%dg&L+gb5ja|VE}4+!1JOT1)5Z{7skbi(7W z*~qtSQp@O@1Jnkq!$-5#Ykfv7}t9#R0t-Hw0l-u)-Qb)}s!D=*^B+ zdJZML5~Da~gUS$IQZ$U)o3xn_VWmW4C8Qc)C?mIj7)|5ckoAh*Ce-V|sQbQJ`R{+v5d;6w6bZ~h@Uqv18e zCaRS1>&&P*)ga@8O#&-}xFt^x{%7yJ6J~bID^`usvdZHr@6obO%KUhier2O z5%PzV0iGLw>t3(K!jet$$O3KRD_`X+a@rb;4#708WUtp$B&FcME=P0o1EX<@R2xr36 zLr=ncy1s(Q+rh-qa+I%hM~h}}=*Yb3+M9HLM+^7c;!v<*eX6-LC-iP}@L zFoRUzAc1jfda$vD*4yzlBN@eP0G$zRZNut6CNnMgGPR~LnCF<1l~ay9#SWm3>#e^0I8li_#vi}#8P$LzyxuEuv1@qWLnp3y5GXW+6 ze_u<(Fcih#1;0bddz+^9&sN$FrcML}nH7g`A-UU%O&XGDw{LISZnZ=4G54JOa?T~` zY$q!33$!U~nIRH{$OFl0Udl~|UWskwHf89}Uu%hgPZU9GNc!dR6%BiKe1^Q|rq63n% z0jWN9)d4ueP=Nqx4JHA>KVA!3m_ILc%E&27yH~E$A?}#XSuYOmohb)n(=%$EFI`o?lB))!cUq89uM(hXTkl}yg z)DL4nazuxlBoP@AkAS?(efll(ZOPNS}v`7nh z0WSfympwcIIs%@$lcCcUmySFEF9LeglcCcZm)Sf4Dg!4I0hdn`0Tq`UJpn2L`XrY} zJpn%g!z-8FJpm+_tUUoQ0acgWJpno!^Vmb0Qvm<~V*&sG5dZ)H0000000000005Sk VF+Kr40U(!fJ^>>J4mkk;0093{P9*>U diff --git a/BIN/ASM.S.EXP.txt b/BIN/ASM.S.EXP.txt index e579a6fe..cf1ad261 100644 --- a/BIN/ASM.S.EXP.txt +++ b/BIN/ASM.S.EXP.txt @@ -197,7 +197,7 @@ EXP.GetLocal jsr SRC.GetNextChar bne EXP.EvalExitSYN Max .255 ldx SRC.ACC beq EXP.EvalExitSYN .0 is not allowed - + jsr SYM.GetLocalX bcc .8 diff --git a/BIN/ASM.S.OUT.txt b/BIN/ASM.S.OUT.txt index 659312ac..3c3a3878 100644 --- a/BIN/ASM.S.OUT.txt +++ b/BIN/ASM.S.OUT.txt @@ -32,8 +32,8 @@ OUT.Reset ldx #4 rts *--------------------------------------- OUT.PrintLineOn -* clc -* rts + clc + rts >LDA.G ASM.LI.ON bpl .9 diff --git a/BIN/ASM.S.SRC.txt b/BIN/ASM.S.SRC.txt index ac8baaea..9c62b062 100644 --- a/BIN/ASM.S.SRC.txt +++ b/BIN/ASM.S.SRC.txt @@ -620,7 +620,7 @@ SRC.ExpandAddress rts *--------------------------------------- SRC.ComputeRel8 jsr SRC.ComputeRel - + bcc .1 * positive : check 0255) -* 1-4 : 32 bits Value (PC) +* 1 : Macro Context ID +* 2-5 : 32 bits Value (PC) *--------------------------------------- SYM.NewOrGetLocalA tax Save Local ID @@ -386,11 +387,11 @@ SYM.NewOrGetLocalA bne .2 tya - >STA.G SYM.GlobalPtr - iny lda #0 sta (ZPGlobalBuf),y + tya + >STA.G SYM.GlobalPtr lda (ZPGlobalBuf) ora #SYMG.F.LOCALS @@ -425,7 +426,7 @@ SYM.GetLocalX lda (ZPGlobalBuf) bne .3 ldx #0 - + .2 iny lda (ZPGlobalBuf),y sta SRC.ACC,x diff --git a/BIN/ASM.S.txt b/BIN/ASM.S.txt index 952dfe02..7eaf9139 100644 --- a/BIN/ASM.S.txt +++ b/BIN/ASM.S.txt @@ -454,10 +454,10 @@ MSG.PASS .AZ "**** Pass:#%d ****" MSG.SRC.FILE .AZ "**** Reading SRC File:%s" MSG.OBJ.FILE .AZ "**** Writing OBJ File:%s, Type=%02x" MSG.T.FILE .AZ "**** Loading CPU File:%s" -MSG.OUT0 .AZ "%H- " -MSG.OUT1 .AZ "%H-%h " -MSG.OUT2 .AZ "%H-%h %h " -MSG.OUT3 .AZ "%H-%h %h %h" +MSG.OUT0 .AZ "%H%H- " +MSG.OUT1 .AZ "%H%H-%h " +MSG.OUT2 .AZ "%H%H-%h %h " +MSG.OUT3 .AZ "%H%H-%h %h %h" MSG.EQU0 .AZ " [ ?] " MSG.EQU1 .AZ " [ %h] " MSG.EQU2 .AZ " [ %h%h] " diff --git a/BIN/ASM.T.6502.S.txt b/BIN/ASM.T.6502.S.txt index 23c4d824..ef57f0b5 100644 --- a/BIN/ASM.T.6502.S.txt +++ b/BIN/ASM.T.6502.S.txt @@ -3,7 +3,7 @@ NEW AUTO 4,1 .LIST OFF .OR $0 - .TF BIN/DEV/ASM.T.6502 + .TF BIN/ASM.T.6502 *--------------------------------------- .DA T.ADDR.MODES .DA T.REGISTERS diff --git a/BIN/ASM.T.65816.S.txt b/BIN/ASM.T.65816.S.txt index 42bb22e0..2982f78d 100644 --- a/BIN/ASM.T.65816.S.txt +++ b/BIN/ASM.T.65816.S.txt @@ -3,7 +3,7 @@ NEW AUTO 4,1 .LIST OFF .OR $0 - .TF BIN/DEV/ASM.T.65816 + .TF BIN/ASM.T.65816 *--------------------------------------- .DA T.ADDR.MODES .DA T.REGISTERS diff --git a/BIN/ASM.T.65C02.S.txt b/BIN/ASM.T.65C02.S.txt index 173560f7..02fe961e 100644 --- a/BIN/ASM.T.65C02.S.txt +++ b/BIN/ASM.T.65C02.S.txt @@ -3,7 +3,7 @@ NEW AUTO 4,1 .LIST OFF .OR $0 - .TF BIN/DEV/ASM.T.65C02 + .TF BIN/ASM.T.65C02 *--------------------------------------- .DA T.ADDR.MODES .DA T.REGISTERS diff --git a/BIN/ASM.T.65R02.S.txt b/BIN/ASM.T.65R02.S.txt index 50a703ba..3c8647e3 100644 --- a/BIN/ASM.T.65R02.S.txt +++ b/BIN/ASM.T.65R02.S.txt @@ -3,7 +3,7 @@ NEW AUTO 4,1 .LIST OFF .OR $0 - .TF BIN/DEV/ASM.T.65R02 + .TF BIN/ASM.T.65R02 *--------------------------------------- .DA T.ADDR.MODES .DA T.REGISTERS diff --git a/BIN/ASM.T.SW16.S.txt b/BIN/ASM.T.SW16.S.txt index cc33ef9c..f9451f31 100644 --- a/BIN/ASM.T.SW16.S.txt +++ b/BIN/ASM.T.SW16.S.txt @@ -3,7 +3,7 @@ NEW AUTO 4,1 .LIST OFF .OR $0 - .TF BIN/DEV/ASM.T.SW16 + .TF BIN/ASM.T.SW16 *--------------------------------------- .DA T.ADDR.MODES .DA T.REGISTERS diff --git a/BIN/ASM.T.Z80.S.txt b/BIN/ASM.T.Z80.S.txt index e5579262..c303b7db 100644 --- a/BIN/ASM.T.Z80.S.txt +++ b/BIN/ASM.T.Z80.S.txt @@ -3,7 +3,7 @@ NEW AUTO 4,1 .LIST OFF .OR $0 - .TF BIN/DEV/ASM.T.Z80 + .TF BIN/ASM.T.Z80 *--------------------------------------- .DA T.ADDR.MODES .DA T.REGISTERS diff --git a/SYS/KERNEL.S.INIT.txt b/SYS/KERNEL.S.INIT.txt index b590757f..6ee0d00b 100644 --- a/SYS/KERNEL.S.INIT.txt +++ b/SYS/KERNEL.S.INIT.txt @@ -1098,7 +1098,7 @@ Mouse.SIG .HS 38180120D6 *-------------------------------------- TClock.SIG .HS 0878282c58ff700538b00118b8087848 .HS 8a489848adffcf201ac86868ba8df807 -TClock.SIG.Cnt .EQ *-TClock.SIG +TClock.SIG.Cnt .EQ *-TClock.SIG *-------------------------------------- MSG.Init2 .AZ "A2osX[Stage2]:Init\nRelocating Kernel...\n" MSG.HZ .AZ "Kernel SYS Timer Set For %d0 hz Machine.\n"