From cdd2a24149275e4bf643686aac418f82a015d7cb Mon Sep 17 00:00:00 2001 From: burniouf Date: Tue, 13 Dec 2022 07:55:13 +0100 Subject: [PATCH] CC:wip --- .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes .Floppies/A2OSX.TEST.po | Bin 819200 -> 819200 bytes .Floppies/ProDOSFX.BOOT.po | Bin 143360 -> 143360 bytes BIN/CC.S.CODE.txt | 27 +++++- BIN/CC.S.CORE.txt | 67 +-------------- BIN/CC.S.DIR.txt | 13 +-- BIN/CC.S.F.txt | 62 +++++++------- BIN/CC.S.KW.txt | 106 +++++++++++++++--------- BIN/CC.S.LINK.txt | 17 +++- BIN/CC.S.PCC.txt | 2 +- BIN/CC.S.SCOPE.txt | 33 +++++++- BIN/CC.S.STMT.txt | 51 ++++++------ BIN/CC.S.SYM.txt | 156 +++++++++++++++++++---------------- BIN/CC.S.txt | 73 ++++++++++------ SCMASM.31/SCMASM.S.EDIT..txt | 4 +- 15 files changed, 333 insertions(+), 278 deletions(-) diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index 383dd6c2461c9a92e58b00a934d4be1ed88f2fe5..c32ec5149dc390ae61c076e57b1453bbcd7e96d3 100644 GIT binary patch delta 369594 zcmagH34ByV@;KfzlVg&}B$@NQT;$~<2V}^>k#OgP$mE=Gikgs2NFXFJ38&yBKo9{j zpwi%aLPgZQBV9duB}4l|HP_|cc`_JX^Y!Bbi>FKT9;Z4KwbA*6?|;j zJO)z-w-$!0{OJdUd2vr@?^>@>`ES|0|CY`BRq#*!^ydAVkgV_@v&o&~Cm6}8Gep{z zo^7G`y{Wm8N%OSnFPiT|T?45v5F!!M8Mh|x<@i_P2TF!Yrd(5Y&2`u8zh>~7fO+D) zw0ZgS?DN*m>zOw+@7z3%U1txo=h&~cx7at@`|Z!#Nohc-zBH>eue7Ojd#P>QJ>!0c z|0^<^GxuiRnYk}>f9Bnp_hd#*sGacegeNmpnVOsfIk)5l<~HZ1PK|L*b4|N%+N0B6 znx>kbm-AuH&Yb96$(#~L$+aanz-M{M z!jgF`^+4&PrO%X3s#soOubf}GxN=$L%E}unZ?4=~Nh|NEJXGnfe6jMi%6BS1to*d{ zt4dO3sEVpesmiWeIlF33)q<+Js#R4Rs(PySR^3-MSoO!MKUKX|_4lgNRhO%NsS0zX zIEvZ-6^^BjX2(XyZpT5#Q;rjke>qOU|6joW7iEfK$NvWnz45=A>Y3T`?g8mPW8Du+ zyA$09rKZX5d!@C>L-yfPDPzj;cT#DhyF^+s-Ca1I91s8Tw(#T9@|o@_uYV?0Pl>Bk z(>0Hau`Y-DKy&^2?gYo;Qd_lcL3v3b5vmbZ%VCwait>`O>Y^$l%t!D#4t5mS9MyT% zB?UygKz*RYSx3Z$>H{k}+Pjfa{_2L3mZr|dd5a2&b+P)uOnYITZBBPVfz4jK%-K9H zljxVI57ez{>zhdm z{i0>Dt_HHT*LK^oNYpa5Et4wcJDiNY_Y2~B&<_K#ONM14DF?S)C-LZZZYG;L-#{H0IHiWK} z(fK9a8N{+mP4gTDCUuLN9_tq^p}JNXokt?u)cZctiP`OHTW;>Y_RbEX?NFy3ADd3h zoodwAS0mqC{Pw%=Fa7WG4_AKt>E{Oz9S-kxzw+vz{(Sz9ceH2seWWp|wA8TJdVYdC z1KWBWw)I%v))Bm|qsF?!1|~~`Q>24w5_zGYRW14i=j>9_=Sh(vg0tOH~7T-9bC>uV#N&OwGW*rNRG5TQBx} z`*{4tqi^=9j$K5Y--$PezpoJ!KMNO5E}7d`cI+f-u93cQMl)G;AN}@>CR??LCV!%7 z40Kf-Iecza7v1-XW>w%LNA{eokuqrTrx0SeaH&7p zt?SD>b~5}usUo54?HXxGs(aFqek5ZkVOV1q#tlt?kI0mvO~XM_eX6u%cJBu@L(_(X zF_Aah9o+Rd>62u)rt1x{W?-%~SRzT;DQ@kKzS=z}H*Syy%A~<^2GVT2Pa3F@1}k~W zz9Vg{{mxna$8&0=_flCQDJgQw?LVX+{-0QbYLM(S{H(@micNEChh_|ab7Fs&mLq1; z+_Rdf@e@-IU(9L0c;xW6O+>8u{PV{zN(WN9-aYthV@^$u^J34%UiH~;Yx?S-<|k;^ zSxtp1o4#{aljb_~-S&}yt>5jrAlCH%DW_(K=p5-80pf3J`pAi6BP0G&Y+CQ7{hm}h zOGzhF-C@$<)ZSna+wBkE+?Q$)cm=-(59!6>5eXD~4)nv5{*VNnAx+SbH0%$EUQPe; zaXB?Z(un_1(1=Pz6}V%EmJUbY7xO{g2Sz&^VmUQ0j}t za~hion%BaV=dB8DIj0#r>E)ys(&Anm7Y7z~hq&HVdQ{qy1lFdc z4#^PERX+?V=xamgG|N=y==k#*n`%G3_Pi!q+gm#I$g%gObJTSndIw}Qp*=QW<{-$7 zy&M|-m7JQxUp{nB(j~d44_uK3f0U$`liZooePi9@v9!cCEtr}yL-8Yl(&Z$#*!88f zCDrW*>5uyfLIUi~W06b!FOqJ8d)z~xN+p@@#KXU2!~|zpf-?f830ZDSSGsP%7&mB& zL*`nkJu4k;l-)Phh`6#AG=ag zvF8d(I%mKdHz+VMr%QKcxTi?>Weur@{Z5gQ5a~>!JNY1i_HauF1Mq_lLjBNn%<%S0 zJ->)9rqTYlb87lRk6k%oxH1wT-Iv*Gs6pmyz08}D`Ep>6OmE;!7jdSQI0A}>t{t9+ zU#7FZn=z7u87B7`j$Jvr{n!=A#q!7u#;^otk4pk;0@(tXG+tr+p|N1}2+|Vz=L?#o zaOicDaFAq3{+ZxhWYZrmXtDzAsr!%ih0@HgHPvJ9%XA0!clG>I)Bko2)7J5k$eb@` zb2XU;%yEMjP!oORYfX|18g+VK0BG^oNSy661};m3KcExP7bwdM-7AvSL^~41yBRj) zPtxGe%vb1BAG&+_^sx5c!b0a>Jj(*VuZ#GhA|%D zaf1fN0@QhA`*6^J7&jP!fa5AiM8*w9VL~#XdlMZu7{h3>Hgg}pa-{Lr5!Z0wv%RGU zVI=me4-#m4)v?P#>OGfJf9g5&_~o9{_1~kVt(3l>>9!v}lR7dSaQO6)YQ$f9FWWs0 zhg0@Y>PWVb?jz zTra&=;65*v%yNHL|9uV203Rg&lo8a=9GG#h|0KOqj0AE0zv9dfFgC8+-phcz{`})~6kBFZ6u)!WU$7{(o_P2|v`v;9hb%B2WjV2=iCags14La_kCV|#o z)I_*|O}lid$lX?dsz0=^AB60gG*W*2*I`XRIg&bp9eBRI;>2Op@*|c@s3gEU8N)Ig+I5liU%BA7@yZDZjsD$4Kxg zO>cnIob=}K6|n~U*-)Tc!Jg8%b(nb;W}ZT?`&J`PU7d7XjZ<^d@j%e%o}~US(R-Bm zM?}A5Kbi!St-jx1bbj9RIXF9CK(9IheX9TI$G+7(qyi6o!S|Zfv0W88Ur4vLRUH2O zw$_T7A-U?0pu6=c9% zJ02}Xvh?#fcar2ky}t-MLsY+AI-2A*BTX1n6LgdS`@n40-&zqkZA3S4jWjq9U4-6n z$OfGSX3tsfBA5A`O1Z z^gX?g^s5fS0DZxoxkE|>rv(1Ma&_Nqcbv2Y%u_T7V(GsIKo$4FG!1)So_d`p;)ZCLB98_r$SNM;p;ysTw#d4SvSTpL6K+ z353JH6I)Lo;U#2s{bk^DY48ilflfzKe<7A0$Z-O1y>L&Fm!L@Oy@$RVS#s>cQ1Ec| z{;PzMdeYtbXr7r=blMM^*!h|xje9PjqV+>lnGXPCbtA76FcKIe1L8vfj|Qi|(C#i| zRc7s}?YF~tXKB`9S(-lfgU0HLD!j7on=9K!+{vDa2JK&j)LML0dM^=H&}^LfdpPi} z1lI8sPFi0|gXhqepHf5OyfpX~CinvVf;9LwGxKOl8S#iT_>G77qBICgx(J2(J8AHH zPL0-1BfUl!T+vMTUxAvSyMNTg(U>?x)QTy6`LICMNFU4q+kbi31eWmfcEe>b#W6G7 zq0(J5+!i#M_Vv@jN&;yHlA>K3cvl+yyCf}}aZuGeW#Bz&@E6b-m4-$a2R<~WpmO`M%eUoM)(lLP1}DiIC}5QiT{?cr zeGNTT95~iBn9R3C#{QpMBGB45Lu(gf@68$<$H~(aa^SUcHyRV$9ibh%T^g~iN<#vJ?W zX$ZJgJra`mb9lHkYoa^UexgXaFbhogi6ZP^+&!Q7(9h_e7h<2Bjw_f+()1K})+sXl z3wZ2l!5QY@i~#9;W^YK1YrrpV(4Tq2)=^zhYtJZ#nxnS98!;WbkP&tQI{Ky&EldnC z{jb7m5fPV;T?p6M*Jd-^@aJgfKR@){u?t5x?v)OG2cz{u&-XZz{CmCwX3SnhqS3G% z{vJO<`=x348Q52Q?4&(0wFcd!$b-|stHD(dIJw_b$K1dS^Oji$zeKxZU($Eyo|D@f z!3)TybZ+2mm#s#co8Q;SReSgw)cfHP{0q%+@N>-bp-&#zH-QxrSW@lbPe98n#2T=D zf%a#zu%h6MF9sc4PJMgNglSIz*xVAV(BT`TN0S-G9Lc^sJQ*c%kH3_?|1YC?70lO00T02|K7`u zeX2c|cbwRBxi_qzz{m0M-XD0`=;>Y_kp?bFga4DwZ@{t3zvp{jhPA?Fw3X<4Urzmv z@9knEo3TUZ+snhp`TiXqqnvN<45LJ&S@`(gzr%w2-XX9C@HpSJWwQ{Y$A*CooqhtF zXd0@T7Z_Ut>PtXRc#LFEJt{p`;1>JHv5_V4ZG&5X;?3c2_e&FTDGLn+d5&F<90}TU zc`BG@&}Jj5Gp83fFiN#_|J=Yt`q+<}fFO_I*k%uW%C5Dy@vuh43)-J}yycdPm$dLN z2>u1bKOOuFfq#1V7y5L?OJVL>s+A1U{*N3xIrKbDl?;ih9$E%#1ipDSHf2fQ5#AsB zfFyFy$s;@Va1*xmO3#lquulkEE`7awPSSTILsW*RPcHTJ$v##8Y*wpilJwI zk6i?O$ybWKRT&F4W;W$CY7Xid0Nb0e6hYof?>}^D2^KRZqKA)`-mnc|oBXzK?|S>} z`~9ssHCJe0oMA>JR_2kB1T?ldA-bbb_NjXSNUx7G#5@%ruKI;;j5B1U!YuSA%q#(M zgMruq*fgSz8w_F#CAJS795<+g6#Yk>AyLOyM=$l3!s^nmM##}0`uy-0OZo!$TyEUH z=Q4e#Mu=4T(epJzZeU%BTMt%^eqAGou6`|;b-zB9;r%`DPK+i#KkIH*JeIZ;Q8Vi?==%FF4gM9dQ_0Z0-2DGlR7|({saX z{8AxU;;3D@UFm6-8oxv&lcRt5apiU0c;Y{wN9sO5^W&IZ z>EWgtzhuU3-a>`)%81TPqLMh7_S~6I%XXzt45jB2g_%>N@eJH`?#!t3=2(*=edAh+|yZS1ANh z^#^1B_fVA(T;A4LOH7}T1D?Q4`TS}K%=mvw4iLjx!Xi*hDhhZENBFUbK~`$d5%t-t|Xi1{3m12^3s4x#5|7({Ebi1{n}z$MY7y8yYz zd`)bbnfn-nO3bbuAqU!;Yl-$7_yj3+7v-#ZB=lP^mrP>*4pNTAj)FY9y}P2lsim`+ z1YLqEVHC;#f51E9G96h8J@*IBQTL;Vlj$d)ybW!uJL`z{7o=KGw7=r#I$~0(Ag0yS zQs=FOPOaiq&mks1)d61|1l6JfRJI%n@uh7A1rrJ!-3{%ntGbbQH82lUv51pJt12ij zEV31?YU(V^tIoTnuDOnc1p(Wp&hBLuwH+NCOnwq^{+X+u*^MeD+#zcZ|t_^5dX1|O`EJbfI16LQ5~qQ zuj@`|1ky4H>7}A())QkI6p36@+6rqsYg5NVwa20IYKi}N$RCj|YtDwcs3pb;KI#HV z7Lbq(S(l4JnVCLVRAvVW$?~aQHgMEURI$h_NEkB72R9jThACW?o+|2cfC6KtE*Lzy zKoK<+6pMa~2`!c(@pQPq^wwOr)?*#fYl%-hlM&nJckD7OxGrx%NcfIEzZ)-uKN5JN zpWv4o0K9YAt=@ClejSTh^feqiDM3^q2p4a!pN62dbsP`OLf%6t`yMacSf05|2FrRhU9Wr)?3&=Ye}*9!eWF zgNyUN*fiT62vY?FaL%KhN4G&7SRf59WK8u)6Qp4T?vKQpTaOR>ztl^QY!R+~YMBtG zrhXf;zj^3MtLmu+VVqxj#11e!b#>inlWhg9tJ<2Ho%W^{C-L72BVD_T9AJ^%+X#-c zr^{9dhl5=a6)Lcu6{|ZM_hOX2z|mP-w-Te;+Dg@ddgpqgtCD+HE-_YPFZCs|E9ZQMODE;ruaH8&XNkOHpKX`BD#9) zadkL6ga#Fz?Gnu9;6{0@5^WPN!n%TfyiTy_n)$e2Pa;-P)p|j6w#e1bA?7yTi$Ro< zj`E6v##&&0qs%;$1a~0M&h=oHJLTG}mU*v1apyQYOA77Imfh?$Lk0<6=P7DED{AEi zPf<}DeTuR>J3F22mTR6wD+v%bWLXzrMmQ&pMyE(;O^w^i5C&FRRQ>OnW`7WH5=ogBNm_2jeSV2Y9!OzMF2iNr*Dt!?PEcl-r7dwSz?8i#a1>wfAvxEcbiFDf7r9_R)`T zf@$LcR05i468hk%QV#J_bccZePlUj@c=+m)B98Dz`b}+vkK#~Z!|)L zXx~F?2B3cch&v9#zf@`6)#Y&NW@`7+%&hG3-AmUM7ZdG&WRrA~_g(RQm2EOJUNUny zvBQ{u0Gz-h0VPe*e#mv9{fOrY{ul=)S|;sj#H=B~XFOW^gzF>WQxy$%32~OQzz3=b z+?D<>e9p|2CHM-u?XhZuIPS{|jzJ{%(ZyE%vc?59SX5bNBMBF-J z`HtQ=U@%2TT*4W;dI>)x)L7_j;Kq?eURKesT!N_nK}9P=g3K=Mk2vFlFZC0Ct|z9S zfhkPIKC%V>in>N*D3K3gvB4LtRx4H3sQEnO2PcS<(~0P>hWsTRMJ@F($M0t2HTgPd z+W!6U6GOB5kT@+Gk88<&iW_^@>M>rPv1;C>Bml|2Xgn*1&R;P@ z$^c&06khew7Tl7`&!I7`Fr%KCL4-8=w{F4e8Ye4lyl+lfX*WQf(xEUM5YWsMpsv`( zGI$NbvJ{CElJkHv8z^zwgF!q=(aMr!a8!lK9K*`zqE%Hph&~6X649C_*FyGOZ}w?Q z_6?X_oI#J>Y!$AX1!u)5PTtnpzOn+VZOp#q@tQ>BbF5s^?Y#Xnpo9W%2}Mc?xmZGU zv6`+LtBW+vRf^D-$gOnE)0uCEZ&K}>ny;n8zg3|$VyiGR#ZlMV=9F%dlIBV&NsX!c zV8S6YegoO0K&14U($h10gjfBZ`nOVJfn_w5kwaT)bQIhD7| zR#XWG>CB-rq@cW_Xa~YFi7|}BV09)_X~UVDMN9@#Tw*VB*h=#j?L^{<#Aqb;l6(eX z#1o0xL>$P?LzFq0Sj@y;UQWN;A%u^&a9&|n!cIZnE(;>?Bs&zzV41`s(u|#g*%cw9 z90hapsu(Vtm?LH2d^j)3U{G?DLa4wd5lgf}XD=_C!w5l~7=_RSoI)&PWFWY6d7Nbq zF~$;yl^xb}%-@5O<`Rp<>Gtgs(o|Dmsr`?Aw?U7GrS?B_Y(-`BOS$wJBr;BBxFD~p zB(JcffT=7I>~dH55_Re6gUWl}~)M&@z9 z3=*BJ;282Y6bz7=$Ne+Nm=t9xo$wUu2kGD@|7O_lXKrru)rVUOe6g2r{P6?3m2DuF5 z6b8fdr%cAl#F)b%`AwbW3<7PKt3Vbq2&_}5k~HE!4gX9x!(ZDBMghg`#rkJXq)U5+ zF|L__3Y~?heGELAm}fJ>Vo%?mJdtSgc!v(pCyx2HVp}y6H-m&1@N^|hXOi$jo-UVl zY%1bulvEx}reY6h;ZB!* zpf5)#)50X8t>DFLtN3TKgWmW+>R4AbPwM95Hq-pl(#0fn0emg5f*qB7*rN$qNR~LT zLseCi@I_=v1&Efp+m`Qu4i~mdu@ z!D1v?#B_rUflZB_yc}Z<1L0nQLR8BjY{4fJS?d7gU3G2eSuOPlZEan-x(x)ED1UUE17khFE;!0X^halvx zBFpfUHy*N<%Q3YON9U@}igsstn^JTuDO%SCb?J1<1x2;dzB^!t_eT2s9YSHmNVIEs(?_qR znW;fhDeHJLd_5?KH#jV9>q*!Ka$p5a$|<(Y@#|7a{7p2^6db48NHaSvv8qk9veP1j z+>E^^uR9@wOm@)+hAmO_xFsk;9p6P&?`or|`_Obhwb)Jf2%=S;vYF<+t4%g-;dwHM zb}KL0+C$?44VL-ac+z+aY&ycB^gP%Tu$7dRRFkmnJPV|&=9dxEt)66IdC`KRGU%bO z0U_t8oDVs)J2|hUUG$Z3Yoh8ldgEt7QR=webkaUSQr%7$;72cAyHAK$?V)$?6UM6c z(pUBgQ5Mr3$ZFJy_~bk32vXcd1qvVg=uG%UTyLLVz-q#=GfQ? zdYhx5q--k+I2uF}?!Jm_=hZ|c^$%B(z)Zgds9d9X;pS}6J+efmdwnDV49Jsu-zY9@ zGZ?w}FyR}-N%xN;gxo!&$yiB! zRvmkoo~5vt^$_*n4+?*nj)jlNBTz(9*#aB*BCNjhcVg+MHTwl~&{5ua5s%PK`(cN8 z0LZ~*tf(p~uW;-}X*?+sJ18T|9o2x{u3&kRL_EsT`G8|ZK~DTmA|B%i*tz#0@H?@% zIbzvv zfqi~q5ivgR11knXVtTF>=<<+yi#dRk)<-CQql44@`8z+X; z!nxocljyezd@r&)ifko?J8bl}KM0|=VGj`^Na#DBG&FSByPi~Wc@-J+cRKV3A;$Hd z2kKZ@Qe7~Yg#W_>DaZpZrhj_U&rc!ddVs9H2s3`cu{uAkA$dj+%VbJ}pP5Gz1P3n_4@z}mwOx2&KA{Z69mLO5VmL`W4`Blo6kl*GZ?I5^BGSgM$44C;<|~L zAZ&(^MVyMU9dlXM{Z%~J>Wdg5xaQ&EU_7q)LKq9}kGvTpexio^VGlL#XPS1uFh)aS zf1!5xqWYDtgpWAF_k;wM%5DSK%~m{j7xLy`NsL-WkKQjtP1ne1hrOt%f>jDBSc=5> z$w-*9i>f?GmLf6!u$SH^M5zN*bWEQRrwXLA`-Bv@-qq9xGfNQN)+b0~f@KaBt!k*-^fdGV_z0!h55TM)Mwj46IPJy{13iKtM*22>nCK;>G}EYq z@L{2|@xw};_#x08_#x8A4uY=`LEptxB>f+LMA7I6;Uk*n;YSQ@z>hI>Cw|0I_k%*b zB+2qJ!yN@TI#acT!Hy9 zL86kqsENL)i6kn8egv6eVR;2U64N|V)OiUDoprDv8Yge{ji)(>1xZL(u^xkE;6!O# zR#>utSZ%cRuwZdPJWgqHhRiE0Bo+wCjRHF6=MxLW=0*eO+KDCWH^3@lf-v1EN;@$@ z&~6lH4lzUU&Q@sWy^R$O4p!bg{VE{9EHkcx6#-B?Q Kdi)`n@n_SIp&%EW#2Y17U1>R#lz$bJDYW1! zAX8}J)j(8e(QkmD(Bi9rpwKz0Wur?6jn2KA43t-L6>JW`uDJ?^3Y~Wq3>9i;3U!rU zg<=XVy9&q@T7DG}6j48B|D>S%@BVpm-;E<$h*hnv^ZEkkfcel3GIlF5+>4?@~ zQqNb>%yc1c;sQ<=wooN6CHCUJrD>6pw85U?ZnkH*m{Z0s0ULC*AVz&Hd>%=Spl$Q? zhTx?f8Fw8-mmZyNruWa&>s;5%k?4ipd0DL;i)`~Cwn##6kfHo2MU78{T0R6}u7#a# z2sp?Bp#;PT(L)v}X)K1oDTWTs5ITgNbG#Ysg*C`jxY#X7Z|Our>v9hv19NCt6F}{S zi}T<>$0XPYSwU+Xf=#scs9H-0EQn(R&ulBxZ;;vfvO~ zf59Ht90xJC|4uz1{K)7q)Q0O{VqSeU)wNLFwF(&=w%}yzt|kLV9D@t*UKbPeNGKlAoyv->w?VRRH(7n_54KV2TjbqzQVOT(dQ1?<0UuVakUad z?(||U`)J01V2+?(kaj<>MbrTm-1(-eM_|wY55H9v#4cr3neOwIfT>4!zl_ZyRtQt_ zww6!KRM$2&6Wu|jK%#w+mnTBllJ&)Iz95DJL51aDFpP&)ChuXGiq#M%jfHR~ZCn`~ zT@6u87M<^x!&;AE5DU+VSO<8qtObhN+gsa9JC+meAcl<~{{5&NVSEheG!}(LE{GA%_^Amd6TgisAkjF+3w5VBzUhqJLIaVRAYI z7BGB?F_MWy2jNQ=ZioBy5V~ZCUNDcPJrfTqguDclEYO)j;*P86jUS1z>Q~`21Ez{U z$+#?{eN7G?z78NB=?OUjU-6JiZU)i6iA`D81`iKFiGKkEhF!9W;jODqwiw>Ny4J&2 z*E-}K%#OWyN(Qn1-P`o`*4lblsM}hJ`8{5OJUEyc(EgLR5QIc%<+TRO3J78{QR>2ne>oVuz8;>Yy%#{|Iw zVNMn(^f66QpU~$Y6C&q*D(41G89uuzd&K9gW#y+A%2#(PWtzYEEyKujRB{UuVdnuQ zH(JC6=&CP2CRk&?_5!uvV9d3S1YgANit|e3cXSix{2off=Mva^2XkS2>*S3Rd&e zT@W&5{oK>qmJk~EM3~8@(*t|#vzf#iriP%l+}NH8Mi(xZpF=E0mZvb->LyEmSygr0Wtr zZ(Rsu<*y56Q&?SvCn+FSOWO|Sn_Uo{#Z3}znyk-p3Mb{ToNl~_mlndYcu2}<`wbC9 zXIWp%BO(N7J+E~5%=Mv}G90?&L~zR?1s0HQ3k(l6OqAoDlen@IC)4yNgalO%t$YHU z2Z+sbhVr>fJe_EsItqxwlCbGqEZp6$TS>Gtc-PU*l)Da`BbcRT2mcJSeJLRZtILyV zGKel;=A1{g5VLJ-u7T5(g&vr>SpJ&tZ9~i4QNr-zE!TJmmHq#AqMgUpth38~4?1TV zo!yvkHfqbcY}yKJk@dt{$>5FJDii_^AXKwagadkU9x=cxFWB!{Z~%e^L<`To;5fA` zg3o1e3=-GB7$`0&gwXCJ;8E(< z$YN#_-8xhiJgEb7*LtqC1e`ddZo+qHn7Q#4gB|JH$lE}>Nj~&&GjPK>7G}pTUO?1l z+WnLesotulduE1PqkA~#ls0(;Zu4kbxP`tAlBu`DLp0?D7DMQ*Ud=-sbBCIC2Zoyr zJ7sn6;_6Pijb=U#j^%F7SBYCeU)A2u)uiv0TRJfddhH%hdFH(ee|fy%n>%;Nu!X*3 z=+03qJxbMw9})gdtpxV=k(>X@wIuc+`?~L0$p0YwN@JfD z0#%3j_gM%(%)SS7tY1Z9A7bC*JJ+{4iS}VGT<{S!OjEe$sO{$v>rpj5wNP&keuSr| z4ydVZoK>XnJu67+L3qk&kzS;s&j|_YN7Zy!o)E2$d5l&*2fIOT+VUJk^pDX4&%u_( zJttJD{zzv&FW6wKebw_qf$AxG?0F%}VtU$ll3))VO?-y_?|C6x^(-Ct z0_-zAN2}q(^}KA>Eid?HkY|~T(X?n#+P9E%uf7Qzmj^26LVa}wv z>G9np@=rb#fWL(am(&;!XQ2KpGmd?YZhHZ?|6ZquUl3-;yuor5RaKQ&?PS!qBz0WR zWx4hQRlg`KQ4P`SUKA#&-lTWDD8vWo%2i^S=Y`6WBKJk)}5c#jG(At0V> z$$5lqCzE$g>SQ;V^k5jmY6-y_F%#BXF!TzpS+>+&Xe zZmb(4I^sq8%5g!M`>n!ZT>Msu>~w?I0t-}FK1Y71@JiL$=_{2^s_=V6SVaUBzRFNzpClY z*XqZriH3gs3K+(huGI&s;chD=V$^EQzE@$|(7;vKSA}tEKMkF*R6j=Tuc75j_0g^X z4fDTEff{))ly{0WC|axGoniuf50bwp!S`VKJIEcPlfS2fcp>ul>C+*hmlHEV){syI z1Bfu?J2ZJnxJw}ej6wO1J;Nv`W?;f3C$O7@nB~M+_->KEZ-nnw`TO;dK~QLbNG~cx zK&6X-gxo@rQ1t+KgDo;jL))&?i*us6F2oqr1;!$|F6!Bd&Uj)RBQt`Y(7d|d3EX16 z+$1@3oQ4ixr?s2}_dG&gKqC>c`5-daw(j z$#SBhwLP8~QsfjrQv+F3WdNS80RhvLtj-2k?ds-EC~TYzS_VCIWjryBS8}wrbT+lD zhFpep8Px9VT-^>P-C&ae9dN9v6(rV80038-n8B-)1yM#m1i93@ObgTRC8Y^no5jtqbk&rTHF zm@5NdMvihqvw`SS0VKEgG+t!vbh_^~VNB%=nRrcWGw{Qfh@OeUuox4IZKFl(=xkqI zhl-pfldo=RYK5}GX3J@3%j#9}BsNcTfa-660hRBe>u6fu;;fI~QU~Xy$HU#Q@y)F* z%Za%_Cg^BvZSRcV3J4+;dJ5jf`pKHsrg|u&NG3%N&>4#5BxC{P965ztLB6>>nHJyB z+*%8GT?qi>nqR|fUNnyt1MW&zamD=I^v&0WDM5CQi!P$yUx$i4`8{ zAPl>kW8tJ;W=0nLKN0?)MB*#GG;_)r4V(%rF3w>8j{8CEwu*DJI*_t7ucT}*oBmeS zHi0@TsyUP%dP68t&8HXM09SMY!fxQv;2U-`Y9%!d=FEkhVdNqtlYK^RQtKL_2S6uU z3@U)&9lUJ`Hz^yd*SC@IC9>A)8dtS~icQy!A}MXHhf`cKiK_v78qi$lLsJZktxghl zy-dR?j5qjDFoN(JnPAmQa6y4btq(=%yh3nG!CJ@1bdEYJhQJ zc8(${E-8jceS=KY*3^bpV7U)T1%QaLQ6_-ZSql@#(&R&f+s-JEafM6uJWN{o6JZU)*=&Pxgc1p4-pnh!rOc~ftGIcp(t>%-Po{p znFgf-CLKN`C=m(olnK_hH+4e&O{;w<79xy_XV`HC8*5M(0 zz=zBslZ78t$m(iapb*o8zC-|nIvtWp8aj{$#=rTn4^gp$Q$3_GVpKr%un&=g6NMeY zc?2iW#7P<8ob_W8yL$!+JL*Mc0qPMS)PNT?G2R7tvwU!mdU2B>+haZ`w-=QIsAE2; z$GxaLKt17u`lAP#k73}j~tn~%@>)!;6{zWgy@)C`E8;r@zUXbCq!lR?HsR0Xk#fuDoRYBIaFJA+= zKY4McKl|beSHo-=@|qWId0j!nP4eK=^f4`b)J{%EvPbLFH)ZA9v2{y#~4<9m1fD^+%WlGpIf_Dd? z)PMQV!GUG~62re`BB($!&T!`c_z;0;a2=HxPI`&z+SUWne@7D)w5=zG_q{}}I&?bB zA6!KRUWegBFI7D@srjSPM9|IZiAj{{&+Oe{5I&gr@chYYmw-ThbRNiM}z2V zf$9@472GQVs!u&sjHqB$8?l_Fr`{3F(Vty~f)xp(#m}Kr(Kx5x6dLk{w*!h_(#&_^ zro}lg$Z#INqsaiD$%*~>D<9+q1=-YE*9q+#^0gOf8c~qo`qno$Ed%s7UbN++f?nO? zCHNMBE^d-c-zgM`uW!e~zxOgQUQ*CaEgc;DKQA`?vaBWNI%ge7X8OTL6GZ@no_QMd$wwMV~ zA&l2gQ2Wux{tiJ`jTdJ1Q}Q5vhqJc54u(UBzYj%#LIFl%m2(yMbwdKZ6eg`g0V7~d zi*qe72=YM(`=Y^=!i*B4^TCJs;-L^g>%C}ms4u#q-3jw&NSGII2>0U~6yORuTR^L3 z0{|4C3VflWmNmp+l!>5tr;AaUe5f2wPGy#<&>S)%ix1HpPGqvmM5|kn8IoBAAF}xf z#xlc1nXt17=X_&?LR1QFN;SYBRwq+{-WoWSHAMYyzBSBf(~kask@Hmq!+>Cat@5bthcIT|7Rodq#Klgi4|nIC6|g zUpbH;qmIz9H|urUNDYfuS)(-jP73DuXblT`YGVM5ClAJGcuY2yXBQ<6-SgHgt3HmW zBjPo1Gl{A!qRAMRpy5#vyi!+5#6(_1ur`So5j~dPds2u>O_qyD;YEmP$O7-`F3!V? zR^{+R0{ANe5##B{C*hbpY*w%sE}S@kT?q{7BxLopwSwd1gm6;^g5aP4+#<`;V0dcV zxXf-CR^5%I&Q;xx&erzY<*-)|`w$pfj+mr@un^udh7}?RY0JxFm)D4HGL*u@FJcZa zMcx<)#*@hDnHchX>U|+uohAg}!RnIY+c5;qFBz6O*OHJxd@F(% z^mN^auy?GsCehjFVfG*Er4m!<1D^}l*+#hg<$2AafW^$m5f*8KhkZQfJ<|DU)~`K3 z8z7F6;wR?Lc;w%3`|qoL9}7kr_&28=b5=4 zxc472!;3e~;K1`p4k}d zzy`6*+}!S5-QnymDegwGiE;jK+6S(bVI)+y(Az$Xctv6t)7npjF%e5Rh4xyxo=Z78 z?K-;u6CqV~J(Kmi8@#fbYkxX7~E3KnlUkI_b^$H{xPPFmrz)-1nc62Urwzm@fCjQ1#LUKMd@y)!6UEVw~ z-E`Jj=w_RF6T^inWk6YaP(E|oHZMpIm#6sEXS|tyDK7&KqchTC1MN?GBK5q^^T!7*|vH-+|Qy0)9qwe;> z!@VSiPn=jl67MB+>WC0UANx!Q)Zgc&lKSX`FNIju15D}p2fY~cq2Foo!(P1U;opv( zBk=51w>#gQBs_vvsIdHMD>UHEANwe8?#Fm@yS*6e>u<=456vr$F+<8&@ zg!FgbHt%r_!Mzp*WBC{Ba7l1&MFHtgD%HUb_g_HB1L6Z#9TyzyQizE^+&&>@xNzc~ zBV^kMH%=6W{-=@X%+-y&nHWF)jlqE{B+TG^=53zO`8bEWBWXC{(Zq8?c)*u%Pj$fZas2-$8Le9Q-|ePVbmGjf7l+Z!Fj_l?46|z5~%^E?d+O z`_2oIW3R|{&deqHpA;oRp9uL`R$^8ziTf3`7(E%m1dg8JObTG5nxEM7nP)XJ7P$HQ z!E08cKO9->ZU$dBKt8(Z+d~5tYWCt8)|6e?S;SvF<5Qe2L}kn5$0|*saP%;D^z$xZ z@&UGR`FNUvA5V)kLN5OMLpZt3andX2h2RpCQeZ9-EWnIWz@P#D2A@$W%ZIp?w|;t2 zIcEv)afCzwf;l0ek0^Y5#zG(cq#!U8anasPTKVxaag2P@F4>;E6_50Gv)@`G)>wMu zh+wIerB6|Jdl&dAsTj&HX!i-aV2smw{7%GYRzjP>I6DN2E8z*FHY z`A34FPxBJQjHjxMeDip@J6O`?7D0!#x;!u6v3L>DPf(aRfJuhTB-6_zBAXt&Ac(@m z-^py54CgUF6ikV5AA*k~qR;hFp>8U``M8Xm?$sde`bzK{57!#xJj!JTah4)WLGo6- zn5JlOHq&4h+-^`tt60FrVV(>$CevR>HVJ_n3<`~ zu)~%xngs7SxQIE?OSihM9uD-!6Cr6d<$MMw*0Ekvcr&TC8J2tTBqDh!WpXWuWh)5*@?-_E6u@1<+jiE$}=6qn5gGuU3>h6Z*L(cOt! zgRK{MeP7PVLNd;r4|=l4z!C{p^K1tPe!o(F*Jv{Y`ysOS5cE{0;f$Wj)wRte=wW$@tc9f$ zt6e{@UD8ovo8;+pd`Uy^TQ3@;ACX0vOu`3!igFZ?s7Gn{)1uWMHiN{+_QAIl9j>al zzac)(70YfhgT<(>ENNMsmqjA~NZ@KfSF>QPeUdlNs3p`>o(c=k{2l{heC{`^0a$k7 zYC!vfTqXJ4gM^ppJsZUM*)QWG#&C=o)?e5_zDJMoa4)RH5H0@6+o?bz<(vf({!BA} zO0hHDv1zsuB6InP@)!~hF8 zZV}lvCOG;0Pq~ZYW*_{1DZ99Dfwu{8pRfvSX=gWZYk+;k@bdrg+9D-x=jmXzC--CH z`(6}oC_0Jm0}s*)D?KPv7O#;DLxfYbyDY>a;sXP$R-@#MI79E>D2mfQk&$rBT&Xe% zKI<8VpK%45V4(*`5n(r%)fI0?ieJ$CH;F|g_y|o1K;N>n@*ufPx5EyTljmzA^ zS;}j?S-xvLxWp8xtnongd>pdJJF6>6h(>XBvWeD@yMU?w)X*iS7-3<@#yK_^m{t;{ zrSrPPNL4Ux=n~`9Iu*U}zCJZMQm?XQ!(Fo9*bAZy1?D((Cz6zK`kyW_*<#@DIfoeG zGNpVSX1s|8b&F}~A!aYkVBvn8vY7I&=yJ1346NvA+=7A#bwmVh?H0wdP=$e1Krx><}F z3+ptt6__kPQVkDl!E%l5a(Zqq!4q-4n?-BVctx*pVZo;O&-hX#tj(BCCX<9rzI-~h zS=1Y{M^_8v7@?X>#Vz8P^c;N9)3X;B0_!nu65;u=X`?eHPgl{WKhh^f%=~>F zh_mPeTSP&XN1xpyCRgP1+$`RKw^B;r+?>ib<#(3UMLrdR#g|u@xn5!7uAv!QMacy# zFPtR#V1Na1DUh(6hwwUCIV?FwzwWB51W?vKw)^6Mui5+1z8R`fwP^mZ!=qJ&8lJS)QmIF@sNsp` z%0K6eYO#)1_J~3VT&CRl%AR$23QdmgUFl*?{H8{01#MF1CujP1&X2v=<;T#SLmnQTRc)$kSeoWSxPeHcKXaM;$(P~ z3o9q>{cYuRci@=7I*`aa>G7IvdxCNLE8_Pb~fPiH)y^L0!+5g0%ysc$$ zo81c6jbF*Lnxv3d&CO;DFN4BM>7*Cx$bE$P#dQx}|HAJY_ z?%OZE7a9@@K0rmD179h$hSA=8^DR%G+b;&G_T3HEG8ZC%pYQvFDB$~_U(i?9Sxrv| z-UHYmlMSE6fk)q-7Vj0;2F^Bs$B0_<+zo{%v)Gk4f7rGsT5ue(&-;Vp$lk_+&asWT zPAn`-#8>IxT=~`<9e9rNs5ZQYex5Hwa1wEd8o^H-H9&|sL^JLet=DS7HN;ZjIV@%kaF7#J- zw=+L+8TS))r?>(k-W+@y7d?27QarUDn85(UUP!TdWJf~|_t*9v^njTTi=*ibN&Vz$ifo{oh*CxQv8iHHOx zK)Z~A7jNZuF@m$_A?4bSVZ@X4uzBy*J~(|$`>93 zgCUyrNl=i|0Li=oQjoo1NR=C4oZJB8S*a6Dw$TM(1E9~x4lauLfDD_4K8@PN3k~Gl{(Kysij=0Wyo7F zl*>x3l$Bb=ikx5qXVACRP^q5Xcml8Vctr)JE@GL~mc?AD;07v6U5ZM@r;07GBmW!S zMc;sW6=wsw=6BG+%RH*BccywY`2f`;j1U7||HRL4w(i z0<)@(DNKULbl92%+(7oU0yqSn9=(fe;5noFMN5npcIfeUbhPWaUW38)0|UMdZu)Oj zNN$!AOf#j-6_dQ8+`--G1qw=IX2{<7M-mEDU;5d8iI zJ2Ro(&f|H(J5X^rVQ6ZLm$6JuR_lp4V= znt%_-dIG-B&tHNk-uG(&4<4P22TQ_!y@Y7NyF*)P-p_HeYV+6Q%Qy!V-tf%MALM?N zL89(O7YiqH_?;M<>K4O-?n7;?C+7QUo?A4z`dGb5$OGQ|@Nf;&kMmU|z4{?0LPiOE^kW0j8d3#1_pF=spPXJ$#^^O;K>xeIMf@I|fGqlI$ zhJ00N$S}q2!v>_%gO*5_@eL*l-z{dlA7Llp-7VN2$;IuF0-_z_G8^7xglwZ{C)Pde zFCZH%T=+xJME6%n;(`U;=hQLo@^BH62f9RpIKYWh0XH0;#Fas1vb-JMC4r}mmL zSC;t0M(H;KZuZlrg!{+Qsc0OXxepA?bly~%PB!rtZbX?>O%7#Yy$r-|Mc&4t=<6W) zu0A>Msnky759T0|<-G4*acWaF_PAB@C-q#FnmI0&Kg;RaWJNy0M!M);@o`}1{zy6^ znzJ8E|huXWFotpJRJ@%s1+$kjcKL zkSShM$maEUMG!YNTAMo1MYPeE<^=cQSJ2J)MBk#R12#j{&uli$muC8aG}!gZOQjho zIwPMZJ##>s4XZCccQtx}Y?Gv_RvjX`PGF>Nq;c|TlFja>YCL9I@{1C!?oHLW zYfs8Aip?@DP3IwEw^3f$neA&mLgpb&fn{?{BI(_(QYGj5Qc34_EVYtt=BR4%z0j?9 zlf2##otOWx_gY1_-Vk;MEp#`&$0M9t}n9d_=;0e%Nif<8}omU|5Z@``r#+X|7zXB@&^OX ztQKc3Ui>|2_F@bXU*FcU7~^+h0OG(lsRsJiVgTa6HbhbNvPJdnbFI>c%;sjR@xOF` zbnC=%r4J(^Ml4qwOTulFS53yx6#O*e=LGzmh@Yu_lUGf9a?l{OYFQOy+}P$+X4y~- zjpGY~>tHBC%BsSU!O%F<5xCH|y_yFY^lXd%~ouh{N7r7K3YO`b!U2TY-d;PoZ1U<`E{&Zk7wHqNzzt} zj!9P8O!<8g-n~Wh@p-H9f@~DCopi0PU0RFrp2D_1m?|eI`4$Q^s-H{KHea?J#rQdT zC^3{%Fo2HwGAHRTQ$Aad8WTsz$LMveT)iG4o77z7barwa#>!FUqZa>qD?abA@s-Nw zyA2no($Oe}($U4oMr+UdX`2TXTsxtpsPE$Qr`=l^h;18r$+W(amrTFxu@M$tAh-ax zVf`im;(yOhsGAbly*9XI!r0PH2j8|VwcX=WXOwI#_{N0elYKAWGo$QWT&817w|$tJ z+4o_pDREC>qc!%+fLn5}J-W)$qqV4^Ipp-qJVBU0NXC#0$(KolG6i}Vn2|E(m5l2X z9wg)bpm1>1q2K2;t32$kICom66N6u=+A5xE5!nh)YRnF#qFB;4;SKYnhP+a_vlSkq zN7M?J>VG3K>_R=#tTGI8g`OlTKGRa)-agAJO5y@jg{YK1C$$-wiU!aAE;W{to4U_V zEXH_NW}Er#b6Zfx!)R7&qbj{os#*rm(k0ogDAdkwu18Ebj1jA(^pdDCXR*nwL)@yo z)?L0gl$nn~W_$&krB-sJNxNj(R+UNOy0cQFyoDH<=D6b~9k&Imtn_Ga>9Vb@GQH-a z3;F_&H6c4|?s=lAx-amK{Jvq&drf0wrDFot^%EwR9>AHcxqd=*!ad<1O{+^YL2K58 zA%m?i)zUz$@4kOD9UB~4J{&b`dGb!)D%Uj{sBnQUp6ytPk=89epEV82XLLP5yCDD9 zoihSGMRp`Upaz7?IWtN}2quI_OA{;V-D9j<&;wqIiMeJnHG0=r?~|?ccz1ciPDLl= zYWeA--7YNYJjPpsc<@9EVRv1F)*)|9hlD@@Vt?vpo9F0Ce)zEl&o(?)_}QCWX&{ezAzVuM=?bW?>=3}g(5Ss*7Q@F zX(A+;{-kU626VJQGns1bz-tfq#w$0jNA981jBwmFNqcx_^T1El`5?mAkroM*ApVNd zS*v_?l->|ROwUpceCTGOM(g(im*unn)o$jP-Jtk3H@}<2Jhhvq`cB_OqeYn3MBNq9 zcBE9d70UVOo38Ex4DWZcj;^8AQ_o@=UZi7Z(j~pY9WYwmsS?P;z-deL*7>ms)2B)6 zoEZrcy%e|4kA}Y@{nO12A>(t$^fM(!gs9GP^Y04tZ-+kX z@m11^-<^Gata?^rH9qywc~#Nz!-T{l=<(s5!*%-nB+jvr(+oVC)Vc1$w4Gdgo*W6H z?K1je@kTH1R<`NT?;4R_9i~pRS%(GV7YNKH-TG?ik{9$c7kMum;J(o39}hvy1#+?b zOxn%{E_FXn+X=KoWH+U2)?99Oi7}k6U5%;82wv^xBZhY|ZtuVp!}36Eoeer876WZ)WUt z5xQnb_34 zYY3}jvPZqX=2rKja(ftt*^+2vS@?E+AmKZ3V2GNcr-m#KroN}5(B&mYX;wK)~gXBhxC~9sHz`U=KDIks!jaB%=PTAmh>7OM7!>>+@?k7 ztp7uu{^-b$a$hugpIi;v?$)`%W8C}NnKIs^sXbh zW$1z-`|_Q)2Xlu}_;X}U;a_NvG80M9ryPP%x|F+=1KO(}HVF|_&+W2PmB(U;ujf-D z->!Hxr>F;$ZJRxR?(F#|q2BdaZjJWc0^H2w0~b!(qMpxI3bA){GE^S8MfF1)_M};A zca3(hue1}v-&s9XcH+23jPr)7HBM^vjk$Wigi^2Gg#UDTvpQK3G*+1mY3R4yw!fvT z>UWqr9`Z*oq@j9mqG*QAtSCYnUg;=#OTc~e({%h;X|YmaM)YmH%`}in*PiI@SRr>t zBRX?_*L>8dIp~SC%k&NQ1u=%*B^`{bgLk8YD_*WDkr;;AY3BRV!8IN1#c0+uQmF-K z+)~&7{~WqFN`)>kMT&HGH4{Og1KTzg?73fu1;*`m`;W25Vk|avtxg0}KXn5Qb~3Q1 zXQEwvoIYsZ7>o#K$m6gKOZ)WM9@FYpD{+VNoeA-AIe-Ez^&<(16kHnPfo zb=Xc3=8&Dn9`Wr|3h&`Z%|3sv_t_bHOq!IE}!ikI_3)r+%j7-&M?9x z@^Ac&0mr5eZJ#-`{U(Wum8e3J(5obGs#QCu+u7l@Uq=oA3w41nXkSo2Wy?wHH?G9k zVcu(4sVm*48Fpep9ddsu;rLRb`i+FGxug|WA@@aRo{kM&h+xP-Lx^&8)z{ZsF+3n} zz}<4G$W&-n>T0#u`Vu@YR5^Uq6{D`YG4RRTsZ8zofBI4=#U`eqv;A)~j&j zm0Z%fR|S)Z z{9!cWwm1em7C&{Obuar!p{j?ed~A@eLzf>FL?$Qm_8c-jc{Ggbo80oqhEqMZ9Yjwm z88tRk6A8MF{7(<&rv}Iy%$=56S3I80*0RINCMJ@%(vCdEX=c~s^!eqdtRHKIKJ#3v{vyYj@ZqiEe;s=Sdv8M=CAHH z6Cs-Cmanxdqw_r}E44tbzhvD)b8z`5JPJ|~41BDQ(n>By%eA~%bc*+ltdb?ZFBHL` z$0liZnfgA3_&&9#yU(q)v!l*1x1K*bWtA<*@eO#>rso{Hpf9jNPEuFTCObGWyuy5y zj{H|yslQ5cmE5Rm_N;Hn8P+e&u6EyCXQzim*LX+SXjQEZx-;KPXF^tTom+FhooGGx z(9aF8Hy?9e{$n=ikI8IQ`2jjQ$edi;^(V>uu3uH3G$x9%ki9#x@&bLa)!~KLLtn1E zP(cy3U#360`f_*q`S#G6Uz1B2-IcG9y&vAA7y~1#?#fpp8!$OH z>WTU8%3W$`=oy7q1<`3`i_TA^DxfQN=s=IMi=)%-tghLgO}mvdi+|RzuV(k&_wfHID^~PY_UwB-vwH8=eRqzm zu^xJ2@6CIAKh3P(xAnlk@89~SB)j=Q@276fHoL0e)@-lkX8+Vw7ixPY>&E`eNx4^s zoFRqyt2e7w%#vSA?zCRm`&{vLy8_SeeJ<2io9%T5E}4_w--FrXl-nRmw zT(|UNC);z4J!t58o2?8wUk|7VZ!s0&KdXvxxlG2U*AIv-{>9pPQE@W#F<$EqTzqsA zEhm3PsmMI}WVW^3HKsd{{9V_8>%-{PBhLi%3e zxLq~kuV!)M)(sn_#2m+Xz4}p$2R5oXTNYaBzpM0FOUC6j*>&+hax*S`qOZyHXF>Pl zJ2Oe=pZZ#jeC}Q3R`?5(H+_lb2b9cDUMw#jq2s^_U2+1(<95Xz7e1&{jluZ4*MHj{ zH8dbYnjkP14C$uzkQq$`ReKD(`|P*TO?Obpeg3H#@rtmFK%)A2qMB8d8+D{2qS7TB zQRk0_4)6Vu7#u=KBX|F|HA^RxA=q49D^^8JZ(fAZcA46|=TZyHJEp|Vww2M;D`z|)GlUn5qlAt`3deOI1+H>01;F_s?Nv$oG4tEl5 zu6#xPol3msOD$}Y_I0&xXHTYJkV?B({;nw9=LIJ)M*t>I-|u#P5Q&dMFFRFeeN)#k zl5gcggX-0Qc^E;|O1*8lvE7+?I{A)wYv~ZJj5KPc-gTe7Yj867p5=C&J1u=Iy5Jcq znQYNlo@_8TYU)qkk?1BOyvosYhh5sPA0xWK$r^GYE-PPOfQ#^_gtT!g@tjl9V{{)@ zQvl%6fR?DsOnK*WedSHM!hP+H(5R>$iB~S-v7ORr6L*K*Yp1HZWFMF2XIv=WBJ&4G zIS-XVX{eft=a|Yx1~ycM@mNz0J=^5bgN7bF!sJrXZ_P_c2Ms;fs)n9+^&mrN8x1{p zgvnOP8*q^Ff&}t~d_xbOVkQHT2bi3)Fdks?Zv>^o9*j7P7^Rgy>C z?k<^O4_|}mq}=ZLO0JdIr6b(_k!h0O(^o-0S!0(KzSC4=@6y#+)R$4<@{Ja=MLrFa z<)-dd71tHvf0r07UZ6rWQz~4e=g3U9cqF3cD^p5`@yeO%w)q27FP8To}3 z=-_|kYyG+>XX+mHDV?z&>ioA&A_!8KDZ5whssYuW`*c*e!}tW45Uh;4#)orG2>;0=a;!3__!F2Pr$9T21m+ zim0f{mM~7cN{0ZcFI~@WyL1dXh)8@GPegRymG6@Jo;lM?20RV#bPryLzL$5Y*6?f; zWe|POVjPGbeQT)RC;CzNeYA4+W|DEtx}Y;(lsMEQ5wk7Gijm3Zn6RB@_X^VJF8{@!(qFvtX*}R`)fYufjCr_v)diStP~L-2U=jnYQlBOCmpfosCtAba zQYG&-iMNfvKiNCEWU=@3YOR2Si~PYHKL($9Uvl0^k9_sZqz%u@7o)uqg3GCQWasJuvo6!K>2 z(w7WMp=R(=^SaE*9Z_uVz)h@3n_1@$dDhOHGT(o|GT5$FyddCpRyWgGCQlBi-rdcM z7Oh^7i)x#M8HOwxc;Jik2Y#wL@KH=UL= ztSz)YIV!*A+6lE~k(!ZVo9{0;S|&wIAmfu4_Tw6#1FI@ovc^QJ&R_Ym0g1%7=X z;2dnPYRrv}7d|nhBQI0+NO%>~-8+7tE|1TY6s8(0uTf^2^r(HQh#k_G61w&|A)T`} z>vf%xmfX6?NoEJ=1~5B>(5C8IItK+)DZw9yzGorKf~PaLMN*Ph;q`g?VB+&b>cKTy z&!;7;;_Vp4Pr`8dMX`l?Kt6Ag)fqc^;C5DQ(ZH>&@M2REKgE==k3~2qgcrVXcgWjp z;ibC79yv{Y6SW8f!^^cAKHUs?c!nP0u<}d{r?_sz(RxJ1rtwS1#~o8EM@zU)lU?Cf zE_7;w9U;}DxiY*8V;f2f$!aYZ#(?dr_4MqJ+jUna)fYI~IT%WZ@70;===-Eb?TwSR zU0xrp81`fRSi?h0zZQlv=I&hnTJcl2{c<}cuL5A;uCh$LqNGpdit+*(dRgN!rE+4t zEAewlSswU>N|sIhQZlwf>JjopGWCdlyBWC~; zYxD7r0$&DzSjKR->H%k2@cFFU`G9kx`^W>%+;H1Jvnmwf`#|5XJwJEK^4*03dv~t4 z6Yln(IcFE1HKOJ}hr6Hs%xNxY9Nv4K&R@=a(AgDg>l)z>+U;~!z52D%nvQ^Fy*(&3 zAT;y#$eK}d?0uaV=8m=Zpu}bNdjEW>|N8&^^ZEar!^QQ-$4AeVMa zADU5t=_(|7V7X5DMV~V~aFJegr7RlW^P&@UXT0o85B{Ro?R?oezVPzew$HNeBUn`M zS+;kg-f-9}&N@kP-7C&T$lvFwOQt0>@RDh%+ft|4(?<-6nQ&LdzYdML3tw~6fluA~ zhn!0Mv-LG+T)~gCy(#^z+Fr``R;gomlP^1CdtP@2;Th%}3=Fa|i_weMjjh9+p3zhC z9ePuzdOd!nPjIfw?~;6q$Wqfw7&YM23RGn%v#dbEPWrV^J!i)z8HPIc6_XUJ>K81* zRMV%M4#$|G39HXgt2?{uWPMxvN!H*q&HBw>mCcv?Hea+5o3AjdQKZG{4&Q1tGFgLH zn$@c|VxolDD&K0SeUUZzEVFvEOi>bB?XB*_hc7}@VelHW{(=r!f3~+Cs~0!Tw}z}W zt5G+=`m%Mt^-Yo>c8*D~-VFSYuAX`TWBGauXU|6=biG*uyYsYN^@c!!d+*QE=`%O2 z!t-gPUK-gX2~U|f?=-9UtN6A3WW1siJ-?tCg9$HgI(e}*baTN1jBJi7*Uk0zqAr;? zdS27g1x<@*p>R}sVZqAg)hjPNTRLp0id@|<-Ba$jYdg_j#b2L`qO*!u3rnG&imD%C zB-yKTb?{QW4mw~9^jXPm@dTnOXztfB73aLO)R-Qn=%^w!XIv4g&Un<5QZKdAzeQct z9sZ6JFZ!LXosN0Ro%xP4y5VVWk5&m@4png$8O*i>F)Q8VbUDT@z(66U_K|&24JO!$ zrBRFt;vG!cEBZivg9*NxUn}D~>OG^Ky?C#536e)b*&DLhUw4loW`&BTucdy!u5zR4 z(AP#*{AROP?+(9Zn$_QtURg@ei-*38O{FbMhW#*o8qK&Lro`E|b+>bQ0J+1~Q^V^} zs*v}TFl~!^BA=^EABpuLsp0s?O~M=eDA=gx60iJkB{%q!oz}EXg^D)0_b)`(s;}yj zOFX>Udm+B9VUl!VN$V)FHFLy`%(HXJyYoQ&w5~9z#4ur?A z214N`}7Bz!)piX*Ne^#49BMN~dTk?h5Tdf4od$a^$Mq`oUYwujpNd zsU)w)UtaWC&4IB74L_kBLN#)m`xhKq=QU=70WZ%CzBa#`iB{seBkbmSvzr?f;dZ&7 za1UKnp!>F(zsoKuXj`|^k%=L`p;M$UEx=^JE9Y-kDcWY&*o)*Iw9=QU^%Jqb-bum=*_*avE8>=ALN<_EB#GbD!GGBuM*?aZ&HaDcbu({3=QNrt0k%~alQT) zWjpkuOV9HXC3dR4>0ayR5U0BCvbUWH`TMEoBPZC`@Uio(++7Vl|Ltrl%H4u}Hw_-Q zQI=m5`s1<3x!K{>`|(G0AnOK(G#?E1j#Urvz!&aK4>}q5qi3A4k|Duy3fAg z{5f#Y?P@AcyDy?u48Nm1P>*kL#zW3H{MGu5b85lqBYVG)PpB@yjJ}I<2sd<&>%wJ= zkzf&v+-#+95nUA$SC_=3t;?65j>pxl`oWa=j(0k6iI{7l>^5~mq;II&1j88Io?9Yk z{TexyDC*xKOZ6yE>g*z8v%=rStOF8Jt=Q!b{=g}(_?{&4N5jl1PTlD?ec&V!KwR>H zQ`2|n-NmJW%97>2U`MpW#2KV-*-H13pO+@8&pJG+u_hPQIJ>W*e%wz2?y~otnmOB# zn0tJ4K<;tl5ps{4bna1fGqTK96eGgldf<`R47t3PSVRPn`yqVmR~>S^C#jepwx@o!tl zb)u`D>hFQBdJ-zmJrrW-r>7%yj-$rni@t!3Ro{2Kl87F9@`{F+8s&z_%lNQk)X*ql z^v#pJHTfDAHF%vkyw3XQm!}?VzgNMm!RmRnS4XpI-*DIdt~Hxf{qQceMjQy3v5_!D z&2?_a4m(qZ{&%YWjNV=n)2)0PZ-8U4n`rnQ=}w5Yh<7o{(*8FRSr~5GnJ5hRg`a92 z8mKO;kk8zLAK5?QHomO>qu2eN?-EA3fd-z_TH8TrP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt z00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun z0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP z3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbF zC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epy zpa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+ zfC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O z0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC z1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo z6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)U zP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZ zKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt z00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun z0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP z3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbF zC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epy zpa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+ zfC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O z0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC z1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo z6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)U zP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZ zKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt z00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun z0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP z3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbF zC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epy zpa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+ zfC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O z0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC z1t>rP3Q&Lo6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo z6rcbFC_n)UP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t>rP3Q&Lo6rcbFC_n)U rP=Epypa2CZKmiI+fC3bt00k&O0SZun0u-PC1t{>pRG_9f&=L55bauSl delta 415713 zcmc$Hd3;p07Jp`@%d|7o&VFzA+l_8?Xv@~JPd8dRU8k&~wzN}PDQ#`bDmoomML|F% z;93!oVyja(Tu{O7QJza(o<4B_K|zIw1yK=Ee<#VkGgI{U`}e0Gn46PtPLh+8}X+Go!B<;dX6qP-_2Al`3vdb07Eih%P$SjWpp z$(#qQ8zvXZ4peNIJpTXN-xzW~^2}dpiz^N^kF?H_J@e+RlVsaRStmU+$@!iP2WMKR zK2z+K%&nWL(p^ycwzJ15~+jq#|kNm*xI~3|H z?WNJ^-jSp9=)@@`5J}*Nk1B5VTbUlJKVbN`;^z?OQz3Ef6~9wF zVMgVQni&twaL))TNh}#tl2=k%vZ7>N$4`s&9}AMZnf>Vy=0S>29}1D zjxNnDy{Yt$(!#W7)8ale<>|`bDub(xRk2kgs}|){O{*%enqSpW)lqd@)tyziYHw9v z)xoN_tKO;lyy`;LuT@HWtbHo|zt}$0?yxVhueU#7f69K?K4||8{yz!-pA`{P?f(x9 zzWH-SwKFZw-77pb!u_~VpWxmlY#rx*NGMGjuniUnbH)!|65_FyH zxg{G7;-_SVHN9I`YxP&NnaI?TL0Y91DDnj9&ck0;+WnTx+(zO%D-1ybG!zsqZp zz6R>lS?fw}tFP^>O&PfYaSL$&8@Xm#9o~G?WZvnJr|zh0hDtZEN-G!2QxA;9fuq@qLYw3}7c_Oc7F5)BbYvmbO%Pn%Le;wkoOt7STo1hB<5Pv)A#{x@{t;!l@;{^i%pzg_wL>K~8o z-m}Gh`1Lp5JcnfuPCc{zxWW*iqW@u8<)|}B(B30hdxr@Z65U49=s)(36Z*yryHW*o zum@$=6baAbQ705}u~$ll{EuoccNLxR+oC&tde7-yHvz<*BfKP*nKc znII_f+b0wWSpBu)oa`k2?Q6w@vM=%WlZp)IUkUD@-V;LK*MjZ7#2Voz5O zgI&pMTd|`ns;2k9Lf>b?nu}|H zdRlvN|Iuz)|3wn#cjV~c&-m9f}$Gf$HGs&&$w)P*>ek_cL?|Q#R zXissE8_*184#W>CY@^Z!M#0a8q=8!pgN0Qo!nvt#zU%XWi7fQ#RCjRKyTZ#!ZbjGI zd`)kW&{r%7!O3pr#_rm!$5yQrddq~qa!OLHdRXYK5c(=v$hOOwYD=Bkb11t;IF~|m z`722bx%~$;gTL}Mr0PO_N>@_v6rpb_l|=VnNBW1dhcv?YRJU?q^5BIdJGzt%I4fn| zF7))pq148r4=)Zz?CZa{;e(+;!;ZmU8UY?8&P@siyDyg<&>*}A;lf>^yRLgJ>Qd0?Vj&;U)nGfu;$X%^L$Ou z8`(9xFAatEpD#Kxr0hR`WX+|Od`)A|>rk1gDW@-yNWXppd>v<=QJ9?LQ@8xGqox}{ z;fMT%0vZx7rnp0eS5meFg84;uFqfED)YZao3TuUAHT^yAC?Rr@3zXniFfk7D`e`-1Kfd^LvF=%`~tF zZ967Bfp>kc$R2erWk~hb=^@qDV@D268yq4W=YeQf_MolDHuN7GXdDbkIHQGH?LM~W zbKCv+>i3ESC)8xzK=R;NQlxaRPy>Z|>F%hm=-nsxoFX;SuQ|E)6sdO5KniQjCAO^e z-f2RgRS-reultt7T}O&-5mqK|*(b#3yAwb=ucXMK`~6{YPZjbCx+YO}4P)GylpRo< zsX}e4Teth%UznQ+dI)WqG-ww7 zmEtz zaPP@iww6xV*Z+lZ7|%PWh;jlu1DPCjgk=!0B%KCy@Njm`o*(v}6~0bzPwKrQ^!+Xf z_a(YBh0YP~k)#X>ZAusfqX*)K0)_VyVa)phblB|&;jeoTp#<1+M-VRce+n6++-ZBi z6wzYao>wuOJ{5e>Wz-=iy<;2-$HC(Ecxz@i|vai zAGALIz{UADHVLtPi4vO;v3*IDO>%5sio}NGen-aO+>}ED(+11?uM}5oy+VpMz1JMu z$I+Th5?;)3j~Cv^8jub8oj^ls;l%`Z(k=uI=@xbckRRIjH3QD;pJ7ILL6pl@C+n`$x4F>EvIUpPI7fy|K zPbA%F^gzncXyMV(?sPh2{gLZVAmdOZ5jzZQqunMF)Bs8Yvj?5#KA;oMZ@Dlz0)vY% z?5l-0^4#YH_0;;G`5Krrz8Z-RX@B3OJ(O@Y!x{AU)gEe2CGGwWCh$px1RT-x7qLnN zei}^YKa&;;>it6K`;v7fD3U^q-hFivE`XZlfC#eljb7N-oxcK=mF245AC?SOJn zXA7S09o7}L4+}}@_6{A}d*N8`pwRaLK7K(FAo~NKzM#kmfY~iUcne2fRK)9hzY_Y6 z)1fAimiz@CPr0ZFcY?O>NFdp@3pW?I+v-pBsJkDBqV|m)DnInsprQxuOBo_+I#YND zrrW-;KvZtz9VgbF=nCq7y#E;ABnLQ1EfXXb3^D@D;9FhsFtfxEE_z5{-g5$mLIP3) zSqa$*0b{#}0f9fbs4zR#!qa1gUByR1;@OE^3Lzy?s2}SNOZYm&Oo#hj#T$o$Pbjto z2)T(z2e0roM4tu%-BRocgk({Sxb-Kn%pO0m{wKv=87u@WKPys3xGJ*07dG8ovFE!@Z55lk zDtN~an{Kbz^S$HjrqvaD&TVS1*z>157Z;MPai}qsq1(%rme@RJ}8Vz7k?nYzcxC zwR!H87ixOukfZ`j8pg=_9>3jS9SYWIPIutQec9B|A)EjVsbnwIkRFlKlSe{XB)e27 z(g;$dSW=`IQlwnM*TndS5Dc*mM5Cz}^x<==JUlP~oQ~mwAr-JxjO24@b%UKO4^oqw zUYpQYN-ZMM!b%<-O|rC*EJq5llNhTw#wvobGLoD_MI~`7UVyP1>OqK;pvc)wri6*N z7X-kADJw?R#6*G{&aUP z8C_`+_VwfGzbT?-`R!{21%>)(1}4yn85(vKs{^p&C@UR_S3^7*AbRp`wba&UP3GTY zvvnoXDpLhyVLW&NmN@bGSJqv)vTleNW8#!Rja=b}g8jmNGSw4JGuTwWs-} zqJ90xc9C`v?6~ZAg;myuxBRXcqX_Q5j6eEak&cHz&tR@U^6fzeysQ-LnH``%A%n^ zH*8q*%jsVs`bhsVGWNmJg#3^$3zO=uzqY76%L=!3-5+E!Bh@F9nVEEtbg|8fU`4UA zG28Z4Dk0n67JMSuDEwLkB<)D*Dx5?`}FL$PX`U@IHL`fV)fypb@) z!;guPLV6~WP+)g$|1p~(rG{7$;kzb+-9XkDu)IBnUo8sEFojLs^#d6NZ1cMBg%w^S zOscRo@NP+90@0pu=a$SG;ah8WBa`o*3q;C$hRELnB>j#g{mM6~P*_MrV}Majx#t@Y z_f24|Bo7AKzRV&u23vM62;*|f`&%z}2luGqZwT>C+O3yKv2q5k9}K1OhlbJxuzvWo zE`#uXIt)r+G{q3k96$`hD*T_~z!<-;3xrI3xi~P#$$|dxj-S{5?ACM#09U{M%V1%! z?q0NG+vUb?+1AS&k8HiXC8P(z4=m$WRphl^M;Nec^MBZaTY-a_^$ zW-GV&3tfd^IrS70eM?(s3w++Dw6#%(&w+}A%B#%xv%cb0CHx73Kf&-v1%K4=M+1LC z4ph7v>aKNGSW9GzjujoU0QhTE+hTbD5;W`+LY9_}x~?ACXBTBcH|mQWlnbwYD^qS- zdoFm!hC@GIyyO?q^Tw&4_?lh)AFV$A@F%8Y#dmJJd3ndQ;`hg(8{RmZkkdVJ$SvDm z_W2{)1q+6fJKhb7%3O2HuA$StE@7=Q;JubuZ;{(=JYqG=4L=t@1zLzTtoMh0JM(0v{Q#eC$Bt zzRCN!$J0{pzU{qN2V_6)o*r_~s}DYX^vZ(oAMTn{_qplp{`W&(N&Rl9YmBV-iBBBg z7SDcZZ$)b1lN&n+xHp^$H>yKR?m75j<_YDLgpFUH8L{x)+upQ&`b^8aPmN3X?4CO( zzP2lP=`!QXKcD;t7aqLc^4x@1?l}I%)90_ttavwKiKO$<clVqbL4C1 zr}HB>e)rqEQ%dz`2A)q?F=@o=+5XXQZu<535^Hx==vj04QYhF*PhFi`-KtOAwDj}E z&j)<+;%-Z$sbTBEuIJuOT+#mNn1}wjsqFQWcXhrsG>z{j4XE=r;L?uz_NJwdu48`x zKI$(F3h}6?d(&$*hqSSA*^XE0{f6?pHas7vmHiob8McZ7x`Ve1+DQK%*sgjJ#|qj6 zSp+T=w29)TXX5yI-Fw+s*A1BPt;hCl+{$LKHCNXDPV_tg_OTI6hFi8C^9+5z6ycWy zZA8S%c|rsmG`EB{4lEk-n=qm4{nKCcv}V`fyJEGI$CG?}hT_SrNURoj1j%K>Rzg%0 zA~jhcBiIMIwPw%B!H}n~tUcK++b-xbQ^0mO3T8n-Y+oQ53aAaBjO`1e3sLF>2#)Pj zK?u(ibP0i5OLsvrUtJfcTNkHa7iU-(XIvL&S{G-2K8|z9ox!L?M;(cW&YpU1!MgM$ zeT`oV@dsJ*%Bu(gVjHGWBy zTghw*d1XjtKp(|3nDXqY^osTAVQPFXftxZub|fWtojrAY`nvRFC`&R;onKrfCcmOn z`=JZ|5-hZZlm*u&at`g~FvjzTQ_6Yk)6+u_e3HnGPQ~(fLARa~&L zvvxTO`v&dAviEXLHz~hG)G4JpjYQ8GGMs@=`&@gT)n;=wv@PibPt^Aad}!dp`hol| zM}~6wZY7&SyU(baHDP^=G z@j>{Z8@M=UFeIw$a9MJ4kiSZ{6Y114aPqBmS=$#Dx70h9BYzE04Iv~y;^FkrjiIug zQ}RkH!2M=xT9DVeqy>tg1#XU;i7fqfkW8tUQKy~OAlq46U+0Q%1ZY_YQW|B{v#2-4 z%BbHoxh-FGDJsoS!^T?V&q4YyUdEc+sSG0(2OnfOlw}rDM*xNRRp(lfA<`$7 zXg~*2NBLAR8hEN=WYl{KWmF43aZnPZi(_*0R8kcWi1u2S2HMg;0T2<1GE4UM_i{Ps z2v&09rA!h_00l_CrT}%wKZRtPDgy~`C!Szs8fyU4NEy|nJiFb|?5OKxnv@Rt`Dl^_ zf<%+DQCNnUNiOquV$FNGK~8n1NS!?o8M0W3B+Z64SB{3p1TVB^4E!zt`6pySamRX! zs~a~w2~v&s#F?_C42xa%f~}>n*Lj&(hey~?x9sSU7C#{9Mi}RH2X4LGxB)D#z9fM_ z`bG%&gOWf)5?GQ?(?rCsA~8Jy91~ZwY zX@fM8kY1eF3ypuZ2iNC!P@s&7pA8+=vRLOSU z_XD3gLThKrFQSpLn)LuNY^kcYqsUn#kpWvi=K`JD*{lOd36yh~_D0T?a?G#xx~9V?Kk7Wk0SB?sy2$SAeK zm1pm)ty@&l*^bN(8P06XH8_~hF3KPDVMzyxhwcbU<>dj8o;wg){ja4O?bUeG06o{OSP~OZ2GGzxD zQ&u2#r<5WCnV0$|@uXPJkopzZR!(^f8U29gtzzA7V|7z?LESR8lYxDRL%&RdnBJ7nzGM(~}41+D*Gtp5Ba!U2+PzNYq@rMe*V zmVd0uHrdV)dR*fkR#N^xd^nrq!nQM+D={N0cd(4&cH(=NaIvZPv!M0?k}o~nau+#y zqJ2;bTkj%VO%KViEI7z;)5AnkMEOW($X(JvG(q(U6t1q044T}dtg^oGprFBz!642m zx|?Na-Xm7Dyu#*ap|yp9J@|1p%dS9S`|$jw9Pj86>z$2^Pk5BPxTCxxudx<#?iF)} zES@A;kfS}yr^Kqd#jN_ptcvq}vwFsp)w47!^>d!AA`aj`mU7`EUhr~%$;16+k^4c$ zJ?0fWc^MZidlk=L#zpXlJcZ0_bkr>(r7X)uk%#f!5F7M5OEcmP{LC^gQT8TH<9z!c z)A0Wyxp%M;2sENh=!qK|x zmvP~;LA-f6XCC*#KV}MPLq39@u(-_?pJ&OdLduU>zli(~;9DHHb{S_f>OW=d3yaGw zg^&S^Z2u)S3>TE2u|k=Sc?u;~2RQSe%do34*XTs5FIes{-h_NLEW6_@JJkuAor?@e zC$G&c>=a2|=Mk8v+QHv?y4h(~4&e+@3ED#Tw%R(!deUF<;0jKi_MKFO45a(PQwDp5 zHLn1v&q~>6ApU=>)RU3=Jj<6@bcP|wDr38NGA++Ow+tySupX$o2vMR%@)IjY%q2W$ zB^N#IXJEjF+0b7-Syo$fk?OK1MtOyaAozDrWv((V)BeEEujHo6{=~nmdj~RNza_Agv59j0(kd>-Jc6Z! zp-q_#rvqU{5ta&axzNCwjcE`^Y6{8HN!)T|8iiw@2{kCfGUa&GtsEyCjbD473zLtL z<4Sdqae{IjIbjH^lkwzt1u|p<3u0x^F`pJJcmg?QMqK!;@Uz6vDIG10@pNIHF0gV>;Oi8Jxa+qeYTZ2ZV=oY^_Y%VVCX3fBQiT&clfN6PjF zk!_8qL2E^>3m8{(9Z}`t4x6L1)6rhup5L^vsS}y%J%=)jJ18kL1Hn?AjR6FI9ZNt= zEI1%Z3&=0@Wwn`!A-wAd*)cT`Ls6G-QVaVcMQ)|XC?)%)aS5j~V#rQt5m@6Zfr2w@ zxTuKVa;eqY&`*yA1rfi^+b3byx2C%R%YIgb;k!o5RsK`v6~S46Z8hA20@w_s_V`I+ zkHhYrl334?z12eBOlm#rPRO@tPAJ_$$!^7}L&B=Jg=CTgL4|ry_@xhJX;#>TG!CQ= z8o|>4f^VAU4g^yi4t1TQc-WleduIuKvnf{%!2~HNzNF@nclwQB2ye0!RJx#7gs>&NAruuB+Y0QKQtO;MNz@pm*CJbSE~VVXC`KK! z=ap9!ctDxskV%hh<>e(aD+qBTWeTH;fpHBrB4Tq_-%aQl$T-!+!j@U(#rc$&iA-jZ zHZ!ltT1AOj$i#_6yN5U$8F}owlQTHOq`2ZT#(69?;6DV4Q1oRNcBU7YE z1asHQl4m1*l!TnQl@v7xnW9BXLD|ewR?-X<9z%%Ou$eP%zl($InD5d)*}e%r3}17f z6o(2lNu^k?$XFJ%RFp$G3#zCL6EiXo1!SPeI4Mqyq#{quJQSFLqT_MfW-dyWfH!aE zB4mkpKm1G_Atta|tyNXlxgxWS%*;c6z%EIQ%_}dnvpOXLJu@Q%*d=ej8@Q$5=({<7 zZmI|@wA!o5ju6WivV{do8jZ8(Z6*@PLfl9(4C@1Hb#WfeG6R{?C9-v9b-CDFVHSKh zu;)ifaRqar#$`0gIK*e**Y1YlFjI=nFDNW7E1wEbQ9CMLQ=#RLCR}wVjg3Amd~TEcA4Oabu8j3e$J(RAirNDYR5m z))`1UjfE>&R!D1Q;c`*NhFlgVr51agA`HWUpyVyZt(8CH?j?slE zuflF=EG;Odl^naaV_8#YUE`>_+71WOO{cN20HBo`14r^Hf$8YjwGAkA1|=}18G{Tq z8nl&za*&+ngZvGplt#3mfaacs^kpnnK^4mtw5Oa>N@j~S_pboKX(|bk3JywK#Y(KK zX1_@@@y(B>jBw6kLDg)u(~>{4v~(^CnFF8YRj`1`EiOaqxoDo9=wMYfETYl83aCxy zW=pOebX0pC3uj5;`7AtI4C}8)(yrBABn6c72E-26Q$!}xJ8K{b+x4W7EM%w^DX>L& zC(B2_fRe~|oCK<)6uQzDL1qV#yq3xamekZhsPvFO6kIHqVIh*1n$C8b97@uNY;Z}T z8Bk(b^-V~+-XKy;H=zX-MCoD`OwEu3ObTqJC+5H}_GAlDz;hidj-eGTC~j%$B)hyc zp7pe!HsCLbx6Gi8WefiqKr zB9d3Kp!OCJ7gGUP>DHsrRcPl;U=Al+GDj{?L2>gxa>5Ww0@rz}Q7CLgiQ%f5Wyo-+CzxMeFsq;pv=#QJL`3CGNTJ-scqMMe zhqdMe+1>c&GeHsZ*ey6|J15At;v(|14L5D);$-*WyS8&9WcT7ft(pj<{yxI0+$B!l zlQU7$c6^EeFb>A>vjbwTtx0OtkIz~ zpeK2@nfV1s|Ev$K5N1DQc+QtnMo7x%86Vi#nEU`2?tFnE3g%Q;@*VAt2Bdw_OR+BK zK!%sR;TFe2a=l6SvX|7{(%Ol12fc&^gkX5ZOK59%fMW+4UL8iNCl|C{LxI#GA{@eB zKETB|5Boq`J30wQ`?{Cb1Q)PE-|&X*Gm-X9Z?Fx*%C}hcOmCwZr1ixHDw$2%K=&^O zhS2OHFhfz~5d_}_HhY1kIDexB-|`?AVj1v&NCXNw>Ip+jh5p+UDlD%;(eK~`4{}k? zcRf`5?BeRYBBXuKL&>uO7sLCWFtmbormY(320f(Qssd{XGJfD8fQ40ETs9Nh^g|D= zs-SviRT=gxI(}7y&s|xaL7Gky`^OsomFlTap#aQH% z2xjIX<8dDvv*VEIgb%HHZbbo6SmA4y0|{5owA$z>K?2}zEwfi6^GTM7l4h0Lz=(vF zjXmW}WykkHA?m<=Ns32tGs}w0L6qRf^pJ=Jj*Q^Z^ib@@)5~DCFoSE;Lz`J9QVrkv zQ0+zKU^Ya4k2Q~Q5yjx|^x(<50_o2BP{2w@`g1-6vT8sE@Pv92(KQ2#K96sH1au1= zqn?(XgM{Kt)DuGHzl` zHy0$2`vvpeT(qAH#r%qM;7fKH&w-!d-&iW{3c_98oN3bUkQxjfOMXG_%;{7gJRuZy zRgART3JNMbku-#&{y_MHZZ1OpC&K5+?_UV39_3TqtHK|DLVg19@8l;C3%g*(Q{w65 zCkVHYpJ03+`BCA6WE6n|_P|dhPA5N6xSIS#<6HJ{ z;gK<7&5B#~<{3YHuRY!5Lu z5hw2Dgl6!(N_phM>}gq$Upxz$!3jH@T3ViuOyG|lMz!Vx5j?WPi1wMe$dr05vB-u@ zX}EtcXLOFdCeDTo;HVwOV>&W|vvwE>h5+NJYiQGf2A4a;kRHPHHp;D1fx`s$aI{8{65$O~r(y7xaLjsXnuOShU=3YZ1BF(#oMnsx_ z4ULGjK%xy7X`w{+73uVAh*YFS*AR(Fi>XK>XE2clmoNy}*EU8;ES2FCN?p9X3|y4y zT;;}bnxTJTIpjh!XKT~AIj;zvp} zDknH<=`o1eE^Ah6#~e!u_&H&H>2=~~A>&*KNrxvY+M8NB3y~3=wbZY}N;IlL2i{vqKbPF<_AW3Hapn?7ps~@z9*&Ywmme~MAD22f?G{*_Sgcjk?fAle znDO}i-*F(ee1)GQBnA1jCtnA)q{ZTQAs*wuEjG7xu<)+JU2uERIAEJEtry>aT*kku4A zBckv%!*I;=R9f5Ag4AohDMHs1w|kv*L*ICZZ~59C#0Xb!l+B_NAi3-B61jQ=sovz} zX1d!axgP%yESW@A4~WULkn%y6AODc3n=ZCaloo#ahh;jS-m(PzhcVsw$38B)8obKX zRj`ZsID>Z+9~e2b1a4$nB-*zM+S^;(OFI@K8D3K6*<*FMQb zIKhED?2whdkLbI2q>UbTQavfwFB|FHVqbynBj|gXNZ0T2#glLf(mX8+Fex2;D9?y> zAA?lS5g@#)0BZNVPZ|>>8VN@g4givR05eeRi!yxkaXv==GW=#huR18kfdpSA3IYzb z*MP*lDC)!T2_7WyIwH**q#^6t;3Wsh@lA*zPWjPD_cn9NOWRdQcjVey4_sSoHMor6YqH2)z(^DPuAS6$oMYHLF_DK7(mMRSqpI=$Z+L#I+O4r%PBsgp#c^#?C^m1 z?3wXnoayEaexJxJV{m9cR95vVFeU0X7U@2_rj-0KDt__(iQ*PARzjVS@pDK+ylCF> z2t|A;vy8)3@o_iD<84qbdH6S2-Oq&;eG4hX z<_S9kh4@Fd-+4hw;yH#HCipB-RN^5E{~vs1;I^kUrcm$~(}^RunD{Mz#D~i@h8f@` z7QM#QX?#)C_@6|t{w1ci`k%>^C2j(3q}3MY)fd!Oavo#1;GZ4GHd8I0;{Xyiz$5uDRLKvHrPI+B%3J?uQzcabyn5B_ z0KiOk06=b;|Lf^(dd*$giNO|j>~F@a%5 z8oUog4mWcPAeLBr!=t%6mTS5ayyC=|Q#FVs8;w+rL^|}LA59YzR6LopA{OC;2e|O0 zW+q}Kyaz;QoEAt)hGm$9+8DElcDV(PHyq&13cgd0w@%TT$1Gu-lH0`2x6~s{ZkhNw zTkL!VRq*N*;+Mmzk@7r~He`hu4}&7OXjfv_vz$?Pizwh#Ou&h^;{7jh3C`PCHd0q0 z=Qf;7PMR*UZRxpNmnVDU?Gk&CuXqmKwAxc7Mxz~Q%`hVEKp|_T#PF~aGOmL@>M;>S zqh7f|Ea@Grq%j-u%`d|G;ZE`s?%(b}F?W$qJ`fDNW)!`N1_J7v+EMgo_U%~Sh9Y6x z9zcE#D0&N{HZ--OsIBzdf6+1&vklk21bpwI6lDi_p$f&^OGAMj;Alb7_pyW>D;A@e z?esgYa|NK3m=!H}ha9F5vJ$tdRg<@m&GjWPHE7M}2+99z=NJT85i6XXxcapoKi zkJrD<#mgSXPrS@U%O8u?ye58wO>H(J(%_q@U-%l6~<;3xPAfDwm7 zOfUZHRj70yj(&}s1{>u!z6Q?gr|>PWar0oK{FB$f|I&}uhq$=lr&$dmo{?i~E@uq1 zHOHZ>XXSYETunysb1X9Ic{%=TjwU?QbU-dT6}DNd^IUOY*2E$G3v%jGxSNtJt#L^C zB1;$j68`TYE=vD06sySY8k?6jJ}YZk4Ze!;?{uk z!39$}ESX?U7ydbJeuE1$eE|Tm24AuwM|>s66X$8du0PJg+zDERa(gw%eC^pl>A56p+@p5MX&FpT^oZxg_}+InH^Li;;gP$MfFgqN2Zt zb8z;?riM-zEX~?$J6qd3Cb%X|a!r|n(tnWS``+ZzTjF{S+Vy;+$imsCP8L9tCt_e@IID3}`{~ zl6s)10=&!u#l%Wn^EMnE31UHgFyU1)`)-!7Ar5I(B9~>2u+oS_p=vSgXzqZZMk5C6 zVUP$35d#ga?QuvKDu&pB2}r6H3FPPmlusumbu`SWUDDhMndwE+0#MsUamZkhQna>o zHnl8)R63(bYIk%lX>S37NhEZ@iIY|+vC0etrg%Ju%l^f2YTiQ$3&S`6i{p*q9*QzT zltd(c2(Z^hiR{`P3!6INs2$`GEs{FGuijJ#gcy+kr%D~940=H%kVyvQ4~2*YirCZQ zSOziiIP?e?ZA=icOIw?P9g%5dBDNmkB7_lQ%#xO-R^S?%B!(R=OBTnWm}I;P(!)uk z2aa%pDOHT@Xl!loj9UZSYKTk2gGV^?^&`coIyjmNt&%PV0Rl`dVyL;bWg$p>lo)Ji zZmorARR)B`a%Zw~7i1BgsD_$l6;{l=1Aly@W+ZMM;8ca986a{D0TfaP3>)%chZ;>FK4l;@vR{27zfrdhF zl^}G|(ceZ8PH|uDMZDYH5BA63ny?yWa;#;(9T8hbLl#PkOt^KzoM-tE+8+Obi=+ zK|q5l-6=vE;BjDrG2Z2aDYP@tCW(&-avC@LpzI74dN-LEhIA>5OuFl)P3HlaS*lL6Xisq*c+D(aXUP5D0HVc$_i2U`$Rq9jmm?l z2fb1Hhom|>VDRrG-Tq34e(racmZ^kWII*9*`+P619bDG@UplJE1zYI~&Qwe1U+Lfn3DoZ$)I zxcntBbE7{E?Gr?EmM0(jE4K>e^NS==I^CytWlc_l6IM7%0X!eL(o&N1W36 zmKRb_+SB;94+JuUMuuZzUeJ;@&}!q6;h>pqpwt6iQ1dbZIyxL=TLz$idqLodj6-S0 zcZQ?#7PleOyFMsb6i_7p9&Y)VJPmb z-A57zOf3gd?T>vT|06{#DmMjAeqB1xxfZdw4*|8;ufO`l2eOIo~$V_tya za|uAA>)T1@UwEVSUrNzUE$|2liT%nOt357?!?7GT$Y2CMK}F_d)@lI|LF>PkI1mtF zaMH^l^pwZ|7GN;5>A#`rS@J?4q0nzdz@jEHujx!7Eo&-oz!Cm~?`SnOELOlI|YFT(Jngy`sWwl8gQ zECUWhKJgd4@$E}H9As>}=o9^uZ!}~A(U-i@#-Dwo8`>Q(@v49E#_N6+#RMjlqs0kQ zHeU9T6j)IOElZK^HxUKdJ6Z_niVw){V4&Yc5E&^c=&BEBIs+O05J5{?Bwpq}Jut9p zXA(NeGxRSJ+1W&_1wHcfE@!HNgbXsEuw_Vl0|S}mJ|O7ng%oI3_=yv68-ZmptlE!I z**t9WXRN@g_d)OhKHTyMt1R*t3JDZ5UR*yGmdHvURB8TLq!02&H^He07-EBmL)a+@ zl^3>zy!a25ypB^3N5Vxcat^?(@nT`iqqZ3~0OC+s$Z&9>7=sLtIHeb_)VRm>)rLy*=WLP0ayKqn1G9~kIG#cX6WdATiYZ(B$+GW)=Q z+w`jG#c-&KV@PKl6bYbW&iu7lmUEcM7IIz)qpl&`2L?G$uR>ge)TG29?{IW<(0v4T zq_<7^C<#LCafWfi+@p^60mVq5j%L^cfx>~jYXKwpU}A@1V5}1{aXy&%VHi+h5tG0$ zL9E&f4+L)DLS*>KX~Bs&_YUsW$vWNv@9(|M{HZ>7FH+z-oq{^al%WdheN)1o5_Lc6 z*vg;REAZBLr<#KeEF5N3VBId>tPh24qGsajA@}9rjS8~@Kl*yEIfw&3;Ps2-am43b zL`s;*KAf@VBNYS>EjHImZZwrQ6t`ICGQEL?+-zfqhLPD{WYk0vuiBQZFlxMZ9%}RLQ`QxtRD=#*pm2h+8G;nZ%i! z=HaSLS5TL<(!$t=XW+<+yf8x+L5Nj_t5}F1t-zJLcvGxuj6!nkDaR_P|BxTYvVnIb z;2z8KU%)nPP(%PZ99~>_H`aa68G-|)2SIWUlzqjO$mDz?&itM;PtYeJ@a)rC!ymrCqkzsX9cO0Z=_k1iSr&e@nKP(IlLT_X}B;0B!pqXn5y>X+8@JFXOi?JBdCh~fJ z%`*^tNrbn--5WUbWv?!+w!kC;7re;c8&a2gxg?guH@y;`vle=lYZlxjhkGQ|u3>em zV0F@0{jHVkVk=d9TWKb%c#LcozV#a}It*@$5ud9Pu8PtAHJ8Pw&cn}s!==csqcs~d z-&-c*4SyG_>PBw{HH<-cEw1>M3)3v{ri`h_?|lawn+_(e25*e15m$c2855c$64ES^ z^dK4Zpc&}wSQg)cW1YMy1gTm*Sm9=@{eg?Iv`ds6I6KFL3+i3%=;)m1Xm3TDrKByO z=O*PsOD|(Bz1*87Y9$=U{t=vQx6qc>z}+b6^qA>3EIZ2?Cp*0)jY}#Zxj=q9M6d$- zuSPqOat)CYNEL2F5vhi)hfA3Fwd0)00@t6e=}K_@iL`*~POl8_Vls@|gqzQBv7&BE{TiHA#1wB`w8@=(HdeEtj9SC6OyJkAtgpEriz zPevNf*z4ui$GA;+63_ph%K;B4?f7mf4Kh8=bmkckMFV$%=xN9#qBG9}UDTNuB%Oha zKdecS@G{kzQE;ut)5vgrhjd6$XIhxj9P$*S(1%-t>xgjRTGex@MGC(BTG| z-eP+6wihiNVS*lD0)Trrp3L8&8kPvxY$THAeW^M`!{927RGklLb>Ib&k0dZM{)Z^s zHDeuIlA%fn_hN_=>OcFN>cPbqs(PP$#r_2oJNG3@b;0VwmE4gz(UCQ=V|0qEVE9bsZp(>TCFDZf$LwfFe%9C-ow^k{wwotH}jJ>gSn&0#8BI zEhzLGlzK{vLiObxfIv z)IXrqFc0UmKnBz~Q3wBr_n(7DZO)4l%F00+xKrZM4)q05oDgCDY5N(@q#>{Dk;9@u ziT#C0SV;WUOX7cnSM$iJ6FAW!&eY_p4lD(zUS`6Jdo*<>3WcZkNX6(?pgBnOCyAj` zl?uV3G&Wg+Ywz&?xUgCU97rRXX6B$Ue|RWK)Iabe)Gf+q|1uYXQwK0dkr>DrEEKZQ z4A1PSlww+_TCj8wlT4cM&Yq|#u^RepO9USJk@FiF;=wCJ+3`7EE1ttkvL&q{$A4Y) zpU;AWX1MJ<+$YjYDRNM_ksYxkx9AF%);4EktOldb<;X&zCMaIcc1dfE90U4}ylURd zNE0sQNk-ua?4Fj(g{ULFfT(C3{390`A0wUVgM-u6<OX5bLN6la_W<&mTbc^@gQ>n)4XAX&{xB+$p$rtW(YR=5;;GEBXe!;vyH7bfc6K9(}2mBm@(L z8g30R31uU`p1p4riqsA-Tv&)tT!cHDjS?wm?c9;p$FaLfFq(Ri!~`D1fC~Yz zbH_~mY72dZ1F2g`V(9)k0D!vyz5seDO)XvrAOLg6FaWv72FJZLD)Ax!94k#)itqf1 z8*$w-AN?kW)2T%OmjNUh=~jy8Up<#1;QYVm92aO_sbeuxlM4XS`S@Ge6^Q8DusS0* zYF3x15pXHpQ~pwcWA<>0R&N9_bDHHdX0d}Cdc#!#!C zGhV@LD(?wv%}QzRZiJ!j7MM|qnv4bK9DIV!CH*sxw?U9x6&Q>B!Bj^|c)EaEKwzs= z3urZB=j34;r%%EnJs9f5)F2mc(wHX`Y<5~^%{oM{p3`gQA?w8eyJ5ZoKRPnNw0$0* zdLT8KH6qrpS9rIj`r!M17 zH=1u^CJ5QmfR!bA+y%}E8(s8pSLnm#odM%uHa)6pc&bmW`Tx><`f&AEV$^FOBM=SgL_x-{CHSuG0`B+df!AF z#6)lh2v%D3d@sB(LHWQ#Zkr@q8rE1+@-1km11#V8Br=b}(u$2qC<<0op6!-u%PebE zu{FOq?{>Os*WC*XB`Us*wUtY1n^DkxbmatV!0qD78nc=u?jTAiHH3|hJNWLEygqWL zScGv%3rjFhR`xs;@gQ~`;LZN9EyO>x9loZj9`=+A-s7h_Os_-3m{MI?3>Sp6Q1~vy zt|0u~Xnl+tt)-r&8RGW-6Az@{_qQcOkGNm3U#!&=tXA>8c+)L>+_XOSA~p9UYaie9 z-Q?u*Q!JYA_i6(u!;S3X|BZ-y8fRWjHZ|$sod+VJLJQ0z&t5CZzIp;Iqko^ofPVhR7X5|sfXpFq&Dy-862}#!BY*Q(wg7J)?0a#>^uOliTMN-!jl;dbSKZZ^MXib z6(AF=D@l2VZA+;B>nV~ER+qHA^rbU)0z~+Nw9QJmHsNG1^sHV0D;-y7t7~C%>jLOD zYFKwl7YU7Dk>UHAMeT7mqz9kylonQ=WGl}A%T7253p-@AU5ItUljSCuY_7>JaK*wa z*p7M^wccR;89>q`eT#p+l^>CH8eT~!kExzv%tOC}w*%m0T5iVL+&s9j`aQ@Y59a17 zN9Qbh4=M31F29XW2s+2qIp%-(@aslSyMO?Y5*D50eZ;sSeBpJY3IBD83sxcJ1x8J{ zh~K@9k8k~n1$94r8;a@;2a8U)*$0(?U}jq8YQ}WLWf)HA+?JKGwx!j@PDQ$4CAP%j zYHe|WXAQ2qn6A)KNVGx8xDWjz@^>KO+Iw@ku~}CM7qX)YT);pW1*gA9!L;lmhxkUq z*S2oq|rzjz%2EYK)lMyC#MIACLifBWIHeuc<4DWKsqqI+ zK2{D3-1A>(Qsm*GIHrpaQ^SImj@DyPvL5Gm@kv1j_7?m|6YlEbQ=K|-c`B`Ak#}u6 z8b!g{lxQr8gn{%LS3m^ew0vC$%!DrDBWP_P>NW>yqgbj!lBOD54$;G`cxj$MqhUph z$GZ58AXvqc8!Ab0xW&bf(8BtaTr?A}S;i*d-7a2GCqh-oU9zz#ItdTD_^1W2ex-Mr zV981^ror=Jsn_0K<3`HF*#$Z_ltt_cXu{%^N^Bg8AI%m)(PcIl zc^ui51o2vN#VS=Ud>nS&&WFje@dLN>(diRpFvWNlooccer3O5_*aoXnB1T-b7%ck&hTO}+nhre{I zvI8k=JKa8;37@0koox8W(`S(eAyX6S%w$rUO>XDHqdu^J^+q5itYInsI!3-p>h4H6 zUrcblPXf4{b|d5=E~aZ(x8Z7KsjfLNwl%{kTznttb(Zyz?PPwby%a>Y*wU#HL!R^l52-GYR-0_R<+r{Ox1UirK`6kLgD__5=cbN zUc@cKPp#$6YO>ZPCiGY|VI}@xEuSM>g;neLC?~9AN!npWyN&fXof8t#x@E3i+S*i) zjIgw&H;6~CwYp%;g{eYZ(ZYf~Gn3q8w`68`O9;er?@U_eoVi;oI?3>tTf5Ar@_?STxvRNqqo+xt>o^KL{kE(%ER# z!&tw8x4?ZS>jvH&26xTrLg_K_ey#X$dBh&vwt)|ax59|hM5*?P0t8!nKd34AQ=b}`sLFLPzSIuPBgqA>UeaqezhpKj6OitYRi zzm@5sdi?Nq-l471pns1XtAY3@8^}LWgAJIE_oH7EFh9wlK(%s2f+xT?Y~$;+F-Q4dBFpHdse3P1YOvR}po47l8#!8de8JE15GqOEew$Fu-Q;5>#No zihWEER_ZVqG+`@n6#TF;QS)(jB|( zwba$xPHpuC%vJ|C$Ny>XKI5Xe+CSjW?ot+(UUrwh6agDx7e$0!R8$m8>iU zzEfI*bABl8(_HV-J`(m9VN25qNfQY?6-~UK2_`HK$0~R8D-Vy}5w*{S^Puo3%P@`HlR9Z<=xgCHB_0~Jt)P-U)8|a{yFU%VV@1{E2LNAAeO)U zEjx(8oXg+Uc1I)a+j6RRmDiBh2lkbk8kCafBduosiJQNbRU6aVBqZvo2}LdE9lxhn z7X=^tgI42gA>Ki#awyzbwAO6C5KS0W4lBz}U)GbpjjD&z73*kM?Y>H<+FqELB*5L_ zvxl6RO-o&Bf`koL+5YTi&S_;mE>VDOagAOC0@-RGu z__A#@p$g@4rk)p6BnP|TYEGe|Q%%u{s(vg2t)zwub_3Sd6`jIA-#>Gr`kvXTezn4q zQv;!-QSJ@@%ZX}!W~U}X8VDhdz=Aha5opT}mCD&vD5t`^nT)S{rlp1zy}7{W+QR$< zR7Q&x67QCL)Hb4wktkzDN_`cjp<3xg$~cL#RdveN5+zmi{y`aEd8D507^R1-z2r#A zk}9vaj-q3t=-5ee>>@dK6&<^MzH{bCbvv^o)#fUHd*s+lC}s_Js?}AiR7uwxRq@P@ zRHutxb*80S)?Z>v64*Rt0|m3t!Ifr1L^JoHGIm#>NI?6cDGQ~s`r2W9exgAmA1>pI zw>Y@TN62{R-&N`%I;yGB9aMVbr48D~)e$O)^hegkn5Lu=-jp??g`JWx4ZT_{yx6nk z98`l7U!=#H7xx%HX~<$>VzF`J)82goAJf&~CYvbG`LX5-mRy0_C!`mWYBe?ObV@p- z)p$`&jb%Gf&JcZVsnLP%&Y7{CcDA?z)ymifY)h3fwxAcMOc%e6Qp>VE*igFy%YYD= z!Ia(kzkkx&kD_`Pt6vPK*Hs~c(2KK~FHJO~Tp(6r#0h8ElR%j*?3!~lH!8FW6P`R& z8xx*9OQc%QQV~G+l^K9_$m4fB(YV?#GoO2!n`H(6)po6`J=MI#%Yi=P`5p9;k*Z$o zH|a)IFLq_JQ%B1B6qd~BYxC-noQmpU!sQded2L{I9rj3}2U%50Dq)JBjf^3Mqonu$ z+M9BdaQvJdRouivP?c;eNirzqH%yc==j75tp>oP8spiHa9L{;tWi8Hs6U!;LNi{#J z$FZlcE6pqu+4S={J+_NXs(e}`y@KqJE|r~TDRzvDt=I$FeV2HR{32W-6xz@k6&3SDL%Six~H>!bx3 z0;EBxVPRn$#nn3R)VkHxoTDw-d#5=XD7KWlo|n#z5aVLcWzM5m{5d+>U8p)Y{+DEY z;u@{5+UrSDrA`FoURL3LRH=7hOoLuRVuAc)tB4s!ef_<_KvWyo#w7oLzn6y=IYD6%B#qo z(gFRu`tqP!5m?wp`%u`Q(e<(UsbOxO;M7m5F6F9*374lVr(I%NAl2!^I`yEuat5in ziblgzBT<~xp2QX>B(~ukT1ks1Ph@RKt*b5W+L^X)BP=$i1<aOn31^ zRS&kFkm}vGC;gF9y+=@*cZ)c4ns=Yxe90Yyx3RCqptzxfrVf`9ojdij7$jsBlK$>8 zz`~p(NlH?#NwN?pQxw*yd>_>e6h2Oz{Q1Y~!K`_&GDnq=u)Q9q8Nxngxv1nL$K>wT zDrI524{ATjGELe{lSih>Gt=aiY4XlA`DB`WGfjS(CS9hP9#g)cmXQA-TnhI^iw_PbwN88d-ycSGe)RAlC;W|^a! zXO4>UqF*@O6e;)7gfSC16(v3r?ye1J#tQkWn<`D9lF}Tp`=oaOO9)fhqAt9!(G?v> z{ipdWb2K_DiY^C)%K6wSErfIdmu=U9Gxu-tus4XamYd#BOGliF<78 z2~*_pO;1E`328ziCO&(+-e&ih7L%7|qGdUc1lN4QwjgIYK_SZY`$?pYCwn+`2l~f}>F_ z?Z?wwcLV!^%iCJIjJ9Z4x28+h6Ln0%6LqZHHe*#*ch_gK73N2N*_v@M5kN4kkl%3@*nY2q1lVm*zpsu zE7X4W=1o}5(9w}yq*V_eCrY(Mul)YblrXDW46$2B1E+GK;)!(*cQ-j7+tuJIcNbQ7 zcTlONqEje`@{sxetaYXQV-&rK?lgJ#k=ReN++(r6vbf!M>5seb$JTOoYAoX#)~(}G zN*91Gp)O_hY4#>|s8d@x7hl)Wf%{0GCf2Q`F4+DiwxL|E4Pq^$mZ}=`5}NyWtd*sc zVveyMFB?=RjCacMbm09qG_&WOF4wW(oo;yA^A7JLTzMZ0M_1k{&fSW4>eSqYcSu&L zc*kswhIiWI=fgX_2)E`{wn4VMowth(?-;7IH7fo6{R960``;J;Uwkz$>)X0+u3T?n z-26#iv)P#OQ}SiXys%|ou5G$5@M=`)dTnU`2j$0iZT{xj1M6kAPL!KQ?)fXbb}gHz z2pg~H;#<0}x_rt~B>eeBR)+lW{y9BWwo7Kkcm!&t__RZ;M-^}jURDZUq;ap%s>AUZ0AK|97ndfoK)PLR59&cAV29QupVUt0lcIyc-lYXQ4w*%NmNCoN-xtLdeGHZ#em)3E#P1H8tP! z^W3zOR_E;Z$q&3Tayj+p?#Wokjl1oeT<_ZmFZJYgZ&Ti!dQ!4ouD<2)w9Ny)Hg1UUX^ib@z8kGTr}@jy;)o;#BE|mR9m??RB?yc1aJWG_9XC ze#O%3=|LTrhMk&Q(sS;So^y|{{P1g?`1R|e;;a|BTD<5rSQU9|_&SBLdpG?#Wv?r$ zM(-QS!(wz4?sZ$Mk^;g=5hTMz3yWeiARE-?Xu|6z^)nY3fQLA~9ubnAnC#+4w5sM4E`t*D!ZXsb^k; zS`iv>)Xwu+Vokcu_H+@z)WH~W=P%M?DkL)RKUDabt)0?$xOQ~hs4CNPh zv{M>A`utzT@5{bwthf7ctqNTo6=F>V#JaKNLIeJvGM90!qOD9WBJ*dpRD#SC$+M?~p zu@ckMk)?gLonVd%yG;D2NvMjAP?289r-)%}SL!>(T9xVPJ81lvG!~|zz{!9K8g~U3 zkjy_BuhD7TX9yANObWZD=~;IPwwC`){=G)8qpnlpE-8`y9Pwm$rt%S5y~hCRBE{k= zE_3PZQEjK{+^DG2n@|keCQ~eiDogsP0jFLdmAl$(OEeyDp}2D;^_^lbjk;|9JFVWY z-eM`bzeGG4+OIfDZfg!_m?vwZ&6lyw26GY1`3kK*Ja`3t9czfH&)KeGKUSgc$V&|X ztHm>*UBh?q&}(eI7XPYS%a8TY`_T+MtSQ1pxj`(5a-)F5X>-J&xq365Ble>Hd98Vi z^l1;NnSGvA-Py|P-p0B+JA7l_iq&`Ab2*{rLhW9xX~SOiowPKT^UUK-o_Y`C_flD< z?oS>+R9-FYP9g^8h3ny`%IkspJ_#_DlhLd4C3KqoeFy8Fv{O7Jm!JQ+rsFR0Cn>ZN zQ^$#4jMAFaBkJ>gIu}%5lWx?W)Ei3hFz2F7Xxp)U+m3Ch4)$y160!ApF2y3W`#=A% z!Cc|xfKwl={G65FX5d_5u&SSlFZI&<+EHtg@Dr%V`4{m{>-Ab^p>|CjrH_gw4LBxz z%(q{sw_$yn%+<+UZ@n8$*z_nu@7tVWY?bj`bB}+!~Z8m(Kq2<$@)rbUI#V>Ra<}aEqok%*VXr&>Yp>2b>41ef ztfdYKj=R_gGo&60obzrm{+J?tKs`cMb88B$>g)fuF;Z3yF(g#kouM*}N3*-_tNcFN zwUF6cNg+L?Yw#AwB6pD_1$lN=tY1Lt?bgmBM?KCtYmUu{$iCa!Wcx+9Ukf z7J7}5f_#;$RW>3~#Lpc3bUeYn%6t@@l45?W1Z<*cp(GUe`)5hcNF_NdtwIsLf38B& zJ+`%Dv4){l?VTcfjNI=M?-`@_HU3tuaFrJ>1^Uc|6Y_ks+a1-LuCV6n>YeF2vH4m! znk@Q14)9vlJ}fEH$JtX{kCibnB#wH;-w-$H$`{1wo&9f0>o(wgZ%J#>aKEZCX>qHf z_5OWb=^??M`9dfCPKli;DC(Rl{6sU^bxNIbJ88`@!c9qwsJv~o^fI-OY5YCkz zD*xC`jST*Av_8m%o+#CqaJu=te@nez1A43oKTdj(uwR)=Hdj@Kg+l#pk#tX8EZ*?_ zm+*61>UH&(e!fGBAd62E`XMd(+v)1xtq9Wl*n zrlyX!(wVPzyv|>Xl@($8R+PrYZY}MQRZ{4(th=86JdOS=H4>8~b)B0^DbdVBjnf*2 zvRSB1Lx-`Hhn)NEYUj7|8o5(-egp2wD4@g_KB75B?+asIdQi00{CzGD#Zy}Ce(*@T z%}|uJ@_r!RW+=!i+z_5sUeMJJ%l$b&@dszuT5jcR`_DU9s$R0Y;ws@LDCJ=HcrD|v zQ+C-P%DgVt6`OMEivQZN?yZd1#_4^Vu$Tv{5_ zRcf-SysuH*gWW2erIhTIcT88x$^OrE-KBLk=DivWLf?N^?dR(n>(Cuc$ka~vQqRv< zrboN0*XOIVwJCDRiebkhmqOLP38(ZE1B5P=iJe{M)vloc$szEc4wUtoE+Oi*T0@K$ zs)PB65qftyMKswEp5U5hbQ-BcQBCo_Kr?LH)u9E_$@dTA2Y!t8?m!H?qM5?&fufmg4c8{L#y_6j6vQ02yS1KzL-G0*3QIfca=*M_b$k7A zhuq$J<+q;(>a9H3K2(uHd{VfKn~7;;y_@s0k$Nw?C^HMCTo+DpA2r1{h2mE|*QpvL zL_3A!;N10%c;#!d<;`0G()|N~}5#DeJm>XE~Kqm`pEQd=RivlwBz#Ov5ORUsM*# zySsR<13!BN2%~U0_a0&~gpNAqTainzf0d%QbVmA!XGGaoq}29fMPTC`+4Oyj#Zs_w z=L2M7F|3osV&sm}TgVc2kE0WoJ3%iWKsRo$LH|`OHuRTsAN*gX8v3tNQC4^1IvFmN zYJ^xS-;sRcWW7)9D0Zz=lK9c=i~c=CfHJ+a#ahbnZhi*STVB=pgYjY#d86e7`5Ml3 zqIl+6Ojn9rSWcqPm6O?zg1%%5mE}LiAxsq)yHES~V$Lqve2yYHOG*RJInH1ut&FXD z&18S&huqb>tCX`?X$NwCv#C^tdUt-@QN4A9k`ltR+q;dCea*l$N-ZcBv#LvTdewK- zpwTfi^g+4|wo!5>>n_TAiH;w}d1Q*4iCyn$gwpP6r{ zW3xj1Yv@Yew!0z3ZFYC$ziLhE$F3Z6ThRo!A-Y?#hoi_lnHUHf5%qyA#ZF_bk2A*3C)o#&H%L=c`+!`%*Vl=M)ehzvaBTU zop$JrjM8szX589Ya=&C*srbQd`ryva+iZ=UCGmOOvXT+(&k3jf`D?@DeE6g+y^~5A zkoQqLv?QY>&w=-U92;ntQ1yww@JUnliT!5oVo9;>!W`L!l43m+-@_t2_oOj70j zj4eqVMi+tC8DXg{>jgT5f>K`RgukoZimR>7Oxd~@q;)S!>t14i_jIBTpJHH#W+-XM zxn1Vd9;sYA|1P~W{2{(HxL>IZ#27{l9Xwi?cZ^N&`tO*K`?boDh7e?B(}8m868`)a z2Y12p9H5VWtU}Dg>Jq;_L^;;{B(6+LF8P+!1&-nd=w|HZ<}=RwEB_N{tx$}gn{LbmvW zR4-;fQK9Iu8A3OSWV8AB8tLN_IfCG@>J)DcOa_pS9_Im-XzA_DmzuXP?V9K zqN*CU%~t6y*UO$FjOKR@iVcZdR;_4DBQ2#C=)9`C+V|o`?X*pNZ>6`;Y<6c+{Xmzc z*rQ4N6JMOKR~y~(+4ihV z{EPkawG?b*Qo@U`xQ#HCp%VogtF6vyDb#3Q&w+JOWL|8B0VGdKUd9y#;qNv&y6n3y+Yiev{A5;Bm6ZL6e4=5GY70hqNTg6*_ z@Hc$PVSSCL+^XM#SV3${^LMMht@<(KiTiT-Uc9_QSwh2s?91J^3DbW$*!@s-S<<=e zU!>PWZU4``=1Y5}x9XkJUVr}QUNyVe+p}8s3%__&3r(zTLFKb!E)-XBKP<1R9Sb*HHUO?j zESLBWJa5XtNvxYDb!$38halG5{Ek(t(gr#oXEkLu8x(uJp7#akc#`d*s#1JP&JVn+ z*UD?3<{uu>*J^#H`ua3RlH$xXn~PAJI4|8pE(lLn`aKAJ?WLY}s*4h0ea`QCUHR!3_06L0`&)1~Ek9qP z(glCfs_PeRTeJQ~!vCxu`75{!3Sl*&cM02ps-UxXjH_&wQl>0W{-&=`$r5*$>OU!V z-_xZOVZo7WyjT1+#9h?od92y_5^T_gMFPCPr(ha|z z_^WSbdgss;?aJ~yE>2i?>cZVUF~2EBJ@swdX|L}|q-VWk z53lxqmt>vWS~sGJP3l;C=dmqkIVs*8HeHMCv$^$`t-HImf6mSQU$LRxSz&E6U6IzDA41tv6b8 z@wXo=aXxWz+8_6fE=_u!3UcOqoyoV~_;qH;x-Gx|F`~TKszKiQpMSV^Z(y^4*hi6L z29`!0SvD^6FYSzdyGu2SVO?u3z1{KglO^iwYqjs966$&V@Mv7=0_&YMqPP2SHOePg zME^W};Jo91jBMg@=f$SzhSu9sHL8qDAybchv*XOI%i|)Sy3{*elA7Z5opGUZYrO~j z+%1<)$)=rc&jr1l-g)cwf<@e`2;YW^`5U?}u{u7u?UE7eKHRmL_hewh*V=@B{o}0P z9R5%?B71+n|G8klJg!EmdZOK+;IgHI!|OTUYh^pUtKZ7h$Tf=(^y_amrjy};ZiTJI z;W~rwj0rq18x-yx{Effi5dZc5-&PN{GR8Y*lr5EaO8m(-C$Z_$EI#tv^|mdxxZ6f| z`D*EwqcweOo0mR(9@Ti;jlDBt8${1Z*zoLb_eS!t8N=1Dlm|llZ-|e2AF6n`Qf?pf z)l}2Zmzvt8=w6o<_m5G9?A$E-&_%YyN#^mgVzoNXcif=x(R;I74R)TjLSrMZ+tgLQ zbn`KzDSF2Fx!;~nJ-_~oALH&^;8pj0PA;r{ws7D65(R&@u*s&Ug{x<+Y0>M%53S~} zYwdVwYTEt76Ut02-iNOoAKcVT8#rOY7@8$u%;;2C z#TA8xE~)IMy%uk>A4yk7c7gt`2KiM`=2CwEdF)GaBCCTFzZKxAuFt zJqu?}tJ{IU9b3EJfO3w1a6E3r!-e&3&KRAN;kM_(%PE6bHJlvR^Wh$oUG`C9jLXN_ zs|TEk`EprMNVmTi7=KnJCr=vi=D_yfqU$6Kye->%`}phu-}S5CgSS0aR;KvaQD*aQ zO4fMy@da-4)+U_4bE`vO=`C&Jub&?_1b5$h>VF;w@>UjXT3*QADR64j%)9H&A zZb$Rf}9>6=D>=>LX`^kPz2cxf*pe|9m^nxz*=e(X&lKFEv!fqbYubnoL8^ zzO91g6zbHCjc!)9V`+tHd3)hVgpWE%xqCZG35*jJ!YCWBPV~|}a$LBN_~IQ~r)M35 z6rAIfoyB$hyYOo|8+7un3chrM*4<0lO?>%Q_7HRWDrwFfmPkO`OI*QIN%P^b&b`XM z(wFrUcjVrmk9}ZpQzunR7%aVQYX^$k^2MDEmO8fWPXnC`(G-pHJ~V!!bHWB6p%HtMJC#R2)jKrW&j8GQy@OCt5K( zhV5x{1>E*b<^IpI=U{`}o`#+lSFe>^S$;wJK6kEOW|dj;cjk>GKJC20xck0grcmQ> zbFline&G7TWWLCk%D@j;9QE zN-2OC%EE;~{Ka1k!F+Rt!CA)fmKO~H?9UN=!LYa>YiVPC;6+-cSYfcHDYs}h?tIxr zLl9qh(cod;S6tQS=8xJ7yLXYkO#IKIN4gt2-f4eJPjPqMgmuhY3=ns+?2;kK{L!?} zR!FTn2;TjiVYubp8oHPoeA+ofoN8x~`A`>Dmc@g2QW&(n<9Wk)dG3weeFhiBgNJ_- z@`v1k1~RpVAW(>@GilbX<1}&l-8R`NH9G8nel&VR0JS zZQl7j?LT5zTrE|-puA6FVD>KG`AADQK4nV^7SEL5{|2EFCP0Nq)C-bDV2gppEo`1wVHXXW8`=XZ^a z3l#oZ@O)&Pql}ItE!I}Ut4GJF>CY{%7~FGzGsxZUm&CKLpRu_X#iq@t`+rvqwfUpN z<6L>y%ZA!=Ss?$_W!ibfNrM%iblISG*cXrxSs8~8md@H`Lx`&C)B5747cUz|vK_Se z-7sxcvdqR+@%bgZEU-ARvWi^CMG_SMvzf)q`I)h{J~BITZ*}(@yk+nBK2$!{t;$PV z&90F^+XQ=ak@);825s(DgO#k+?oz`iSwl8idRCaeYZ~2NhO&VuBcxf;&0}7MTZ~|n zq|>uU8uOwZ!Th#*{JNC(XELWr3f@Dl+9RMvpRtd+;eS@Ky6Pf8wqo zwB8wWWQS&LWuYKy1`456xAqi07T-N)(mM&|50D-;{+D?Fdj{RG-^`oQ*!Ig}ut+$R+?^41(PN^2pbH4u1zA`t&bncNXA(hk*`Igkf zg%Sk(qha^1)NpaHD#Ul6HC(KWw0%>#xzrv)!|VUKIkk)k?c49GHvdrYl|$|EvgM`9 zo~z__ECOksI00~^_~55W;Z}S;yd-!ufA)c)hHMP)KPk@5;5t?zjxec={&MPZiWTg| z{j+!(11<+Z5tXt+cxz- zwoMbawMi!uHVjBPcV(e-R4DC;y1|OqzGoJ@e#KB8ReD(S#XR==qAY`y@^M_8RKZ6Z50wUsvPj@zYVS%GY~tU{Li z%piC2XAkd$b^f_GqzC`aEWQ8kGQ)XU?hC^N<9F^WODY}=4M=OZ<<=w9hWWn^IG`%2 zef?TSYoGO@JBGKjpIPVko#$6XrZ*1b%xNp=B|MHh>0$SfWJ$LCzmn@r`XH2!$1;m}sK7ab~aSHv2kwNiD4(o?`OvBGay-qrtUnh-Y!=?=#GHK+r zp{Y-;u5n5orz>8Rl#^!_6e$1EDgR`X%LlHMZML-4E|tY+9QstJ>37jZ@!O7c-Zew! z?wg$C9$;5g6r=ss1TN4(AZ_H>N#_vmBtz2(KtcJJ?GU+-~Fc%qx)(WCEr7mZiTrdH(J z_!V(^UH#_CUQC`|wzRn_Z^kUuzdUN^|6pd9I`w+AsS~H)rP$7`HhFb=j=-PIaT?XX733 zD;Z5TZnd$UtxNWJ$i-ZL@Y5YHKU=+9{ttb_y4VH8x*c_@S*~-{&6`@%N^`)fQO5im z`xLiRL(){Uy5G@EwVQ00-|SU=i;=fD+s$EL%bl*)ORs9A+;ZO#zp>^NU$`$KHUhkvYJGW1th<0juD53+W+pFMlV#%h~Yi|lzPPUpL% zmL<6*9pB;B?$0foic2r{{@dBwc4^#t-L(2!-#m-WZ@zSl?bV}XcFgz5 z9J1j?{?MIeN!*xDgKC6!A9T>}M&QW8yuVVtLndnaHl-du2RFDr`0^B&oOr`QZvV14 z_;^ppGcl1y%f3NRFMU6ba>bVATj}mK4_C>4R*ydz7USpG_~C_?irQ@sO{$r8zGL*g z2?s*!`%O8JGxOmO-lmf>Z_|y4QO9h&*SI9_-PhODQ1MfGx^`=Nr%+erjwP45Hs9ox ze3{f)Tld(YCmmefDs3-(>XvcDkoPeiua+;34 zmJ@w_3+ERQ{_9uPMOM~!TJ_UM1>?Ilc>45?Me~e3C#;@n1{?gHF8tOt=yt-JC)aUJjf!kIOY!SOje%>G6x#PyY0AUG2A5L(mzrd!vpbzy zzG%lu+ZSc8Zv9r%I(=%wO^etZx>vdEuVYg@|H9dgVcye!d3SyPo?4nFMPrkn-+Zgn zUA7L_2LJDwd|;06)l~=o;9i|b>fhn;;HbC}UygiNno9R7+1hO$`}gOhT{33hX!@a4 z*`izjmFLHger?U+yYglT_oh}8X%rpZt?9ul0?YD!btvKfK8 zR=b-0(CSg%@zXiC{y3q0UTpKdb&=`UhpYRfynG&U|7DTUhx;S^;FfSDH`MCQZ?%4M zdbT0WrRJ<=KEHH+o9fBc-qGM-@8QQ9YmM>`8H&Yk)0*6Q_@~9xlpI9^pR@9XDWhes z_lvuC`opUtPFue4@r7GX-rCXGtv8P~Tx+xFS?;~)hzLiUR)@PNR~)WU-zMR9*G_y+ z`_8qB+n-v6n*zp~f1k2|vARL{w4V%AT1 zaPsV>^Tt-I`rYrl??qWL=e2g_*;6}DC4Bt5Ti&yr>0RCiao0}9_~#$Lu(bIH51Tu; zb-t}-bK+Yqt?^r(^(pr2m5U>c=Tl#fY4)5C&)}v+jyyT%S;ukDVx~{mwF~PUw&_t? z)KY_S5hPb# z&vq@3NgckBn>(wMRp5@so069vxjJvZuVU>_A9Ll_<(&84@sDz1GD7_h#x$z?_Q8<7 zOC9f(wkXe8+j(P`6^_4sbEw<1UxHQq({!(l7P|vqO&&9_EJhKXGby28baboyq8!I(XSmq-mPIkTZ~Wuy zrr9Mad)QRCr!Tg!{NLdXmPy;vi~r0uMK?LNHNRs!z3&>&No!xuUv@O$tNB|~{wl8- ze`yZ=>!opG&3HrJ^jY`pV#Y2qly~^0k=<{;drzk|xOQ>=^6O_>W!E1*bh**%FqiUh zV9Rq(mOcFae62dh?!Bvvx}mr3oU!xO1oiPPsUJh-lYgCiYecgx(+aobcy_SMZ#bjD zuX}=?TdU?AiKl<*N zJGiK){OOPB(bh4FTlUE(Tnpw!T^+ZtV(UHQ&=F71#9bYBAbIQ6^Xf~Do*oV6_op^X zUcUIJpwi=MP3xDQ=~H;)p>>C&M_2!vcFAT>^4>=dcklPzmAq(+uT6Hchp(Hwe(CDd zbDyvFiOf#6j|lqf?4_ftPajVG;4OQ3WZmqEGnzUr9KSehyE~^Tn=!)c=7MgwObQ2w z$+24lAH*n)TM}Bt%QxkuPPY`EemTYKO?es0Cc0GZWm(cUC*_v5^LHGuaoAjD5r3j_ z(}$1y?&=eKVe$M6i`O5dZ@%DJ|I#ljLlSy78nelImG6E)l z!}onF_C&NiYKkgx=+GwOP0jAUzE(eKAB;?^@o~|t-QBhr!)+C_8&B)I$ZOD%el9_= zgB;fHOc{GC)%&1UzGm*2wN|$q+n>AAyzxALM+>ejDQrX7aDK}9iVlXGjXt_gcyp%5 zyyM9U+vc6w(_vNQPz$ejQ&Qh<^tq(F)u6xY_{Ayv%GQM2>^a_`S?MWdI@#p|+7pG+>TN^gB-6?kK*U)}rryw8?jKXFRgA|UqO{yl?y%9Cn2%u0|w zyPx$l_rsuI>bC%hTdbQN4VfIAZiWqCVc^4c%uGaK-37NCn z&M-Twe`w56?=u_covlb-tvH(Pa{i^MRk_>SPOf+M=@VSS0Oz(b%GfkIybe z+XuO}6~*%UEC2ku@tUWTkI&~OyiK;QUq5~QjQuC&BSv*AY}g`lZ_TqO6NkylCRiuB z?>QO%P7zhdN^M!ulWQC?SyAKieXG~W-#ISJX`grY{PLZ9XXbNTT4eZq-0gU1eVWUF zw_nFx{Jq8d3gxm-4U0A!{pxVpEgo3CxP5o|wJ?VvKEdhl^8GS6-KgWVzk17G@txDJM?H|&nz4J5 zRYj7of9vh}UEcR>R&cejMd->tPfZ(E58LwU@Xa>dD|yR!?v)FT#(fo#xXtP~|A=d8 z_3efESsSwBuD&a?Z=t<;-^IV-fP<;V&3R`QeJfiWV_eIXK6rHN-I4I&vE`)>e!hw) z<@pY$Uj6mOfGe8otygb5(tlFg_NA$>-zqIz9qN+SKm6y|@|b|*3%T0;XWyvB{rF*s zquR1=a=z;Kqp2G<)N$xro}@YSrL4vNp58ScnIc{%sW&d{A{bk>A+d zvcA!3-IcC|sV$E>7I9JTixS*#Zv46AtGWFnWS<`RdY(xuyBwk^&^1}4{EQ&rq{>>|6lpL|sx#S;NJ#mbyQ_EQk{*Jx->7v!&D~tmixc6=Yc6D-?JTxZtfW=ke z6=dkZyh&5)j~h8=^7zYFKE)3)$-?3WuP?T5JN3sy%8d9W9uBwW9N>CPDC&_~Z${ef za?Z#8&xqIDRW~a;Y9&pJskPNP{d(v9Uw`NJ>Wb{rt<>9YqrUTOctsiY-1m*I`{4s4 z9p-$jRX?S8T|eWxuU~Ge*jLaxyU`Q%<8zs1`2inB9zXZx?VLO7-H#k-T^{bdE`CFk z^hL3b$#&j7mqZqO4{!=R!s(I^>RJvyGDb)tkloqmR<~|W-p|injhR~fAt&*0>I6^C z_H&ox6Lad7rTQJ%rFwbj*B-zAwKL`eXS7xnm&qKW-aA_Q7Zw`hLM+2gIkSsLx+gD4 zaDQ3We~g{$eUqD0-~E{-@BZ4^H1)dU0F9Mr`2;svMUJCJ789~SmbE~3udJ+Oj<5BS zB9oP!&+#Q)WVdtX-L$g*?UBRtYsHG-sMgV8cAamRUdZ#>-sEZPps<>b=?T6OvU;~~ zxlAuhs#$xnk1njNNB0lL(sM`FUypcBzg~xxp&@Cp))5VIl=mP09q0Ae)|qR39IYd2 za8F+6d|05$w9l(uHZ5q}*tR$Crg}!N=%qMfdXsMK=66}v55>sl z+fGWqXg@$}6;z()H;PNUo!ZjPxPu1y{zL! zrdg}GUfqu^=wZ{lMZZq-bP4@-+hp%P{-fb_UA|#w@vg;LT4#=fqvNAJFuD{}N**0t->d{SKdq7yZ4E%55Nzv-aZUF}j*nmW44F3Fel{XXSw zQq+En`dOFLLq@)iY0z|J=A;E*ANtMuSn4Yi)falTYkV>C)b-&;O2B@p;z#7e_pe#SWuqY5kVQcU?Jqr1debBELrX zm$t1d@}uLzbA5HSTJ$=yF|+5b+o7|bSTEx4g{*iVDZA!7vQ5jEiUzyNPnVnCIyqi# z|EA^^V;!4y!|#r;*dK7NbG9+=hKs{T`8K}>*5z#vG>lB#zP6YfHtg}~aMxASc*oU+ zcVAfOqUzn3&q{Uj*geaXQdagZ-Olv!HFquQY|`YYr-A#=>&ATg!pZ5@H)Bf%o%nr2 zNS@uSpt_~jr;;bfpWirrz_mDwiugW7$uZu|Z0v1sUbB9mR1p&|`#p%GDUXgD<)IE1 zJ)Lxlv`<@Yl#|{jD<>Asesj~PQrt2%Pc6RlELCfByR?PV`1y7(a!ldAfsfJ;6kBV% z9!^~Kh%=(3XF`OPBhl!J**kN3vp47jRcz$$UB4Cxu*Df3Ss>y|cG} zqsC2Q3~|&GXi92&hUv&rR;5!I3yaDw&Kf!((0Jlz`7h5eou0kL*S7Vf72lMmCYz>a z1qFVap(xt8!1_+w#G-|b<^_5WJUi~EWW$y}ZdUYozvvU&>_wCJUoN=jXm4$_Qk@KX zk#}qR%C4n3uPZ_$^*%puZO+MQz`?QwH{Aoy&?Wf#+ ztz|5sOGCb4-_~bx`?ntBUdYB4vY2jQP^;K^9TPio>ccX=FjnoVvn!%5UqUNsBlRK(db&C zt7>FDuFiSB!Kv1@jm2V*F=rY@%bMvcv%@)GWTR}&oy&&MAQT!{EB0ifBuAIoSlp_$ zsjrdh5BjnQA>X!=(&Mp!vimjqsGfOXnK^j}4V@L@=GSRq8)6&G-me|)O7 zzdI$yW1T*0+@_@IG|cOZ&XnhTA)7Qo>r8_*g+a}f9*+%t@VX~tx>nz31DI*V9gWfy zcKATpVZoczt;1x!m7Y>w1TV2Iz}cr-FrQ`2Qu<14#RtjOt3>sjS zQq||%J%G>H?C~AX#7i|b4yu)ELKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;| zfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U< z00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV= z5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;| zfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U< z00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV= z5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;| zfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U< z00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV= z5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## eAOHafKmY;|fB*y_009U<00RH71(ug82mU`${P-{c diff --git a/.Floppies/A2OSX.TEST.po b/.Floppies/A2OSX.TEST.po index ba0feee995599ba4515df278d655a6a8f364b617..4f34ffac1ef706aeb8a129fb959661e5487832a5 100644 GIT binary patch delta 48805 zcmb5W349aB**`ArYUSG)3`Q6OrBEsqj0CUn`Wv2AMK6$g^p`M- zZw)hwjI;E`{>FpSmHiEG`x-KeudAmSX82)n9aKI5tjCXkAs1<0p~Miw@9daSe5J3N zJ)pT_&~TPfC{*`=71tEq0k(kcr>39iud46DwyX~Su11Vr)fudR1ZhoS9YArAbtOgIS*z zATh`Lv#-ahcQVwG%J$}%PbHEBvR3J%>AVj@s939%iR$(@dS5XPxC<*PjKwvD#_1qW zy&qg>WybgT!Gzb)GF%cef6@EsE?4>PdS%RgzW&;M{p|gK!rAl#-lSRZ2CY(h}NrwB7 z$t_D~8T<_u>QLoy#XD(twmhgvMh8WPlD>7Kj#maLF8M^&KLTOlJIcsKVc0#W8_^0!rLIW_6Bg23w^>~2&{%&l~WvEl%{gX2A zVRLG{O!+C27P~aBCGU&86L|~r)}~m}n$o^WJ23gm4M4i%t|>FB+`BQhKcviiHp32b=j<^ga6Q;=1Ck#rumd6{nQkU$U{}m68uix=Uh8 z?=RIRY7;XPw=gHprCye-pXeH^AWQSeiM-ZY;$BR) z4lc6|DQjIq+>em0W6Er#?EJ}6jp;L>V97s)VZ#}dd26mKn7lAqjO-%Aa~(0xlE#7# zXK7|s%rGH*4EvMWC(bg8nFpHJ5Cq z4?(&18MPI)m8cBL6;-YXYXyLDo4osJJvlnop=>KiReFM*6<)PwMN65rLgU`ZFRrjw zxF6#eS6VCGEoH%rD;yDx<^q>uab-tif!n5c3~4ObS>P-r3Atq1)H;occSW2$l_n{G>hOp~I4)WnlN$CJnei7=Tg z(iKl$j_)hjFxFUPPB69UUtP*~;CC5s+P#u*UB$cC^5Cg^9dBF3Z(GZ6U5AR*eCrzC zy`HzN=G_~3+Zuk`dVcE$9_*ttqr%L%l(yx(+g)Z`!JF{EEBKBIQ&WJ2X=2+CojmmF z3SPRb8+i<`;M`+;SxengAO40F ztEBGCn&yW*gB=Q&s>w?fM@9AKhc@w6g9!rYUWx{LBl-82@{RnKh@N&l?u+Dgi+L+= zXHEj5l9s75O~DF|kQa zFWF6j@>4Lq1hkcT)h%1v$}C@NOl@VFCzkTktd>2ojHeSz#w3byO^o{%|M8*ihg?JG z@4lJjW8wW6X0Ul!qAeS-Ouo! zw({rPdbF<+kxR-;i*bfh_V~&`0+<&}{?l_H{~mU$+fXFVbY7muGnQcBx=H?|zPU`yB6n zQR?1>Qc+y$#*&q}@Kt-*ozMMM{w78MXnSj5PKQ$z*G?^M39DuhDsb;sYMu zdB2xD9q8rAhGff+PpjmcAE1h)l5c3S$N7K+u{GK9kpzL8y%Gd&-T^+#Zi?HX0ONK) z&678h-3|QiXLvLDGoTETnh?i zVbQ8~1TniP;4YNOk{Ce&19wosRuswtO9558OuJv86XQ`H$knXa!rQi*`Gyrw^EMm5 zTcE6yD;jv)Hk3cZ+n(j`6;EC3Rvr`hX`gs%lkQm^LtD4;;P$8et3zh(Bk@%IK}AZJ zWjujlq-q>0XD!CCl82dC$DdopTPwBcT!rV6-Rt?YlG;7Dh8H#N)jSHet>$g(dG{KA z_Xd938s4^ncLiGJyI1nN*Yew-LZMSv@w?aY+o0#x@&8*Jol9||!fLL-1y;$Wv_1$; zt2?)vw^l*RqdRN0Hk_;SjFi+oOfu#VuvOM7{8n45UAL_dQnknURhqLxccQArS$U#b zo73QBjR9%S3d3#dd}k$o7o42$QJq}i)tp~R^*RjAY6$Lwwvg4bKD2ZjKNNl&%T%VI zehBIS>JUM3hvb=Aq`rxTU0*3=l+Rbz}e=CFhj`w5epWePUQD}n(n;#_i#XACFnoc|j zQwQ60S^?9CD=k>`>L8gGnB z*jq>T)f2ce_{ET;_A<|xJB`lrrXE;5lON$gLMh^Wh}ukw zG-ZGdO@Y<)n^1~%1s29?-u@lj2Fq7{I5GLqFD|8Q<%2gFt>rRT1bYHAy%wHnDD_My zNS>+2S=Ly6ciA=0n5l``!c{9nJ3GNd9Un_ZcDOEW37-*n>l~8x=Tq$o4 z2Z}Ont>V4K4Ys<;u97iDB5(y#Y#C%{K53EwlsOGZcM$d@m z>Bq}Gk#H?H&)>a@-@L#OV`(fSLnnyo1Qw9C@f$tL z+$~$-CBrjzq{AOm#5=T2!A4x_T*^%~)>)1cmtxaw>l}BDL3g4iwFfGFmf^&#GHd0M z3ioW3&4%pHF`SrFX02XQn6py)t-!DFax#-N>d1X!jqT4ovFADxT(=tw9O|}1 zEnC_SAv*bWu5dV+(ZoL&D~vN*EV{CSZVB2$^{@a$M}U##T| zS&sJzvr?H7b44r_O9$Inwx!+JSSAUlL*>M6hqD|~F(36V1#Z5}v225kJy=^)JF9kf z?Hm_lr5a^V4g@T;lR*gj$4v53j>YSWfReOA<2Wm*si<&P!c<5Pv!#bQ5UL0#)^3Nh zvQdamV{**FhI1hX`yfYfTfvDcRNzuAhhD~nTsqYqgUh@s*HGBD$Q*gGQ3X~51$JVV zJzz7vvCbl+a=at@YiHBiIjE&K);T7b)FB`>purLRMH&1gjOi>4sM*w7McmZ{VFP(2 zr*>}bJVz*qUsGVM0gbrKlH0CC+aza|X2n*$b+)q_0y)P4OHH29*@sf0jtD{kSVk(c z#Ujdye@Sa@gTHLT?zj0P7|R5jb*Ggu%hjh9)&-Ui z5M|8e=6NSN=SdTE}?iu{k#QRr7fdxF6 zT4vMp#=|+9{>9rjUWD+l5r|8VniIt0re0`!@xE;q{*|Zr28X7xK#ubxV4z@|$cOP{ zQUX=Cupuw!io?JynKQw3&}us9i?RX^BoAgoVPLhBEs&5-#-oOfg>fTcIqtXoOCSD& zZpAZt$!{|AFsZPh0C^c}oGOD~vLRKg#yXxqef{~1~!)Qo2`%#yzV(pT}$D%d$^H9~PZQ)G#Z+p3{%+{!PH}STY%9h&fdbg9ey@s;q^zNO!?NyX**Smido=azv2QT4m zk02L-1k?yBey;H6p889qS!1GO7U2{OE|)%TLwvGDsO-z6J?^pr7$kd+x;#g z>;{QWL`ErXdYV-GPDc(;vMa$MtgJw9SU(-c=iqFk85vpR9YQcf&P?8$jMTvglZi3U za~?R9AjRUnQu`oWOg+S+yoC3JBfoQ6QC`Y>R4$eEK`c_KhUY!Q85GcBE)wk z{1?+Y;A>o%W*^t#=A|bCeHz`-HVuvkeyQUTL7%9xop#OuF`_|Zn_eo=qrl|I1|r=B zy$ucAV}VU?E5#izm7$p%DD^e~zEZ%uyFU2WWZugfpPdXb`kj_ZG@}q~Re6WHrxPki z?lS2@TJmUlf_D)4X`(%bjGkm4PBJFhhqx8{BNA;9`%}%fBHpEdlB0o}wM_b*?ilob z0S|K)(W5b)M7hqyx9mMt>QYeKxmO%YZD-x~f?e;QD&4gOrmY|fhSStu(DL|RLVpiP zzuysIYzFghGiI+qivd$#s`vj66QIVRnz7ua=+74$^al&jY?vS^f{;Q*NsY^}sFLCZ zY5?yHIm~W<=m;f3F;E+T7(^d@&te2kGgPWES z1G$WPszYD0)2eaL>a~d)6TJjujXbN5xc17k`f=Oz5v*}hzKd9vpiFz>vuE|_T`F_i z^}3sf^tKvgX0Q-!vyd}E$zi>1Hj*Z^WDdW3A@4Sue9?amZ<~wge->|>$M0UmyC3$I z&*p9OQ9g&aE#O_FZIwLIWHWtfGLo7kvgAj^$cUCV(KyRWlcJk>*KOPH`Eih<>yV-* zZr}6zSGVv;P?MMPTVK|@|DfNBWWzH&nnIb4`_Z5+)2;l`06j&eU@D25(mI>C=MYkm z;9ew4?8EZqC4(ftJLJnB$fgi&;e4Z!*VhPU z*jE;~@2UaWU!)W%BBihGeBxf8^T}-xS@U~3K!Ytl>OZZPVL(KWS zdkVib&|nKPY^^caW*N3R47S$|`xTN$4lD8o@4k<>1sh=NY)uB->(1eBw!!wY!FI-A zyJ)cW8mLEQJ8!UEG1zVyY&&_`J=t1juuV5?ebHbO3`oJZ))4nBLT_Z|<7O_ICyx>- ze&q8t^&@O&4K}Z#)jtA%Ib++%yYtcYM&5SGVCyk}Xvo-QgYB9D`I@Ri*(kl-mM z4DZ_nlBet&^j25W#=w7APLq;Kz`Z+k+Bn#hbP`*p5cM|Mvp4jz#}oyqki?+Ws5 z72L6Ew&v2{jm#b|svM&m_1Hf!+|JNTH-n|iP{BNr8KI04UYf{^e%PK1WBM+C7MAcT z%pkw-J^s}_@FMr{o=czY;p-5L_}CJhNX=!qY%5)aESO5J7a*8G>8tPY=VcR$eGIVx zm9Yc^dp?Eo0NT0++n{9e>ya2ZHQUQdZmNpeP!h6ztM?2Dqx4^wss@Kwb2KBUx ze335Brt(_pm95PBS66%qCwKID(rdB8b${+wi7bdWmStr32}rqaoA6h_a>;Vg`?(kJV79IaDBh)>4`#_XM^sCGKU!qH5FcYJeL`J}eaHQY)-Gt(9C)s-?`UcxvBimbEDm>ksN$Qy+n9 zX}?6Oia?e6zgHn?i&(uL+dhbjW7_nvA9^Xl0dYn$0l5UoEigUCW8*|mrPo>kkaO5M zSwds;z&0}+$coe+bVymfxDswC-$0WPC|k?dt$2)v#N>^5IUnK=vj_Ht7@P92Sh8(W z=D-)WJ2Is>8j1l9xx0<(5KKZa4d{JJ$3f74AI)_4D#0WL$9$XKS_XQo)9aR(DX8;? zZ0bkT#0cso1(SbFMyvqm=nDMsJnS0jh@lKQKVCsXgwi8&H5Lo0ZwuV%ha=Q)y4 z_$1#kI79CpgPI}*-ljJ>vP>HoeK?5rhaJid2^z}vun|fJ%EpWtG&&%L)hHtr3S|VV35Xdqdd!$T zzsqM_s)*@j3Pr`*RgbJ)xMH0`jXmQ0LgpXu6sSikU&NmDp1^5Lk1{YWAu%aAB{dB@ zojvasGcm(d(zZXD&%~G~U{5<*DQ%4R?U1J{HPtm$h8d;lyu72WP-y`cbPrf^b&cLI zvkyw4%EVUxCf{k0o4);a37Z~!>3wjj9AG;nVKWB|=ryXmu!ir0!m*NxfcsG1a98y9 zp&M4N&lv!vP)!1u#Rqo04@^42fbILfF-%r+iW%||lDB@VK+)M$wyN}$YE2C-* z<;8u-K%BmB5CH>-8{2=jKC61mg7~Mym0n{D7i@J0{`3#fV zd_SXRGqSQVeK(sIF<~lQZeBhfZoIpOi3u>%xm0*ROb%le>B^ww;WhIrimUqxRow?V zv3W0n`R8PcGNpQE(e&b)J~&kYrtVF7>iW1dMHy9HQ`irLQ5ON*30V;2QLE7XlsA>H zvr3%1S=hXhQ8ioC%4RbY!W5T+%3JR~!$h;fP!ls$NZ7^<5vsQ_2SQU)ezmH;mZYU- zWTpyZo@I`NEU90)n9P}>e~2#=l+Op=YF@)A_XKTcu5-W}B&c>U8lTwifY{DdsukOV z~@MsGq?m?h?+{a3si6V4{%9T-u8Px+1S*afa znA7FGR0D`c8`V6PGY;+Q6YI)U}vr!M8+lkjmFdJYOML-Zibc zi;w#Qh%4fu1o{Eho6JxyCw-^q{Ian^8CNs_i1zw4&irYEWtQNeo1eIv;;Mls;?&QA zxQFi{E?GaL+AyO;KJZAfHG;TrWPvm*{Ian^nNX}Z3><~}d04isF>QooX{Ubvptu3NmGj4uwWUqTj; z@f%4(26-Ti;BWDwx>Y1@R&`-%ajuN2uQ8-HPKJ53em%*^)P^mom+;h(DI_l8v6|v? zbHx)<_X!E<>sPFflWGf5tCj9b`tGXx?u@w00IiRJo>i;Y*RNW+E(|D^F9ux+j~SmJ z;}@?Xe&Qr*K`Oc%zc7wGKvu1#U~)kr3d|CHbR2YiwC9ZelDhgO>t*_vuUp(#JP_x) zyKz>dpbyfImJ;8ORx0(QHq$4vcp!eM9>marYWr}3bF>1N<*Qb$#`xE*UJj<#)~{XH zH%Zb|Bs^9D7vc$WAGlHTgv3UQ$+T{9Es0w#_P33L)U6`dV`kqcqn% zVG3Kjf{b57#xIhZrlAv9q*|a~w|?QuMT-aUIi8GvWIfUP#!6X7rz+vGk|)Sm-%yu; z)p|-Aos_;|#FIsJix({;v~~$5t*^o?v-PoRc>?{Y>6q!?@^xhV5}?vQk#H9$(Ki*R zgsFX~?&0RZ#^B3@+Qq+`uyVul<$W#CfmsrOyD<5fP6>#oQVkxgBWoA0+pt`g3tHi$ zyXL>seFtmC-z5dUriHYrc}*WXDOUgA!)q5WTsDwSDgpjV-|fo9kILk%lcdTgALG}N zl^a$pUR%G&Pw@Z_512e(X%422uAG64Urd*+EP{9NR4SiDSM#2X-RjSkFTTvc&prx2 zTlp&?^t-@IFhwEFCz!})CPdkMjp3RDalOq1skbydv(>f@3aGa^h#kb(w@a341j~g# z_ri<9j+b8k!z-`8_D9F-LS<=CIj}h29m58WG7k&LR1}O-D5jBW@^Vsh(y(Nb{MTeY zr97oFr6y%%N<)e>#gn2;9h$l}_Sdluu|n)Cv44wwK31V<)e!dx(zJu-9a-C$WRqi1 z+m~K_lX~B{)EB(ix+dSmiZeHLTaUDNcaHarM7-GTP3+X#gFACYb?PANRm)*gv>;X- zV)?i8B(_lg6KnsMiCl}de`0ElChn1>DGj(=V~Bf{eCv7?N#2v*qa-FyeAJyRXQh&e z@Yzi+Cds9u*{LQyC8wBJ;u5Y!aOAl-aWbh-#Cg*96LFq&LXwa>g`G+Bp50AhhexM+ zInb#yHKg8Zc|4QomRv@b3RH(Rtp%D*Uh4(jlIuvYG1egf z&(Iy-!JV8KZvCYbA+PF^gheOeiSU60?C`3r*gVpiPM&g}YD!%S?53UF&Ld5BN^bb( zlbeoOkI{ln$E_z!?OmRc?cL-JXS1L*ayQ}LC}Vn)#G%`}9Ey&%?#3=}D7lyHBqY}9OxV1coG9#XRE-D|57OSgPUyu9T@ZAIEYFNd z7JggE8r3MTB@W^1LN;?4?#DTXwsm>bxs6>NZC&_9)yQeAn}Q#h#zt!~qEJv|avnjd zd{<+4hv)jqBL_TJ>a0gh&a2Y)!&Pu|n)7=~rL#*IRm4syh)SZYP|=~x+}tB)&P%AE z&UZvMc5SI^?ArXJvnROa4wNJ+sSnHRMeNX6pioPFUyyKnrQfU4?`8UH5*{$JBN%a} zaHNQxuIusqRby)ZIzsKd;blI)0ZIN52@>pH?RB2^-MGgjqw37HYdRm&cq2R0HQw;fLVHN(0=v3%p`Gn~%%l@u*Rw{35aDOQXU$%<92$zO ztKYboq&y7gW7)dYuwXJiWBg>2ONth*U$}hLBcys@wJ^7sO?adb)rHH~FJ8M6?h1K$ z)vEPGYL3Q)jMt-9zhU8WAg*0pE0Mc&MkQW}an&TyM3y zcfT#RwL_U*ZJy2!#)~8WQ6`tnsL3pu+4rW2`p-083zU7lt9_njoU!i^zvRBD{|X1R zw*~k`aZ`(A)0sYQ)@{H=DSv7{f*h2js;AY$v zuYtG1t^;zV?&zloU-}%EXN%9R5FY7 z1?5iV_2%c;Fy`xjOEs?p=-Y$R?VBveb{#s5`8U4hi;03BX!kSPKzt@D)s^WpMo_ZL--n&Qm;tQ+q6nPC3skjg)6E^_cK*>X|>ah%`Fi{3m;p>;> zeL7~OWPqjk-YfD+ zyexe0K=@SMM~@wcSlnpd!v@Gc+J49$bK*xyZa!o=)u}T-os}Ru*`TmW+ zD_482^`i8bcfVo-N0^&O{zFkf6pFp%1F|!2Z(MWUU-LfB`&XVP@4LM2yjyuk@=oSm z&Qs1NWHKQQ5e3Hj-pCcHY~y$SW>|7=b?lfN-* zQ`Q#g|Ls{XW?jp_ng3N`_T&>VQB&8NvL5*K<^YGK9Mkb9JKRlx?P12FtWDS}_3o3;B6MnwKpwuhBZf z8^5%V-{nnn-cDsa3FM``&f8v1=ij|SonLu5vOm{;mi%$DIEvWv$jWhKO|Ccu3a0AYQ2!~s`#rqn29nIuO z98r%+wqJKRg`d4_x^j;&`T|Ro$->kNY^kzY`27VoA)+e2PI4+*3310_*uq~gu$g98 zW0$GL(E@CV-3z>fD9;+2*u(Sly(&1sCdXUwvbcQ@=3AN@f?FQfk?xV6K-XEg~+PXU$ySL2W;dt|lE{}I(Lt{4uk8bReP=qu{7{tNk(L~x?u1Af|UBc@Z*;NynEbZmt;Vi;MF-d+4Iu&)-!TfQSVA-ei25prNd%gt!PoZnHJ{%IcYF)nPK*ql zd%SfNLdF@?rOlHeg{{A^BXax%hT`bFgsm>xa^80f$M7r;jZ96F=Ke8yba>Mh>s7}a z!n~Von(7U*B3twk7yL#Lt~29xdb?WAGf(n0B6Zp4)WZ`ZFEUbc}5n zbjMlGAo`frY4R>2&TP9%BBJXyyC7yo7I{G@UM0VuEM6qlI`JF{N;JNgs&RJJzxP6= zDqs2D3)@sl%tsSmcvm$VG9V5+d5!k;bwZCes5OZoY&2HIBc_8Q0vCE}f2PU z1(ZxRkhi@X3x8<}RV~Ky6m+_PygyM4bNq>Jo>SR;PuQqbjY~ZnCk4EetVm*-EQWPP zc!FsUO|TJrDGN|T2P1s0RP7r!GY==hc1j|!UlxHp$4=pOMwK!eREBpx=225tdWWE) ziF}zQUPaL_Rx zLZtQr7GzT%HhsU8qzABgHIX)P48mo39`3A1>CZ8$D*-$+Pn^(_Iu)<8g)~IOqXtUX zGQ2a|6SN57ninA=Do1!#of=Od?#(`_MLTTh56KfpLWabsCP}RiYJe_xUh*pT5HsBKFXR4Plw#IC93UtH$Egy`m?Aq4f`Yn&_OqQS-f@uiNz!CXWR9JWusN@8 zBZ&*iV>h%;|bo<*45GC4KRM4?)nHv;JP9Pp*JxxBCx$ncUo;co-Q2X zRHG)9P1piRYY+Xo=1`EBc<-=I;=KwrNbiGi&*9#35mu&qhc|}GJtdw zlDHhP+j*gLgeTm^QZ|0I^Q7}fl7hMAF3{P41V+$l9pMRT(6Yu4bB)XMT>pTk!hnYW zLny6`_5?KG2y<5u6vD@-v*igB0#r%nDe*d!>nRv7*Jk{fB&iRPP4Z4^JWZQ#G%W{7 zV&UeS)?4yCPTYCac?<+#-l%H?mQxX@mqE^3xs6|TIBzz7>AZmgc{IrQNZB4r=`F04 zK&%y2=WS0YS(!8r-i=G4GhWDWb<$rGp8YSB>=iF;I%PfG05{;1%WNE7*{^qoc{r?O zOF(BloUIL+;2l~?)?}jaWPvJ57;^=|b6~cE+7gi?L$d83ndEa%}5cdkO0d!|djP_vq<76bbEvR@9OYvh1XF3voY9fK3dh zXx3-hkD;S)Gwp|vqXJ}SnAxjC^X$&YLF1t;SA^=eCA~Ara|HNARcDe{?XnE@T8871 z1Z3LjI5ar5dLhHORV%>{z3se>gUbO_?@z*Qgg2eaJ#@-YuS=!!qE#U8ykM{dlVdtK zTsR^_exs{P5rbVsb=$Z#QY%3?oX}w*lI{Ty3$L=jT6!o;3;++WqH7b5DN{$5E-<@l z=W*wWO}*CZO$x_t^3P11Uz-wN*%R!Ev|qrZJ#>f0w56>_+tYzGc6(36HC#@$_jtaf zX}ONJUa0H8WZ988bP?+ntd%dbgxDT-^k@t?=A$bfiIb7dSGoyq!Nb7DvmGEoc%X+Z zu33@Qe%5mhYrFld>Eu}tbMl;tI%f^URY)9JqR#k;&UL`TxIU&&X~usIc#1Jz*YR{_ z27&)O&p3bDbk=&V*O)(9xPsob*J;I%S@vMccn){s6%$r%P-n20>kRTLakTU}`a7X% zKkf-2`fNdejeTPfjHsI8!rM61*b4Ols#x>BiDGVRD{;FC*_0`c+kBB)$V6)22#Lhlj6hWs)yQud({h}@z*)bXh&Hf1C<}021lM076hDlz z21a?PB|@^#mLPhe&JY8k0kBK4)I`Vr3kGI6OM)hfUV{bp9387%78&1s!vmh#xP_Vh z)Y9R+*r~RcQMLw9p#u)#OrR=bOy(3XBejq@gn9y2OT##e9)#Q=)u^HHj5x`EqICh( zn;_GLWkD)!hNLS*%5jy$O%)goB&Ila!^sO4gQ(vv!hH#Fh$LUv*wx~23zvRj69%K+ zLyrT)iBX)w%V|0x^%9$H-VN9Gf8;zo^@Y-@U+s9iv0L_Z#vnHtf|Pzh>Y!+pcD0Ts z?lDrp#UUuOXPaoZ-fNDxfn4nn+qx{#xgHfQv<#N2evqmdi5QB2`~zVfnv7}z1>$hW z2)wn{jkne$e;n#qa-^!_F(~2kB{q3J$lGXVT#9HDc}z!+>WpENsRaz@9U*OBI@%h$ z>Kq}BU*hoAW4b#_G@{PYhK>f?IY$VIpG?6VZB)PeWqj}vcBr7e&W6@%C4`|s*iI3K zN(hhYaAdB(1g(Iv-G?!hVg#I{U9uQF37hN)1N1wzeHhw1-T~wwM;L{}v*R7XaUBP- z-rMv3b@pi`OO4U#YwVb~Kv;denU8002=2&Zc}sVVra7e+4EA6>VBp4NR(>yeaZ$Z`Ai8EAyr=D}$7gFL@I`@QW}sWi*WmP~7iJYxZZBlBbndWL6mF z`Z7TZwE-C;hc9*4pEpjPRb5fYk!5(w}VW?={YN*C6Tvx66mFe-QCS{TVcg zB`c8$JnYK^_D@72=Bs=_x>~&k*pP{qPRsZ@m6L5NR7yrUMHqa4@Xy#3h-hA;;^b8C zqpBVyTUewQlv`9cn;%n!G5(WXPpg91@|hKq96hHBVybEcCFj1c>= zYNWD3nDVh|lrmRX@Ug0*=A{HN5$5D%>S~Xg%!{>0nqUc3omxB{BhbvQ={ghP6YOrX zE>TpIwnXFS1Wui){di}(XAoK*_txQ#>%h%J$p4A+$q|9PsY+I5khnNu-1nSDaD1ZT zMv&H6G1Pe>B7m-KqXI7@P-r8dANWL-$_#*gKvlPN89kVEqq$tA6!za_;)F2=ROQ+0 z67AO=i`%-$Q*q9-^yi#!&k3m%x*5tIj!N=vhVG3{a@~Fjc|Kv`XmxIOM!YwwGtH|3 zkwe;#c24zjWJJ6tpu=(kB{3Kw0EPkJDyqIm(YX#XLeRd?8iaf$J5hxLwx0`)|5QaQ zaZ$3l%(sa`dN@0hC}D(<=YYD8qVF)2@Qy`oU47|IBe#1km+CXh3UBs58AdrC!W{+S>K(LxS|beEMVlRO~=rOEJMK%?w%V`~*HoX0h5 zx~#`LoF{OhsH8S%;SIqaB6PDHlwJ}3#y}Z|3d$UA1e22}d`{F_rAb)$S9T1BLSogL z04|BVkw~rxQ)AUJNVr&7HkSE3QShv1Ckh8yHeUEHRvo%0{xemVZy(M|zET1EXBRLaA?4X}Q- z=mV?j)1FUZnU(mKSwEPRA+I*i@nch{H>20(_h{Kc@J^Pg*Egm ze0_RW{T4X)!9A8Dq43Ugf9kh^?OPv9e8jQR&engR@7P~G`Ws1m9aC}5XH*Qc@o_=f z0}Xag6~bD6`#Yg94JPs$)SUJATO>f13*ern$3FyROvv@-5J>EcJ0vMf)Z6)Vw)R!98+^g1gYC z;AT?=_Y_rde@iqT{y!94J5_K$`xTt_4h8p@feJ31DmXwJ0WB%G0kAWhb2&!P=5t!A z*W!hWOfD_^DZg@i7J7}~=ltEuEul}jrQD(1rrxXElJ8J%0JuxJB@a+;2?^w|tlV&+ z%I$=#+{W}Pw}k(q+*E=#n@b>IuYvr0w{H8H>b9Ol5-sbtSqG_ZLm`JkNw*E6x(%iG z=(gy)b=%L0QX4YpF5RX|681CfaAmZt+=_h4ZKbT-4ok`{Ffs`iivYAB7U%ujWbJnN z9_^Mfk^9v5Hh_-nQL0{lW2Y11cB46u3t`@LNkUl4acoPg8}~Px%eeq%wcB)Y;UUHWx^HdzAeyx-3S$0c!zKC4=l;#{0gb!UmaZm*1GiS}{+b&iA3uE}J zZ-w`RB@`CT9JtACR(qgB2m5qrzna1!g6x4xRDB4|!o5O`)BYz(C>C(+i$4Sh?Flk*SCt`u#uooy{wi(g3rdUf za`Pf?Wb+n|X|_O_bsYGoBvMa9q(1#jYTd|jA)kNoX0P&b<2;ewc>bo=&J^FHWD|E4W&Po0rUJ8}EdIqCLu2x%LmPz;&T=W)wc z?Ptu$(R*ivO4Wcr^#x|6;(C^38WsIB0!~*BfWo&ZS=Rp^$AIm8&D`?B>Y9~R#eVTq zhqFpWh1}}^8<|vHp*Iwk_F+^<09}Aj`ugV=&VJ#nzUT)t63KFkJ$J_h{r< ztZI5^ZcW{*P!NS;eeADd{}%W6xK=}_VN%(QvIoj`l)1~)(>2r6rcax0oc`!^>-5g) zKTT(iA;v*QopFJ2rSWm2%lN)gQLZizE6*%1EUz!$Ql38cm9aPRuOef4#`cWoGhWQt zk@0fID;XmvES&J>gg$3x?1vZXe$YLmi!ch^C};%TvoZJ^2y3)Duv2dDtA_jmHR3`s{EqzhsqO`=PDIdgR4eXrBr2AEib4l zt(sl6sA@&kMtn+Wd(~@I?y5gkeOUFcs;{b!R`pcfsv1II)!+OcLKD+Y-bkQa@3AmHaxn z)95KD+DV>Eq?}rjrTL=X|H?0U)M&R-&U;mHrem@Y1kT$?V%3l@C5#Xk7tN$1HXda4n} zEw|?&wY>MXu&|4(iKw@SnNVv+ ziE0N*Os*zct7@S4ybP+MpuHwCHPJ;eAeoDwL-c36bVm_Aoao~5K(8Au>s;-9ASb0e zY?pw-Ko@CJIQCjn`ksRDgp}AQWuma~FgHAp64rngBD=#f%GCNDaUUd`E?R$Szu0mB zG2lg7rNSwM%O*|2j}gPW=JS*>bUx~YcMfwCm9GhB4s%(`xx(llxVk`d#V+ShD=Zbl zwjVf>Gt+^sI9GwZ4>`@Tr2iquQHMxx*`_P~`xnwdkp{yA2U zBBYZKOZsmS7y8dbJd6l-RkCBdbRiwZ!qoq8W6g&r;^j+MvKZnh#O~79q$1w(xrxkA z6?3p-p~aR6YaBkda{?~WDVWqUH5E_R`zn z&M=L~OTg%#z%Tic!y|Y|VX)`t;ZT8{;QeEDbT7fTk3eya>Ac@Fg5C^m3OPK)=*u$f z8*Lo-m2mP1R}+@zFN51}5SAWd<7*`bqNB0>oF>pSG34_SoLC6dk(A5B91q$OaWMI$NDM_2^p1SFpW5)&65=lP zNy%J7i?Nin50mFo#YL2k1x>-ygyj{9)%3hE$zoHDYrhQ{^e#U<@1IS|ELv@BWm{CurRQN_#qa zBgMXpjMR$>&=$Qo`xH#ROUDNzI*Kj%5;}dhm?5DCWP3Ue>!XYNNI;r>EL{!RzFo=tQ^eHG$9uJdjvu#&Ncbzp z+r8rOF6VLHIA&b0Hqu{s2?_1L_eR{*;RB9Gc6NJIs1VM0IZY&_VVK0{{s9WZE^s6- zEq347SSa_s{+kBPZ_MQ_qD>>_=pI;Waj%~!p>u!IOkD( zY)jS2dNj0`NNP|9)1HFR6J19TslVfZ_?hOPNJyfX-`Y#u*9qclF@wB@L-Djx!sglk?Wo1yGx4kn1W?-IC_t z>lxcF+`h;KXzk@?_Mq0$vF!fd965!yq4Li^r*TO-cWK3k#Lg&Gba+9 z$Hzrl<6+oxqk10uuD4`vx<1#LaGs>MV{ormOr*O{kk9ba*F#A;HEeql5x)E zWu%pK9Tj&jz!%DKlP_^V>($W~6CH!wdRw-%^`e>7$cJIH^dL7MLTZJXm$)c3mfUbM zUs!gDON@X}=FpX;C0qu)uPniFiOW!?v zkbardP`*UA4vlpWljjawI=4)8jM#+g`-cxj^m<9_72>`siBCSh$kyxgaI~#G#C?sr zH24aROh05TS{hlPH%OK_I)^ui`=;diNZ5w?P?)LzqiwxK+_(RC9Ew=CQkqdF*3Hrz z`bqI#uOEkuA~e<=M(h4N8R4<+K@y6HSodHF1=zc5i^m+)s)==vpe6g2o}kv~SocW! zKn7E9Ehg4IN&=?C;oEz6)jjR?1iWuAZ&E-Sm?k)~sObq}8hcW2SdX>$SdZ3Trb@k% zT)s~E+1RSz;btT3MZ`-Yl{`OB_#?oS7^v5)-KEilK8DOwMQFlW}+q z16UX=jAReLkW9k^MX;j4a1rJrjC@oio+XB<;*YhLO)xfx6K-gOTzFk5Q5^g64f6LA z3J{Bvif}wgLxC9ODk1sBBF-&IZs{T7K1>;oPsz_Eq8>8v19AUHn%zK(l{NmRz|`)= zzCtuC8+1wrZpR-$pa8i|G^BcB9k)$Xi-*vu=nV7>HU^aT+XvS8c?qSt+1u58p{vzH z++Ps-9u$VxyZJ)zX0OTdTw51Di(%;6IN$N2{I(3;)EA^ped*u?j=?lBl8H{BEFDAL zH8}&19d5@9Qghn+dAYT)>O7V?s9Q^}6pO2CyItY*j3=??cxUdv?ZG*qU8!Dbf@hI8 zVb`rWC=7&G({1gx1kp3TntBG{V0{MI9t=hU#`BRS9u{p3#WDm9qMY5(vJ@y3#erZ3 z`61f^cSYB``B?AfqYw6OKGM6nn@UA5KCKq%2qz6W6ewh=e2B zD9P^p(a_)x?q=<3vOMvCrx)uKtEe+T^w)N?VhC+Kl{TIvEdQC)=r<(pXQ*?LxIX~% z)R^cxLuZ}Hmk};vY)OQ>5a!}Ei%(fk!DTxQVeNpZVvxHa$2d^3QTX5%7lqAVDNf22 z4&CBP0;kAN`FqlCbNH0TnHLtQQBT?Uep}%@ zYg<#{JZD>5@xoi`CFXBs1pk&UE(OKyIzfM%CerYVt_dCGofaO&I4VfV?T}IN9C=R7CgCzb!aMi}v0!jQ=(L(H9<4aBW1{&OIdjy(6cHtvT6%&oWF&9u_ z2A*gcPo!KO>Qe258|y&4<%O#JmkPbc{4AM~sWl;uYkj#7;XR?fw$T%en zH57abqo9GrjPaO~6CH3v9ruQZIK2l2}Z8jOrB6W8BZ!`Tc59kN-njj}!L^pE1JrpY-xwrI^t` z65wCq2N{SL3&dDTM-1o~<|?Cf43_8+gQ+aQ#z_>EQL1~Kmo)XNU2W%O1p}X&7O=wG zr?@CH>{(UoN#g!d>aTR?(GDCW!KZ|}J2oBNC1cFA{Im5Gai5kT5?y-QS%6$MT%N3m zz8>hA2&!FoUh>XwJKq`Xso8N?-^4mzoJOsKM8pJ;waa-FXCa-(=+7zoa~eNh&3p*} z2QbgmfrfSFQfEb2a~eeBITX)SMY;4wQ7?^En#e$x5gI~zmISr``5tXOQZH+3F}cqG zQVlwStSV_CUnd|@A+0yA52Gwo(66R$YE91&_fOQybTfE3OWfz^gPaICPuxG#Cll4i z60n!JFZ2OlByPmIe&9>QeOU&k`jRCdC1`}g8(gk(sqpv>uE0FWF%1zrK9V^F)~Lr5 z2_@RIWpEF)_^2sjIC)`;IE-pr<8ShzU;(P7pj0Kceo5S45%TbqCZ&B+>(|8njr73S z(;P)e7bLh8R_qw_II$uL1^`8M^T|`EPMK(`%2D)1m;H!olQ8)vH%|GIu;eC}JqZ2) zf*LhG{MSQI$Oy0ATigtDQ6&!j*``&RTC<5e$Cn&~ ze%g6u{}r*UqXWr~dg=8BkGeyC%>j=)uOJVylwLa;yFR|!|Nb&nwEry~2Ic=GWW9vS zd8T`;tfSL#F-!31Y?=4gmMxpEoV+Th(tyOpNordUQN_{vE9jj*Z;>xFw1KnIblV=% z7va$ptkfN#6F}X8D7td#*Sx9Q#3Q)dSf?|7oJI?t#s@|dZbn29^VDoH+}Ke}&QrbB zQA|Zrjxwe<-=tB7o=SB-4e4@7eu|jct?=AJ;E@)r9U81vld~E2P*bZa*3C)2Yt$Vw z8fes(VC0mWm%Nc}=e79Q=lRYja6C58JIeJx)WJoH!+WLed<1L!b(U1=IYmvvshyYF z&bK_io$S1Xg?`?8nJzNUN>5)(nkAa5mtoG!^b+pEIo(t-z_GBc%b1XAqV8FAQy%;= z8tEao0L2^D^h5(tNr@DYL)Z1@<4BDy%chAc%2(%rSAW6$CVCoPH1>HmBrDYq>3ClF z{0f(2PREImY5u~yo1dc1e;C-D@-Y&cI?#C>bF)-qf^H2kzL!bagJ5JHIMPxwGvV-Fp|WJEypkV#MKe(!h!7jk_>Gb58VpSbqvKk93YX(}9EvvY zeB5mm!#WOle%V2?X&{f8LYuapXm3zsPcBqGxLHTpi6f)%_R0hO(jbdSv{`b$B+d-b zMpW8TM0L|qh={4_IQ@l2#Jf_Rf5j_MesR3Q_uUSV%#HJjRhCWrkh;!g-hkX(%fDSu z;`_0#RXP*Jyo3JKL0sYc3Ey;capw8-%`N&SJnaMLMRzqc`h0jChBjdlAnoO_quSRs z4FR5&LV!MebU{cGeSe=s<7?{$h`s0%u!Hmx$n|9^UvQECUgAgPcpfj8d~t=-)XAIx z?G z@&bhx33W!}A>_RA+E%J7$hgoz8##jT(pV^+2HIvd+QguZJ&@G!;$q#BqqKBBO4SKR zwN;ks6xH-vsNf0xo@hR@u?X=>#EA%!NDPnkAXLo%>+Q?Kqo}gJtGaGiS9d35UkOm@ z?xfRMI;jpz0wf_T33L|H&8k4cG7@D|KtaV$lvZ(w0y@+L0VA502vN|PWK_W5j1HrS zI3qebe8>PIZWw1A2ax4E_f}PRbtk^x^L&52;UTHzch5cd+;eZ;d+O9VHvS=LQw&p9 za_dn%4aMN=QI@ljTK@fNcuS%1fF~i7})RI3s=O0-)Ki9%q6DWPIv>r zgP~N94S4UI1@p+jH}J5|7e{=nT~HaM6flxFY&1=g!-ax;qRwbGho9m0>#?Z%`TO7D zC8Io`BK#=v_0vq&WEjY?f5|+7gWR9Oh8EqHQ^5GbSK zrj7k4w9u;%dtOt}mrJv^(1osrD^@P%@8i>|`HZwB5OaZ&t`rTrvR=8u=M=+X-y<4! zg+@QWvC=)gv2Ol^zW*S09z%a2zI@tX=9HIvefMzeo8WuPYG|Vf5n^uoB3PY|N3<`2 z)uL!fK8N3M_l{sSx{-CvId!NvcssmC6_rfnEo_P>30@RWmEOs(RNNF2n4IbE>dHok zy|l(oD6lE;qBuhGD>$XR;ZI<)oTYW;m6Kf(2;L{BLdwXgl5d2HT1Exn zDwrfWfH@=_TM4ig5_3BO)T|9Pqz#prGyKEWK&=h3b3~vKISO+PGC3!}swCJcKe@ge zX)FXFOH3vn3pP-M;oxtL6~ULw>KWc-hU4qKh3rTzJwhB+%vKgAI3A}^ySslA{Qg6K z#=cm-CyvifaOAQ3;`yEgc}eTQ(_{At@>@rKH;Oxp+53kjc+YnIvqgZ7&Y8rP5u&w( z9XZUbzGf3$C2SMx&E0V3V5Rt42|GE=LZXCbW#`y)^F+Fd`?0r_eUj!s%Xq?e(K;(* zv+WJ@3$~j#9oEm&$6BSok6DW{#oKOSQ$5#&EhZB59PGYfox0cJ9P)X0YC+SB-E{Uf z;l!ApPY(|uyk>s<)5DL)JG$wxYeG-F+$@Zdl^v1g)?xU5=$c+$eM z8i8bV;d|11rU>4Wo9;3Wj(u3$5#6$-Y9fqna&LQicFxeTujwpSS)jkqm6jY19m7f60`ySKP2 zW7#aho7rVsHe2v!b!D`0-V884+4fZlJ(Go(T;c~6Y)W*6P;JwB$1ka?6mFOPi`OgI zgzOo@`%?r605wJMO+2v{H-;M+`TW?faT}n*uJPUpzPyKv_*>F_MLXw+lPcL!p1s0_ zqVxGD2B2~LvGhyD!tp7>K&n(StZQuIGMC^T3k;0wDj>%Nn>Sx5Y~9qZ>2Eq1FKkFZ zm?CVj9{Pu^{bX7@mwxE1t^HhDdt$moAV0L~U2_Dez1ntj&jH|J#2mr1bB?ftobJ3u z;^fTp1zm+|c6aR!l+hMLslCF^y>cOK@y>lxu~R_}F#S&r&|D~V_NL($_$HVKZ0`=_ z9x@4D%b^UxoAye^l%n@-dk$EtFJznmvA>d0^pV(F#hS-_Wb+D#zOs28P5gSZH~;Ot z0pp?LHt*;|12*rNCOAX_93n@PtRs0|b5Egox(fXD_KH`l*yOo;Vc1+epTGH=$EI{n z-%LN+LG|xBU`iY9EjhGTNE@Rq* z-4gGd%*I1+rj5afrr?5e1g#*Lq5;#S<4)cMd`6(MoBF z%bxK941L=^Wk~ItB=nRDV7p82nIOQoQXXI4&b`9<{EM3}L$^4OrNfA`UE3p#zn)2g zp4mh27;Z2mE<0fI+IwCxfjfCFFm7Y^9I(sf|0S0v70#0@TyLJ@Em1@WfJEr+0Ta;j zoJa=gFD}7j6y*Pksp!A9t)^pT!qLrNHg%84?w;FzAR`zt_eKB#T-FuAfP(*fUMd#m ze8v~puHH+E|GNp=ypcOm9bkdOHLsH<1gI>dliKg0k9N5GF*gao%?VCspy?Hp%`*a5 zM2<+6O(B)RL}gfyi5@;1pSBw8;=j7P!x#ZB>S3Hekq zR0I69?=XoUKE+yNyG`U#)lYtt$YtHr#24qVr#&x#o|2~serjwmdClC~P6}Srtu=L` z-8BA_*lOX{!h_=l(@UMELzi_nPEWT+;7ciiOAsl4t0@DX6F^}R;6Vf!y;cWbobsL2 zp|xm>>FA-gTKxMmK6XgXoKKF0fRGU^z4Xv!xCdXXT6R23iuDi7_)GtZ$S#WwqB9gM z-)-vVkfpWE0ETi6vcT@q)bSiO~;=#L4hdv zq!yC1zV?jN%pJQ;8C@1}#XL6CxXbj?Gp5c+Cv}ACdu|>(m+reUAJz=am~jPoZQxtT z>Xi|+wg@Z0%_q73}dJ2JTWVQ5EF?Flqrtb-s zp%X-UD;qnpfiY5!XyW#GMsYw)V3J)8?$U<95|5q3(9}zG2&`|~R`zAaP(Q8F<(@uc z<}4i0_orTw^+y)p2MgC*p(KR#!Opzc5t1C-!o*eAyWA?*R5TZ0^QF5urm_sP;MmL3 z!i)Sy^8<@HfBtu%R^xI__b<^P4DSM#T;*C5 zU|EPGIV%;TMF7)Fcd0-dVr%QwaiJ-+7+`5K3|xc>HP0ZES56%sik$U zQdd=_%WZGKA<0%Sdg_M=!ptS52LM*;sCUh><1afT*jiAJE7BtVQU_+<1Fc=kB)QzP zot5@-m#lHf(tvP+q) zs?r%X)zItqnsVv$!v~=eW2KPZ0)MTwmHvorKOkgE-8|8^$Y>DMhn6m; zz^zbo_X6PTa$g6?CE|X@(}@g4djJ+DlcW6Put-L$sdWSFZ5iel2_CWc__B2x^fbit zg;58ae4SDA>~nB#%_-b`g zn&0(`^it+V?|fZKcz|03T92&JHG-<=fQ>`I#<&nR4l>a-YMlqz;UQshHG*3mycE5u zf}O$&lLg!!gawKt7}p<)!!X>a@$|O4bf!Mja@|!qr-veGtu@+R6U_TtK&4pbUD4tr zObkq?Y8?6_z#3(kqMk>XSb(YNp+Bi$Wch+6eFQVrO!uzUrLdALuR+gaS+dp?^1OtV zlC#2&dl$U}6jG&%E-EwQ+e~(CDLnL@@R_Dtf*pma;STAe7Zq%fg1igV;$^n`6>*NkTbAX6y70d6R^7iDAA}X{_3GqADXof7bykS~31hz0FEDf*ropG= zZ*7!pGsl?dK#)}eMSoRsr^x;o69>yK6)_6^4PZ?&b3funV4)t9gt~1z=I(Lbzi9>{ zoI-{S=6dfIohIB9j=l_e=%^pe6lOjgdO&Z@QS|_R8lz8OwEPf8#@@F|51eGORj@h0 z{?*T+jbYMM4?XbXQar7mWKv}*_&>Ho=b@*r$dfqf0)k5i=1NtGD$thz8!y9@N8L#f z8LlhvgT4Y;KovoA_(>)Xs8#u&bI^vbJ%Cf5Fcg*Ep?&pRCX#wdN*FQe z=DEh163i;YlzQ1~(AHSFKS3nC1XGIy6TC_zEtMsS!~-(X+xFjODqaL1`jks zS>&H&(k82y2w*1GAw+GJ7YT|X{v-p5Q(SIU0vOhaAUTHo{g9=5Q_o`0sI||Wq59zeNB>m_FdK)7?#t+lj(Xd!*SYiufq~&5=&8e zd?|k~-^#ykddu{YNn^ff`Y?5rdA_;L+zD|yLu1Py5lcAkUiygmI>)7^9x?5Xhq&8a zXUYCPgZw6M3cDlm@xCMCDS(y9uzeQ3Cyn2vGq-bQDg582VdnM-^S%roK1kY>Xl_q3 z@5|)Dy<$^}xqXCrUp5b)A8p!dZhulN(R1-o>kK`Y3V+tZpUE=SO&_JUpG@sKyARGs z;vnGOv;57Q{BlzlahvNpui}dE1|b*JcYW~?@WjZ`+a5!Pne#9h19qF>HUH+WFTSET z_bocV=nE(zJL3@=YT6P#DK2~W6PQ5g-Iv7DFs=~zzAubh8b8Whxu|6|HQ!t><@KQ2 z%q3K(cs-0;L+=+?g>%d3lOjEgi;asw;naqBGB`l}@1INb8t=MZrJ<9}zDQ1^9~K!E z9Ru&0V6$&$|JxhGy~gGxtfo4O8>YLe%WB$Oc1X2kU(x_y@Pmr<^OKR=R84&PpobUWHhjlT;yH&bW^d^Te-* z+s=yFrEs^lvTnM)ss;+hJpfl>r&ijAnW`--ZL|wiye9-o(#FKK4uU$Uq_z-feS;e| z$}TGI!CagM%D5M=T5bPTLvzdW)l|k)q;oVQej_HQa4Ew?s$=P* zW~qGIZ}Gikab5~%h}uqd+_icY#XU`B_NV7iDLcea*MNy#mXT&fNQaY>4oceviWcJwxh_yKFDM1u+{;$ZTyfVT zDq@dvsiv)PG!@ewQpiEY>M#cloE{@T8RK#DEcGa|v&7;!)Ch6CXQJhi#kwhW+V{!i| zE@}EFQtigSQytBV7D6{sk)L8wH~S@G{LJ4g+J8W`(Wj`6mgNhT`?xFq4_r2!#%hRF zH~EY>lIN0c`=?C$Y=HE+5Yp!Zq`$zVFAO66B_@4QAj zX-<61UQyZ>m^LGRq&n=SbJ|S!!C|6O{v&Ql<%Y-nBwv-EBPMFpf5kUbxsl+ z7ca*dwWvgnP>j?o6vq;Z2GMBdl6Yfq@rW?FxU2c@#ZY#bR5n5>TY$@2BE>t*oH;!z zxNKass%%^7DyjBZi9(!ALC7Pu$BX}f+Vc~FDMTd76sE1g{bNj)3xTkQ(_{usO%bgY z5cUX#oFwd#@@cSmW`TG{Np}?S4CCP(Ye4xLs36fqn5!`>)FfeBhNM;w)Y5{fMWid# zR;*kHehdXv_$qF;hh&A4E7?K~vlu zsd>g*@TKXswX-S1t#DZ12&;jxxpalg4(ppnH#KY?K8E@8aXZ91;UN7b9x7mkY6B}& zCMR2=ur*T$J7I%0*6FNLn`}@D?9(6u7G4$OKpo^@IEn+9+MJ0-0PKDlrflbiBTP+g zCqg3uCclf3s9|g7a1@Wp%?i|uMgguwCa0KR>tF{0Vi*3NYA167f^#PJK`89u~~gP1v0*=q=__ zG!gjj2iTecf&36-?MscNPtv|J4uRQyCHe$jh^d*3$P84idMkkK`08jl$PO?^NJGGk z51CnlsO1hxEz#c4SYPGA`w{5}6#(vUQcGIjlobgK^lqn`8WmBfBB$6uI+!?|G&7(d z6e)h*14=uEOW|&nx&)L~L)k!SEpC$X2g`;0AOQ?X ztZZ*mSjt6d7^ZIZSg`!OCR={|Fr})EJ~yX9c@C28`5KsL^qMi_@Oy*aW-dh=7|IA( z&{|{M&U~eM{1=Ufc8rkQq1Yx15x~@MEhsbrU@1evRD1%pi2zGe!>~=e5XHOeg1fs2 za5*wg>Fz?MMH9CDRu|S6wuJjB%Ci8S57DR~^X6rcZ4@-jXtlcQ>z!rwe)}7$1gqN1 zfvA0?-^)?*e3+zM_IyVz=s0eFHE=Rl=H#F}WXn<3d~9ZL**yvnO+hU62RXp&VL#4_ znN!&}QG?2sr;J6Y6~n4#l__sfkqc`5K+@wg0a!`^R*h8`xjle8E8#8&a2XiqCkD6~ zpdjB%3ep;YWhyX23}CYXc2rs(Q!VVVpK(%N-Fej^y|6O)D zik2ly#EToz1hDVaIsqzCjuIegwOcK@W`J#%Vg5Gs-MEhfj~2y6#lz`Q%~U63i{5Uy z=G({_8E_~emdM|525m0M-a4ZWUXj7XTv6|;nnlnNb%WzHlW^Kr6O$SrUB5b}n5yIsIBiTqgdoo#UljxjPm3q^Ff@5A5X3;-gPhlFbg7B*U1%~E#oco0(nX|fgLrTk7Zwq| zviT0ki->yxGa~MKo}0+|&!V2;DgtNg-r(v3XYanjjTQI5%<07aT^!O(pNZ#9Y{MM} zeV#KdK=NQ0H#|@*@38u;_As|VY~Rh91Az5=xa`2$ncZA!;OzJwE+cT3^Bl(q&g!4z zZiiRB5%ELIR5U!#Rf)APatW5PiyVB;48QcSd_wgkT=YE8jR+9`{}hWsFN<>497Q@1 zOZ0z9Aj#d_J-!!V3b*O%J5TEf?uOaIv06FimOYm4)&QDMwa3K~)D94QR=b zu$YFa>c=^S?ttk>dEun&0m>1;{H|SiLPJXdCatv`fxQCEW@INa-B0q`GQfQzFI1>= zG>2CLz_+xdov`gK&eCUjojXOVlhGgIa58$4{wsuqeVfyJbew?_sR>*xwQKzACLaIH ztaO&w*H-fGdU=YoSIy#cd1=yuL-;X`-$~udjih$xLm_9&DoFeNE55FBCckjSvSrQ7 z7p>yQo2W;)4C-}L4qs2aiTLsSQVeWfx|CmrGkHSp>$_KYaJJD^ChBF*L9mca57QqH zNwguEcJsPb{IbQ%R@}7?5`#9c2HZ(*BEgkcV3-P*iyaqM-nIBwEo)8G6|M+SaNlm{ zfg9e=uUfZ!b@N(&)tZ$nS3tVaMJ6gkUj-OX4lgBi2U7BMSkbcT4u0+}5XigCIhBXJ z)Gd%=+C)v)PnKv_P9*6_%a^Y%&aRs1k`tB|XO~W_UfjG0Up7(q>Z>Ji*~BuOLzRG? z6Cr)7|I|e7(AQ8i37d=8j+fcUc8$%haAa4GF37Heo$8{$4 z=Er0^#_&R+V=9jsHc=nz7g4W&nK9aC0*RSm6lMF4bBMM~f@9 zT%l?$P4x#aeRUno2-WLa9HSZ40PJ1qG3tM-iyf27gZ3a1QvfDy&4S#fusdUgqtySd zAJqa*S#T?!fUpN4%&A~?D&_*P=*g-E;L%eLFxW?sWwEknfc;x^nR*8TxCX$PLY532 zObmQrg9oAVgQfv2S%w89cQVL947MPRS_a;-O0`~-mu}DoIe@Bv@sGNI9E^6toid?7 ztuulHs3M=L5&l}S2TzvgGCBw82o(PC-=Nj%0IXIMsFkoe$sm4+D(os0OZBEnbt~_& z??hP*rOry&DcKd|BSXS6@ahdP9)HzO4~es3oWoCyqFx>nrgoJi75{NaSWLM)sC&`J zc(tl@Tc8oq<%+kDBpCDwz<62CYFKbER`hp(We8(*OL7wDSfA@Qw7FUXyE7A{WzxPekTVvk()7$U-`RHHXeec-&$8pkI2#`yv zS*Op5`4pT0PD5y1$Y}b-g)c9DCFL#s&i8`ehAu(cQUG4_eW=&_F6uSDQ+k%hexmwV z&lM0-`XHHGWi?dV|K86-wH{>#Tx<#QJxV*2EMv-@!Fq#WK=Gtfn2Q23x8O0NR0)6I zV}~S{Q(anHHob9jWuvUvMr}NGAtQ7sMVAL0moZVqL#>%1O@+DG<|ijr{hkSGJuyfj zVB^qC%|L_p}*s&i1gD2 zZ1~&Q`=~)S{81D!{7um+hQDo(8{X)9W0PUB-m_f$MaYC7H0NR$v{5kU8o)vTwO85w zj}YXB=K?RARTO{nMlG2nu~&l(L^DAFAQb~{%OquE+NjOM)F5WI$0Y;Ntw8Pt$+M|5 zfFq)*2~>;bL7U%@p5v{`Q>Ia?_K(9Aqxk@nKXULpzBOu-ajoQY3yC|_S5c|7 z7Tp2lx&|>9T^?jxf<-mJAnQfYvY=5LT^?d`Tm~@3Xw-jB!Z-Ha5DglQZ;yudJ#EmU z8M9{NZOS#@vj#nE8sPATZ?D0qXS z3NoEhXeGel(jdOaNTWdhjqU_k-ViWovN~8jy6YEk;Bu<^L8}0!cyjv10BwMWo9YLx z23V-GDi&(+H0VKV05?(Q?u;T;t@M2Z@z*3o*}DN|le+Q@Xg7}=-KZsF3N%Bhm|Y9= zTe3V224G|mxIr;w9Qy!JTY{;9^|&>FBMz1-k5sl5)HX5TEhyI-U9NatOZ3o#K<i9f00UWV)Y@cviMRT}9ljLF}UT0Sl7oYZ?>H6IJPh2%*K)xdK zKNwQb_Ee}hHNt3SoS&qMQGzjEY}FYfv~bp)N^Vr+!|>hIUr(JdJl08ncnX5mnNqvU zgRe|U?Oqsq1vk-m`r%VoA3og#auy?hG^A=gZDbrhZJ_&v9}OSi=Z&x}TW9Pu{$wa+ z!prB+tgdla+9rg5nypIYY15pt1=p} zn*nB(CUKR%5%mS$BsBtRztQSp!vK0YW;XenL`@D)r!tV;1>`PEwW`Pw^{okT$36#b z*dVt7=6Z>m@_dWM5%^=rFyObWQoAwcS~F3J-~Y{+Lu(${h~?DF80XMpTZS<)T_|~eN$D(NN|bSq=EGFc6J?wr9*HuRBy>_3zUA~$$b9bnIhE+o z6I-^5v_*RzFGRGUOx+rBWUSGY;LJ2B`qgdllZI@X5k`x+Bi5J(Ny5mOIvr~)5>0W& z@%W=eP>FESc&zF$b&It zmhQ(S@Vo?LC*y2O>thp*SFt90rR)gF#>=$sDfs-UWBb!0J=S=ocZ89Ph&{&!_td#` zNRW*OuV;;4M%_2@WN=FPb3;1&pZ;9?e?x{WuBs>-DjX6s)J4#N2hq-FI-kYLfP3WC zNo+Q)>Aoy(NiZggn-h#lG&y#QcjXz)_`)c0N2+nS_(7iWJNo9f3}b@$5ll4nP0^kK z9vauhxf#YRIID6PXP{ftyRVC#4&xZOP6%Fd7&DEl(iDl@6sKJ@#ERqcje82Wp24~T z!Kcm)$-a7Wm0KdY1XE(zdBZp{QfEvOcjOo&b+4NF2s5+*>P=vrdre~T4~7vIWl;b9 z{z$$V)|r(|u+CSSACg8|!jO}%Jh3MK@+2%y8)HnMuV66@hdAdGgp_vPeip z$osQB+950wd!-!+f#D@QKIqmCvPir+By6xn;%`IZ$Rk>9k@#{**dU9<&qKlnStN#e zSYnX~X~ZCl1bn}Zcc6n{Ar^^9fPqCK1g31>{1%C5mh9ayOtMJeqi*dWi$ofbgGC!5 zr}SO7c92EF%934lNUeh`64^t+Xl>uklN_zlL8_*gUfzE|q!(zf_~sgD-)CHU^x^&^ zZ~ZaIihw^XxkT=3^yxmIo+ihc`t-;P#E201VnC$7)eVrljr#QHt3kO)^af)X4rFv` zo8F)eXVpI0dFU z^bA`jp1>G@V%&A#U-cZ5m17s_TiNS@t6=U&m}UHf+CTJ^Wy6Gk0YKvzEz(QSjX-<9)^om<8d|x4 Vx6zT3IY9pUpC;nE`Mg2%e*x&*3Yq`_ delta 114378 zcmbS!d0-Sp_Ww*zPv<5H;TRx5<-S7_0!$7dCq0u)$jPlZV8EcFh}SNnGww1hLQVXC2=FIUh% zAEznQ3LU4QU_H3MWs?GWCkh*=-jP5 zq*nepkNdL2_ht6auLO?T^RE*BbXwxuG2+o&pOIt7j2<;A?Byp)URdOvyj|YOyFNI1 zyS$S(P*SBhTv0Zhc17?T!{(r8fJZOv8b6KB!S4o`Tct>YJ`*+9`7=q_9N+C$3lS>FCSy#4J5;e^5p6&>FG%mYPVE8-3M zf||k#eTA15+)ogOK8-Y%K``NkftHE^_I<60MaznON~8RTW0kDpYqcyQ17N}_w3K9c z5SiSPpQj&asNe#XV-%kx+}!eOMI1UP)Xy1QCtRe`PjSI3sskesmc6VDTb>B%{zhJH zW^^rK`dTpo2nr0PMaA<|rRB*b0qnS3>mHcSuN4}AfgBkIL~+Rg`_pf*2})6w_}VK< z-{mGlq)hoiG9u!eY&It;XGYG!?7QM0P81RkBqn7o$T~msm04fTvgTLJdQe+E#h$-s z&i*+E<{Y0>!dLSh`X&4_{vO`K|BVmR-JyF?cS-lFPNfgfhv_HiSL*+$@6a0x))f4u z;Prwd1?LKU3nvw37KZYp_z8RjAI~S7_|FQ%ipq=rShTz7o1)<2!s17Zw-@gz{<`>T z@r*f-&N&l(Ci-l2X^bOgRIDQ=BsL^=MBJ!Yd;Sgl*&NxLyDef=ibCO1^6nfmYdUGm z6*I}EBykG4p6g`6PLAE68tttA}mBe)y*^;dfw&v(hD|wCa z6QEF${X+U!#%Suxa{3eBEHSK`{4UEL?wHe%*XiIJ^6Cta&oYwxqU_=1!z}WT2y$Dr z;bPQ=ITn7)v%K}Myfe^h;hiI_8~H|M$DA(K9pcOj+{n8&^C|D?t(*Bq->rY*i7l#8 zWmmV)*}0wHbRguC(aE8&Zo5DEPnO-6J_0AvXE=R~C(me@(SJ_oetu>gGg=5vQ2lH| zv4+-#(1()rW|2Pna(9+(Zf!+vB`WXE5?N=6r2@^7jcaQyBwr@kmF;;6O1HnG!oz7+ zf1tNiXk6R*6&02W*E9TzN=v2d1HJ!>3VTRHo>Q@+va=!2B^KI8HstNfbL10C7I}PD zoyMqhnnHSyWV^4{88t@oR+O05s3cbsoi|8W6nQ9$9FCGYmAfR*Mv+BB1$QSIm}rf$ zy=bR}@5FN>Z*1Glx7PD6D-Z6tw({0`eyf$=vK19i@vU2U*VDZ9Dc)t{ty}o5PxD)B zywPdmD3e(**{QVtiFbACt($lw{yF_`sxUVCn3+aZ$C2J6J2&xCvY9_{Amp^M)k^!? zvYB>g)T~CjnYXD<U!FraCHJR&U9O}%Yw?i zsr(xjzCpJsq`yN~(y}j=*RIf6bT+0}ZzRz<ff>sh|>I^fMJypZEj<^zs9YVTOs zcoAj5tuZ=^nu;wY8%yoJjV#$3ZO?4vXyV)GE5G^E#HYQ)!?kQ`FEM|P=_}DZZsDaV zEO~q*PiK*Qhu#_)*Er$laE6#ZkG6ghP5Jf4jT<}Pr9ytu*f@UQ27c!YVA7=oQ_K2Y zL}P4q@UABQ;75F4Cttzn%^`EVCGYcn|CCD7GF#u}UGMQN2mitM?UIYQE&t%R?&4cN z;9Vc0VmIH{EVt&i?B=&NV=0@o#@2uEu3h}W5BR9DHBX z0BQ%nwTW;22ttJlC*RjHfZEA#Z2@YnkxYmeSNz{pnf@DDt^XgrN&Wp4N4vb#=)3O? zUfcH%-ZFRJo0J)ra>}!`X|8|pniX@c((qQ4TX*p;(~DBci@d9qM@cL1dWqK_pKE=I zcZvM*a;wPOnq9kj?X`VC=4$4zy*+>|>{iI%?RyK|I%v0hDWe9vbx55^Q;1HQc$Z5m zaPh9PHrj0)?`oI2ZRcGbwA&8emh5t(+jnTUE&R3p1IWT|g=D0$-yKJu6edk(#+dGo zGyk}m^{5Fl-)&~(%op^{?YMojFW#SwGk+jKAhTD3K;~sy+(vQP6<}1`E}ra&b2<37 zCZ4<-x8XKgyqj-pmWrKxTZ>eDyHTg@dzY{Gib@DqXWHSXY&Ob8;wr?{JE3lRCtLH71X{qW8_g!sI^QR=u+qZ=mH73_nJW94c#ao}|U0e7z8^3i6 zZ?*AGU-M$uX1>kJZ-p9#UajZbw(?t{>bCO#tBuyFI1a0WCyb6$X;}(otL=M=w^TvV zqdQA=+6Z-(dxDcHde~P?-xL5>S*q}?wp2TBSe8<4$e>!%bdCz`@v0U_ohA*P_){%Xy2pl2gx9sGtFYsGohA9P@9It(* z^nVf6I*Yhw6Vj0+PTJa9*qTRN`O;g+R#&0Z$J$mn-ue>$iG{-6C??J{h-;fP$A;$U z4JDRRikudZ$CAa_)YYl2s;wps$({s>`)%9#W7L;vK3-{KH&$$@bP1Svh>dFpzXEd8 zQQ|(l%it($?1%9)4j{aRT42u-BOqYOiv1y1ts(nq4{FjBZN50z8q*Bb;Z!zyfJ*e= zV6c?Q;}_banbPI(9|NiXI7RXwHI9;oJYA=wv>|UD^^1N=g8vuxYDGgHEMxyo-W7GI z#=&77@TCY7ExB`OX2?mFXr_IU+XZ6`GeP+$VSNlUzE%_A^wq3bY*}nO-n+#7{jSB1 zB}Ou8N{7Ky0N?WK6d?RMh0-p2Wv+kf{WYt%^UY4h>SuWJnN)>@%!=qR01}QOO`HtL zj4;2st;DF?LB1BQ#4r)2cOog?5>Ez6oZ?!>AA-}ioN5hQIMm3YWz?kn9i}`>{!pLjE(qOGY!fK9Gh}v@JrF(*C@P-f`dE({fYcf(J^pyLF?#CYnotSh z2Lp*g@@(1m67*Fyd zl@+cBP&gkly;di@6vxamou4VzSlv81n`Mu7-e}0f_>aI*IYJXb zA|uS7$}-(5Y)W9}m@*@%h}u;RC7U`74JDGG+F1v(osP1G63DS#=~N(dXqtH|ByMSK zP3^qe`Lzq2j5PYzX_)`OE(Y7fdo#$J)65=cD3l=O4@?P6L4~6dra*d`FTE^)h=n+? zVmlp`4MJHWGtCsE>x|zfuvgAD;X?Vbj~|NOFS(2y_EP)lNTOUP&H6c z$LHC6Hqwk`9vL~!Gj6DMKCNAVTAHyeFw*%O5?>W&mK@6vH9&7V(o8KmhAbz&c{`WdCZ6}4Cf2%y2lGp;ckqV8(*~I|I7)28VJWa9{d=@n z+IWTP>7uRA@y|cc*V{GN;!0cf5LhV~DDsCW0<#Q76hYN7tjX0Z=oc_bW{N*4&J;O1 z+;j4#*O!>8Gof9WXd`(X($vMcIoMmM48lxveK?>m;7e#%w-w4h6RazYDIgX_Ien=9 zIFShftWC0yZ_lHzvXtDN1b0ef4}TyJRvX?_Co=UsdSKlFR9K>9Rns+rbC0u6f;ER` z0VKo^x&@Gpfrh1mCz&ZsE}OPF&!e_;1Q~*iA2N2o_L7|)`WIf-x7HEYDq_^V(WFO# za7PN0Zj#V|%zEBY1sB0lja|1r5Ph6f$_@ft2xu*;=E$I$>nPFc84ruI0?F25?^^8) zgxb^EG+4@><-XKalbB7w?084M&XK3{rK|j7esI?4JlST@d9Z8R^Q_V|Nj9CnN*h>j z1G;aG|Kwxd#<=&^S@P;E`S8!?q%xDUI_J1L=X7b^-x#e7c51G-dF!kC66-64u6?}q z75&}TmkV9{dFvY}d#%v*4sU%OWv>>x7~zFfCeHUs-uee@`x)U7keNVu#(}K|s3sbu zQMW_0%D1_06)oe#-AV zEa_-vdr^WJtK+2^w!0if6r-b9Mrjy8^wunsJ+-~)(4CmoqP|*;)f`0)+)#m<98EC? z+qJ{WL?0~DZ?ZK;XNZ)veTuF2W~odI4G2%L2-8@$jCYR!){}~|<-D79vX-S-LsHG1 zynC!%Q_Gv&L5`&!HO$vH*-j2Hf$bnwS++ukS*0jj$$LTkDF{U~LI$~ucLxIvK2Vp$gPeB0Wp(!EY?#VOK)>HAbpXwMJdbUKQY|`PwUv zqUNh^TVC@UD!zFWY)l>t+hr6Eq%;3E{of8ZlmHefR*c$-co8;;~1=D=VLhJF@;<*k2elyCYsn$`|Qhw;Ae72VcJBEktY7Y-%%KH`T34Q zCowNWKCSPQjzXY!yxI?_c-L#RWG&yeo_9Uw-7w$J zTOY)Rc@1xUh&Q!8!Mh&!Ry@F4A4bJm-uejdoMgS1$NAIU-t#9iDOOa$p9e9FKCMK1 zAEU?OrP_Zs$;@q?Ph1NKxe?{MQFn&=zhf8U^TgPLWz+s=bB2`$p<<@QDLAib?5hyqLI_$c=?(r!kX9kJGgl z5mzzUV&JW1^h9+ok7K+;({PNpfOjqAw?yfz(Yh`7>#S>ZTi()H_v-d5Bo7u^^=;m@ zh_}Y*p!==+bjWM_kb6L9eN|^w>#ae0>u5c7B&>dVYpC8jPH%mOm+kYG6*}um-IjKp zwL^!a<<=VFnn!3xWZ7*?=vxN{Fi_4|xAU$A=z2SE4c1%3 z^dK5?5~8<`(xY61{8(?9Mo+?XjjnMz&sd#v1f5Q&fs#6GdT$n6V9vnXMbXEbJ*T%K)D zC4PC3q(^1}zxW{Bk0MXFJ&maITrWxW8ML0Es8K~)Q|+0kvqu0d+0`aNOox@|Yb$Ih zYLRSBL(vJha=bmOp=eWmLlMLss7v!R?XzH$jxTN~0?_d#4Mp-;bcTj}9I!X$l9RLT zBO7PYB(O1?CLu7}4)KFt&7Q=6zgnI`#Ou%~dEz)w>V zJ&SDg#d3vi)~pIawRE#UdA&IVRos8C!qFzq-Kd@xpYD>q%E?ZKs09X-j_yNs5Tg>1 zVJWS*fiT7jal5pLnFS+52{gnEDE3H zJ41^+kZRo1!R5ElhT$sm-rq?6DK(ON;&Yh$l)`tp%y`_n;fl5Q-?etx>IW6vAN4{+0rSsiaP7Ls zw}|OiDkFq|H1-$V{QJMgGvTAXSKYTU;ignv+KyMs_tpbfz$r>ibxoCiE}yFNUN*B+ z0OofKSX^~Yk-mHoO2MY%E_;JF+~Jz{LRrFAgv;VVI5rd5j!4){euEd!DatWr`8B#h zD8x-n1Kj)ajk4m(AiB|IMbm~sDcI=%Gkd{~4T4Em^thiM9D`P=R?L-SBnCS*r6_NS zDai%8-^P@HxEg&~@gOn~S2#EbzhT5B4PBl~9kazsmBJ}Us0?17rzk0L^zwYtAay!W z*ZUjlQUs-yNoZQiaH_P7sgRRvO)Hq7rZs`e*B)fTeM~ewLE(8nE=Z+FQToM=tyxr2 zTs=f1I};@0(pmx=n2ua!e06zYX>rXU96KAcwp*UHLB`}N$5hwk4*_Ao1;BP(mH~Od zEV|F#t$a~MV@j4at!G%(th{`Q%qN)urf3eb*I%C$W3Cn`HMR&aR7v`#3VE@W! zyz=~4$n#t!r`YBv5BXPb1cyNx!xz`oEbYiP8~04TQv=$?_$4aRjClwrezIw*0s1Knd@Mk5-C(D^ec z$LQx)4-YTmmIBP>o!x=J5fjFWu&UufOq>zD{^*U78X_*bpkVk=72KVW0>Qg>5klnc zHF|z=(E$CFG2C6iw#>_tA+WfbVgp87G--f&+}(gH^}-EAofu?!ex6}eW#tuu((Ze$ z>2FLxQ?tLa=|x7(%wI?)rm3CrVHV#e3C|nMB$awvL}kQ1LeyR+&G()N98Y}bx?fnb zm-)okKm}@QYO0X2kNHHn@v_oykMG+|zlynInS|(lMy*<2y8`)ZOx%>2Y3r~h50oDAT;IHgSBQaYlnJ` zDb!*84*_zfA&oL9l=mtl3x~l_Y#U4nWlQsy*8<|V2B@nkt{NWb#npqj<+l(QS2VX; zKX;BC$4Aj^0dZe=rEOr|?^Q+@7wLx&f_qvSqSz8Hb!ws!Yu;XC=ag5$3Ji{d`y1Bt z6W;YaAmsNdROYZ%1`}A%f>%#5|kkUSDV6A!OT-u2`oyb4&G{O*!JhYY=1`B@$6rpdwwU%&%TzR z@||dE8KXU(q)JLjmvr@1HW>T!JEXrmo@Hui2fYWaZ{ zY&d2)Zf>&Q=xpz4==SW~A7cB({JNvp^9tD{LA?`@0BKleg?PdUz6`WzQ6XKGIqbF5fHa-+m@ zvN}pE>HWF)^oM6WQ{|RUop(w&~?P2ZRw6{%iLQ!!NC1+`=!y`TWrROj7X>3g;t|_E35%M6E%vF_EUUt8w zF?M_&!Z|K^m=7;$jgB9&7r{B}UdKsq#?=~&++R_I?Fl5gG2q+S1dke*ms;cAjD*G; z&nPrT%O%Q1)^SNVG*=ZPj4oG|GARaOdATa4sCxCQ(&_8wBZcGV**9!w^>Rd>|^-F*+Pdg%ArBvVTsTDuG_lF3wUCXrhMHz6qt(KIt{ zc+;sFX@d|cy8i}|IW=Ptg5szu0+Om__bnsE_pW+q1<~ut^vnSy$s_|r*RFUl$e&`n z1yoPcGqkq=3&jGG0o} z$~2YAtW=1~=~8ND;@J&mMnTgWqzZKf^M}DyP{yzunyOTc&#tE3&CZq=1?7D8<)(S6 z&}aUj^4sHAr@Ew6w~6f?FGCAnZMsM0hY0HbC?gGnVXBfQ=U#&?YPrQ0#Tcp)jq26) zNVt74GPipz;DAd5gKDTQpECrd;NF4B8h4Ay3d88$bp3 zF2KI?f(^5~Wu-;>s-bSV_d(o(-}qawfMw-X#Y0dE6ZawDdcAN%7BI4G*gyf#b%7<# zx3DA*-WGMx2QYGOV8e7szW$KijhkCtIIl)e-3;ju_Zh(EQqGyMbr^z+uE2J000=4f zZ+JGg-*|)fDo0lQX1=}#ORn9*xyYM52VnaKu1LQ(Jl)G+mEXO}JvSayDH-n7J+Hs< z=35YqD@~88f|$K;OBU3k3Si#*r<83_shJNxlCpwIt?oSV@xeoa@~`TvO*;YquTQ0# z7Xftmb1B;n8~w$XUm<_3X^+aMX}^kLyN`VP9SVMJdSB%Ok1vObm0i}sL37`OH7|LE zYRJ`y)78{eVEZrt#~s61TIHzqVGN8atRC3PNs!!0Gaaw@w_JUyy z6q_u2U64Jd=cptxhafRO9PbsB2jSIyrt%9q@zc+z`c9wmoIQ8`!Y=?h|Jt`I-*Kkr zCVVJ)ZhOhwq$Bb`>dgNmJF4@>iex%N6 zW^bT;LmH|!^jj`>^uvps2;19FN~5|8=^b9b+fS}HjEanO?$;Ut+So$fJpXQFuaISF zh?XWt(#ZQU;w9l!w<>?PsC?Uz({6!}Jphn;z&Zgh0J7m$O= zgp0Aaj+}P9>Ko3*lE(d8Upy`-q0a zwv=X1qT@ya?IJ=|08~)5G5Mh5w$|6E~uf3U^i6mTW zn(eB6xA6THRjTq0A>^uxD5nYOS5>^SS@```Rdk3ks!sBbS_yGQU}%Etsw%_uVnesF z#oh{RF+EE>!IWq9jjC_Ub3H8FB%|F0uTQV)qPV;g4HbzncQ3RjUa90ckvAaI)_RJn{Z)xb(t=nX3 z@9Avl*|d0v-T7&^+q15|p@)KxZ|Ig#1k_6y#89#^hW3{2=FmB4));$&JYx`{Gqy+N znb6}029AyG8SfrpSP(-X444@iOcn#oVWxyIkz%*EfP@j_?aqd7;oDzT<4ndVM5y;5 zqz5IP`(5tKMlIUA8oF00I>8^>#uI`e+%znySVA>YLglR-Em3TwL-o`S_O@8J4m+Mr zaD*{bbno`mQ3x5QUw2xL3@JQuO*Kx9?(8kX^T?)4v3eqvI@bOYC56uWOuL8;jlbwe z+0@a{ZT7Ku5KUY|cZ>ZcVO$71X>12t7%7w+L9|#5jfxZ9|YqA8W#!9kfN@zWo?d?F$**n_1&EvD( zEbYr2N}rzZq$)-ti6S6}qiKm}Vhbn`$J)oyy`TFkW*pQ*3h2Tz7!k52(H=+1TW4dO zit$G0lg$m?&W(5&?M=WCAd7wz6;k8IUmNeGe97N<&2ru8OSH-2^j$|CKajb}q$HVY zBP>naI!H;d<9c?(;ZDc3hQp3uQBGqc_R=jW-HsZuAjRugr@mOHEEcUhkgm^z$xemV zkdff*qE92<2LdPeif1>Ru$-*Na@*+3Mv|~3@$X$hZZ(;nWcKNbf_{4~!HFL67dZ(v~EuNp+I#1EYL}N#0Mesfi}htloH*=#!v0#KacI*)%R; zcGqZea(#%(KW!ZCC8#S1$|MKM1U;Q56O(8kw4#>WNVJjGO~kdC0GsGf(Y%^y`vDzk z5^YDEzoTR53Nm@LXr9@%9yCr&a)z)s%&A?m?(cz5WV>QLoYOqYV;+lFqD!#RacG(8^cmIIB1NlNBjYYy^QqSCi}F zMSmw@Zx{}R#YqtM-O_B*y_$XZGyd!&0TYu%AF%KedKRAaXD1W|CgRSyG^(=S-yLQ< zO9B&ZfjczDP3`?@{hcH=s-r*TGLjP={qDmUoUyb0isv%9nrOR#KoVlPXh$e&lF;MN zPMU0%E-7Toe}IMqpe3lCt6O>K!Cr`(qbO^m&#+)Qtuk*d3T;>;)C zCyH9b$zWb>!2k5VHmUZl`|UH%?*m!C(8Fpgs&G$0GD3l5eTFV}1sbEq(Y@+TA@pa}D4{Kc4Xo{u5C#I_D->a%gs?URH=jc#Xa$U~crgZ2jDWMh zA}JRgc{&9#eTVI@()K}UZ+{h#!S)~uhj;s{!lY1EqkMDEj8Jy7a%{&b#P%GVBCYqw zsGitx(Q?V|5Ds2bC9-tI3s%oZm6P1WT(>-m=}!^ErqNbs-j8R>AU(Jmq~Am$aRHyf}D9e$3S63D4b7 zEm$%$%6!UOY9v_*CvRlF)y{PL?aP^2e8Y0X?0+ESOxGND8oUvV!F@zy+;z&)x8bzq z3>{J_#x@$x-YIu5eNF5#dhR5PvTQ6RVtX08B)lz=>WfRnFI7B8l}H~M8)GP*Dox<_ zf3msCHwC4Vjb*a3gajo!36@zL-FumK^?7uEnqO-yam5i>3#@W@j$lK7?HTIhfz-^d zYRNTegF#H|s&I!;627M7Q8(^0b9mQW_W@e=0l6(le4mF9I`S)C&W*)4IGx=~d4L)^7$oN$KTC&OKM4^55ZmPpyL0Tr$u^!EItaynStxSsP4H26URO;> zI6;ME3>7Onh7nzl!TN&Jo{>Onr26L)iq1nz3yW23Lg4fy+mH453BdFudz0|Aip@|q z3Gb=csd0asCi0SSHc>m2EAa6#voWj_|n zJ`BsABPWw=KN(>wsn-vk(<0ehNu&Ua-(%7y_FyF2l996z|n+xEFlf^MDP-bJ? z!Sx~jsDWZgFnI+QwFt+6NuNL#!nyrjq2kb)+Df%!k7O0-YK>NdFAmY$FVcH2wMH?G)P> z`Z`SdmrIK8Cmp<%I@$uwm4nUATG6e(;HQDoZLWj2Lw$k}5U6qcU(3=6eF9mh_b|F(7$KrQ|BaT)e7G0HO<2IdlcP@4BcUX8r@ z95i0f4o^50Z_=GkHznGWY_p>u`#Q&EIACVzkXN->2pVG63g2*S2J^O72=-x7oiA549`V&F$TbW&a9VVI7?#8qQ1poh9}-&UTG+k8rB!%zn13*YP7vj^l#* zES&)eR|uWTac;l*G?n3Gl3`o2^PkX6uore8b{z9^vhbD<8#}5r3WZPz95uEBy8qQc zHp28kj+ourN?a~NK1dLgH=d&_C5En5LO#i&ZNB&3D(L893YD}@v*Vjp3L{w%h5rmf z&aQKBPn~J-+lz_Ma+)pYTXzuGvl70<1NHvCjtl0u-onMvS00k@@*oT4~?1R<-|wGc4why&1adW<*cu2N_qy`U2D3B^-)coHeJd;2psEJMl~ZV zTY7(-Rh#~!e29q}{^10A@HCV0QQYP|8yz~IiYq9q$f(dS^qwavxFQ@p*}dmegV%n_ zxOvsZRry1=+ngRJMb~dRPzqb1!|mqqBRd15RKzN!W2xasdkb#5^i^xMYO@ocv-aMHbMD1bFJwe*6+2q*^ktFK03ta zGvmUzyA*jN6^dvQOIAhR6FDLF>Da%<{xNow5aDL&PvB;{*@?;-!V))IQS(f+7z1t4 zo3O?W$1cJaX2iN>yVCIX1HtlfmO|+jN84OvO^nEqwiv^|2)3ujj$>V^?qIY$=2?N9 zx)Yh($loKy1>`${w6k$DQXMBHN8<#2lF5-Y*+CzT1yF*-86gHb&W89-Qe zI7J_Q-rG0totx_0FxB( zqyQKVfJ>Ar>vO&XP0a`eYX&&gd9P4x(M?z6aZP3CKprgA7`IwEI7v2d} zX(sr^(y~tK*w6z9dX3uU>xsA5k_;i_ON6-Hjtf!MWDIA`Y_-ORi6!Gf;Lp%u9^-AX}S0UDjjVBhV+yy}=9KgKXR=c)_X$&%4)Sjm`0{u^}+&kiB}r z05S#lB-YryHy?`wTO2(-Uu@E*4eCw{6Qnpcc2L<#8QfzO48LfD0@wxx@T2$gWJpiM zSL^206b&gTZactUk->&tV?@roS?!p(9T>#RUiCDDE;_%aX4p-eg4+qOuf1a(xB zql35>p!?Fxk|E;aswxU^y2fw;>}#*;^y+n4*`0^7Q$76X?*yd>_VE%Mz&`f)6DG*W zuT3hopUV7)KT7fvr1lBifDn|;^-pcFZhhK@!V68K)#I3kKO=j+X^L9S{N)*%eQlcB zS2ZqTn3{Vu^7oMmu{&d%V;f?h5hAqe3CiulOszUeSuCv5sw--C4OeruH>tU^gKDmt zs<|hqn%f&=`1b!$b1zXfcj~{Xxs>0kxjnco^M1{B^F=sJSO>E9~6h(U!HBP+bzTNPgPO$rYHzfpM6 zvcijqCf#0z*DWi&qqiu$2ua~F%2>fSP!&bMWIZ|cTa9;$YP?@!$XHq9&HFdicqmk( zP||pTvCw!Zy+z}V{jJ736(hAFfw4DhJP@!yP&IbK*#D{W@?@2FkF4^#WtA5a3wuVC z!qc#z`fvy=EWhw3CBwZ3rI2%r7Z*3TrlKg{R5PFy6x=_7?r|@=A-@Ysp{#t~+`-#d zt`p1nE$?a{0*lTsEFXSl&V3AZ8tPT2LrMW537G>Lm!>A}OHg;wOWlwHj4YZr98AG| z4F$N*s{n_<;&f&Cg;JD*49$H9;?8-A8@k$#sxBVph(d{f53pTS6;W>wwu8u*)-en7 ztN3A~;En+<*sHRJI2<|LtwqP&j{sZa1sfu607d8o1iT%}erN>T8c zA8;Al2Ciu})YIES=u*EkcnxXVtoCc#1R48_nrpJDlugg6)d2?%9{S{8?oSVY_W2ir zvPJbv)8Ew-*sr?3IReT0wP~N)kNNgLl8}7_tvGP^t5!F4`Y4+|L;4BQuOXCD==^sO zO4CaSb&0%LbQIhvW`ZJps%#X8Mh1RX4xb**M>QDxJ&c{2g=P7OuP+`DG0sn=R8+_e z7-n{2t1F81`8+>}kqZDipIc@Tu6_Qz!MzUHK0>8d?D?&0KMC5zQ=@09_*N2y+m(-X1rDT7}p_0(jsimc*)ujtc&87b+eXaDLrDsc( z2AyH9VV+@~VY8v#;5Iy&cqZ}oq}!9iXKH6IooSr8d}i&;l{4#R{+OMT^I*=CxrcJ6 zroNrpo=T=XHYGl7eERzI=h9y^rN5PaHvQhz>#47%rcKeLZA+sl=-*{Y2Q%CmXEM%a zd^2@R=GM&DGC#>YocUd5Z{|-(yEBhw{#$xGX}D;3u-sAphl-~vp03zY@%M_|6|Yph zTXCr3a7A}TZ^ikFs}(+#qbes-iQQZ60s()5}RduTBdR0*M82p`#zwy;F z#QLiL14ek@dv%RIDpG7EO|jx`vLsq;B+u~TPEr=vWpEdh+jZ^>WPG%kPYQ~}T#`OV zobln0XAUm4_VU65$JCYT zKSYyjvBF2k)KQ`IvvBJdT>fOpZ;ltzV3}v@)`M7$DZ<1V>NMkQ2`KHKk z$-?|z_3ZF(orGSw*+=0E6S!Y--eF&llc{|B@8Mbt?Y-*Jri-v=tzQw>*Tk?T#z=mm zuieD;jr6LkC$C3~v2_Gc#9XFx+DT)KIA#ZZhB%!x@vAd5#-QF7WRz>!9Vjq58)+q5 zPxCq%lBJMWj3h9|NfF>u2oKW{`rJkzM<}8xF-{#`Xu8h)ptD1&ppRZDhch!!=!>2e z_Pyr#!8bp=jhEiSc4TK~`}=EFt#5HER*`1>;8bZuThXtcK2ZCf! z+Q=Ly4OEMT6M&wmx@&DcaYW+f?r}& z0F`08DajBgpBkS^kb1chC!HamN)Xlbo)VimaGlg9nB!Wt#FZsY0*z|+Xz_uT8Hu7V zu0qas?lAkxfb$a;H!3>Lc@pb%htIhuG`FAI^r^=kw!?j~ju;Xg=d^3jH9O9)!V&6; z6CLNs8lmAQ^;qTK1m{nPi!4nLOo41{B|ejZDeh1Bm4qdT6FU0MevXqg8{#;z>%t}v zx8Xv=Xy`V(E>XOAv#}z69J)(jg;<>q%O8g=YP`f;4Uq!5sYzzRRy_yxP8%U~shT zR-?kEqCBJL%<_dMMK!tWHfR`RMj;q?G1Vh}G#Yo`%F^VYg-?D~*95JVm5X#sx=fgI zN*$F=I~n2q_7a_+^;Lvo)wOZw!CqC8+>;Nkig8pcTW(;tbr)`D)3AS-8lC-1@E~T@moYO z5bcK0;)R$>5*u&W0$Q_)D~FJ&@ie@GtSk^`;@7BGx_sSZC_?(7r7OCQD>|&!b#+?9 zr}Pt@S2i=AK*ZOFkTuiA5r)}?!Za zCZ*0`#`j0$oLHdSbzuXnE^Zl7qa$L0Er=d(`C5A2ea`!@81aeIRctd=0PUyOEw(=) zN9Y+TUr^LNR76=ezH5m)2%?SD^Y)qEE7zDv7zo@`bdO@^y)pY-b@c=l@TV3jQyWS`QP~XR!4>AjZ}?#KlVnA(swt2AD9FG9i^RA(=#G$cQ3kL=$90qbO)Fcp)uA z)$6jX(!yM{*UBuVNQU$UN46)~I3tNm7O$8n2buye=bbL6cEJp@}8@>o)tB1TX=;pls`6Q8t7 z5ksZEMSt4uzp$L>k}9Fx?{{3#tnz`tHU>$7SXn9^n40b`Ij$Wq4Dos8Kr&hDT$hAs62KPW4#(>9hdpD?PSfsMbN28(6+n>76E~-oh68L8#-c z|0b?4sZT4dvj=Cj^eEkatw)LL2U^&};N=)`9j7m{58O*!KT19@82~;(Tqg&Ce3Y6jn8n z>Wzr5$2=p*xv9ANhhhwaTJ%Q-F5YFzR6oP1OgeJAAL3>LwS>u|ZPuVoINI3o73!(t z3hm0Hv~+RTVs{1`?hxMn?aTHW?o~>xW+LUq?$q>h0_U{SEy#pH8Pj znC;I6PtZsfpZdSlIq{>;$%jYbo*3;b1?6S+IAKdE8=#)GSVu~QZT{TyV*hsOmrspH zA*x1P-uhD3g}2Tnu5yWWp*@T(sK;0IqrT9aWc_{;FNp)7f(N05H&3XNOpwS?U5Re` z3A7`)01D#PLoNsgWzG>IEz zD@l#HMKb0zew{{?V))d_iYAHze0&i56!|g;we~*_w*G_H#>(rH`Yk>fRITcl*EPbd zi|X;FyJwN7qbYT3$?8IJO>K`ez`nhqo9vz8Dc^s?jqr))1P@*8^XNiFF$#U_H7j~7 zJ!U`q_V#YgDhA+SZaNrA*92hPADE+{Unf&6Bhg@tqX!#Z3KWQ9s)oqu8D{E+3!h$u zDh(j-&VaNZz(h=mF{=oPArHaQl43f!ZFG z7(g2b(#C8IZ6m{YQgxf!ue7Y&uY?m&5VM~_QW3M?2YacdG0vapu9P@`@}ucECow!K z+z*dC5Tj~_CyC(Abw=S@pE`<)>vR~NX_QeZL(}C$lv(0L^CXE#@MxqYZ2#cU1-{e-}Lf3hnZA55BEXwH?;Jv?1Zm(Zcalt`8( zilMuXBDhMu;b`p-WOtT0$~>wo4$fI-wur!^u1L3zEX@{+X&1Ao7n?-)dzs!3#lmGy z*m&$pTJW)Bwg3r#c$Up0j_r0F(;0rAaRnb2r9sLlya3Jk?v;>hT6~!I`&~V578Syz zGips3bkb;P2QxH4L4QU~av~%4MMXgH_YP$0HNXGJ&_XKcg$7hs9Q^Z-62vjhbVY>V zH4uEHaXDm}uY-=`p1FG_HekF{>MYheRZHrQ?T${cfM8qx-%xAcW@# zd0klSf!zbTFnV?pN>Ta&CFM43-@Ev|B0OJ>c2JgqJ?w&oJoOlfUl1q%a;tX>4tS+US?NN9FiHLibMg=BP zB={XH5^4Jv)MdI|ayAgsd|hTbb(|0wd!;kGJ<4rlJq9GA4!{Wzad7A`NWbO zOV$d1JEx8bfzVE)D=&?Fnu~MCQsMJ+>I|+nVMmKSKnOgq&dXkmDWiQ;dlb@eCHndr zd>(x!95%D%y3zSBsc!Rr&v@<0JehT2t$`7)Ah1r@cwRl(beg!%czsU}{Jk-rnuWAh z4{@EPW`XJ~X(W)%@zNN5J$|x`(kZ_{T)#*@4mAv((fG#a5Q>Y$b?JYj=qIkrs5Qm3 zULmfl{|g5j;Zjps`0r$J5iTD|WPBrBel%kQqnkIuZ3~HTh0+43P`}oZ5w0-$LjMN^ zeyyV-T%#peIvQO}$Cc)~%^tT81iMiIv0xe%_)H<}@5W>BqJ9^BDeWP9-H>N7*09*_ zHBWFl>~-=luI+z72p>0I=(-N$WE*FME4AyQ?4g_=4o}tG1lVX_$1&{x*TOo-(|{8v z6ACBCFk>3EqL8RXAgw>)Uk&|se`f%mc*9qu8v3ckWFf+g#;Eis?8nEFVfd5GVdN3| zt!nrSlhJ{%I2IsbWWDf(ikqzdwh%u;7A~tet!XSG{xvIpvHT(i**Tzvrv)>LF~j%P z?by~|XID1#*LT9*8sWrmb^39la=DWdV8rb9dr0d|veN(GWThXn(ogjmm6iU4n`Ff_ zTv+-gVHv_POj!O44zIA>EGxf7F-%te3kohGS!?{q%GY z{KRS6O8a9t-StWc^@JXyQT3yZ3MpVn>UP?oa5$CQ`ki@n0wmVo%zv-TMsXR~0<3pr zAe(E7Bv0Mdq$_Ea|@>p5_og0$7KB{Vv4+H!fwxT8o`+T^-@suXP69sCdplERd z?Gr)yU4rm~4>vafo4Ny7?AM9w2Gu4#bmc1|TuN!>OE(xygiD1tVX-e49Uw1vxa3fz zn|(R0-(tH@Lx0_-hJInyb#=D-KB@zRoj24GbX8ASHI1$Tn7}ZoqW(>x{cwHG7s0aJ zQw&)zz_GfT>@F6AsY7C@EP|J?yV%)@Z^0fQu8#@P;@f(*8LbD2>yY%q*b?o9IJb{> zDl9l`($P`TJu?7>@*C6z>t-x>1T^gY)usne9WDN4PpPKbNETzisO z+sYj$tgdqFzH-fqpRDhcJ5H|n*}A{namxBqxuef2mJ3f@R^L{@Jn)E>27x%=hHOC zSJ@Q7sZ~M2A%c={*ItX`7@tXc)eplzp@gf|hv_#DXo{a_3nY~bH;uwAYpHx|y=LGd zf21m9UX8A}DkC*tpDJPC#^RPWSiX5bGH?ezQk7)LpIcbWSIa=Sj15<@ic&dxU>LUe zB7|cOz@T@0Nn?Z}a2%xqUqrj=y?Gz0N!;>BhfsY>Rnr2m)N0EJu@dM%fGeSL@} z$r4NO9RhFHTrSXgBPE7`$(#dNh78B$0qlO6xaWtk#Z~B~F%(nE=pU{Ky;gX`2Zjcb zGC*8~7ab3O`BksN9npobr(cNT|ED0s_A|-?pY9L?%q=X_x5fD((V*vpL8wjX~NP^N-5Nxak z5fl+TBIr-S0vaq>u%I40DjmB4QBhIf*%Sz#^WJ;^yYVB*?tbTK^Gw~DXTWZWL+!B~ zFbmbD6>EpF{K)!>4zl-Lu@FCUIC+mvMqB-C?2I4T2Q@Hc8X23IvM@M+I#@e}yd7qI zG%GXz$y#Q1$o!m9z4`Co4yuoeWWH=UC)4tf8JOfS1F^=#EG+_?lBP zoniuJa~6yLV?P7PnpR6Vx8{Sr6?yYH<<2e1xH70vzij-W2^oOlA*alV<+fQaARtq@ z%f2{_8%Q?b2{=72UG^4y-`?fD#`p*W@dq48SFVmO)OfdCT@If#Bc6cqG0 z@^kYDg7A7vPKIechm*l-5Sr&bFv&wr8@`(7b(-X9nTiS6|H-;Ijsv*+n*Xji4rI`a z z!8ItKXe2bhA+%|jz{xajaN%T{oG_d0(qUe4+}O9r3~Z+~KVjzb8si6%jd-ukGdT^v z%roskBz&Vx`zy9WT0^IK=GX?3MdrBhhS%nL;0j0Rfg5(=5>K(sGyNZV+HW)5tm?Yo{?qBwAjNrfV_|e-J)8Q5=WF0gj+T8p~ly z1e5R@z9zZxRb?n0XS&33KyHY6^vFDE0K~@fr0K*GR|RrFbzy!~S`3d)=Tyz$;N>oe z1Ga$h%56cecG&XFTl48y@Phh@i^ne3_)Ot3oJ2Iyf< ztT@h=r0jcw9-eMc`=1k7D zg5K~-J*&#Oc}-@c5pz{X5J1X+U{D6Z|B7QFoY_wqQ_a7ph?4U@g#(>8qAk87BA__u zHR4JHa5F0b0gkTi4i(_SXyU@45&^-I3v+75zFvDn1Q;Dx(=t+fJ=U+(2`0$ z0%%aF6%FGpgk)acbOB?h`KxRA6_}eh?_r96lVu+$gEq%8*do&nFxIgkxdDdZjGrtZ zCr|>sF`W%+1zew&&*8&rWV%T*Z=I2jL$}+Js+k;z_aU4Lu=l&jh?ka{93Rew;JSw) zWOs_z9v%Fjm9;gSZ6o@*$A-YCvKNGuKOlGN7ik9eBUKBNYqPS?4q1cfq@(W%i@MTeJgttB!%uFLRxdZ~U z5Nnww$N?Dt(&8JV$)EwMWF9-nY#5}NqjE4`3BRQ~atj2&-pMor?G2z%w48}11H<6( zWQsXMXjlR@BqLjy*BX*Z_}@YB+uBCdQ2?F5Z{^x#m{LWu*EZ>@P8Fg~NKmMI&`76|Je>$b$HdDE zM__f+ipbSO>SX_^0f9l&fy7@9ABiU*pMz>M)IsiF)L|3SbuS84Zf_>5ve%`YTm`f#TYgqfWSs3nVt@Z@C3 zX^W2AWe568maHQ40IopH4DSj{xN3rqCLVKD${3jsU~=N8(1;FdQv*X~*S;d*0A?bA zfpxheYP=al9X$Gz7aI>`B%+8!09>s2aoY%2t)QTw5fD&f0f4O$!}y@@DivImCWWzmL#K)t@FFoFZMej-q_$W@WKVGq2yqYbo)i+w4#ICkihLqMMN@%CyXpogH8xOZ!}_Ng<5UH$u9C z0|RA?*XV()9YvS7QzmPr-mruC2t*qW1$iUV3j9$jlYvP^1~3rAb`-4kzhPiVISO`e z7zRr32)PH|vM9jy!Z2>6knbQq#+S>>AeEX%V8b=g4x$_(pC6*llrU4_7Tb zpaj^>Bts2Sx+8NO`-pH4y+@%SC3R$^P>fhk%~vK29L`k_z?{T+Jj`_m@u9a^gW)<& zN&i2|sk?hkm5tMkFagY1LQYzZ?!bQ8Q}o3p@fV0gj6yp=Ni+%_9c&H(QSqSv{juk3 zCs7T+K=nQRLG}VOJ(X~f7WSP)V*s-s1_8bHff4mY**XC)iDGiHyS|Y9fZWtS^xsKT z@gMdr5mBTBz`!lM^1`=}ihk@QDvw4%NGX6RNMM3tm`W(XjZ|?!x&r{mmgMyaIW0V& z*IZ z5jBqya@BxZjVv`h!g?9rR4;(*;2D(PFa>O)0ns&&0!hD>&!Q+(L@_l_p2Dwdmr!&S zMkGdns~{{DKaNCH@fT7DM49l1D78ctKUqfs5}*E0n7SV)R5oNMaz+N$id)$dNL&jV zb-U5Hvwz}n7_OKTT$oBxtW!*(D54{>6M^g`mP)AKXxZxl6<3Mu(yTv6R0VaAEDmV^ zuwV&H>gMN&Y5*on4>>Ob6E*p`wa?)~MZkrH6s05>R^r7LP^Ko`T` zi;ZV9A^>Cz#oS&=>y!&btEujwwe$xY3`51Ri=a>~5pUj*f&I%6Wb3i6k()AdNlo8GR0lm38DbD}3&6r9Vh!Np<2G%Q*tCm4siW+ne1i#BuVe5w z(y!Wm6oLYeg7p4TPs_Gt5H7m{FufehTE_Hv1BToM<)u$vRQldYO@D`IB?Frcr2qZ| zs3R*DMPr)L|n~7#mzKZ($0PFA=05^;(+Pg z-<`oT#W0oxCgn^10(4cAWG65QLkyEO2~P0)4*;_in}i2!@@m; zX}9)|6&1y@Z`8ri&cfik>#>pEq4^VS`ToKko1tJgB&IziID@+TAn_%lYo zO%sC3T&esUMXvmd99+%Q}C-+2}Ma0_JA#3TrvdNGTa#{3_fEI^8oWC zvx9k!dED?f)6FQth;LM21pN_;y%cj(LsQ^V?5rAU2)>5Emq#h{fCh+)ebksyWx~kq zW#+wNibEjfeqiQ(WF9qRfQaR}gUq~d%%c{JDoaN05Hs&5^QaZ0%9@dzV3e1L%~wY? z0J&A_BC5Ni3<*OzlICyqCh~+b@IQ+MuG83v2n{2p$H>4h>z)MqbuP8Y`ZqP3}g}m zzJtL!sG7PQq5x@Yh{^%*^xnB57siJq|HIE2F)G(Z3GyhFCa<8VL|0Z(RRj4wP-}pm zA&=2cf_A$GO%L()@JkP2gEK$(n$FODmutOeeJ)Xc93@JAJD*{P%zjFfz;QH zM%w|(_K-%}A8=4@1wrb((O3W-3u*H)YZj_ykuH5E5?Vr@Z3odefCnPI(_?__kVXa; z$3oTNOsv`rHPDVun(7ge0MWBYqjYkx1{SKVy-{*|Ca?ysIUe12dI)5;3H!)GSr)mY zQ)%Z(ucbC#7!(n^JPFdfu_n*%=5MvLtdvRhyy0HLRnBf(@ zFkBvDRE)f84T%=oMlMNST7La=Rm!#itvMwY7y*j*NPU z8|-grcjAJ`bQ}CJ?I^T+L1bh)a8LR%h_^d2DJ5M>N2MA7N3KCqQVp~lA|Ho<%c-9D zLkuDOF#qkGDx{J5<;&?+@wkDCOTI~T!FJmwiK}7 zYgoJ`Y6^q5_m-%^gd5_7q-H=(=jKQ%J8`O+e(82&Z6T#wBZ`c-g-mbb?yRHc73@Bf zjh{CIp?Ab|bnaqftUyTUdt$k0_o3YpQIQ}6A^Jaf9z+bAkoI6Wzeo=ObCpNX?%3s# z(&u;{JqEX_PjCmti-69v5$VQm!V8Ktd(l=2x93uBJc$0{4|Xp{*tOy8+W*C_183JM zWruc&O-b#BJVV%%Kn0@N1Bnl)+QN39oz7euyI|4ESSF<03&n`|B{j$Dk`t$=O4B>w>5XaF zLmSk{RDN_?bp@HU>F$YQ36#VX=n@JXTag6HA_+XE0SQz_Q&CctP?@$8&q$iOBq7KE z4OrwQhMKS_1MoIfoB?z#DRprMXp5*Dg#qe1qp2&7kx=(s35aXyiG|abCKnsr08g&X zV2n*amZlY()&xrjX~&w5PD^J=(gsB&CIP$B%q6LSRu*Edj4iR- z9Mp(sC8Z}awW75YXa!u5&K6%uGhEdMT;mz;85143Bz-ENtjUoi2w_hJGjvngq3jS4 zwSSELzi&_Xz|9C5jTM_y+!4Uy?NE&gvF5#>qZD=B5VH@L>%hhi*jme{EO!6f}erGpvNE|2786zy{O<&NO2ba8&s)V z*dW#h3eT=f8;fS){n#ZKG9HyoFyS+M6fnf4vef+jy=7-&5DhRX2!b);ePBFV>7{z0?=O!8VnObwseQ%5v#a$zHU5p95TlaP~6MM}8J z&_i?pO!8V{cmh;PZ3Ksmk%5h-7G^jCgAiSSlg`@=D}lk9=#^RrRF^KEiNS4!PY=nn z)J6|NA;bWrfAz;Kus-q}LnYnjP$d^&s7%P2Ddv-?94Fq;jI7#T&){6DE_v4esGy?OYEM*KnS0Pen6 z6aEE>4}p2=P=8r!kdnO&IqAH{4x&1K4%~=qK!^i?dH&&QJBXT7r{EC_C9@SEf(_yb za9}o8WIw5yadI;!jU1)|=0BVOY^ww&bq+AYkDtUSkstp;CIXnpzqqRS$oLNqa|ST* zR#+UtMmmSHgZR%>_bF0y;N+BC5RkQ!2@9#K?I3E*2pwe-WDy{@Pm&QbCc*Pd)+8|i zW;r^)2y$TRJ_MCa-HYenj1ZWm23%rPUYmflU~WNVCaEOG2o94K7%>GfaJb^1Fd&Ic zR7VJ50ho&{44;299&y?ks4hB3oF%|%36K$RTI0~sXV)MgbSFfX%ppldGDl2sn5@>e zU^eHBWHx6QCS|p;JRBu}AfIq~Bq~R+;ebKkmH~HBmVTEJ_DD(O9g|z(9YGz~PxR#0|`kRgXlB6J?_dqB9>`<&IEmK`zsGe!R3w%anHN`L zHh{gCbqC-LE{G;LS~XPGa(@BX9tmt%hq_)Ja0XE>AX7F1fGM)h*c({jvWv}2p z5a{rU9xI3+V5JqPB>|jl+ERg<;@{sZP!<^^NL-VMS%FyA_`RS?F$Qef6)kjbr zQhdacWzkr=0G))5y^6Y^qHADt?62c!Aa?C4>VVapLn+wD<0utl-#{tyi7_AxL>vRB z;q?_h6RPNMvmkakZWHTicw){mbc_g%1>ZpDVwERRBkau$R0ZogiRxmEW)#H~PoZP* z?BSe3&G7GtQz!%5-wasotwy6kzW5Y2p~je74Qho=yNxml)-$l#0@Q%UW-AN}*<6D< zW1Oof_y1jPOzQ^fMhM1N@ZeP}3}eA3(Ukx1Qf)bfCIG48z&kJSBCZzghl$f?;wSO` zEI)(NU>6L&jH>bGfr3bqw3yNg(gk7RMbLMoF+>*pCrmYHifl6lR;qvkCVe>~?bf;= z05-gE2QRY7LI4x@A!sArUm%dA4_4lU5{Pr>hl^yrj#7vbkx`=GcTu_WRuqAaFt`oK zzF4NUmvRGL5quSaOlyI-lZGp};qL4XqMpp_Bs}UV;sIbc#FfMFTPJ+2f#<0Hl#(Za ziMyGjRzlzll)UWRhu=gZ-T)`1R^n*+WFdYeO#BvWtt@!}msVO8Pcnc)vI?{>L zl!PH1?#Lkf06CDuBI%?~1h|4TrllP5Z=_g8iD9nz3mZ#bAJPe;d&{CnwZZsV&6-dw z=xCYYF=x-4&DY!vu#8P6;J7U1hHX|764;N>?{i#9eEMC3t(3N zf=OB{ws#oYQ>4lL5#hkgQb~VfF{0`@>Rlm(^Z;@We>lrx#8{>|$Hi-Y1-h5M5@#8q zW+JkjSbh=g{eQvgeszL2SRRB>j*DNBAU&a(Fi_r$vQ&8K>o;WJr!h-I;cmrXCh@dEfqUu;Remv>PQ?>YMl%i+zb@Nf&bDNu^3q>Cqg!V`%jpvr~hbQ`8n(Zp#B-LvoT&Sq%6UA z^Y8y8XYK1g)nj_F&!k|9Gb1DosK@Nj;-lgzyEX?DU2RZwE&fmy)W7D#zWeg?wu zt4MVXO)Ze{ZMi1Z8qv`e?J^oFpG1`-8W=Lc`PS5*<$+X%@&GEKd>&O^+1kdIV`o2h z-1rF&j!qMuU0g9Z75P}ZgsN*UJ4lN~5SD0tljt?2*no%^Ff}Bj=X2tL3SZjFOd-iG+`%P8!T?ONYak&Q-L4TC4^#iwAJ_VsbKEMbh~P27-0Jq8vf~0>TCVw{T$Fozy1CTtIEzzl0N+ z1iV_2;*j|yGNdIA_Rf>BiC8+XNm6Z*C_wJezl2lq95q-5{Vf0+FYy*Zr})Pdl8Waa z()~WR$Wj24E;Adp0^kbTHkl8y48Z2U~VQM(e5YzoYeTFSGr|Dwk_tf{| zxXT}?gD?pkhmf=q+nPxY0u|NYwS81nL5)rrO@YqzW#SZGJDP%>!lKnLKeVD>WVRi8 znc0q?TLaDr72W6nH#$#r;D{5g;LL|@tugp-|7Jt%nI}yT3;#`}(@~3BRLo0J_)OLfupX-)#j!b6gE8JxAYJW>^{d$DQO9N!fwvhCM(o z|02Wk7g?>}vtEQ_FMm@FYYDVFa4kafC!+D5^Jn_{g-*gUDYUhPR2qknnuaH?y+TFc zx^YxmGu|X|;6)fLPgCQi1B;tVYS@u%7Imhiz5ue2oB?XsN0J4?po1>SW>K$JCbl782-Dh*tE^8aYIK3Q0r`uM(wBh=Bp)OYyXq3^|bx`i)3O z-V1cNE71Ye*J9zMZIl}B6RKn)SQIJaJ7gpMx-p8hZn&HP7CI`^X2aM<7202fIwmII z0%t1mpdnNhVCf1W(SH@#!8AzK!pu}@kAdxM#9pRG8-&YIkXn<5Qq&a5qg_kU^lww# zwdxe+F>z1oX>|w(@g%Xi<}_za!++t7X_@*j%JMojHSt=5)U%N{lyr&XF$_I za=^h1AP0xa6{s*~qfXONEjb(t`@;038M&5L%zSgQA?VOg{>x;jk@Q!=5&;abt_Hk#1-VX>XffSu92{DQ69 zw(r;p>@ZksNkf&?NVjnVoodZ-(6UaJ8cG{V^Z0HHF^T{H+Pyo zK=d&IQCkn11&w1|`CD9%bYauJP}Q(C9<+)=N19>bCZe1wakQUpGiouy)fQEPR!WkD z;;#dy*w=A11}rbOv-NnIF8l-gYZA?p);{cf8hFMTQT-L9wHH=1iKa!^QzWf%)OuQd zaf7BDumF{$)YBdSD%cfInkuI1OS2?^nUbx(G#$*=o<_#PeQ6qsXPN$vQj(RX5{L1n zv1lWA?ZkKQ`O;!>0xo{ERppauNO=pDJW`Pp4wPZAFY#A-AC*@4ifUG8^@{orUWFlr zwNx5Ldq~wllVX=evtwKp3hSwA^rSWM(NWP08Ids&2@H$Kcr5fGwH~v5M4g3|Jfen! zl&*zCR4QzX(T1p>;4#?mAu0>j!&pD5Ps+1kg4|K*NDhM+6TcQ}QWY@NlJ=>56RgE! z=ra5mQyBP}=pah=VqlOOQNRk(0ZWnvjlc1x;Q)$113})vc6e|sEFRn#^iUZnm<+U8 z7MBpsUqiSaO=K{j=tC{xaR&^Utfkj`ghq*#*2@!W2$@x|maTt4P{>jP0^N3?$uuqI zGG8gzi`Sy&5w>H6^8)!+)@g&Zs^)V|F4G@epI~w;XwxU`6x!Asf3!$vMbP4s*I}pC zn^ONOPhGXaB7Y12rq->?+Y=A|-FW4a0a@W%ag3s>pyTi6$cud6+5%eanV5&c4yNsV zRmGW;;lK$2f|ymFS>yT&NdG{mHv5G(@G_1Ke2QP|$AkZgt1!BBe_g|%Ws=e6eN9=b zlgK}hMpQ3Zs+pY7{daNE)3dB9IC14WN;`SJfesB*SXq3Q^y-=25=AQ&g{c$GZ(UNp zk~(0X@2gB{%s@VSeixkm+2!2&VRi-lw+41@vr?L_%Qxe=DC&O2c@5Nw(WO_H4P-*= ziUwm84j$0u4W?dGf#yyePkGdv-Pgvo911re7=(@gRpY!a;=Ch$=5}&NprXR%7iL`6 zE}!cgCKzmxyV6T|{i%!QToF)`H5Yz4M=P=M$^@Sca(B8tdOp2PC@_8ZmSnCQvZ8f* zFY|NK59@E9F7WRFt+j0vXPv7hJb&NL-HTo1+6fC*hOXcR`NU~6?zYB%Y+LEH4@?DD zJ$?s{wes)hzd`P;@!br!Yuzu(zH-~6SJzOH>9d(%5i?fEy=!%w-p1*lJSWXz-b-Cd z>_1NzV8-%}y)?amY+~g-?LEoeja|_n`fXRIyqa2T=Q~SrVv5$X;xz8O-wY*_+=RBa zbj|u~qQUkJygQRrx;97lonN7n=ewa|Fvs|>F?%DfT)85D?njQX9XrVWuk8=qufHj| zmKA(MqZ4bOT@p;wEJ{ef*W$2mDl5=lvv|YNXRi)wt90PrNEUhHDt;X48H6$zCE-wu z@cMyDt*7AVNrLh54vRx3{5F_~#&nUHW)4dY#`4m$ixa&G0rwe1maADXVR^s@ub#3r z=1b)Z8`Ur8clh<0IvjSmvw?BX$8t~UxSr_CEIaj!CwgjXH<*1ACVDA8@m>vwf*tIIjZ= zJZ{Hxx3OWsN1k2br{5*Tk3BXp04a@uZub@IeytYpPi&p`#0bkF9@{#NSeDQ}c;BZ2PIBJ(H2@~(@lWhcw`o=uWFdiEW=V!{>Zul2fe>-za-KBSoau4~-SJufnR zR$anR79yH@X9|9n89d^rxFi!-2VFWdoAtSaGhsuzms}&30UdDGRZ?g;xrZ@NzJX8V z-QyYrE&jOc(&{ilpyK(~8wGdQbY#RWNebNny)@bQ&nr^{WW3d*6PI-owf7~ry9V9l z3R6A~mbjj$w6)EAJ=ntK{eaA!23yN6*{nVZzl>p@OH5rGgkE^cC#C&E2>ViUVE!pM z-KBys?;-lq-syKefn^g5I}MtV?!K5!pz*Rg+@fIl?`zO5?}FFD7W$&bg7=L(+%H_7 z^nst)M^JcFdO?mkFRPxLA2${LQKJhLYfQC@?&j+0Kju~`eS597wwXIrLc4jrs|py< z<=5-+^Jn49)e0Dt_}roZ2jP@&Oj!*Kr^u0$h|2E$aJT@z3C4&Jp4=f^0M~WNTr!D?@nMeMEcv16qBXd(Z^8nIO+2$W8Ha0(m%dKd^T^g zX=jqk8uz*LO8kC%O>kd(S}B{~cZTOct8Ru0imiykn0aF}yGrKilb(FK>1sgw1+|vk znW%^rrbk}gTDG8~bJ?DCjLlckAI{Dj4fDU$q}Xb%3g53s-jU*#MctR1kMamRbIlc- z^*=q{E|(HXTz!V|qd!c~iP5e61BLCkxfD~0b?f;>Lu$zD*Tyd=rw_&xkBs5mxp0`c zyL|B$`Exs`+pH~7QO%@w=6p@R>04srcd4oKtkD(7UZpt;!mb@^|Y7YUZm5UHUF`~+nh90uhjkl4c6n*qSS?(_Z&8u<2SHj&X?}@#|aHc zvs}rf2g+-NiDC1D7Tttk>nj1PJ(yd%ru+XI5Az%7cY6-L=e&sS@em$yXyuviE}gU5 zGlec^t**L1({`%KrEg<9c?`ozUR_&nAkU|1(VjYwdmUw*Jj-Bw`lTLZ_8ME%oALW7 zM2Wc5)uy2uX@y?SDdL50c$t$@zl;B*>SFQI^$EYW1&$|@Rb0cfRec?&Js3jtb(ac+ z9dW2zzYq%bo}<0wuh?mBUlkO1%G2KcYJ1F!*M9UPBSP8L?q~dk2!XMny3#1`*2Xe^ z=G)H1??NJWnQK{Za+E&%^Xj4m-|k+4z9Jz6YunPKmZ+7PhmLnemK?YyG%>Sc|5e_0T7IGikFXMBw>Mr% z(J#1?oYCEp&`1h!owH?pNB8T_gh2<#@R?tXxW`}Xti3{;dkch;SH+!jT^7DU24e~EM?xM zS8AS2dV?hO9u7P}-ue0X8zsS4Uy}J}!>?9q{ldORy+z9|>h^Wj6;RxiHWYN6nLhm= zYJd79p4`li^*j2f4Ichd;*}6{UGu}YFB!an{@8OLFDgyY__&|Sp8GO((-sq6^W~n- zggg2yx2uKoZ|fEcPtpcwuRl?wu5S2AEx^RAFp!>^jvoF;5I<%ijU{yf-V|0xYV=u*M zS8L6A{}y(YTVvZf5b?RCT_p938&cIsJ5-MIW7K$tfhh`czHd_i83}>-FXr!uyoNo73fPX0G^vcK1Z_ z&t;{iKR&*9qiHV#bh9)GN$SY}#ZOnlWVT5c{r_bf##Nj}c_pS`#W* zQQKBLFM4LO9)loIY*6~Hg_VA!XtW)hx0LaGtzR1{Y4xYCE?c>Wf(Jsnct&c;4z&Wg zx1CXT=ZbIU({zj)uhw?t?}IoDLg)tP$3%niT9|!uJpcW)gSg_Kkq#ksnh#l_oG@p zz2mRm=W}mfV+*;-3}=StvGilSVsj-mcylvQDmRq$aF1u!gNAXnWyzuctDYKLU9#b# zd!B>&g1Q32&huV2Ca}>Zxbb3OmDA*JvxV(9>RvbVF~Yc0eTGT7saBmf7Cg-(g7eB$PgRS&5;!l~E!Dx$b;}(^ zq9tX>fpL4wOGVVEy^%1mIwhHS-fcPB_axv3+5C7%=?otETFXSjj}^;;jFkBAUV6>? zaN~c?N5hHU>AUpOJe=)2``wFv`QCVN`)PlHk)WWlz<17-?EO3V-=k;U?>=yF!KDGN zL+zZcUeU*_tGl7Il&uj5tOi_|R&Ne@ruk_tX}ow|H_Uu)%)alo(aeau>kT;_B?s!i zGc@=6A64=w9hBqg?M>gAel2t&ZBuur@+XOTY&1r{b>1ec2+FO$;+A82d#&dmL+nVE8v@;#a8 z8Y{wF7Z(>N=XwV&ixs5a?d{vhAVqawfAFa#akad+r!w!j2GW^U(^LFE&Rf?NE8@H6 zOz>&S?2Cxl!C`$}mG4zQRCD>z^Ox<0@q54ZxNOYMR?P;<{5yNOU-kv~nD1?HCMy2# zYW@97ZcfA|kB<`shwO_!XPvoCcD@DnhfQr2_R;+pURsm;s97P9CD+}}-G1)eXyS#Lpl;Ekb}B9*T;NEjb`G zN~&sE9+zoc8JYOBhd`v8m_;1_$Yd`ya58)d_iRioTA>03bJV%3k>2=6Dm`PbP&VDy z2w*!WI~asDSqLuM%U^t*Gby>I*SjxXOPyCVN0aEeFF7NAdHXB}GW0oov36GbikSuc zc4tL-jWNd-3AT25CAm7z4PH6tK`#^-Cm-1d#ztXs^(l_ED2Hyei+j6dNsg}TZ8 z$GHN9H2ta{o+@1x*Qc32U(mGNc<;u1@B+(V17St7t-(ry?$;nW*xyNW+xz?emxO(M zM_zWluIA2-?LES9GgyA#UuUmx&&--zw1<+Y_$}GCcfvs8Hc6CzJSERND z+7sKkv*fGv@2&i$WwTS^BKcFzquv^y2@krV4pfHsQtNfmHa8pf`W@h}|ALR04 zGR441(YBv6q|eRJKl&jnc_@Wv4ZgibxVQ6%{x%uz}%i=k;#K9bjTMqv-Jz?3P zt<7iBr$(oSFtg?t+&#bYln(K0Y>ppV@%447)seBJ)~W4Wzjwu{atW)}gezw^!la?^ zr&WIm>P#`S$a6((!q;-zvq1Q+z)yY zxBZiHe8{BjAtegzR>xh>FO260eu}yLa{Q$XxxUz3T^G-6o2AcHlaAC-{93e*eoZoV zJTup-N`KbCce<;R2UX`?fAYKxN;fnZZbPB)!s{}K+YdLUJ2{)sSx-EF25ByY^4}0F zewAqV#fOv5E@|SE{XCHOC(`YgpSic7U;pXu zBa57hDqRP6CV#&E3|eY3Qzy|9H6fUe|-*<$H`5l!$^iFQ`z@&g1hmKDW!~zJOsZ;V5yEsW zx7du5LtO+_hoF#%p&GtDr=^dIhL#N@`n&P4cTW(`Gt3IXtXnR(~uAu4N-155I zEJ55DG~UKKdR%?I-g(~%&MO1*1DT4GOXoOdwc$l?cUHY_eM`OZniP$3!t^Lx=xjq$ z^(OtdI!8`oI|>Vg34HH4Jm*`-9F92dOitgC2%E8&7^q))@CV@AKi? znF&YFe9`UE`=P3s?3n17s&(dP%vqP%LikzY+q-cbg?TU3X{gEu=)f=@r0iWT{w+1Xjbt3#%a(0v0KmerE5KTx~PesK|ODWsBYb_)n;$! zp-*+Cx~iq2q??BPXIc^YS-GhUrtiCsjt(njzstoRoDT$l{IF5&UG#?=Ci=ge4-98r z!ZN-OsdE)^tTzUT-5XuhAV6ayA*qECrP+BLceN(ZV_F z1|cv)sfUvIK5xe>1W z&6KosbNo@K?*`Ns(QM(0zv3vQD>h`}M%9~w75YvK&TF^vR&9fq*}ASiILq()_AHXJ zj(ea1oj7A`_hq>0{8`fTC$6D+@3q%|ie2E^$FP|+iRjSY&Ye3a+$mw;YA%cGQ_nwR z@@wmb4ER+y7+J;nK!zRIMyNae@4YINT`(fhyoG3TY`qPI1!HpS8Hzv8^^Gq-&sQv$1fO=EQ+3evR9A?9zO6 z$-T3gWShPE^0&l#!3%WCmB!|W5CzO?OhXzJQUpBLu+^VZ;&Yx_+%>4*(DU{FapN`N ziXX=#V{hGRhq7MKm8u&XJ8b*ICl0K2fM(aYkYdubHr2POob-GX-rm-BZlnGQl=#;0 zM3PDnbnMkoxcQzTHL{t_6JmQ?Lc%v&-P6uBDysLn17%j|?ZF0TTj*eXU@q*RY^HUS z^47P4NA4B!r*+zvL9L4#zWLeaUnqF@fbruqeaxr83ys{pyd8W^&AJ0n-#z^V{>p$K zare-Uk54D(elVLJ+VGB1u*YytqJB@0aga*ceU`pUni)KB;@-h;*CA3m1B?N+j?~^+ zUusfV6!|?p+*Y|o={6r9`1hXW_tW9|hR|1DUyZ^ARp@2aQkT~mXDx*j=Pw@e zp4w2~qPc(lWrd!SH9@+qQB?l*^)DVfo_gCftAEAjH}YWaE`T!~VsAM+@tnn?z8YPR zU$yx>6NA_-#rj_CO}RxA{ivN+6kc)t)@}dj`*M??wM*XVm)FoQ{tvg->plJ4M|WW0lf$oG3OR6nvRC^xy&m(UMTtUu zbeUV1opW++>EXDJ8sORUZQwX%&!uLxzHsJBjO1FA{dIfWXD-3nuI*DBI!`gR=)5BF z3HMuxjU5~`%cv>*>$=A_rwJiESJ&Hr1Mu=xlQ39Qw4^{7CtaS@jOVg^mK=|CImo_6S zfBzixcki96Ey>)mTXv)l2CniqLqKe1K7U0Hc^4qG!h-XM$E-bjn;pS5aI z!`g;dYNwdVZHDeQL*76N<)7;03g&h~QF#4I#fR2O(M!STntze-^b|gPGCIJlN=RZy z#~m_>T~4SBk4ii$q&viCmejYrBJ#|a8aS3jKp!={`!+(VTDc{j>z8jzu`@6F+az^Q zX!qfJh$F_IH+zXFcy|PqSkFCC)AFkAuVPTkY zWu6C--*@NIKT2T4#ZXJHt}t@#-kk1qc$?wQn_t_alD=Hpd#rSj0|wOHo-Y(>VEk`C za}QrwV-EVMZyBD&Ct!x_ox0-(=Q`JDZEu!~k82Yw^y=fH(0miaS*i3ig`pZ1f#$?y z2V}u*-v?z!SOaYfK(+2rJ-uI8;BpLNMc(^6P@Xh>|AzHnN(K3g6$Ioy`n2yYHQ!rk z(AO#Z@1&D|W`KcFW3o^uEli)+v1py!fN5Hg{KRhPQgM)$UpM5QTYSFh@DKhH;mQ*2 zqV+j5FATt+eY&88L0=>zXbT(D(Z2PXQQwMwddV%EuD4#dRcL$vGJmtPubdv*^*Z4* zQN!BIppsL!p;Y%X;i0v8e!Z0zU3%`68s>j^F$TzJwgCaAYRBq~V z%}X&-DU~m=G2X!lxFIyr4*j?>&`7&_j9~MU=r~?FWZZK1Eq^1k zpSMZTqOUV%$*I0mTN*Skx;d`r2)X)nc^f+J*`i6Kn53lmMZmQmlb$tDfNLLOwU}fc zsEQ_<3X{USdVJ%q4{EWOCnUxeRMrp_M6Vk8YY%)UG#Nuf3!Ku~TGJC}KJZax_-ERh zF0i^YYyT(Sr{W+8dkmW3OeEN+K|YiHi4Z~9bcynNQXqsvIWZ%1?d}WcY{waYQ*?xs_tH7nL zEqKMCt?K4R{yJa8@eNlm>NKLcZS}OjGlq^TxmhZ{`yk|nkJ;*S5{9$|K3#1KY$$|x z`FR^sxk?TEm0kYcXwcp#H%`3F{i{rE@Ac-i{A=(EBlN!a*4|G7>z54DL31t~_OU7A zEpbKhkF^_ZJ1y=P1{|?=-a(p|k@Vz25@jFXP;b>LhFVe7VzfRU=5+GemPZ==Np+oE zvqh6$6i+7dx&_G99S+wA7F_?yU9=slrsqF%s}Caf@T1<`-wp>pPv7LMOYNIiaJZep z&W2R-{lWSOQu>%i!G%FH<)QGlzyZntK`RBOEVb(0zW)xiA?-X_M;H%YYALUUQMb%6 zi!8#0Dx!b>53xyVyT)Z(Pu^vvBySy0=#)gbZ)p|6Ae#HgVXWv+bK$%b6HPi=xZ#q!7x zK#%w6YP!OCXG(csqS4Ez{Ic)e$zz4_ zCgdqQtNRR@1f{sA$dHHrU3Yt%4G>DHsm9=qZfj~$i_hIpI86`N{#a{Zvfl#QbhRM< zwc4zKoUneU$VIJZ98g(wr-JJP4hh0p zpP#iht!qx7)|}76(hn%>sMTMithzogX~Bw%`Cfufy$31@pS{2Th$pPKH0>p>usgHY zF#U6`k=r4ncG@nB-hs<<`Hif-B~cFZsus?jbGvvIABe@Jg`GU+`kPKqIKV&G+s94zUln6^#OU%`D@-Eg#|M&WURm7Yz#%WGqj@j zXF{MdC1T63KG^qcj8pFHUAOgo(90E`X0+=jsOsrc>GnAnqb^^wG3-m+eKxmuUao)9 zU5kNT{)2**iYlc+B|glZ4Cglv1`4`!o$^cm;yJ8HT0EDXr0Lj>(k7qxg{Hq6pPXzy z=91vrbMKp}{%ZR>!jVtM4ik@^x1#9`e6yuER`1XGct>eE+!th%2K8RPT0PUTSf|gU zJYex|$9gSvWw0?x{k7Vs#6+*$hygBBBgK=ySJ!0L%*4+F<|4#P(ub22s@?8(S3?=G$xF&hnR0keZrZqWV z(icvfd00O^7P-?UAXd=5=gc+P61TwkBPo86H;r-DM+oGp2mbx|WM)9<379quDItZ(&LZd9#2fsjVhr6z0EjBDeiUHV(G8i9o2 zHu7k{`>&$}&$RRG53Dm&h01*uhOzirM}ch;WoiMIy$7jHCeuhyc}6g z*qc6GP}?>?-nO1WJeR&wa7@_73aWZ+X(WH|F)Kbe{O?QWNVnzXwFd2LmPS0utf9nb zCnr1jq#7Sn$(B2q@%|z&r+rF%@BZ-?V2Iip%N`d8-jko62}b#C!g%X7salky8|5Ei zOSroX&v`?4^_~=({J}L zch2AB>U3*f2EpZZ(f)P!AO8hjom*;u=07{|!4jV3PH9?ys#jBk*RXF^%)O1I$eo^> z{O*1wUCU}`W1;(EDhg6#;1!+R@!dZl=;c4@U@C()=U2{{kgLmG7FWl@NYtjcDapP_ z-}@%Hy9VROt_mBYa^osH%j2riqa)DWXUpe8#pT3q#(X+TvMtRR`tf+o%x%0q%YCLBET1{s)8-Q_ppa@d?Rz(KI`^M<3(me9lQV9?y>E2G zxNGU25BQD~-#qVnclUpt;YaQ&J%>ScUhxxDV7({RlP{$#ufOoW8m$V74!)^%YD`Y$B;CBJ)T z2m?KrC-AP=SUlKM+yl0(k7&w?fq?RIbZphfBIR1lf0}JiI=x zvimT<%-ghbjVI6SSF-Wn8&aWVoPHr{Vov518cOz>$oZLm6ExbSpeE>dwgljFOE;n} z4Kjd?Gv@*$E@B^S9RJd8{kPqEquqM5{pg?U+b=aY-5iX{R@a;~w(rTy)n|0xk9NX0 zMIZm!9R2n4A$S;3Kq7x-f_mjR=C6|L2<7+&fN%_HH@Xg2c$-$UFyD=0mC9X&>imi+ zDz7wkU;K9afid{&tN9>R470{Y?K9k>pxWuCwORmXfr?OE$oLJcSLlv@EBvLacfR}g zqPfY1gy^FJe{V_AdTKTRXSauXZ|{&_Y|?R9;FXjaXh!tLY&F5JzgZcK39t=PF>`NX z3KBG6Y?krq`UNk78KfFxRvP=OoetrF&}2A7d~F)Ije?+~f>0Xtr=T^WRAsCiHB%}p z>W{tG`n$EgRhe^_Fn+ROD&gH)bUdoCfeGLJsD?ZN-+O4F*lER`W6c6n+(wtQc01Ul zTUu^s8bmK0>Fg%4@C#$O-IU>px#8mg{pbJ8U_2*S#ZPJx{oy?cwfIbQ7Q(83V;#hh zyq-^OZ3D29iLO*-_O#2sTA)Rw(4tSZ{ll zAOOu{+sQ=mrt}6zwW@Rj{ck^E2ntG?8;agJWzgZcC*XuoPyKPnh%e1d{GHS9W`j%~ zLN>J=dDU?&srDM~{UpU;_vxX9BG(8g7tr*CwYW$K)zu}PV$}9`WKDG4o5bI^!l*v} zsP)y(kvM^}+7k|;!F?x!3XgicD;Dhuwm@vL1h5f-v^@0G8TJYL9_Co*ZwatsLo2qO z8CDhRyu&DjTZG)L$y3A5hb$jSfYqPuI^UWI6Rsxlo8W3rmh+~T5yZA*WhCK3RI+5LvZHK3#_DU zEt$`e!+L`np^NCx4hZ;NO>h|WfXm5j2l392cualOu_a@^7t4HmV`boi?ECu>l?{LZ zZ?|@NkSL|4*f`ZhpaanH%0>FPwm?MkorV)`4SZkH$;pDJ71?4ty;AF$FF)Kb_;&EPgY4)U9NR``;(HRHa8uk>eK<<#e;66qQdYmGm+^a>EK&v-|wj+mn6FMNkY+6 zqxCYpdH%<>zxX}dA-D`fwYL}VM}0fmenMyEk>9&w{e98Hea%xv3bq+Up*1b^C7!Im zI^1UFLWT`f$$yBZGaoG)+>f7`p=&Yh>|d&b5~*bIy|8L<{c zKh#%1*71pCwrwWFOa;4rTDOLC$?>n0!^<#Y?r#Ogc4yXxTRD!{iAwE)XP!)UgV1i= zl7F{$5Li`lVb(c8#RT?Whc0)NC*?_tEu=xC)%li+_{DIlrhmH`C8CH5?In_41agB!QrGR?etfpa*|nE4yuifmp->mO z@#q8yN5%T!t`RP&m zsMv4#Dr39Y2Ecg$4PmDd9w&lZH<}uH*S~^S!NH0f+k)j=8bKpBLia3vr%FO3s{MUYog!e z-=A3?QB*ly@f+b?i^pNS?9H#p>tBxA=3u0MW%3dFV~k>D4&NWSF=GQ--H|B&k#E$4 zN!ppE#^}SVq&4J5pFwzhI5d+!@#JGo`10UyWM=MXz%ob7V#g#vNP~#^LFXS*cF>&Q zF}0C^e8&X6Vr+50+5{a$bnsliJYx~y?SH}74|?9sJs=2isOqT{k!WW98YyV7%iw z;+hA$nParzH9QQ$KH3zyGf*KGmF4v5L=(mZDFBH@#Q<7Jv7@az!8}7rm4p^nRA!gh zaC=o22M>vbwpTr(g>sV!E5}UNhTJhO$`V>sV@=YvBcQDvyyOgxw68Tb_AKu*9vkaQ zBkf1U#=6l++IphBY5ozAX^PCJX3H3cJzur8f_n=@HK~BG$8>P0mq6HanRnscMGX%1 z5eTpWIGIdyYHVy;L(AtTW9$XVB)eaYak=|7sODLrlKgo~5MZtxTLl ze(;y_Ylp4aEVIw6u?*#7=sGFX3!HvGUOhOw3@y=>k{p{dFVLWZgL z{(Wa<3XrQpAnZrQ3>2ZV2TJ&321tx>7R>0+Z>%G=L2+oc)>F-ZG8X?bO zX?5L~xi!mz@9FYKV>HpI`aL=g{WsVFLF@qM2Gg>}dO)BW!~^@sF* zfDc)~huluFY@*OehKpR1hT#&3=AX`wcuS=9lJ|1hdTb~G3p;9SjO903ke7Wi+*{w{ zGma*MX(<2Lm@f}pwiOYoG$+8bhWc%N!>R)eBV{T(&Z0Y zv3{1mZi*U0Z~U2RIcqXP}$)0>x^PWWe*i0=xS~%}zN>#r$A)cQj~Y-e|rV zfD^=xummh`o#$tFyK-UAP=x}h@ZR#~Od3^l1J*NQ8fFl^FFs@$X^iJp3+oU;_cvQM zaFG#;QI;H7CB&`(%PbohYb5Np3T%)${;AyWx5z}H#rUW2Wtx?~Or)LYT8%da zPQ|sx2Gdp(3~I@Wtf~Tb?`v(QL{6&>*%c<2vMYGo^&hur0ph$~#YTgR4gb>NFp4G? z@)yn_(gL9H?a0dO(2W;lV;eZb1g+LUVdZZx7wVYAsz?-20-k1_rU*I0fIp<-uC?8tW7L3=>Ex~X0abWZwD$gN_J*G z#Y@q5ky5yAP?&^*BG1Obas!qp76dq6l!RLv#CI|8-(KxskcstZ*TC>8X=g4Rn8cm8 z$U1pYU%E6dn_%jPD!N&#EXMZOS$bo^*VnbL{rR?!fcP-r^d&IG>s*t>%Y*XTU~2w3 z7xAJNBWdLoSKs@RJCPRxOGLdMOL^^+6r{CmxQEid`st|(esT|Bef2Wb<4cdZ%YKMp z(eY-b)W>nhEo8G%mj*&D4eJV@1PREbSjwBWJ72jhTWA?2Y~60I^!3%3H{GhM%=;=y zCdxP9zOEeef&9$FvnVh~yAPk%q933r&8K1^9eh@lAW8)nql#BWp)U~;9cSR2xxTLCEH==BH z{@ zWYb>nfYcKbe0O`UBcWD$`Y*x3U$^-I8$Vy5VrFdc3MF#SS3}IAnYmTqY}t`zCZr^B zfh8N)ke5K5x#hfaY9Fb=rOHCON~BWV0A!<%sr&myP+qBkDLxYbBovHDLbDrcx?L|d z{7rFfHsuvAs7ob$qth93AMoKc8h<1wz^sJos=d?e?m`Js(Sl-GPw(^iLYMsX#kf*u z?9UwPzW;m5(Sf}2y-UU6v`8oH1pcYK zz_iFX2SqN3VN8;MM=8getOAZ#(oH(;mi&MGZgGN0I!EnKaa6bFs~O5wzg;By$XKx0 zuD_n(2T=%+-HVvH*W^^b2Gb4#m9Fatea|4G*VNE8MzCSLtpGm?=^zdm8TCm>8h z;@)XpCfF`|eZtB}VQ=|~siZy1idU|xFs4hE7g*%n2gOjtQaE~#nHHHH;U#H1({SZy zQ9tw>lqz0+0rD=!^M|zr=5f1Lpw{(zmTxozl@q&R0mB`ED=*Wv4@IzmP$mEHb32y7 z3g>4Tw}@a(_=_h4>@xxGC5NjfM~LBhm{YZvx+aOjmBkNi%2nn6(yO+2qvlgM0WPo5 zJEtktAu1KH%2eUKVG`$hh|9B7A;J)B6QCtwSY8W6<1*3EQF%sb{J|4TS_ji@9R0MG zN(RVi39Hra0t3kJK{sOxT}L_htr6I7&t}$aVry?$U_^UIA)G5pdG{CYQ=j3}j@9Ck zQMAc+(fPMb=)wWLaP{hIxbg>!BQCGhJQgU&3eG1CTth}hZ<;PBafpF7(b}rPnB~iX z$Sp}Z-r(gF?d2r@F3^@~9HE@M&1v9&Mx4I`!-58XJW$J}po2uEb|P96)W3)lja^g7 zuOY>51qm39D@H0LV*j}Cqq<%}mf8psuxn}>b{Q!#K?g|ooHn^={?C*~lOD)0UD8Ocm z<^P${gxcbRezi|r+*+IhGK`DhpSHC;Z}o&Mx<;N z@^g&EAlHz$KH5i`Wz~g-P&Y-Ph5@wf!08x%S6*tk@wQ8r#p*~n&l=BnDEh1de^)wy z7|C7*0=+-0R<6;5x4G&!hqNGt=*;@hylEV~oo7In;4>D06+~ZvXsW+i*^cnx9F!K2-Xl~?&Ng}`TGVN!`QTA%n!oFHtpal z*skj;#@GSusA3LEU1<5`ycWEW?Yd;W6T(Wn+t_PY2y1O*k|4?HJ_`D@gEG6pBvg}+ z20nJYo+-<(A@%ARP3BbAhxODke(Nei{`czr!`*W+9@Ek?Z(=+WZm6 z$9~2dS1jx3)}-d)%?7Pox3AXY_yK1RCYr-EMyaIZgE!jvgucBjUtk_1h*`|CsTyEE z)P$Cd3qNP%9;<2GzX9m!RD!oUG-&bUA7V(E8_vs-T+)vG{^hA)L*JC?6LR?O^#!?y z0I`1GHEx*R_n9Z*&sx|laYy-=jWN>s$xkC9wFPs#OD|KW*A>Kj75!Zbmm#GJ*kvVb z-D%1|V%suy&-4gRZV$w7w7z$PNOa``3gxcRW4wFudm!3e1(Z$>I7F9utfCbD_URZE z>8RXkgA)Q??dn@8gIOiMoGSXDc*_HyPL=Y(A3zEr?atcsLXVm5D-lFQgaSXi2(9^E zXKIAkh~tOMu`Bp162(q=8F-xFW`F6WV^1F=U>{e^mI_AYAkRr|nF)WzZRP{3->#+4 z$gUOF;NvG!W>0}$QulRWrLT^MaJ>Brb%3Qeh~o{qZkDy_{&fJ@#NU&=tHlZ}Z6xH1 zglXAy+M*y+zvh@PB_kZfpafLuvh~-7Moeyl==oWlsqiX=J07Cb1B3>Rj>4UuR z5_P3b{KMz$i26Jw{vq!(m92ELmF6w?WR>c6x*`*i32F#v5`ZBN3rBJrtK2UobzD77 z`a&iuQ_;17SsjL!{7aE1>1^hqC8y|^?c)$+gqASMRhVz70_O?X{v{E0`k0YU&_mmv zkOdavLTz`WT37%MECLR@90zc@;5C3thu<3oBA;r)6(--DmkpMWoJjnry&6TKHg>c{ zgP%}q_Wi~Ja2AKXXIlmp2821w3RP~Gu3Hg;7TGFdSd(_Qt&>s&#dlesVhiPZF6<4w zNtp99xlD;@-)b8j%n04CxUZj9t^Pz#ITPiRwKN*F)b+H-4(%;CL6>+<&f`z6mu)v% z4(E`JZb;wlqc#(;h^1n^sg*WwlSMJ`g}$>8w4^Fug0uER&+`srblmNS5l`~XSc)<3 zNi5l3EOt zkU|o-$0&)!x<Tsv z#-UW2@*A1VW)Nv1)G_Y`B&W!9@p;o5Nm zMs`v@gd|M-^Dxs<+IuRW@XR@h1hwLOW@UGMKLd-Ia(*ysSRU#@+><*wLk@an0(8o5lm%fiVe95PQFv(Zrj3+a4Bnsk%oxsb$VFpIX%uZ;()5BEmThb~VLJfOs87;Q(o;Iu{n`MCF=_p8cb9MBX7xt!NJZ^gO#Der6C4l{{9b8&=J;_){zehJ zB`)f6ZTD}29g=@AD$EY{O-t&8yNM!XFv@;)hH6`mg#N8a>n>a}TlqB0Vj0Q$Ukv54 z#N}ckVMPbeYsv#7N?~@Oe3AWMq7quE{DWb;U{@(4?0u~$+&x=5(bgRsLi>R}gml&IFyQj?p{~C7um-@0i~7lYN0z|MvY4((>O&wmQ`G-v(qixipG-7D zaXD$@D?d6^U?^~dC}$+}cP|JtXJ0dER}F|@qC2*ecyD^sF_+nl)ul|ygiW&aN4~Qz zE|88dE`PXY(8j!AAq~o$*tMOs#N7>?z1Yck-|a$CQu&9e23YZfk3{(`H>5zsXr1Aa ziMHx0*dE?*fkZHtzH5GvBI~D#fCP?U$GyY6pocm9>hX$yeTd)%R=E@q8#I)&T?%Z} zqcVWw29~U&{tF2kT6pk0ZuRbGD$#~mP~HSAQTEi}QIFg-tpMVRfAc6yG2e&; z6Bm!Cr&bq8dXy9kb=~*3Jp?RPg?J_cQt_m0Fc4Y;F<8$;tyHX+;Vd6PAe(lOUyH;v z!0LS)Kn~zp#pupAzEh9zmwx*7pqU<7#z@>06gd-&2d#Jl7R!ZsJbi;HTAl+e^yaJq zJRFKgyvX+-*8WSru0Ih$JqpN(TR1z-0hHla$ToEci*1J%Z0WzdeYSX^LP0<}99)R6 zc~Pzh z8rc+W!@w*BR2fEU!9@n?0O%?JG>;D9vGAtG!af)(pjKYf1p-x9Yi%r1xU*s4CY)u*PdKB$?%PgD&7d-@PkU-o`s#4`te4tD%ft%0yj~@DMNfrbZ=m;A3 zCoZGHpOd7h28|#YTp6nU@b_Et6q?XL5ndt!p6T?fN~js7;f=C=?zA7MTR_BV^k-YS z@5L2wOfzo9R-#l58lTxYJg)331Mb|$!QInLK0KaSFmgpDTTR`4qMevQ3l`Xt7x3Uc zI2#zY=c6TfxcRvL^#BIzn5Sf`sre4lI`};)^@FXnMn@dZ+ zp3=7KL@f`Auk&fgM`R_Hsh_*!Jrv?|Mc$?Mp!)l(mSSOZ$d}(;k{}r`AdXsuE{$*Y zoPD?eP@Mac+NdO7b&Qx8pQJ~$jZKow#*aa;!jOzT0ty%B6QX{Rw~O|U(vQ9OyA(8k zDrmzh&@H!2(#K(L)Sn-v)pg;5bt?HL@jOG#YU<@vG}o*)k{-T$ZE@}8aDDPGR&&Rc zZrZZs5O1%g@>;$*QH-)1Q1{GSS~nbngb%KRzr*cJvwm07do^453)#Tu@Dt|02H%bz za3b&~rLOR!1&k7RpHUG_(wLHdmUV|YBUM!cCTb1HoZ;9q=M+Un6;=pO#m{Z33 zbefi>>}X?F!0)2Af#BV?QVj=f!X(L7kxuTcyYe@)W${^EjYpa+Ky(a5NJH|7I}hbh zbO?vh@yyL!x8&)0G93eWJ>A=B6+&&vJ;Fb-55~+}q(^QUpFN$0s{IgnBc{zNey$!P z=BB=6b-QP``>vLQR>`=_EamR?0Db9?EjeyCjES;NX|}Z*&lT{mhT+h^X4M;<-uvXK z(NIDZd58L(Mfbx-8-ter=p573t-b%PS4)(rezxa}x^29IFITYfypX72 zaSk-7s*Z$C`<+<*4^J#^;pJ3m~YkHOk86HYeyIr@lq!6sH;Y_gl<#7F?5lZP#@%7?J)(gKCS{f8N38 zm-r|~MY{knl7y(1mP-2xkM(5%h+3>=V_Kd!usXWIeqGFI0~zP;JA z@bg-6Eym}dd*fQ7&!P7%;dGM;U4Q+&X36wHN2+Ch&_!|E*rXjkT<%Ok5u!6Pj9 z3=~X}OOlixV=`TA1UE+XeK6V4RyaCe&8c>S?VMe2=RLPrk*nx&&lQ&M>RhK^GiTq= z+HoM^rsfD8olWl-Dj3{7!)Nwx??l`h@$?v0>5NtSwN#(HFs~bLV+W*ALsmC1psBB7 zVh|^7V%)3i8(rL?KRAEW84|ju^;Fkqrg(OLSfSVfwDsM|bC2bwV9UhRz4&K^Cm|s# zX&W@1_pNk8nIuQY=Ktw4*S|4W*cAfFnK$*;ncPGfm(KzzW7tiqNxx9kMYQL%16O#! z7xqaSpczIj$JNFK%R5hugYXwbv-1*^s79EaK=0>qxsij3RrtN+C$U|S`{rEeUY>*C z{XakA3~z*_EAi3zELf3nZ-J5oG-{l$hd5vTLx!Jg*S{c>3nw> z9yzyP&$SD!RIimh555v3vTJRx1b#eRQT(&O6)YyhSd^Z4DkBQ?6$qQAZk_8HN`g&T zgQH5xE!$~X5kKUfX*0-Wg`X%1a7>JZCk6B}vOf*+jZXK}Lw`jwZ1X{px-~ z*S0=XECS8f{;(hE@GgR4?P>d&;$v$J9HmtO?n!-&l&T5eeJwj(o(e4Us8$ZexSXQ2 zLyEcB1D&KSFmUo=+rtq6Km1EV;?4B%Xo8`+jm}5?i&vPfF5D$ys{jQWRTLc&c(X!h zIsa9wU=_p;Mge?rZ6r#GElkKMqQqj zQy@i5kIx2dJ2?b|UF6RP|4UTGA3lH%l1yv)^lB7b#1mY_U`pIFlT;YxW}@UX1UaO& z{BbiyvF%9m{^}}ksIu%qqblx{drS561*&of_{dvV9Vr?y?XBti*2w_u(LVt|-h%a60U^Q8of`c*F-b}YV(6l5j zm4G-Y{t<1d+6vg0q>YJNCfSD#)EsS`Ngua5P5=B^ffI&+gB#fduGr+~vkMg(X0DMm zTMrEzv*MMiyyi{A%kHg{MMB*kmG-PNN29c4V%$l~te_B+~{3{UYEAcR~jvjP* zc#3s)8!2{dT03uBAhz~j=oePc+|X44TMoG6tts=Xf43V=Nhb~$$;Hx%gg~H3gi2MS z1k$yE@23DkU>k{g1Vf-PqB8W`aq>7kfwb|JTihU$=029A?ob)G_*r6IF>R;XnZKp~ zHJAQp8@?Pu7l*c&LWx8+aBqYf@8;nbBJWl5FesQ_)IEmempESmwzacHCujfphO3Yc z4`QIenw1gSwE4E7-W6|-=)JD9#eUq~i<1+lu3S!a?=U{1&po?A*^zOf=*Fy0Qt~x? zq%TeB|A&A1o5ZGi)3h!t@Up0=9S4L<{!Bvy7sItqr)qSBvLDY(u)Cix|7l}2=J+0r*KFQHH)w4({~i~3swM8;d%NFXf}8A(|2POtPDA&Fp~*^W8CYTdMh3(s zN-e6CR4%@^x%81sUBl@bGLK8qL5ltX%o)3i3*PBQYm$|WeRn9PL|1EC>h)!KZa+*q z#WsaMc_`-pgdzX!yY(<6eByX#Sq`mm^N5s%Q}aBS`ujS;UqAp|v*902n9y3})Gu)9 z4!RBwQSVY}ly;m<*a+eUcV=m&_b)ezen^u0#O#|Ij5ykd2jgRJCe0+_9>-6=s*%A~ zEtDw0mRaG+Yx*kftQV6|P~u|Vc*Bhn3ZnhjsrMy_uO7qH!q7Oz>>zQxY^d}qy5*er zt2@(LqEY#H4nz6-$i_I5K*V6e&QBeAsaD;saxxI|bQW{^ znm|jw;2nYzPFhH>zlThiw*i2n9}Xmanngzpxtbak$J7>V>yo`>yeM zKrsTTSOKi~z?$@G!a*+PBTt}h+skW1g8u^;dIos}(2>x#DBf5BsP{a&@$!B_@ndX> zl8Pay8~yo&mv?Z;LkP*@P?4|P9;`-HOD$ULl{*?;)cI~|HgyS|0aa~1Md=c39kaC0 z>gCr|`S7ntmlMp@_GC4F>t!{|A!Xw;Qx*d*_&s8eP1ENlk-j&TKCr=M%NKTowVRJM zkXDtZGX48dN9%Lq57p~Q3AN|sUTrl#ePe?}6|NnXc}x3wb~7?x8uIIPE&b$)LK*iF z`(36(j~`!EpBX;0Mny%`M_%3Ou)HPQH#rByvD#awiP&eg8u~%2t&htu87ooRtQUfd z@9do-3-2h-i$XuX%nn)yM`jo7#%6B#VXF4;3rk`cX?YCC(mJy{x9Gl^26+WBuYYu@#RS8cw%a%~q)8G-bl}g0>OtRkLHG-TW0D zEe(E!7*u6k!i$+K#oO=m?=YNZPD~8xe1???eXwwdLnUH03U0a6*I^BM`Y&Q9q&WH`#lyb-K_NrFa{Cg9c+m`sMN<+mX>|$`!k@M0 zKqVo0_0y!5o}%*3bPG=f+iZv7$C7Ph0e-BuZx~Hb40c{6d`HWR`SV|j4nUV2u)dA| zkpw?-H)J$0G%fvrH9Hv;qd7ESNpCek-dPo~H76eH*lo4|93${yXX}vsw%TaHX=;42 zao$@bK=VY<)c{1Sl34|WZ58PB_A;6?f3J=2>aWlnX63sP-^BG&66`q+QqO~@)IZvS z3-Svzk`v^lag(rOeIpAJ1#}?DX9>;$ave7&QXjZDHyb<4Y33ru78nu`jl^<5qytrb z*2O8HqXQux=H~w6-L{gh%1Bm+xMxa20LK9ucx4L5u{%f44ubgj_hCsY5Z zNP`Q~TxO#SR&34$$zR9)sC#~eO$BwZYff%mDE{B$EAks{k&RnUJfa?wJF)Rx9(MzU z%WWOly?m>B>Io7wcQX8eDy%@srAdEv=hoL4gCe`Wxc`-B4wUYrYD_ZDl;$xenUT-G zK%*&JC1wN;5K5}_{7i1hze4s%| z+c{1bO_mY00(u|&>q0WU5PM(K9HIS~p2nB;rlwp~vX3kQR+wpST-YmcV0{=-9ao*_ zdJ>6W*J_V(m@3|ZQY;N(?M^YJ3S5WbWeo5J8SdcACL3O zdz!DqbN+&B_b}wq=+C)3NNLW$BYXqMvVUz+(SLZ)+-Bwh*66$3;mG4RQ*y`+=NVty z?>@XXa^jbWQIP?|y3ACaay9zBxZ#m}cATmy#|};I|NhHM=p9D~oE?U;xlH&#r{<2` zkB5qO93mSN)Z`kOid@>L2^Bg1*ldHXO^A3pHjJ-6Ur^iW?s`?goKu93dei!DGoL;5 zmx>?hj;zNeCgV2fTslF? zlFb-07dR+aEeU%b*EfedKc12JKZJJYwLljz4ot9iu zmfBw}hr*`_;{U}#eg41ViwXbf`7aLY;T@#>)ZaJ6&E0ROn)$JA*7@Lvq~p{Qw4&-B zEw6uTd>a&+ibhhB0e*?=MNTojPeK3hozsEKi5Btp3c6NYVeD(;slspmj~yMy%8e+m zp~>|MfdTpYr@wkTT(>@9@J;2!0msXpCpsMCT`#AZ%Zds9S3m9MB_5rhv#AH>k#H!R zYNTX!APiv_@AmZx)~x;q5%|Dd+AX)hI+UTqv-v#jouoIpV28Y@jBDWOcvmDC!)z8F%Xe|ENl$10&3;G+~G@G&zH=coqd)5d7OM_PHt zN$^w?+8bCxHra&;!p~(|>Q&UUDe!E?+27I51*L!P!oSa&A`iE-^9cJa; zEjK*~Tf(=NpEG-3i7JUzqm_2ITI!iyZ(~E3YN~Zb?a-nkkeG&{fU10dj{Hoit;%Ad zmEx`iF+@xCeDSRdv|Ob#QlZ!u_hA1xIvcdx`8;XnoYjJsU2odiav4m}{p<$mHJa*< zzygt`MaWl$BmD(7io#ZGMO%zHeYzlMWJE_cfVl|uSQyTgc!!T8<9TLLww-Y`tm>Dr zO`>l_p+xmBW1B-0o{6QHMboVSxv4~fgu;`s3iqFSKSB<4yI2$|k+fU?Hp`x;8qf zYb9oRsh|SY8Y>ji)*M$gC}(FnNVz-JR@^%nU?gL=_#p;Ya(ZkoVHElV?f4--kf#C3 z$gUmh0ff}p6gAksNoXYmUwUYl&^c3*j8?9(lhj6)%1dA$^W7QtE7E6>>!xmzv1jL@ zaj2O1$M2e~KlGg82Abg8W5V&187avFV_&>`4~VG!{1al8 z&a)JYs83-MB|q^Vy#46C?lCCZ>fv)X#=z9tmq!PUgGp2e;hX3}X$QI`On-Ss6`mCP z=xMx`dm-S~E#I)WV=C9&3#5X}UG^V-CwazLXSg>(9ZcW4* zILUvz9;C0n*)zgCU~Da5&tg48O!YPZC1e>{9$S+thZFzwt!#)xo9-C}{2;U`o-s@< z!yVBz%->WA6gPGsF`9f^a-@2=uDoPCG7r0XPL^wlwg|I zm@hV1Zx)KqX=+$bu*gJyc}AsT?*o4&KDh;o+q@Dg_e4l|q$*C@c0(;N_gZY>Rj_O& z$%S0xJ=|GcfyyXl7ZE5K4Q2{t3st$MgIm^`DrY!M-PFr~$TZAg7uNEP#&sO5I zaM0yLnB^t{^9niD5)gE@jcVu)1H_2U(1ZVQeHL%u`ubf;8?8qlNfDOhnTZ`laxIkh ztpWsNK4%v!K)tjG9C#~$44KUp3TW@ib? zYd;Z6{dqR(@JfTb$qp=bSB;weE0y1HsEa7mK&v0+muLGtb&BtPtRn!NT$p=;Mi z)l-VKU9*$q1~0@;$=b6zOD1eVJWg>)$Yr)%9Fjua>*z+f7MATp0G9<94XO%e7Hdb&t*WphGrgn*%S~dQu@EF$No3t*yh$Z zf0IMvh-X?GAML2Wsfa?QChcU^>(W$@5zLe-*@?j_m|wyAc^pZ?hf^LOqrge+V2=Oi zut{<#E$4lji?T~>SoP%Fq@Mx(w@lSSbNH3!B?3{E3OA|F)@>QNSF4Ilm4(9ICOv21 zGcDC9$vvG{1}EsZBt_dYMMf^@@E^WloK7HUN)iiX`i)eq`1m}sZ@O2-L$&LYCUz%+ z;#Xl0;*O!2?mu;;1P}i1hk;pf0?LY#IdLC7b%SqRz0l|{RLu&UnB-xHT7&CHmSO#f z05RqXK~~h#i*S2P%T}y+T;C)SaXAJOm4&cSd-SUjCN$#Ev-^NI-i;)LFZGdVF-D_X zy%-xrN`taCD=DHyfR>!of<~t*Hey)C#B-`_Mqsh_Vv+kWazO;N%qW*wX$~B=o9_J; zBbG+#W8CQ+qiXNPSSajo-Yzn+l|^Q;sjwwfa0ET#9O~=KIZV>OHR?ZwjdwUJ{mTD3 zA4LH5VRs4pXT?1U_4Xn9bC_DvPOtb(1j#DvDOw@rf5ChYMe0t30$}6t8CIndCbE(6 z3B<`*9Oe6odL=Zm`%CVjL#Of$VOlTF3A?yAT-Bc>b;JU_E@Jy=nV5%Y*4V$JAW|<< zVk2_!%g)BeqSzNa4hdzGLs=etFJ7VdA9ZC$kf-MFWHI+Egy9i5S)C+QEMH7D4>l*a z2e7u-9s&fuDaNV@=r{FG6cVBDz}05DUu9YupdT*+CO{e>O8|dC#aR{1G9^ndbfo7KF-c(Smx7dMLJRFxv|jICcz;( zP>Z~tIG_r3I(}T!O)nnEc|G`cm))I+pfF+AjV|>!3t=b9QM5uKB{^p>FL|&*d3QhgOiU^+V z>*{3g4O#ONxm!;^2M1a8c5iWU8v&_Jlw*W&cp9694O>BNW(pY1#F~4l!1L0m{c}yH zOfSE$wuS=5awHW8&jmWRDI%VARA>mr5YM^OSZ5(UWD{vn?F`F(Fid}r+$E2#7ufUQ zHr@7dh2c{REc zhXU!~N|I&{)N{)!DVk{eg0dBaJFOt{7b9`Ip7}(TqaX#{SaS6@f)o})KidzkGl{X| z+w~}y!_b-qz*Sj&`J9Osbm5$~4=mwb;Ipt0ulKutx8NhfD>5DzuU80si?QP6NG}%sK>X@?4Lq)d!rjR9F6ylxq{mxxWdt-3vH_Nduq7cjV3l5!uf^4e6 z!*AyEbiQjwsoL*O{oY7H4^4eD;jtR(znxZ=o=Olh@%O?oH z<^>ezX+9OwpD2_ws~0JC7_A>Fi7Mi<_n54&t^xnJ{QEu^iCcoQXMeBiaDN~W8<*si z>nHbC>AyLCesIA8CD-Sc{dc&|MKt?}zfY_58Uz<K)*u=H7ZVX$(Zri2`z zTHqI`_%!oECqVka5S8*nr87kFvc=}QwcK9wzukD{Kqs|9s@!YAF($RM{Qq(G-fvCy z-?r#VBcX+=^bk5C%}NiUg9Q=6iWC6>MS2N!C3H}_3QCKJ3g|1?5dlMyB1jPt>7jQB zy~ADje)l>1?sK2>!~G9$>%^*Ro}sRB4nAW(`5?9ab<4Uimf(5ikFE+2HTDfvgn(c&0Qe&x9O=J zI~18b0{i2$&s;mYbbImJI@l%C54n0XbnKzT{oMN25n1zV&QLvUpzh%s86%#DObV)- z@}4jFj8ufuz)IREdBB+S_y_u>c8@D!7laGCzC#)N#78e=PgeoO>;WYfu!>VxZy4wv zmJ8(6OxNr(@BBAp|Ddr%=mXd;rs9Egup7=SIwPtkQ2=vWPVTvog`cDTr5r>v;`ZW& zwBg7co+wtgY!%l1H-YCky$TA&%Cu&~S;$mHfTwfC%$1zSOC&PnH_EmJ20Fq^LPY{~ zmfDxeTY4zXGMp;cZ;NqcKF9X`MF6XGyYLe#0CV1^@bG$l$og&>(n#7h8Ppi>#O#fY zv}COfA{xFmZ!n(tcvrOZ37>u%`=fR=la#r~bU3tF?a-hDH|dJb#q5kr$iiFre#?o!`DT|Ukj^tvOh^ET@x9l_4nu#@t@|?qR>ac?~+dQfw^?Zj>Nm zT5^iXJ&LJy319ar`?O-+tJ7WcL$NaNXTgMbG@I(at64$K+1r;_P7BL)J5jiOMVS5g zSR>o$)inm2umy3DDDkT4`ugMH{~d*P4U~@S(-h{D)a1wNpF0Mt zC(V~GT$CCi+FQVXT3vt8lB>kUaY<^lWsoeug^Afrt>9;U6dEaps*Q*AT<^aK#|v$$WFjDGw4^-HFAi%Hm#V-@;rx4G669~|SfO-&6;4Cxc- z@jrLulKMFAB=ASKNd#=&n}M!t{`tNJsomCMYy^0bW-`0 z)cMsIjJ-d=feRCMMQGF}#zChxFY%|I z_`wqkX3~j*NYMpOq_!YnLVucjp3#athl659MF{#F4fx<x|cdARnGj zA!Fma#B1xye{;q|$mP5Vhe}!#PN{{;a{NQ~4K^0V0Mm;oXC)?N<08|^H0>5z=N&6K zBi%%o@TwkD43Zri!%?H=^`r;)(4ImwJ8CQ4KnlIR+oek$1F;%|Rl*)K2;%?9mQ zY_~>8BHG>25MJbN(mp$BwA=r#LD}Kt3LtLcvo5E7LuZAaRl&yGHBwbH5a1K|5gVM{ zFATrPDBkUg&JjzCq%26{4)UCSddd~)qC-gn&jymXFF4Mdr6k~tjZNd_ijQ;&T0kmA za`=z?oSrs$3}ef)a}B&+Yd>ZhWWDaiUJouOoPq`3<-x0KQsK+1(vn`xTfIeI<}ZKh zJy!o`@bbsyVnd1nog9X!1n?-48wp>Lu-|4)PjbS5=HKJv6BBcD401Ln&zDjR903Q9 zR#akhqwKL4qE$}elj?bWABzY|v$7|wR1PjUh;hY8n%^2>kz$G0$!(ojW|CmOS9zoO z&kRa&@KeGa0~5?5lvg#=SS2 z#P`c8+U^-Va~A}W$379Ld`u(yZcYg|D^vJjbZ;W8$02+ z_RGq?L-tCLxspyh-dRWE29DnNE$r^2J90~EXynYTHL{HX`4+6l^3y2ZD%%|SYnjY% zCp6kC5FHDwd9SPT6~fw|*3pzTZ4^~s8&#Pq8tTF3Uv`f_<+Y#`n|M?U~b*l@uz%8#;56nzI*u`tnU&I zTK*VxWW+N@7IfHI4W2J^?(r^0_!R$ns2y9E~?vr)PMTTk*& z%m@#K6(}pcNDD8{KTgMzNb1ee)_{977+xUzafi%n@tM#huv@=HL|bb%!I_F|MDV_w z9_aVvtdKlN=L{*Gw0^N>e6M$ZU`3)huQK%c_#(o*NA#v9dZ`|VnqCr8HK9wN#dCv0 zoPp|i-^_O^KC8x`A9?g)h}SxX$w)$fbAwPq5-(SM-q8SvKeGL@{s$)U=`CnBG2MLK z$h5bGaGb?sfeMd4Gv+_r0`j7A;sD+s+$V)J^_etV#}XsR_t{e>GoMV;xSLVp0h$Gf z=uCJ337w1_mv}NVs3gI=0GL$2dmWM`WBQa>Vx_q1A-@%5ntacmPR3!;C9U@5o1cVx ze|)SJdsuCPb^lnM{A2XjO|YcZK_xAj%v;t9N7G-E`#EJXw5C zQFNKho9{}A%`v02#Lbw!t;D)N6I#OHZ1nf&_E$@Lc6)20iwk?)*#AvT_&d9kcYqSv z{dxdfmt1}+y1wHx7`L`Sp5dQ%z^QH^HNlfH+%u*;pLei7s?0zxK$E|n!%09D`tNy+ef6EMXADcT zg7kvK;}5K0yBDJ}Id){17g~*HUsYypHXmiID7sv<8p#wZB5=z3o_l?>3CfUsIYdmP zle2!_soOAG=3p|5;$Nw)J|>BL$bXxa{|N^>LEQC-&>hx0Z5^#{e?N`Cg^2$4azs-+Do|A*c z4o5vCxZlqxG*vIL_2kauvv~Q3Wer{-)p&Q$=wo7tC=MiIPS{${{?)BiPL9#Fg7NC7 zZrBM`bk-muLV8)bFcWP*qx?5wL}l2W_Dr|ELY_-I`Z!O$%xe!33B4gA9pUFZtEU;_ zfkn)7!LL~uE0Hs*$oG9=H5vJ)Rv!XVY&JDVdR{i4B^jUn_MyaoDn=09zZ{Nx2+aRF zlu-5)M9^9WYDC7-m8gN5gX5!N`RBfMqKVn)g(FA4VqhO@_kJS!5vUM4Avvt40<|ET zM0wenk?}6|ypPT)(^H>qRd`b2*Lhy#0t_?u@{$~7;br1VTaCmLUid<^lTikFh^<;N zt|AH`tq$$7J8xz&-! zr#9{?if9SX+_A&W29B^UaEgSA1N9r@vs1y9A#Kfnemzp`YLAL#k7a2cm`a6MFQqF; z*iK4EAa_8eVVq_AJT(i)h8}GsIrG*%fa?U9iAr!?QVNGdiP6UAL||uN*{-^i!eHqY zbI7}sX{=3^sH6l?#iFNRvp==h5wNr<_)){imo_=~Ke)|#2;Ax|@pn&hk+}b*+!*m| zc&72;hm;!8VKmyEEBu5WO;Okmwg88_vG0c>lj6_`?jSk@v#Uorh z_*X&YNuRis8i@fIrAIs?;s)2W$|6MTm>0RUZW&o#C$W_0C$xx>wXTZp9JPZI2MnD3 zt1F{5HHBA0yiXF$m_n76e#8e(q>8qX7b`1Vof?txyZPvc>`V59%aRAdR}lxw8CxE~ z$5(5a(S3~8-R`)jS{vfr zGxT2|r#uV%3T!i=w?YyG)F3;31aPUIsI~2iaIc|h1O}5?dtPMSRd?7-(hfa)<`8i5 zMTO$eGlEvUGmyFc!ppGh<)(yYmH;95UbEm`Rj>edz`ol^sU5)+Z$*%8Ospg~e-<>l z75DK6!I|~XBTCtUG;PB?47nkq?Ksett6Z@icy|b@BM|A1%L8FP;*xU)`Ypq@NBD9D z30I6F8tY!bb6<-pP=_noXN{9D5}@YMNkxut2`YVVdQKaM+w8 zyUH=-=Q5~_pfcwbOp{131u{02k4SLK2Qkt`mG#?3YEYl)yil}=hR_d6IJ2m-;mt>d z?99RibIT^mV75ct%9)?U_LSG)V_r9zhC!p3q4o4`zx~v|l;{X}w zowtf@Jdjc=L_KRa^t8@eA(zc}AUfxd?%y5)u$FeaQFtbpQhaW^1dI^gi{PuFS%UcE zmvVq5^062F&S6&PwwZ20x$9Oqt!qm$Ta{RCl0@A+lC-DAtH;1Ou|@0|BW4jnVoK9` zdmidL?-P@N!MusWn8WqN+^-gV-xk;CyJax0QD=t@@(NH3i5T#XUM}uFfUBFg9(cyw zp8t}qorABG>>>X*t#W6roFkJR1NtpB3NwNKvKw@Vp_|6Q`59=d$K2=jQ68c zqgh`vw^`Urg|3}*G}61>sKfD)`p=7B`SeE9vbEoaJxk@dBBw9I-}ovo;dnG{)uT3u z@fvk$IA^aFRy1QgYyHDu+Ck;P@=34-r|8z;X9vNAv|fP=EG<~os&w6>52WT^XHU3@ zkVfy>B?{b)^Gf)T_d+;SYI>dg-91;*^0o#NYyzy1w3^vUNL z>2p@Ph6+^v(hRTSNzJNyJJNLR{Bx~Ls|<3_KetTTsNsWG|2+*IcBj+dr-j3MW5;Zd zYkGbQxaHE><_3PKz;;uL{k*>fc9bkGTz&OSMjFdcC{1CWpwB*D#3XaT2Wp8ecLiL! z%695mnK2XNKDlpT%hxz4X(=@?dl`70M-H&aXn+UNg5NSHll9%c$)A< zE490Y97|N;W5?Fbu>CpAfHfucCHWm7WBy)cdtX7viar+(_W_ged>c%3eo}p=2y}ez z7^ai032?SH4nX4%a2by__n?nLokwZ$!_y<>J_$;@*@~ws{s5*h&*WbYzQc-&CM!3U zL1R4v0iX+X^iD%z`xwOM--i$bPM0(a@NjSS&A?gGLLHg^6^G7M(Ws%KGceqWbM&-M zb!Da3+K#GX%M12tqP)p8%ByZVVrxO9KU)(~O?(bDzOYu4Lt|b*+h%Zo+uTA-N;3Hv z6BZihV*KV-S7>-`R(8@5a;SI;eBQ|L3_IRV8CufgrIH2Qg4&lUX7k(O zi9SYgK#2T@^gRLJ)ym-x<&QTC2Iw)!hgZk6b6kbAED_5qpM#A7?>=$>1}I7*2d)2M z8i*zPEpGsPpUz!7xB$8McNK-3pegE90dPsPE8KY7@wA%n z5VCMkrkcy(hSM6aXib=tu&_3rHMNpF!0I4lVcXOA0~P>NR(VW;`L5g~rn9C0=BgCc zH{83WcOS5th zg}jujdqbXIlei4*8{3>gsfn0Y>$cyv3EA<1K52#(w#H&3G+ouz9a}&;E87(IN}|k1 z!hgtV+Y7gCl-3ZVP*8GV6tml9bCQW|ej`k!9+SVq;96XjOqX>T2!Fi6skZLn{covD zdJ0RS&LdOnWAUX5^F%1dUqTnPt!Xgah`gLi<{7021(%`0TCs4Z2dMR8F7?K!ub1_< z8W@{YCP(GLCA#poma1B?F#0WJiD>Nrx2@Rgfw|dyL9GG0HWtVeWAxDX{3p!fcFown z2Porm0uU3jWv)!Nv2jO6!+)7fnSl`^dl8(sbH5jjFvTs=aUM(yjIf+mL(Ufrfl4TL z_rp%jKqhF^kMRns#GNBaG;B;f7Y#p{bfkR}2%)+=NbNX>9t321j5h$RcDHR|`o9D9 zziM4DiHG$rwY)~|{SM{iczU%WSeB0?otlX2)tqw`{;H_c!mzEFNIXy`k5{Rx3M)#w za-LhjxbBBygWmzun>>V85n^=zSs5@He@ErfNs4QH@;m3hl<(q60jSW$9x8O7h#XZBfnrws*gt=c-|koEeKt&GxyIjIR;NYX?{InK?P{+swDI=O z_z2PAnoLULTZQ(tb{*r`wBu+CwY!m3lR-35Ry6*<2mnxNp8#-hbR`GE8W{V}m1mB& zUbzKgE--#oa|Js$cQUmYYUkrLak}H};}@}CGgxsx(d`^53C48~FCKl7pH8a08}5#& zQ}?XhxR%Xj?WLvB8*@ocpbojLCZl*wu*__~m`|xCJmh3aEb?L#=C@-G zGp&LPBX7n&p6%~dqeG2!eRof=%b+LC8FNR+daylM(5JE6Hjwr{WO`}~eaCO7^1P!%_BMJDxo^iMt$RU5G2 zq|j~lIOV^OrT;7_cYinX2(stqJ{@EGcRx{G3WlELHaNZ+@hYY#qg3l*#?Fy(Z{dIU z({imm!QRyv$geSh9cR)NqsFG`lG8s*i!sLxIoB>$X&z+v>bYPcUN)>GrlujR)tkY3 z>v;8x@KiG)-)PXJ67rDzmx1KYwlJ&gx-vEoYLBm9XptJmJ;JNGlCRn#=^u2g^AKd7 z8h|%lu#5RK7Q&!9%G{)pD^ z?X~b4-GA8kJfMyp+It@;IQQu;`ODsf&^^atG3}3t5&XG5F*rv62Fa(3Q}zQzwhv-p zzcSU;Oyb(4MgxLO_)>u9TCzD!w8z%@aO6^ofM@st%EVzk#xKhuGWXQQm{3iqh!WM= zF^<2k&CR|}Jt#?qUH94mifr*6le2c}R4Cc1zRJgIU73$#|E!#k{$4MpA3l$;Y3B64 z1#KUkJ1f)Tdq4#hZFv&3NzCL*F5)j#mH&7B7@ye^CUKC2`z($t>s?J? zgqd)wJLdVpKb9I>V!-JyTG2~m;rs?>+PbjDAtJ2B4_M^f-#-wFhkY8d#r7xSVL?84 z{!b5bEYS>)89QUxN3Dgm6x*N8*Sp@69LAc<=bS|SK(FO$p7H0LZ?sRKKe2_JMJz!V z!?x;O04nG}geb&3JO9*O^f-JG4(%TD8WnksQyL+yT;oAF&-IF=c9O42U7icpGjdsE&KuF$eJqB5mbowXjW8bCBJB{vv z0KqdNe;_(0 z6z!fNl_GnxM@DZvof1zN$v|W7M?h z(W(8I0BtQ6yLEqa6iWym-3@_yz7^`0=Kc~2CL?m@(hppKu8$nJ4HAA3$i4E_U<5lF6;BRoNEyk+ad#~-!h#WetVj?=M{~(5gQEX|rUO{Z5gt@HOr>57ppJ~ZQWoSrcfi!XGbRCi9T6%MtkrsV_9i=b0 zLF;J!O)s>LjwnF2+OX_@Grjou>0|o1LFb#%ng4A=SKcO0A1wT z;w^+*@uVsUDGMT2RWO2- zIEEG$$MPX!$S4CCZz(?42>?NVQuanaTmuQb5KPBZnW~3eMx>j28PhKAQg`2GHPIt*lVvOzyhu-b1=vo7|x)2BEFP!A-s6LAFKq_Q^So8 z9l0u5R;1)2zDVS)d&aYo&ST#J5VO7X6qRrM?OYU$D>H*%XKCZ8qf}SYN+D8<_2rPx zS6+C{ecnG!VdVnqb2Or!TZh%6tGet{`QTLg=?Qs<3yg`%4f% z25tK3d?}Oc1g-NpSzvRn*?wD` zJQhweQ2tm|Fwlj8U+ z@jJs~WNV@!(~=o(8Mu1`Npq;>Pe6YcKasGV!8*2IsVH4lxT4N=z~8S4qA&zl+t_iZ zD%yp&HM1TS9`_i=)#38pbzxGg^xLB!&zm@H)enPsu~}M2qqCT7Oucm**bE_Wt4*&& z#n6A190>sU3P3n^Kyj=`L`IW^p0a_$;R7*&+vyaev${F5dRq<^u1stb8(~62OcuTy z-RPL&6x)Osh6%Tah%@xI{|GtKQM0-xrmU1s;`R)KfOkeC zO=-wj4xF;!8={Ga&av#+q1TcbfmHa|V~+p^+6fK)6Vbq`88rQu@XTSG+OF_E&<{f2 zVoHTDNS49zn-bn3^7T9L`9&(Qyj9OZeM0)fM2n46KB0F+CWE+#f#5gmB>sR+J-TO{ zhVwuKkaT30IcY-Y=!e=M{C#D2NM#)4+^R&&lyNQwRdUFTKRKg~ogzLtmj`SS-5tbt z--|ktky|btNr3lGJG{`gNDMZ+V|FO`h{{)3AOkM(z4g|{3e9NGbeBLG1cEa$po7;JXEa6*T*^U&Wc2@UzU|y?>jFJq3~}V10DUAYUyXTL1hSsw;CPd z#XAkDWSKGzGk=Ogy*(-2%>NgRFZ>1L68{Cp2aocd&NQg@5resKGy`YHhM!9h7qPLA zO?WJL3ayv28(XSeZy1NmzJ}L|LRo;tu{)Y`CZ)Z;XOfR>}CLb9h zPemW`C;_jFk|5E(DtUhZ{w2#@?a8yqp3;4G0&)$v@^=qONjp+=8wy5T-q2McZlbte zOkC2z`9G3ICj#|IwgYf~yo-(MKdreE=Vk6t)S!^!eyF+4TO)t&R|j*EIy%Ob;Kb6H zXm=-&{$Scz?ZK1u{dB9LkNqGPRkqEKHkWw-xRFK@vdS*K^fjq7V#DMf51Udy=Yf5@ z?7^4I)z&nrr=h7YQXApxt=`()2Maz6%{6hjE~GGg4Y^?&im`fU!+eqF`%SWZs79VX~=C65(}bSIsPoesAVD(kp+w6Z;|*(V>)e?Nm7cHU$#AL}IRRg^IauM9qc!u&||vk+T>oLc2{ z09e{4K&Hcp){D`D`7djT;>H(O0$caKro32L;F3?sWtp*h-#`)_-5*XZ z%SZR?T2-*2Du=1Y=bT~d#W^|$7L^lWVx3>V5kq7(#xWd!@v~d!Y`oLAy5b6AOF95|mCHx9#=QJeVF(HVz1A_#3mvQJBQ1#;C<9^5V`H9E zQOkI4o6tu~0|9x(tKdP1ir`$E>}es{#YuPu88O4v0~nM2!RrAOPQ3=Qt~wA}jQBy+ z%tX9=+tzyz@?q~tiYn{%jnn|bA6Q72(YvVu#r(?2OURQOaO_-A-Pj)!WxpH7PapS6 zV%=EOcAX)7jOU&S@8m}<&W)%JWbZD+=ycim`hyI{T_doR-L08?E{#kHE`#@93}gHL z7Yn{BIlK}f+HX`jMTP|zRF2bxdqw^`7BoI+yi?2ybz1-hXa4-q4TC~}9Wa?iCSmio~F22spc4q0VBy&f(7!N(A;9P3_o{87gm zDrJyA%;Fa89Ard#Pb;1@{+LcI57U!^@SdAw8u$AnbUIifv0w1INEJrMze~*~r?YMR z=D7WN+1jLQklLr>=Ug=5y8@?P8^7#%r+d0hug&^d`ScKQCByI6BaBIx?8Ei ztPncO56tA30=9_-&Lv%q*YkQBo+!rq=Y$1Lt?zNDF=+->TG|-1;I@GcSAHVs|)#^BHLv)(<_Wz~$Ar2B?00#a6P{%cry(%{U&4z58;Qcr$M}HO}c= z8r-z@u%diPs?z1R{J<$K$>}MMhL%yhC+xL#1?bAm^|Gfm1zT2w@iT1^Ju+QS!0^1R zq^O7DU27orSP&H-u!3s$viu(=N zlbZZ}RBkQ(%qsK>IqIT|v_Iz?MPOrnje7e?KE;fGU6t^5(x=2rQK;f3@af%m8t%`b93a~A_HzNxQqpMTH%d`YUzmMzdkL?fZpKiYH`$Ml!( z`pd3Bj)6OlAw76pe&oR4;4}Nq=b~j zAq#O9pQVQB`sYM}5lx?#`x;QkL5m0i*y6W>b`El6l~Y`$IMOU}5b*Sd_yv_53OvL^ zz~l#?rs}bpK|Z4|u=em4Vi-pb1t}5&FrTg?53NDM^-uXszg$MB;(tnm?>pZaq2gl@ zK4C`3$xJ>VYUXGD);GX9D=2dMMI=!ANK<&bDJh#CQxU7QEqd+a&}Lr|JSp*DqAWzoJOteT%6E6O3K zyK`2*)Fi1%wQE}_i~!qe+EH9Pl+#aZVm2kfJC2lOqsI-Pcio_ zL`T8^A(nBlgnl?@a-3$Cx&4!AL2M3n?vBEq|foSEyIP9rm+L!nBGN!p&r zR~Nrp*{vk8Bx;Tzo8G#4t0)JMlzCb*D#--L)Tt_K0voDjNq!i2p5x7t>Obk*5#mY^ ztq>4`;pF+WgudreHMKmlRaNg?Z4~ z(dM6G*p%?H?B~gx@?!M>+6RFEW8`%lH}eof_=%e+I}~T+jMg7o8Aq&@-&$Q%=6exI z*3y(`alDYjmCX<#Qgx(Kjf)RuG?(4fNQ#x5;8neM^UK=08O-}$iCfw|7%jfwT_fP7 zb{Bu)*NW$yo;m(4a`zahx~BOhGO4iwNqAaN^4mL_>nBbUP+TgOCFKhv1K60Z?*;)pLq*HO^DTLwQXUf)#^Ggx!`{$IQeO$5+Q$=7Y)Mr4odhW%w6S_`Bi zsl_9V+%ZQO3d8S3egl8j&@CwL?2?Q?{v}*z`O`m1){yz`>9_I{xg}AtY?f88)IZ+p zf4TZ5k?mgM?b=L%QA`oHkO^~qX8y~?)#VGWKdhw@h#{^@CuT7R`uM>nh0c)A!ouUs z;K~!+uh9jDW4~4@oj64+n4q3qHfuSo*>&n9Q*_lX&YFZQJ%T97V zeKz3iVhpuBcd_wva`)mH?1_LYlg8lG(!1YgQW!=Np`BZ&t%K~YS{WfmF*70?J(|_D z{7ZZ`Sx(YbyBDQpNy$)4N{i?~t@WYU3%Y30! zB>{NtyDycrqM7+<<3vcBeN>^gA>2vrL9Kv$Cm9sYE5HFbdT$ZwJW7 za#EvTrL%AjKrc$RGAjBz8MU1sOCqxr>4B@vLG5(<=WOW8I67GWIauv@IWxK*cF|Yo zszeync`dT8rhY&;LFpiB5E3&7s%?!ieML$1w8@@9t*i9uqfcGK^orx6ui1Bx>sA>(iX01sG{`T)VOjys+N!7p zU~6w1(cW2YlQv<#_liS~y=fk5PoKZRF$Q>Z2be37&o5Cy9c^_bWtDjyj*z28T84WI z3@cnF=0HR1p~g%xg0+AdS#?FnLNA!6uuH~^R>2@|vgl`t`LEz0e#w|%$l4{ov+kc5 z4sUFtu$R|xq$X8qjra?Sm3jI~vl7?LWylnx8}yMNebmdLm; z*x5J%+H+Z7G4Rvdp06KzdTSg-fF5#XM1Y#I*U{o6_^4-)tR5I>X_El^ zHU{`Rp3SJ1){_hHR+pQcd@Qu0!)>$bLsC^aQ^0NX9+*CG^!ZvEOQT@v+drN(Ltjai zW9rmX`MIa%eQH5B_*D`M0r~K;%Mf08Q7*GdI>Z)|CuW-AQ|Q+YX0jIJ7x*`~u-!E9 zqrO@eCM(n6=QtOHX?1ZJIOoAkwArDRjAn+ar%==O)y!rOwM-56+F4RrI-7Cu)pXOW zs9{Y_IxzJDdca@jK183au{*M!FRo(u&gLLy|LFE+Ng2}iLK@$hqpVFgcNHZHXp;zz zitxlb=<4z98SQ^P_HTeU$%2UQD98@X0LGr#wu zNOq`y#tupdI}D791BT{;#5;g>$i{=WnhvE6VA@IjFAvc1(dBIi_9osgt^fZ%$DtIX?q2uoD2s8%jQ)DDP zxgSvj3A4LnC_X>wNcpYf#HHrrlr&IY!>Auys9$h@%&- zD(kT4UF0~&48m5d8|?ccg3UO;^Q6VrM)?IzsQTMW=^EWM$nhR?yfS-PWuEgn;5WT? z7?waZV!{aAsh84d%iC)g=H%zYpz=4LR8fjT)O{B;AsL4kG4rwQP&q}T zU{*+o_dX!iI7nSs?B*wvo?>Tq^0`h%e(^WeQDLQT4U{QHSqhJgv3t*~K_3vKE*pSYou#{8K$mBb5MI8Z#>peWP(( zD|7^VYEv0oLh%R+j^Xtq89y-hYb%Y&;mNuxx?BSxC%&pwBhhda6g{x8u51Cpw+m|y zWN>%p1K7tEz4g>ckM9~RfAYqnzDigB8o{{>%{=B(`M!)7ly}27Ub@dyss|7& zLm_XEsuUrNY(}M4CsxiCw5aXoV?n`4%*2q-!YpUr>H=QvYcDz_M|7kc-$bi#gN^qo1_#}QBR`rSl{O)e*W*C&)C)YXGMu-Wgp zezm||zP|hxB}Xbo={+1Hl<@2bAER*8k#S2Ys3cXNIiGPO zpnM%Qk4C1usQ6YZh9>_i4UCI6y8WSdPLu-`0w1(x9F{@m)8so*_Qgm8sk#(uylLJGv)`%R|NJqjE*O<%p-_&sDg)a=x8N*6i zZnK#v56`A)Kg3>tfesJ5San$OwP{|9{1rApGCS>x=lwigVS%j9E)y*)IVWL?u@N6@ zqMIA;+OuEHS0q~#O!*Yritkj~3Q>@HOn;(~gb=d4TVC3wFj$8Hs8R@fZzS+iPk8~b z{Iafk&8SXSoHQYmC<15NfPo=@1c)%hL^pMYMK z2ExG|+_z@FhhTqP|Eys75x=E*5-9YIFVBmjw__>kj{5zMy4B+Lxed1g5}L zr#O>%&PPWBnzl*sI?&5T()PlP@nPH0Ix0JAOtN-HB}ek2mEd0q8kX5MC-vU7Lo0k)1T{(|EOJB6aYYUhxNZ=U<6Fcg z=EWv?CT5u`Dvw#F?q%Y&ZzP3G<{Y5kn|}JKl6d_md}ei_mDOlGMs*eTDe!I50Z4e^ z1sVh-T>ILt%^jY%{#w1@ZJ#hXerfRW)3~8Xi@O7y$cXAkb=0-HWyz4KnMHM%mwEYZ zmEMjt8BQZfBwagi@y|{TnCZ;aOyq+89fG2k!6H*I z4d6L%NmTr+MiYQU#h!s;PwOsq+ouwsa8O>s4S1D#NLxXcxgOMe9c;T-^c<3e}~S*=9fd6eP7;RstIDjfxqmH0Q?^$u+IM%3B0dK<4^@*?}PEFn;6^Mb?Yq7&_^t<-66CT6G9J9?8lIH z=+TKwt$CtkJ=w!=Hi;bXnO1d`rgg^4bqL*hBY<%7drNaj5WsSHg;*YXcYv}(+SmD> z2;QVi?7g^K*Q$x<$YFt$X{_N*W)clKXq6C1nMNF6S5_(&8~Pd<1`h`1c@Ma8rsPUO zihzgb1T_CT-c+$vOEFq}m1~okH!sah?jQ%blxA!HzbLUXJdF;v8wCH0w$-v)3 zSR-kTFZejf_JqWZ7TzCgem}^b-Uyi zGz>}6y+%~cli#>`D-@#~oFo4of-d@W{v}<&@IEim61aR%P{RItzKnZppSV9Y>z<5b z?Br>Kdo$kZZ+x9^Me=1iAZ0j$z(~jHPZqQcmJ#u2Vysvdgq!!-x&t2>9tti{sVbLd z3F1PXq=$z0sPt57G#?v3{CHS<4elI zTK*adEV?4n+if!`{7or)^O+f>CfiOj7+w?(aA-h>{v<*46X?(niiC;c0qD|?&g2$J z{*~6ie=H&vl`*yV1-B-<$ zz7gsVp6oRtIG`D>n8O7338iteuEI*|lJ3Nq!%JT3gm*jKPTKl+jGgB2Wix zY9imEBkYyV;8qgz?OyV!>=8irVoV4x7B_PA%$*p^Cj+twY>@NF9Z^AMT1fL4-Oo=W zn2af3pq{GaJ&>#FEVtMS4a)EiT1_?<)oZ*=$8)?u+?dd1&yvM^w^eUxM4I~ye*Ob8VL?)(}Z|MML~P!lh!+NAOjeumXA z64BrT>O{;EkzIq3A0AnnS4P1d1^O%l$UN^-G^3nsXis3B<^FJuJECZSMMFV!5=IlF zYTh?CMx?#Gz2xv+AL=XvxQZ@Ck(V5MV;qsfC+p;Ca`&zU?5Oh%P>dRrL^YYw{U935 zAkMVS&Xf5sR8^Kc15zzXQ-+Pci|`W~;|4|q-AIeZekT2hf$}=?T2_;Bl9Wk>qIL;9 zME+Cy9t&Or|B0(fp}5d*)dxsv42fQv2@5vDYl!`Oi~9onzi)B3CF%j|rU=BrwuTiv zsEw=TC4)lt1DE%+B^Iu@wGH3R2mmshPdtQpEO$@))wdGk49+)%_{am4&R^+T0Hg}G z(iSwRrqi^y;V1U! z8GeTE#|D}(VUVwtizc%|hAHg(D>AvSswc2)% zcg%7cpWi0N5mRiPK1=YOc!&327#iQ8qLpIEXG{>0^pvmuVs(nHq(EtyL(qSdCTQsRSME*NRH57l;q2ZHg}Wee-)Rv*V}Ck`%xTp_tDqUYL(mvj z*cr|EJBA@`7Ab@t9lg~0Tr^CP!8k6dyCUjhgm9LN+Lsc3s#0~ z`MPK)Sz9gKE;xr!g4x?P-xvOH7<@|!EpE%n2QT8ljl?! zw57hhDQTe}{oQ`ZU!$*iQRWaP<j^|Qmi@+rAo z%BymEg*L-KV96|&xapezH17h8n15NDGH}evO zWEk5(t4|Ac`m)fbn6;t=2=XO_Ev`?X70x(WD?YByo4PUE689V$)pymGH#jk`Hh$@^ zGPtDzO*0Lbnhv+x9T7S_Ow6n}j%XaRW$Iq9zry;omWVOr5qLG_5p8h0$9g~jDY;(H zEJK~mF%7lCUK(03gMU5fbk2}%basUy!Qp;4!tCQYsWj(nbm$5QdhnsosHz+69^@D# z>Yv%sM}+4=nE67zaj-o0FG~Dj?-$%4=t;GWD{Bd|WO1DugK5sd7jHrgp`xWtwf0RH6gHC6v zv4QkrfsT*+Aou~KOztYc6dmNO(l~n+vKg~{QbNm143B!Rw7>L_*@U^V ze)x*lTZ4aqSu4$vx!E8n)y6IRSu+~C>f7 zpNZqAJ{Gz7*QV|!I3p$Ro#cV<$z$XH4^eL(57qm||DV~+*k;C-5ysdeDWq%-#uC~r zX;JSmwxWfxkM+#hw=Ais#MmlrXr(MOc9oD8NzB-n%Gfdr{Z4(p-|x>qmIn_!=A3ii z_jSFl=d+bT@inET+1}`jAFzEq5Fj2+sI7lry;N1$_bNtl&$2XDK~`%xq1z5x$GIw( zQ_I$QpCkP~HMd$$B)+Y&gbx)dU^C5jXocP+ftsp05Q`jMB=1%!54Tm4QErHIE}L#I zo@~3!8>Kk%>ff$KhSE!hTM>+2E04!5Hb%{af3M5G1Gz_tXHY;mwD#SAcRu@jAZPiM zKOm?Ks8V4g>Lw@s&gYa=R2kXXANKGL2ul2ySDtyR=d)7aNkO!!bcnLR21qpWvc~^U zt(0V&`nN+aKSwUN={%t_$ENp*c9Uh(}L#xoIUA z8!+=L?C@B(>&gEL-&e47qcV&Oj|WEzEMP-;%JBre91kf3eG-c|qnO8|Gg5yrUf(?7 zdqf|r2dxafKR_$ZsO;z2?deF1(sV3EEvdl8?p$kfW`fiFsCYDe?-kq{9je{vkj;}( zdfzSQewO~BgkPyYau%|Fz3kY{EBy_0?DFbDnpp!JfYL^PiGL&f1GcvJXxa8`um8jC3$5@okqU*>`H z6Xv*VNwnU0XXdPsy73V{zSq28&$j;UHbpW?I|-0sE#JDzg|GP8Dj}l^qNyfEYreY{ zV9xgbU&-h1--^%8mK`w|M@4pojc{0H&+Kf`!AU1dY6?VbQ3|>U9Z7^)WYT(zM`a-K zoGcnlz@T{uXsuiYVp#zV$={PjW+xGnbfbpd=8DOmG);YO@7g#oj9oE&!^}Nj`>6C9 z7d0A1=WowJa%sG4&i4{NVKbY{gm2z-4W5;?ZOycr;qj7gF}*SMrhCr4J@tKud}*(K z@$lm(A9bd_%|b)n?iHo2O_txev-)rG3Cf^&9l=f4ET~p3MQ2(gcRvIsjtCrW^msv= znlV_-4tsxlB<@XA{)*o5i=xSliIAk;FA+oWa~wWJdi^{SXRSc_yRH29Eb$0AA8;nXpRs@#=s|)1u37bp z2i4S|DK!u8lBxm1$$*gZ37Fksh+t&z{14mQSE#oGrZ7)Js7fRVIjWxNy%xO7%x~~h zL>qHPbn0yJkCb2ArU`r=DQ3Xcqu)!si63M|yR4-=D33Nurdbn9wzESaI_dw!*;jdx zGaI6k_NQVhwLrRpNAqj8Us~yvXMUM%%{|DdrGE2qHgwI2P}2Ey3dtb>iKbJaOBpEy zy$eV{q68EZ=6b$?q6quFwtP}kr8sQJsHyJv<~mKdl-jo2!<4J*OOg`r6GP_@B&xDg z=Ir?UHaZ#(p$9yU!hQr@T;S8hlAJB(s&+b^Vu8~<_Rt4NXgy9v6k-q!)gzb5e5DGe z`LFyPTQPM96(hzSnU1J)^+*U9~%YH;I!>Vj#CE-Hx$U<$Sb zG_=uJ;(B!3!?m*?t|^M8^NG)97j)&5@tM}!u856fKW|NhWfycDH<4?fWH($^idP5a z={x;^xl4QBr(n#Y`G(TT;3e^WU9TobvR8gtr8i&*;ao<+c86E!qQz1at^1mjOIJ6K z?X2`dv~X=m3sLOTWoSIx8V_n_ML~z&9N7huZdEFD`y~9C!BX56px__VHeov1L25+h@Y^2#K__yOncG zcptFci^nj!i&)P7FPHocPg)+Mny`oV3(us@A|ps!oGq4rSX_q0(4bC@&{~z6PN~hd zU%N;VGL`I$K{{2YQ6HsMnIgPAldUS;!$!uZ{&(M|qho{#!J{6FUpYL05sUvDA(%ld zy&t+6&vk?~z=*;c$Mx?uQB3#>9H@#|*242EHTRnbR^Uz^hMb@jIW+cDmNKgN{rhA99Ny)`k zpGCscNqeWK6`fhNskE=e&kKrz`Q7Qi>C4b;oGiD-Zc9c==;hBbk%wot=!Bg#=B1{)ol!y|N+ zq%qLlOm;N)AQZ!uZ(Di4i7vd0=!4y~M`Otm8uvx&6NY7AO523-4p$jVl;{yw_MT>n z%A=Z7}(_fc?`efF2%D z+}sKN`P1Lks|2B5f!K?uooM3UE%NH@ShNeMv6Kf+%cTi8+DfFh4 zAo3NblFIJfD{0Fxkq@*RdD^BoJ(*OTzfTX^L`c~@t$tnpAcN6!eO~x|B5gkY!%y5igF#ylzDF!Fr%j3=73Tj4UeAqV^{?*VBci>ND_Q zMe;`l@2+Cgwh~1$e@FJxZd{Y=khM^9<{<0R?T2vW&&AOZpLHr}?mmnp6L&ft_D$E# z?$9@O)d~Gs0!uasJ|B0CTzFVS`AYnN^Jr1BNKce6W(l8={jp&|4U7tcdNF3-=&CK< z2*EXOfD~DD4e?*Cd*1E zbhXciPmQUZ58P@MGReR;KDj+P1;hU4YZ`qEr-tJ+pP=iyA5%J{{7`pn(Wq#@+rwA| zeEmM`8U4h|J$c2T<)gDy;n8m{3!&ZKSsMN)pH|PpJ9m#Wg2&rGvx-$a^8&IGUoVp+ z?W_;qwi&DWRO9+z+pUXteZO$x_}L>zN2-hBqes2 z^2B=*l(`Ig)#)FI;=$o-_fPp74xT`yeu~%czMPDRjWyD_4*4H8)?faHOb~TydfwZk zntDb|T&A167V(Q*y&H>lMu&Qo*EFlQUJ;>b$rvtEgzJ$;A#SVuz`!Wo!Y1^?cnS4G z_&c9oDD{Mp;W~CA^&gg5M#WyYbBo55|9_Tt5Y6i!OPd5A`2080rowulP7NrjsD^_< zFa%!N$R~J!VB$}Mq85%vL!fGgAv5{Z=%>QoL199=axdZ&TqFMBemSl2%L(sD2cFq{ zR#7LsQOZ4V$L;=jB0`q~U2zgmFFkt178lP;2VO{UhIl884AHzNO~yu2cTcFu{a3$w z{(iz%ju^_#4I$Mo&MM`y!u}3DfVK9GXjEp+<~kbGG5$;KazcEXAxXk({OjWGF-biO z$~BYYK)DEp$CPSg&<2=|&VS1ZZb?E)nn=*7EJF8lX!98C0|SpB_SSv`UIGouEC{l*SCYHBINSxT=errW|nwE z59^Y2eIZ-nSs^`5l6;l7&~tL( zi=?cMtOIDTHxjr~^C8aH(P4IK@|mltbw$FX>7Q)vEHwIO3YkREX@bMZ(W(HxCvpU{ zO0`UJbY5W3gCddrYH_`9Mk(6b=Xd45%!m;xOlg0f(R5}cd6$T5!cGl8!$*i_2Md00 ztQl|VTzeOGDA?Wf?HYfcq~0Z3Ft6dZIWTa^y(lY9=)#T*iS#w9?CCuHDG!4th+jQ; z(y9drcfaxISGY~71al|;PDke`tB!=;ZCB_kYSgC32cc{}c4M=K(M(cN0z4!32l{f_ zzgfV4+v_u-et(J4dW=HYCkU_zkd#9le5iiG9s!t8B%ZLt%9D2g0SqB#;e5nDsiYht zMrV@Qno6t1a5R~unKEG-xZV1X8u`-Bv0VED*N8rtpa7!do2XogxOtDa7r$Srh4{bY z*!J4xF-!69H0xR!#^yabcwGIrM`tg1G=MObwQ!z78) zW)ULc7@srtJ&$&hJlh)gU1VgTDXYZlPp`E46mxh=NQKXtqX|5WOp%5gCAhV~pyWEE zdMSk4`NKbq4psElZkU?eSv}k z9&zTwhtz?p?$Q%#PBra;_+qN`X82H+O4PJpWu)9j4U+fzu47CS-qq0@2*e*ThH;z28=<(+9#OVcc1Ym&gk2$TRT-d&cct7cp zLFbLF-)bM*ckPjH{UTZ=tBZP79ZWd&T^1H$Rrh>+D;RVm!fCK#hue;0=xtF~T3<dVKxFYlZxRcA- z;=2Oy-#1fj(}g2Qe-dDse{x>A%ra_x1nZ;1)!mkxz`_a!=BYq}gs_h!*Rlb8jb}Y;Pazy%mfMv~2-gIu7lo zU9G6Z9VYcQe|U?NUt#kIS7+$x=jF(Wmg}cFLfp?UskGcT(pmSIz#Do%t(0T9T~}JQ)ly;jko~1 zfGJ-$2HW>3{!?0mBy1ou&^ae5Mp-|WaSN*N4voyoXGM*WDE97qYIun<}I} zN(J2#Nj*afM^b8>OjRHTd?kOogmK_QQ#4De z8Q{2qc1ZfqYWspz9s3*n}k&pO2me%ad)7)9v z&n0eUj0+Pb`r~+@&SCBV)F4z61;XeqxDO;IJ;`koJU;Ht0c*oJMoF|ZIsgURD-cM1 zX=fuOIWNlmJz?UKG9};L>W&`v5%H z^iMS)yPmRfi82utfk6zpZXxyZZt+$>VX^*@h}Y)3GZ+yyOi`pt^76 z3T1ZA@6pj{-~CU5|LIEMUq*Wcsl<&$!;Q)ct(svE7&fsc{$N&p<2qb12M+#1R>gXv z+~$9VYT3Fb=$4aiF%*?$l8`<(-}I~9 zs;9YXTZg5Ylj8dMOyUzOiTU(Tuk94NvsB?s{b;55`!U}zdfQ>uqQ1zKV2Xm32|N&% zs}wZ_ZB$@|e4E7;SoCGw8>vZH(*(6RU*IeWp936?cUgDP8)0*i?Jj(zH5? zcc#@s`%EiL4pUsR*vuf*KSc>uM>}pCA>DjB4k z8o3o722pP|PlvxFZbFSxC~w`Jk@MLi0vC-i2_f2({Jwqm-OwOqBwZJ4ndJ-1ygc&S zT?BY9EPY0ZzE`gK<~}_e{^XFz45n3y2kacV#k^B0(4U4Um0aX@9UWttB_R%x2`HIa zi`P1iK$^*Q=!sAc97unOF;Cb~LraHaJsyH$+y&{GnOSV}8zAy8x_y+QT6s{Mg?rCP zDYAxpKx1pACyhHpKPoMuhecaXKlwc$JKtD`~#!%SD7@2sf~q&p(A zzF@ZZlz}IjIoDO*;!uF4IqL~H^^*?^x4j4WALeFRk&pLj?27w$N( zTd__WH4OV>dyOG)OnSG7-*il1(aa+esc?}+U~`-fExx-AIN(@W0Jy&aOnZRF-b=sx z*(|3qK1;_E;P>cY5K44S;g_Xl^3#B_yFQBFgSSm$P>R1(wOv7e@hArnE)=quASt=P zcmTr9K?1Q_g@b0pJMDJDZTG&l0`V}PeczKPAP#V*)7{;Z<5zt}`kFbleh#9*1fPPF zgP)3^7&$kyWebT|Nj_hgPB%K<%vNyg*tEju)3TBo=5=HR4IUR*Uw=b1+XUo8KNOAF z2vVp6)nGCs6!V`}>y#sIbT-tWfkn9BcJAZ!bMs`ql7~{vb#Kc2Lb`9Zn)eo+Ml|%_ z#B4072pI@YurCdely(ANP-nDFI(02Cq&IF??M5|jDRv2;zZ{0L+x~u@&&RSNJw-Cj zzDX$T5hFg2OTOq+W%ZoHR>US=eRn1xxq$`#%-viEZi;(UKv9<4a2CJq_G2T)^5&YW zg&l)_X7vt~Z#QQG9xqLv&TkU;&q7)(Or!MYKmmu;Gl>t#^Dp`C2u zKbm5h(7f~rHM($%P{_P4QzHrXwDm=t^p(eLD2wo9SiJV)FB^@#ctDVicW#M`u9BZ| zjL}580xmTj3p>dR7}&kG`O%2Lx|PSBub*!(^gkZU@;`m#rXuOSTXcWjORZOV?*Z-G zpUGj+N)$`pXt%iVGL<}$jokyD80G<+J?G}xS`ezDMdrQi(`W{6h(cxvkNO8W*pmgq z#!bM`k@ifOmT{dgdJ-yqokuSMF!yTGi0^M>@gMU=j#xm#Pj9qy)N`|-UuOcJ06(|& zQpC!x>7Us|B5a0h_QGnRMH!}ZF~z;fB3ey$Q5fzt!pnaZt&|1>c|egidK9qGAt3n- za{_uRovo1o6O<@r08i>%gvYsT#lm9BS+%7<1f9$jdOs=PJ@9+W-E5^MiZ^VyokGq= zcTmiZqF?r7EZua4Cs^7;hoVYbKbj;@0HK*{1bBg);l)vQb2kxA6!6a^vX-;f-Nj;7 zU8tuRMF~mZtK1=mjV|N*|DL>iEvy}YeFu*mPAn;Z_l;oHqn=Y?cgWtEHR#j&e&EN< zzR)v9MoC zhwgafE?T{zZpf!>#Es@=#wPffMy&nDkGzd7O~z80cQR`3w3Q8a#CB z>l(>czn&|%J<>>G`jR*u`TA7j4xvczz;BFzTSUP7>fn{pFW?d2wFl=`#g2#BBJJQi zw)pPRb^hL~Lt+q{#B4_6-5+o5FkVdco{un`FfhKvB^>nhU8WGTJ=@()VZC3tY`06O z{L*2z;nF)DCuKfEQU0#|LpmKm;8H;A3(3nkh$# zv65-lf#2AbqLaZwndWcdfl&lvCWwUx(`iboqDyRyoXL|Jx~ZJba(r|7mg*l1fJP$} zfv>})_WnvRDpr&;adJE&d^vNwg72XQ1}^sbCVL%W*lgg~=py@!12jNnV%n*c^LJ}z zl6WJXhi@bRokQN?zjop(8NcvZ{m6+40ZX#+6^Zjg&Bf`Y-ujqcay&D_(GhPqLx5d7 zSjrH-{K_q3Em}Bo=K>@f*BnF8<9C0G*TJeU!$kx+Qq3(X2J@=HXHq3o6>Wj=`pYb& zIeqV_n)^q}$ReoXs_@@+$_b-7Ui@p^TGh)DR40fR?O=4iFQfVLezKK3lz!q{8FzwAeBEkqHU{kCyu0kOLI!H*7D<$+nRm-)*VGuuNKteK&_M3T zNK7&|7|5&h0+KXA^^nQ)U2!{C-ivYE6fjUWo|r8HzP>k4fGKHV5X)P()xs=BwQ$wz8G{-<=Y3)P%M`>LE%H{r4=ry^6KYGkKq zO-8mf-53AF-&lGT5Qlc2DW|;|GYYom;&2FRxTb|c9=Qv#K7OrvBf1&wNsG*$cL9bt z7I=Es4U@IYLU_irSTmT}-vlq#DV!{f`4(bI*%w^!yB1r>|~Ok zZX?(`l5o)!pz{+CFzT`V z_U2wQ*{`|hc%HaO@2AaSS!)Mj$9JE6cJ{;J#_JgtU>qOPUL!Be>0809?Yj; z={e)c-fIfjQ{VQVb-8t~bzzBGN63-7HQlQ znqf4@d)))(O-76V6&`Fl^`G9v^YaH#xEx?x-zE*?#v60m@YXv6PO1oWZn9 zWp}IFHZlUQ9(k%fIad&CPqf4r+!OAA|KCbTsJ{m^Y^jQPbpV=g0DRQ&s{Sf|52pCk z#B#2MN6Cbd-67E1_xyzbNO`7yUTG^9FXov9BbAESsA2HMiCy7GT{Vuh9=+oJ;mWa_ z|6Liq_pDN@;hr4xmRP=9%%a@EaHAHz5(cr_@3iS?(D|{E-Im*0nK0pohcZ{c<(#8z zf;^+)Z$z>8$_!id|H+wJ^tilmTMlzXC4!;Yo_T0b5%}xn?y#93pSHW2ytp;V+9{+g z!p4?eIR7Pi`{WgX7M^l?x?FG69iB*9KFmLXntDbw5WRa-a52VL?{<9hrQe(;h(+$o z))5jyMRk3$>-s#-5?{;Ldmf(z6(?5~`V<@CuzOs)a7X=C39pMW6#EmRN}?kSQSH2f zY`4rScdXY%AxZgvx>h}0b5T~i#lZ*)MQMkk+5n%iHl>_gT4MVt zL+|l9EXt;u%G^$~+{Snm%v- zZYUKEso$N%+MK_0dD|2rtMG~YPa=bB7dqcfhnn24ksEE}9fboG> zW}-&oF0VOSUTZo$IqyU#AHiaC%U*783dzfgA62*|pC1u4Mz;?{6~7ac)6=FiwE-hL=01O!EcxJK z*zBJE##hI)U~FEWGQGh~a%)zTmD;6)>(x4!Q`f$(OX%cW;IgDJ-e$zN^ovQi^cb%> z3}`eKf{*&HET+F~L+prs82_FwYrW({WtL9;pN2;gLMS|4ZBb)*vig67maK@f}v&s;pC}+lJW=Kk zp0Vd`PzMQJEnseN{%-ELkwA9=iaL@wOg(e#+e%enE+;>@<9BICd%phYBxm%ue%sE1 zz^gmCm*S6RnQ!Upb9GZZ|6rKV8PR?MN-vuk1s;wzqV-`n)AbGqvY+o;5IEi3sx8`J zwIM>ZJh_Uw67r^-jxGK=JlqmAVrYr~{<^tYd&$Jg3QYXrJ@4~89d)tb47iCt{?)Tg zyzcaYripKFY`QlckP;pTH?3uS?7MyY-krbCm46+4{}7tDM~Dx@2l3^`-zQ7CPk^c# z)xQKET=Bp=k>C+BQZ)fLvhLw8Aw-n7|D6KCps%aF?Pw zSrYMW!Ap|ngPSK1Au$23#qutVPe-%lI|1>fEYpvN4l>@2&rEm)Ee_e+vn;Fbo!aa8 z&F$>bN=I4gPY(f_`;~4Dvn`cpV2Z^?D`4RLn$M=|29Ckn^j|ibiCILF&GgB7%Cl*= zddg|%Z5o#V<5!c(3X)=llmh5Hv1+^$LXy4x7PGJSLl-Az?>|?2*IwwsUKUM26SGbM zJ@b6%@5AV{r?)VoLqt2-%poyU-c_a~N!U$O<&l5TbEzEy2n1kX*dV%i4ZLZF0cIM9 z0cL{$k&REF`9qx}A>ae`?&dV!FRFxF@K=gNd$lLmKJR>rkM|0HMA5oY0Udyb=R#Z1 zI&G95mt|G}S6JdA8Lzui2255~>4e9xTb@j;_4r2#e2GjYJ5=&(Aj>c)5|9lq{Y#Ik zqa*i@vX!Ule8p&xE(U%4Q9!}-RGq*KW_sPXC%r4)W(G)&1(Kd&4$@b5iRP5|h>`eb zP{`f^4n; zHiU&mm`445bE-hCy=b+2pSIyZJ!=8-*g7F+4}ls@yVV-GwN>vtNAwEBH83slP0rn= zo)4q9M6g5QfsVyJ7FrTi@~#40QrejovmFZQ`Ih)O65DBLYcoz2(ArdNrFX-4p5DLJ z0c@p+1dlK&7z&qtHZ5+obL5egZ;G96WFk^cvW*?| z6LsIx^cC)0VC0z-5Nj;Gp3BsoUpN40AS=+s#WB9)P_TK1jv{=4OZ0{MQWP8pVcPzQ zMYfZI&WzTE&2c6F@AmTuxeyTQAHsMGfAs+Y-F_^e2jv6mQ>qX}I-r7};#XBYvETlD za6%#^gM$54KjFJy=#9Z-ODIA%Q0A-n3;P{+j0^HnfpVUpW9zoGdos7SAM{dONIqzk z6cVKK(qe`^rm2`rh13TZh-<8$$@p{rKbnuH0NF^kukwfxy~e=;hsQNpu>OJDY7ea` zY%k@qe@c*-q}pTu`#7ivrRtiAY-x#83VXFM(KSYNqr=F+FHKM`jKY}1;AMDl1epYd zX)vHDpYap%Tlcf14D*ho;ZN9g(+*v*R!CumwD*$me1CSwX!UG0Z;TR%HaT_E{)m2> zh5IH=@xIRYlU7Cxd}%e}?30(zQqMZhJ|gvg@}ZVUOS7Nuz~OLjleXI(8nLJ_)|%XI z=fo>s1C#?8SS0{E^A_W*RD`X&!Dq_I1cUrGN&I*pq_FXcZ@zN0Guc-(r|+zLTOSm` zI-pG^D9K|V7KsTpsBL1K|CU>B!JY6JCYw~*MQDmW{P1Jrjw}amDImRYb+xZG|Exd{ zYv>ax%f0I~%E|dS;}h0kULaBF`ix?C;itta!>1yALvvKARm@eu%JS7%WkV`tP{uV@ zOzPg)JK}u5G-mwo8=t>d-ulSqUkpZ(P2++1isl_-$Nyv6aqlGoJ|mRVb}aC{6^?El zGw6@QK;f~!Bgy99RDWjAy?SWKkgfhctyxp2c4$Fs>GT+ksNMyZ24)$TFxR<-aY^@N4csZ|yEEO?IZeeAA&k+1)LA z9hI$eInC)17J=iuq&eE7R%_mvnlbc~&wt(#&_cu$n zRjRYxbL)QMDYD(*>a}0we932Zlg{UPUDuuk)~3mB6$sq4)nC!JfHR?niW+75Q^|Xm z-u;RIEP%h4`M+q0hgU!_*RO`6IQ}h~q(y?}ChkoGZnoEQG z0{(U2kbstG?x}qbb&$Y_L*VhW1`Kd(1T(1muRlk6&>Sus@;^IeYKNaHD3cmfpdPqX?P))<}K#jA=^C|D}oj<>~m#Kt`QJXZk*AWbj?O8?AGhS2p`8qA3OR6kM(^uw&R1O> zz72oa9hr?8j#W@Pw}ZGABG!WFY<83nd07d_T=^*I*k7kRd*+Y9=Ut_ZTLw^<3yd39 zVyWv4+TOv&yflChG(xaF!xaEhkinmtRc&t1JVEyr@oEDY5SjzIKmj%3jT|7^tI?ph zoUxnB=i>v*KnSk!Rdk|L(45i~tFM&%h9huEAlF}to8em_a7w$GTb#{SJtLJPa<2^gzs77ZHeU~QEB4S@w(3FyZ& zB;H?~0^C^^V2^V5?W>w+GGA2!TBBUls*@1;t9H_{0&?_Mvq3S{pIklJuS`LNLy__? zkSpU`AC|#oN}8Bhn3zTzp}zCj)L|^}v`!n51sTK!B?MGSFAkvH#&_H_8OJEA(-eIQ zS4%;+vunvN=+}URVzeZ~Zl8~BGDARt-&2jb>ULiI1CMe-Rywm)|5@)c_2h3CtaX%C z6ChjfCWf3$vH}T+1-z0JtV)9eQjTAJ}Xl*>(sv8KgKw(+oc^0K7`X5!v5sgO(KqIIeoGutkG zraYlJ{24CRs5IIUBRsD|*IPm5+9HRhaqWvr0cLH{P|yQA-by)mwh1XyMxeB50HD-4pq{H84lXRISa7seRe1O$@6cY1lZMvrcp0 z@^$1QP=yXWh$Vd25B|)Y#fxp6P{KGK6DB>7Y^wW{0?!;9sC3w@{QC(i&jE-+4~h*6 zXaY?naU>!kI83d^6V~;mYlLF@R_72yYQuzZWBx?oWz7Z#<|bRjy&M@oMYx`4hEd7VPynfGN^M&8y)wVL_6@5Fx101-5?P zjR18Po|)h9T488unG{`znH1Flg=bV%ZHt`qG$N{7_vRgxDkh}DEIS^* zeK+A(mFO;~8E!z~{FWkji-AGuW!h>m;)h#*JQ}nsiTp9c`NU(HnOXmv2rcgW!uGAx zmEzeAx;vpJDAyPa#{U+9s?Hv234vd}wIW+NxFYodls0U-UX|^&3ia;R~ju{wa~g5P1#|GKs9Kn%fLpmln+ednsGvGtrr1 z3T`5>A*l3ApZhG-pa&Pwg}SBNA259Sysy5PH9K@_X>RX*y2tBG?TQv{z9MT$My4&<6`-X?S~39R&U}Mp7em!T=Pn! ztoo(DW z+3vSuvi1GeknnYtpXC{K(Q6UQ{~Z@6yP$?i=ZF6M6nZq8FSTPXM|o!mby3ax&qAD% zH%ucM0M2{{K$X4BQM+vNL1egg)MtJk{JZqE=|~a z%<$`;$*p5Mhl@%Zp{e4CGL=THy;B`UHU~HRyvvD<;R|8^*uky5xZJH-6iX;QBGuQ4 zzv|W$*LT=N`t6Nyy%vyaiOhcHWuCZidCWl!@okZ++I|B_@CcMsC2gs*s%U@=ty^#b zi(qN2y)3_V`fukeXmh)}tDlt80T|Id!-!?WweCeC1l6`M%c-GytwHwfl73j1t zRKFdYx@e_1qw<_K3kqN>@2~+8s$J8gcZd|&s|M?f)cr&6sgN1=R*cSu9(r z%qms^zUe0)h(^4y-u!Ek6$YN0DWt;X7D)Kp`2Zq11-Z$4s#2#WP9PA5RwUa(Ghp`; zU!o`(NUtXY4m`HpVtC`spLhk^=Z5KwMCdmtPccX{KckEsdYF+exHeEI0wZoQ)GrmF z3={qh8XY9MN+jp2K9~&LC^Nlw7a@^w2roJ3xYEFgl+w6S zC0)~%t3i38JI|7ky$D1Y2@92WN0Q>kY~i%#FLI@vw$u6gB#i=A1PK#PHKz;Jo7Vwl zVOwuDU}5LuZ?<@V$!&2JO3iS~_9TnW?BWlzq|m+(z&4x+aM=8sD3KWcDUQ*w@FNZl ziu}!93Bfommtv@+b3mE9i}`&&_+n#SHZ%PP7iqr&(`ClAqP_gh1{QL7DbSHbOn~T`zUir=v+=$9-=&qcgHG|rXBbGzEq%!ipu zpqx@s34HH-3!rXQ)X!|Z&Df}y2>Pz00mDWZVO)LMfA3N}dxsGI<)Kw=LPmFo#1i8T zazFr)y7mGNNA_7Cc2;+^FR?gS)_MpU3A3^rgxehTtLRzsw%cY6iKt{PcA+gP?Xq4# zAeTYLqw!wenA|4Dj}4b+cQwCH&9gzV00lJMsDdia>_1Y43L+N*{%v47Y=MSJNcY2R zj4(qyI!44omy}QK!zSxzwXzqC-fb_lRZVYaYS#`9@^*wL+ftDsmnOY}%W4HrrkEjK z@c#CkS%_=0r;Z>s%wd|=5wIzC`yxK;h$47gE23wN+ysfNCgSx5R;&nO&g^Hvt5;}7 z3wzcaMdFLSrWthvS@SaCUcX5O`LdGgZHUE4&Io$l!8V2pFS1R5BVyBb9cB4t#?tfx zP2uE$HA=B7QB3$9Duj$&zeHJy!Yo&^;3wBev1+Q-V&503TQ$za!yJLbJG!M%5Qw61 zKgx&8uDMduPp8O$zK=N^O#rOYcwE}Y{BO2tS`BS;Q|X9$r12u{KyQ0|oLG>-i{6<` zn_+h@eDQtk7IPc)9iTUHA+3h>MXv1SWq{bJ7S&MU;C;#U>)Zo%l%TtVp{@FIIv157 zM;hvJzXIzK9tH4t@3=ju4hoayUr`N{8D3`D;>RG$e=j)Ch3nM7e^K-r>)~r>W}0I3 zq~^Q2=cWu}qwdUupwrDXi=H}L%AqVqEfm!q^ZgAB z({gY0gCBm8E@0goHPR^>O?%za{}>ZqayY)40*qyV5bTF0upud0wV5!o$QqW(z)ws{ zhcSLa^_-;d5oZ|B5w>zwpT(kD`&R@kB?4kc>9vIJcG!Dzf*2ZVu=U;lOUUW^cz$%A zubY5+HqYHOs;796&of*RRGp<)i6~@jF$0Yp-dUt2T0V4+c*6U5e%4TKjV7e=mn$`@ z{9CYKqL5s(XxS!JoZQqz4bjc-iY4G)S$OJh?vF|BZiYn>aHnCZZ|bK!0@{KNZ(xUN z&}Ege`i7OTd77!sXkJF#=-fObc1iSW3w!copFxltZpl8)@7PX=lOhb4s*;liqcv$E1%=0*k6+Vg?J*0B`lDx`syuJHp#b+=q>6pBZ^etD>`6SJO2K?`l_S&y&F1y)q>EMI!R&a zhDkpDSOvmrOV#_gWh$En<+>^i!h**?l#@%|zVdDmyZz-d|G_qcu;agU<-kz-;{1g^nD5c>chr9mirN&U$Q)V2N!d4n&beg7@CEiP0!R zA3L_jR&=4stdua{= z#RNb3{JcWx`iG+nN=@R|AhtX^IzYtpClV{Hy(6@ZQA;gMI$_xAtGI%rC(w z!l-^BEQm}xNieeZVIrplpzoldr{@G@dDs z6I!a;1fK<8@cdK5==`0~zS&-9m&kB5rLT)&7rt}fWrEk6v6p#oEJ9aPA3-t|dxr{X ziCK0~1({|3#<{VBJzqVewP5Gfn)y}#cBr$P0`jJtBm|wc_{Pr`a+5@3x4g-)(a#y< z6?nCI&(8j3z{NrXv4=)CQ=Y^8F3HJfqEGRPK;=;*CGsvS1Dm&YvIqWFm`HyMK>tYW z-l2@gsMoFNza>sS71G32RF`kzs#lG4=9G*Di#+Pk@bODbnLw!ik3Qi=Kc1gpr=pOO9E$;hxeT<5X4}%vJzUUsZs(qIh4Y(v z&n2L8=XpCzzmL3$KE{7eWelU~*1@korV8XN&`nSN*T6hB%;9(_2|)qpMO^|BE$s&T zrAwiGhP^54(-1?HSSLy67|I_}+;QsUDF=rwuo0F)=@*8Kv;{_jm6R06YOiUd(IMRm zFq4H2sf(#P8}i47q=g>XDL?H$fi#chxcQsGLO6e}Bc2?lsY|Brze8-q|=J@$uP>qi{OiVlp|6 zE%AfdWM*bXJ@XV1|J;>f){kiK^8PVC-e`X_(u0_X`!DgNl0esE?kD zqV#rrQ*FL|279wmOeF1V41ti_%N)H}KQ0SC-7@bb&6aOb;WOm+OrV?dnmC6kZ%*!q zawO^#tU8$jw(G-R*sVNGNnCAk3=Ghb`Z7d`Cuy4lL&i6f! zWV}2T{iW|u{O=n&aJA@Pmf8-Z@U*K#@0wTp53b}UZ|sZOs`EgF_A8tbup2Ix(=IP^ zhK;|I1MK6H9BQt8y)S$1XCP>+XoOl6iopXKz+INCtE{7Z7_R_&6g(B;CSc zK^5)~zCWX(6b~O3bSj%k<0kPdahsz!il*GmqDN^S0`lWHEAukgPiB2~-ml`7lR(i0 z)iD4~yazjK=B^A+TX#Gn@Elr$^g*%U0W2)W-K<99Pj^-pi_eJO^VgwKv(}12E0SYk zY9VNRd=VEC{wiGHd58>clD)dVcX_XfH_-~GsdIVL(J?4e1pa~H@4@?@#JTbvQRXP% z^o&8sf&w%@`Ht7|4-rIKCBUz-hQgT%{pXXj;0)GafC**D-+)N<24{>z^4}&c<{ft+ z-F{$UnT|stx;Mz0Vh3)jSLb(psU$TvQN+Zn|6k9__kxGl1@GOA9q@sLzdb9} zl5#$tx;+uBK#2IU9#mD2Ov8+PXd#Tcv58rf_1}dRr{<~l#!4PhDj_XIgWHKKt0f(t z%o8h>p?bPINNkDH)VI<$$u$vLE(YPIz!^RRJ!bDxzE$1 zL1TidKPtF!3o&vw-0G6x=Wn`$DZ(t@HdXjj`W1!+x6A2p*=M&Z)bsRbn`TO%gOixv z*If3C^@CFSZHx#b^T)~t;aNcZo^Qa#{F~8$N|xlALhIbaXOa#k!C=J$1>8%4qdI}8 z1-5HLHjXxTyA&H4nUO*qkRNCNT*LgmC`T56V=GS)4vvVy&Mn79H`q!YxCs?=eE9yN z`7HXo-R|#&aO)J^mG@F>eM;a-(YHm*baq5qy_r} z8)A&5sAT!7D6&+v+n2H4w|!?yqKKgd`DSUgl`&*Vg(xk`j4j!ku|>A$uHWx@J<$pEsCWC8z>n+6aTbsD3HMMB5N3gg4>5I& zE{IM&!>Wvj-%lt|it>5(+r7^-(|ya_N(6hXFDmC1%YLl-Vmd!t?YHOftx4;UuQ6h1 zSM1Z`{f8R_VlI6dj7E$oN*+K~_g;_5_)Ns}5Rp9hcX7i4ZY#S(%F*WCmt ze~zQS9zm*C;MpKJ2ke3r|E{IGiHfBlTrtH-pbHTVYkEf}B$ceZ9@M^Lu}5bRg-`On zQSq%fbo6aW%bS9qYc#(&4C+8Pb;qyq*RZ8qL&oM$?-4oNRlhEY#P-Shv6$KMJj~90 z;Y^-bz6zeg8!B%V#C2KMz}@Wc#{(Yjt55m<<>liw_0M-kq2kc2gGT;yMQ;0hgapvL z*}6_UjhC98<*L5=4eDrWhP7iB9X0hXRLY7xU)i-@1AaA+K6&BKaB{Zn3EIv$ETZ$D z5wVrJ^Ve(JmwQ-DiTAD@gGg_X3^N5BHD!8%)(Hd?BEwc7+!^M&S-DCP%Lz z^}BJVJf+9xn-5u|W<6(iX4U&N>wv zgKc)vJV85g4m*hltpW=Ld=}0>qYs^dIS9H|%K9brM@fsPd<&chh+R$JdsLjWlKv-* z^Y0Y^K@LrWo&JAn)O*)+qM}r(i*pW6L@Ayb1Bq7jnxAN_v?1Bj<^h6dW_gWdM22Qi znYvj-5lVclUyLpPiofS2rmlcf>=(vdvM6Wj`JoqEFIa<;sN3~z*zg?sT%C=^m zt}`QTuC)CjS3+z?3bN!}7sQsT3Qd|0No*VWI@_vs-C1VT0<|MI@6`Rzs^NRM?~~0A_&$ES@7Ky#0~iHBy_%g$hrHgl|Taf{i~#)cO6-CoY#mrEGMW5$u>E+ zqBES+Wl3&0+Oh}x#{HCny`40bb@mzkP50dtV`Z<7qBZnua#Zstp<^!yGSI?R(^hDOShSd zmbS`;hBG{s_g#+;lB3M$C*%DhTpzAbKL)-$%{6N#ZYlUUI; zha$rCnkHmkz)BjDt!!)`O#Sui-~4x!Lm3V~NE9{?v3M`>_>yDefkUNrjk=+u|AoZz z(abDU^0Ku|x#I;7M~a9iUf%UBKa`yUT_~?}XZrC8yTc}!-rYAQqi0{tmv*Qm0ECf%e%2O2wU zjXt@)FvR0akI5bUZ$+embAB9_|J8R?+k>rXYU)NTykUsceNd2EH!j&|=v@r$uKA^i zR+PPA)~^Z5?M{#L<(F=1qZAeH=C;^|8zI{jF7ny* zwIpcDJg2|1tcsonb2(q=NE#nm&sF>0OC_pI&52u}b! zhNEdwR(bBI(Y{%Q%bYoWmFIxb{$p2P9ct!5&6_V>Yc%XKIk&mHOoov8sC{MBEsd_p z>UAt>wyH{fj$g;b5HJ8sl_PJc$J#X+)H_;w)?6OvUtQ;nn4s~1>&NEWwM$QrK$jSw zQlHBF^N%l;g4XxB_c~h$h0jK}z7CkW`G4>HOaJR`KKV@u>nRZr45SJ;=UsG379j;c zQwi6<6Hvp`uu{jj{zT+@u$8oane9QTcRad+?`d<>4Mh^7eqUf8QDM);I8O%}wi45O zES2r(TmZOA*)_>$2R3S(BS~7Ori3%cnz9Qa{)aNRgQar8#GV2O|sJyQ7jXbp@PMlt`jtmfp zlEm|l!J7^kofQS*NM%24dA!fDf8A5INE~Ft|8yAZ=|gu$9KV+-D;FhKIa^znb-+hS zE?8LRC19d}e#?lmLHEPqw-7PL^4f3n?XfEO6yKbi@3D%JTn z6pM%^=%UAx*ExTn6>e|kc~EnR216ck$}kzls{9B01_@Jsp z<~EPgqy6RDOAdzm!Fp0IKlph!*j=5Z2}MS{DHzD;>}GG*vDpVi6M!5tjE8S;{#8a z-9(Q7yZKgnaeFcmB8Zl2xQ;X;7%@3QK<08ywt^g41RMv7#6Yyi$y|3E)c+?W=>QaMD|I4=8k-vd ziO8gou&8u`+rbyE6x83HjFssvo)!pmIh{oyPXsw z=igX&P}%!xY%*}M?fuw$g(COOWHZS2a50^6C+vdA<}&VVI;<}D8ugTZOut?@r$N<& zeTwTTnQO9B=EfG5QqWuO5!GYH2c$kKDiT6n;P~sC#mC-g@|E^yyIV=TMRJtB0#+9? zzz|WY1OBW(sl)|s<;;#xIqdIdV+H~PiJ0U1-*Nf(W-?nEVt+}nu(JWY(C@OkY(W0P zrK9z~00a5bqCrj-uJ&IFHZbkcb-r&Y=F4jJMJUrM|0*SG2rnmsx{kg8(^aI0Jbu9X z!`Y7ezc+y|s9<0r!Sdo#D8sLA*+sa?1{061`G)h#Y@zg|v3L6(R`5j8~s8k5e>0%peC#b_?T0g1~<#RH!T39&s=ttL;pPHtf7Cu&~eH z)MN+9+nqj4-Y^Q7{LXQ}3Gf~F(m!jh1F^clW+QNston%~{pScTg#}8Ar|b$E4jnJJ zx>w2g-QMsOH9M^v6JY;!-i%V2)jsyXb9!fZfUu=^IA8 zvP(1lIk)gLre5R*ohCQ_W;;9UvsYoNKS~p=ZD&g6fKznYq2Iv+{6f!{#%-zoknWdO zxa0*F$JqUXUe3EGMS=+{caP911r`(+v%tao8DQHiU+g8`avbk`aQAya{G?vu@RFdE z{F->3QOpWj-?u=-Xz}CH3QMhQP&DFJGZC;|prdBZKgbPi^YV_I&81q4GNa@kyziZ& zA9FD0vKcxD{K`+fT=i$~C5AxQkI$;TGmMv9ej#XANvaJn&7_cbpbT9swMxCMrdk)b zm8~F~Als2EMJNW7eYiS|UrmR|omOHpR`(LBwB;{SxO5S+-_`=^*`=b%tiZu5@G4WZ zqXn*9K3`TnqWpV63n#&){aP!>J%amhs6H!?b=l`=ntYX{8Mycu(zT9{J^2hVRW@K5 zP24sOLM;@@5qcNB24c-GIWL)?m#as-pUwl6cYCP}RL;extXIUB!)9&3V3O+YQ+#{_ z@?6lrE#p56bJy&oSV5MugjG<>Yn8Bsy?rKn;{N^&o82j;xRS?Kp`=cuu{(<8yOKD2 z1x|^?QvFCDDZWr0^IWkHGASck&cD%AF4-w&qEMrV}@4^6fR~`YHZ?nkT=l=lQ847v@)wkz!(?dar)k(&Cxj@ixBq zV^bs)aU!CBRJrN=-!M zji2n@)f>X5$j(#*viB}}eGir+#RKd@bll?62>QX_{)F3n?2guQGC6)6K7^W#d+{5c zL3=oQBxK#wiyO`t8sOi{n~zcM7>7wo454F+^aqxkn#yRdOR_Zk6Ey0n`0|tz-++B) zEGEJ*WOi~UMa6N9AuuT~O%sD6cbIj>w%h^^#*>3n&~4IX1mcNXZsr=GVe9~ki>erY zIZQEo0f$C@!<7@UyPD#P|3$~%i6xq~!;mcc(R)hMCK`K6gKxm&er)Kf0$#1MlTtdo zxSt0DSI&8T|Hgn~WWg(6FyfB7K42N%I#;>71^Mu*QZ>{_`o@YKXJPz)z{=+Lv{TWe zz3Hdr!$HY4JU#~0Z3_FBT(!2=uCw(`C#j_Fo1%vbIJ-a-`oeEIkr_SDFj|Oq!qMS~ z8f?rPK#pg}=egH{rYnsCS;IPX)9y=*-+`qllZW^%NJKzh8De|xO!YKeG7xtexu{jZ ze@A+`S8lT&KB>sBVQ+sJ6u25lbKbMQ5h_anCI4R|;dn0pR^M~C0;ZQHb^($$j5XAi zTCA#J674e@BVnCKo~ip?A=IKGF&Ouob1E|<>zlNHM}_UmtP?r%$cI^{z|fLi&@;sw z^F$nCtgl*s4xd9D0V9^mBZMy(`eMi@{tJ)aU9HrLb(qNRZ+ji&no{Y7j9&7z~guQL2>mE|7od7O}SMQPV&v4uZ z2BSI-gO@yrl8dW5@cJ(qAPG8%8j$qCb!U^^ZfqQz9(#83!H3mnt|R&LN)&x0X(;x; z(*Wyvu2zb2L(p98);+XhhNY5qCu8TGrzS=J0BQN^Enin*-mL3K9<RQ7$|`d$yzVp;Y0XqmI)V=rKS*t4KOve#Hh=&7|lhh(Tl_ROeKgh?mXc zM5RI>3&vaD70b4Z;OZGoMU*8HBTA%itbV>1^Se*nepCB1DC4_>HaL*7?|z%gwnhut zIBG2GhLqLb{K#=i(>km=0gz9icsYSW*}H%lJyC(y9lLlOH5khpDQ~fB%R5H=wR6$)GS zrrdprn|!6>aT%1SlxxgvWAtjI^tl+sJ-&z!OH+<{Hl76hQeLQ$n1XG+^3IG%%)VT0 zv$e{&q{F*XuGv4CBKZ&AEaK55SBE4M(tPhRk z=4#kz9@ybw8AdJ}9`Z zgihTy&)~_%00Rf6+N9H(#6WKkJJeKg0>NXb1!zvyS^b2&Rnw`8>&&6-F+HHo8G!gr zbudV>b`gNYpT@%xYqD`Qmsb@Il^@{o%;{qAn;Ko_X|}U3#sUjOZDHPd9c?nW$by{r zu?=X-U!SQ>gHgIa8CP}|WciFB;vTnec+ft{d^$#5ZfK*?gpLdeQ3*voq-S%5Qb0)Y z05RPm_tVc03oA-mCb}`_yWc<_8?kz(M|wW;CH4Avys(3jbgEI4P<(cS(BU&Z~=u=}86}erHu3SmayIFo)Gw-Z+36aW@w}xtI*k&Gd!mHU& zUx+@iUl6dtr(LE%4sq(}Y`S*a*?Jy!bomzdq#2`JdDg?%jpT#soTzHzS3A<~leInP zzWI{%-U?!0u#HxJ?lHUaZGQ6%q3Z4Q5E6a0b?}^=P_{UVTeI`mv!lA4f(hvgoH(=;&;EE`*&}Bqsvu6}K!M&s@Oi%=A72dC3yF~S54>c0CIpQm52>ELj5j%F^E^Yym(~#na45*Tjn2a# zLBX8GJ54(Qw)wW~L)~|kM-wxWf*h(vqK#k!2+{{ZI8nRp$sHxs?5 zPVP81pWBr9543aeNj_&sPl7qtz_OB^-Twfyu=b3~kMUNdN?@CUsoQ?o66!V`W zKoqPz+KDRPUdv(m#eL@u62ZS3+Zvsxy|W$9}S+tpt%h6a)> z2OEaO9@I{LSl`PY_cVcp8m4wBQCCnZ8E(%baxQm`w4@d71S(qZ%?}j+puDswaej!d zGL11r-ydQ7Bgsn~;`$^`-cjLrbt2Hd$f-GVSiLL}t=@n5O^i4`-)Tp{Qds(K#TAMO zWxBHYb|r#NiLlWssSv&W0ib$9T5~Azyd|B)%Z5=Z#M?|bE!xJzIuEr*ls|{=0VH17 zs2hJyT{EasinS?rGYS~qgr~c9YG%}9`&DvM&M+&8>3Uu&iF#^^|0am=;uFiwsTKK$ zKBws2B;;CJ=I7t_EAcS1Fy~uYUX&*t@MbZtJ=o$wk1CkhO60*7FH9gw(eJbTczY(I zXY+X9zMU-5*uWnkYIRiDZC@?1Z3A?vLiJr*mz6yfAVG2MGvqRyJ3v2Kted|Cx3x06 zps_K#;P&k-l&_&g9ZPYvxCD^ssO*DV8yn>0gy)jOWFr*EV8itU!b5;;^&Hf>iEABO z-t>%G>WI;DKVY{FYSnLsR*0|MoUa+}dXrceTL10waPzK>Ye_y@Qs*wte*5^YZob=B z$|)~duG(qfLDFCuL2I$-MBuxxO?uN0erTJ4ht*FmUm0zsobIvg!94$nSy-eaOsb=S(@Ifo;LiYR^k=fz2!Mr3CpZ6HWi}*BV p2cNKj_c-7sOZ;#)M!LU^f+ORxS)2O-(;`{f$KQkpij6f0Qc z2bi+SZ=f3CB1{ZAVXk0Jdd~iSZa|GJ$qdX43z)zfEB-%b05c|Au}Xm*F9COaBCC`s z$W;anaL0=Whd2g>ga&}@2Z29x7#JC@GlETEV0g^P0aDL2S&%KDiLH%|aTyzv767Nk BM7aO} diff --git a/BIN/CC.S.CODE.txt b/BIN/CC.S.CODE.txt index 2606c282..53ed8b7c 100644 --- a/BIN/CC.S.CODE.txt +++ b/BIN/CC.S.CODE.txt @@ -223,10 +223,31 @@ CODE.EmitByte clc if Pass 1... phx phy - tax + pha + .DO _DBG_OUT=1 + + lda ZPCCCode + and #$1F + bne .11 + + >PUSHW L.MSG.DEBUG.CSOUT + >PUSHW ZPCCCode + >PUSHBI 2 + >SYSCALL PrintF + +.11 >PUSHW L.MSG.DEBUG.OUT + pla + pha + >PUSHA + >PUSHBI 1 + >SYSCALL PrintF + + .FIN + >PUSHB.G CC.hOutFile - txa + pla + >PUSHA >SYSCALL fputc @@ -247,9 +268,9 @@ CODE.EmitDATA clc phx phy tax - >PUSHB.G CC.hOutFile txa + >PUSHA >SYSCALL fputc ply diff --git a/BIN/CC.S.CORE.txt b/BIN/CC.S.CORE.txt index d3eec60b..70014555 100644 --- a/BIN/CC.S.CORE.txt +++ b/BIN/CC.S.CORE.txt @@ -135,10 +135,10 @@ CORE.CompileLine jsr CORE.GetNCharNB Skip '}' jsr STMT.CPStmt.END - bcs .9 - - bra CORE.CompileLine - + bcc CORE.CompileLine + + rts +*-------------------------------------- .3 cmp #';' End of Stmt ? bne .4 @@ -333,21 +333,6 @@ CC.SListLookup >PUSHA hSList *-------------------------------------- * CT Stack *-------------------------------------- -CC.PushTQ ldy #SYM.Q - lda (ZPSymBufPtr),y - and #$FC ignore SYM.Q.FUNC+SYM.Q.FASTCALL - jsr CC.Push - bcs CC.Push.RTS - - lda (ZPSymBufPtr) SYM.T - bra CC.Push -*-------------------------------------- -CC.PushCS lda ZPCCCode+1 - jsr CC.Push - bcs CC.Push.RTS - - lda ZPCCCode -*-------------------------------------- CC.Push ldy CStackPtr dey beq .9 @@ -360,50 +345,6 @@ CC.Push ldy CStackPtr .9 lda #E.STKOVERFLOW sec -CC.Push.RTS rts -*-------------------------------------- -CC.PopYA ldy CStackPtr - beq .9 - - lda (ZPCCStack),y - pha - - iny - beq .90 - - lda (ZPCCStack),y - - iny - sty CStackPtr - - ply - - clc - rts - -.90 pla -.9 lda #E.STACKERROR - sec - rts -*-------------------------------------- -CC.Pop jsr CC.Get - - inc CStackPtr - -* clc - -.9 rts -*-------------------------------------- -CC.Get ldy CStackPtr - beq .9 - - lda (ZPCCStack),y - - clc - rts - -.9 lda #E.STACKERROR - sec rts *-------------------------------------- * ZPLineBufPtr related Subs..... diff --git a/BIN/CC.S.DIR.txt b/BIN/CC.S.DIR.txt index 14ec7d15..61ccc4da 100644 --- a/BIN/CC.S.DIR.txt +++ b/BIN/CC.S.DIR.txt @@ -117,18 +117,7 @@ DIR.INCLUDE lda #0 >STZ.G PathBuf lda #0 sta (pData),y terminate string - dey - lda (pData),y - cmp #'h' - bne .5 - - bit bPass2 - bpl .5 - - clc - rts - -.5 >LDYA pData + >LDYA pData jmp FIO.FOpen *-------------------------------------- DIR.IFDEF diff --git a/BIN/CC.S.F.txt b/BIN/CC.S.F.txt index 776a749d..dc05054a 100644 --- a/BIN/CC.S.F.txt +++ b/BIN/CC.S.F.txt @@ -83,27 +83,25 @@ F.Decl ldy #SYM.Q bcs .99 jsr SCOPE.Close discard local scope + bcs .99 stz LocalPtr reset for DEBUG Message - - clc +* clc rts *-------------------------------------- .7 cmp #'{' bne .9 - >DEBUG *-------------------------------------- F.Def bit bInitCode bmi .1 - dec bInitCode - lda #$60 RTS jsr CODE.EmitByte Close INIT code bcs .99 -.1 >LDYA ZPCCCode f() Code starts HERE - jsr SYM.SetAddrYA + dec bInitCode + +.1 jsr SYM.SetAddrCCode f() Code starts HERE jsr SYM.Update bcs .99 @@ -114,7 +112,12 @@ F.Def bit bInitCode jsr STMT.NewCPS00 bcs .99 - lda LocalPtr + lda #'F' + jsr SYM.LookupLabelA + bcs .99 + + txa + jsr CODE.LDAI A = f() ARGS size bcs .99 @@ -123,6 +126,21 @@ F.Def bit bInitCode .99 rts *-------------------------------------- +F.Def.END lda #'X' define EXIT Label + jsr SYM.NewLabelA + bcs .9 + + >LDYA L.PCC.LEAVE + jsr CODE.EmitPCC + bcs .9 + + jsr SCOPE.Close + bcs .9 + + jmp STMT.Close + +.9 rts +*-------------------------------------- F.DeclGetTQ lda (ZPLineBufPtr) cmp #'.' bne .5 @@ -195,7 +213,7 @@ F.NewArg >LDYA.G CC.SymID >LDYA ZPPtr2 ldx #SYM.SC.AUTO sec Reset Buffer -* >DEBUG + jsr SYM.New bcs .9 @@ -211,18 +229,6 @@ F.NewArg >LDYA.G CC.SymID .9 rts *-------------------------------------- -F.Def.END >LDYA L.PCC.LEAVE - jsr CODE.EmitPCC - bcs .9 - - jsr SCOPE.Close - - stz LocalPtr back to global -* >DEBUG - clv pop context - clc -.9 rts -*-------------------------------------- * in : ZPSymPtr * Y,A = Expected T/Q *-------------------------------------- @@ -245,16 +251,8 @@ F.CallRetV sec >STYA ZPPtr2 ror ZPPtr1+1 bRetV -* bpl .20 no return value on stack -* ldy #SYM.Addr+1 -* lda (ZPLookupSymPtr),y -* beq .20 SYS/LIB/FPU call, no ret value space - - jsr EXP.GetSymSizeOfInAXC - jsr CODE.nAddLocal -* >DEBUG -.20 jsr CORE.GetNCharNB skip '(' + jsr CORE.GetNCharNB skip '(' bcs .90 jsr SYM.LookupCheckTQ @@ -273,9 +271,9 @@ F.CallRetV sec lda #0 Expected T/Q = 0 if VARIADIC tay - +* >DEBUG .4 and #$F0 CONST+VOLATILE+FUNC+FASTCALL - +* >DEBUG jsr EXP.Eval bcs .93 diff --git a/BIN/CC.S.KW.txt b/BIN/CC.S.KW.txt index 5c8a12c4..4c555b56 100644 --- a/BIN/CC.S.KW.txt +++ b/BIN/CC.S.KW.txt @@ -3,9 +3,9 @@ NEW *-------------------------------------- * Built in Keywords *-------------------------------------- -KW.IF jsr STMT.NewCPS00 +KW.IF jsr SCOPE.New bcs .99 - + jsr CC.GetCharNB bcs .9 @@ -34,6 +34,9 @@ KW.IF jsr STMT.NewCPS00 cmp #')' bne .9 + jsr STMT.NewCPS00 + bcs .99 + jmp STMT.SetCPSType .9 lda #E.CSYN @@ -54,7 +57,11 @@ KW.IF.END jsr CORE.GetCharNBNL jsr SYM.NewLabelA bcs .99 - clv pop context + jsr SCOPE.Close + bcs .99 + + jmp STMT.Close + rts *-------------------------------------- .2 lda #'X' @@ -73,11 +80,7 @@ KW.IF.END jsr CORE.GetCharNBNL ldy CStackPtr sta (ZPCCStack),y - jsr STMT.SetCPSType - bcs .99 - - bit .99 set V : DONT pop context - rts + jmp STMT.SetCPSType reuse IF STMT .9 lda #E.CSYN sec @@ -91,7 +94,11 @@ KW.ELSE.END lda #'X' define EXIT Label jsr SYM.NewLabelA bcs .99 + jsr SCOPE.Close + bcs .99 + clv pop context +* clc .99 rts *-------------------------------------- KW.WHILE jsr SCOPE.New @@ -129,10 +136,10 @@ KW.WHILE jsr SCOPE.New cmp #')' bne .9 - clc - rts - -* jmp STMT.SetCPSType + jsr STMT.NewCPS00 + bcs .99 + + jmp STMT.SetCPSType .9 lda #E.CSYN sec @@ -154,19 +161,23 @@ KW.WHILE.END >LDYA L.PCC.SLEEP jsr SYM.NewLabelA bcs .99 -* clv pop context - - bit .99 + jsr SCOPE.Close + bcs .99 + jmp STMT.Close + .99 rts *-------------------------------------- -KW.DO jsr STMT.NewCPS00 +KW.DO jsr SCOPE.New bcs .99 lda #'C' define CONT Label jsr SYM.NewLabelA bcs .99 + jsr STMT.NewCPS00 + bcs .99 + jmp STMT.SetCPSType .99 rts @@ -209,10 +220,11 @@ KW.DO.END >LDYA L.CC.KW2.DO Check allowed KW for DO.... jsr CORE.GetNCharNB bcs .9 - - clv pop context - clc - rts + + jsr SCOPE.Close + bcs .99 + + jmp STMT.Close .9 lda #E.CSYN sec @@ -235,17 +247,17 @@ KW.DO.END >LDYA L.CC.KW2.DO Check allowed KW for DO.... * } *-------------------------------------- KW.FOR jsr CC.GetCharNB - bcs .29 + bcs .19 cmp #'(' bne .29 - jsr STMT.NewCPS00 - bcs .29 - jsr CORE.GetNCharNB skip '(' bcs .29 + jsr SCOPE.New + bcs .19 + >LDYA L.CC.TYPEQUAL jsr CC.LookupID bcs .1 @@ -255,26 +267,28 @@ KW.FOR jsr CC.GetCharNB .19 rts +.29 lda #E.CSYN + sec + rts +*-------------------------------------- .1 jsr STMT.Get get s1 bcs .19 -* jsr KW.StackDiscard - .2 jsr CC.GetCharNB - bcs .9 + bcs .29 cmp #';' - bne .9 + bne .29 jsr CORE.GetNCharNB skip ';' - bcs .9 + bcs .29 *-------------------------------------- lda #'L' LOOP Label jsr SYM.NewLabelA bcs .99 jsr EXP.Eval00 get e2 -.29 bcs .99 + bcs .99 jsr KW.TestZero bcs .99 @@ -309,8 +323,6 @@ KW.FOR jsr CC.GetCharNB jsr STMT.Get get s3 bcs .99 -* jsr KW.StackDiscard - jsr CC.GetCharNB bcs .9 @@ -328,6 +340,9 @@ KW.FOR jsr CC.GetCharNB jsr SYM.NewLabelA bcs .99 + jsr STMT.NewCPS00 + bcs .9 + jmp STMT.SetCPSType .9 lda #E.CSYN @@ -343,8 +358,11 @@ KW.FOR.END lda #$60 jsr SYM.NewLabelA bcs .99 - clv pop context + jsr SCOPE.Close + bcs .99 + jmp STMT.Close + .99 rts *-------------------------------------- KW.SWITCH jsr CC.GetCharNB @@ -494,7 +512,7 @@ KW.SWITCH.END lda #'J' define JMP Label lda (ZPCCStack),y >SYSCALL FreeMem - clv pop context + jmp STMT.Close .99 rts *-------------------------------------- @@ -593,26 +611,26 @@ KW.DEFAULT ldy CStackPtr KW.BREAK lda #'B' jsr SYM.LookupLabelA - bcs .9 + bcs .99 lda #$4C emit JMP break jmp CODE.TOABSYX .9 lda #E.CSYN sec - rts +.99 rts *-------------------------------------- KW.CONTINUE lda #'C' jsr SYM.LookupLabelA - bcs .9 + bcs .99 lda #$4C emit JMP cont jmp CODE.TOABSYX .9 lda #E.CSYN sec - rts +.99 rts *-------------------------------------- KW.RETURN ldx ZPLineBufPtr phx @@ -646,8 +664,16 @@ KW.RETURN ldx ZPLineBufPtr jsr CODE.SetRetValue bcs .99 - >LDYA L.PCC.LEAVE - jmp CODE.EmitPCC + lda #'X' + jsr SYM.LookupLabelA + bcs .9 + + lda #$4C emit JMP exit + jmp CODE.TOABSYX + +.9 lda #E.CSYN + sec + rts .99 rts *-------------------------------------- diff --git a/BIN/CC.S.LINK.txt b/BIN/CC.S.LINK.txt index 34809017..eebda2f4 100644 --- a/BIN/CC.S.LINK.txt +++ b/BIN/CC.S.LINK.txt @@ -33,8 +33,7 @@ CC.Link >LDYA L.MSG.LINKING .2 ldy #SYM.SC lda (ZPSymBufPtr),y - cmp #SYM.SC.TYPEDEF - bcs .7 + bne .7 SYM.SC.STATIC ldy #SYM.Addr+1 lda (ZPSymBufPtr),y @@ -55,7 +54,7 @@ CC.Link >LDYA L.MSG.LINKING rts .9 >LDA.G bTrace - bmi .2 + bmi .90 jsr CC.Link.Dump @@ -163,9 +162,19 @@ CC.Link.Update ldy #SYM.Addr >PUSHW ZPPtr1 SymID >PUSHW ZPSymBufPtr >PUSHBI 0 + + ldy #SYM.Q + lda (ZPSymBufPtr),y + and #SYM.Q.FUNC + bne .1 + ldy #SYM.DefSize lda (ZPSymBufPtr),y - >PUSHA + bra .2 + +.1 lda #SYM.F.MAX + +.2 >PUSHA >SYSCALL SListSetData rts *-------------------------------------- diff --git a/BIN/CC.S.PCC.txt b/BIN/CC.S.PCC.txt index 2afb5ab1..2642ea2a 100644 --- a/BIN/CC.S.PCC.txt +++ b/BIN/CC.S.PCC.txt @@ -145,7 +145,7 @@ PCC.FH.RUN lda #0 >PUSHA int ARGC (lo byte) >PUSHW pData *char[] ARGV -* >DEBUG + >DEBUG PCC.FH.MAIN .EQ *-PCC.FH.ORG+1 jsr $FFFF jsr main >PULLA diff --git a/BIN/CC.S.SCOPE.txt b/BIN/CC.S.SCOPE.txt index 35e1c55d..70ab211a 100644 --- a/BIN/CC.S.SCOPE.txt +++ b/BIN/CC.S.SCOPE.txt @@ -23,6 +23,15 @@ SCOPE.New ldy ScopeIdx sta (pData),y dec bLocalScope + + .DO _DBG_SCOPE=1 + >PUSHW L.MSG.DEBUG.SCIN + jsr SCOPE.Push + >PUSHB LocalPtr + >PUSHBI 3 + >SYSCALL PrintF + .FIN + clc rts @@ -30,7 +39,16 @@ SCOPE.New ldy ScopeIdx sec .99 rts *-------------------------------------- -SCOPE.Close ldy ScopeIdx +SCOPE.Close lda #'F' define FRAME SIZE + jsr SYM.NewLabelA + bcs .99 + + .DO _DBG_SCOPE=1 + >PUSHW L.MSG.DEBUG.SCOUT + jsr SCOPE.Push + .FIN + + ldy ScopeIdx cpy #CC.ScopeIDs beq * @@ -40,7 +58,18 @@ SCOPE.Close ldy ScopeIdx inc bLocalScope - rts + .DO _DBG_SCOPE=1 + + >PUSHB LocalPtr + jsr SCOPE.Push + >PUSHBI 5 + >SYSCALL PrintF +* >DEBUG + .FIN + + clc + +.99 rts *-------------------------------------- SCOPE.Push ldy ScopeIdx diff --git a/BIN/CC.S.STMT.txt b/BIN/CC.S.STMT.txt index 1366ebe3..e742d859 100644 --- a/BIN/CC.S.STMT.txt +++ b/BIN/CC.S.STMT.txt @@ -123,70 +123,71 @@ STMT.SetVar stz ExpState *-------------------------------------- STMT.Stmt.END ldy CStackPtr - beq STMT.POP.8 + beq STMT.POP.8 Global context + lda (ZPCCStack),y + bmi STMT.POP.8 local F context +* in an istruction ... iny CPS.TERM lda (ZPCCStack),y cmp #'}' - beq STMT.POP.8 + beq STMT.POP.8 in a CPS... cmp #';' must be ';' on stack.... - beq STMT.POP + beq STMT.End STMT.POP.9 lda #E.STACKERROR sec rts STMT.POP.8 clc - rts +STMT.POP.99 rts *-------------------------------------- STMT.CPStmt.END ldy CStackPtr beq STMT.POP.9 - - iny + lda (ZPCCStack),y + bpl .1 + + jmp F.Def.END local F context + +.1 iny + lda (ZPCCStack),y CPS.TERM cmp #'}' must be '}' on stack.... bne STMT.POP.9 -STMT.POP dey CPS.KW + jsr SCOPE.Close + bcs STMT.POP.99 +*-------------------------------------- +STMT.End ldy CStackPtr CPS.KW lda (ZPCCStack),y - jsr STMT.End - bcs .99 - - bvs .8 DONT POP reused context (ELSE....) - - ldy #CPS.LOCAL + tax + jmp (J.CC.KW.END,x) +*-------------------------------------- +STMT.Close ldy CStackPtr + iny + iny CPS.LOCAL lda (ZPCCStack),y sta LocalPtr lda CStackPtr -* clc + clc adc #CPS sta CStackPtr .8 clc .99 rts *-------------------------------------- -STMT.End tax - bmi .8 - - jmp (J.CC.KW.END,x) - -.8 jmp F.Def.END -*-------------------------------------- STMT.NewCPS00 lda #0 - jsr CC.Push no T/Q + jsr CC.Push bcs STMT.NewCPS.RTS jsr CC.Push bcs STMT.NewCPS.RTS STMT.NewCPS lda LocalPtr Locals - jsr CC.Push - bcs .99 - lda #0 bState jsr CC.Push bcs .99 diff --git a/BIN/CC.S.SYM.txt b/BIN/CC.S.SYM.txt index 41bb8975..81c6f9fc 100644 --- a/BIN/CC.S.SYM.txt +++ b/BIN/CC.S.SYM.txt @@ -11,7 +11,7 @@ SYM.New >STYA ZPPtr2 T/Q bit bPass2 bpl SYM.New.1 - +* PASS 2 >PUSHB.G CC.hSyms >PUSHW ZPLineBufPtr jsr SCOPE.Push @@ -43,7 +43,7 @@ SYM.New.1 jsr SYM.BufInitYAXC set buf according C >STYA.G CC.SymID Y,A = KeyID jsr CC.SkipX X = KeyLen - .DO DBG_SYM=1 + .DO _DBG_SYM=1 jsr SYM.DEBUG0 .FIN *-------------------------------------- @@ -185,22 +185,21 @@ SYM.SetAddrL ldy #SYM.SizeOf+1 lda (ZPSymBufPtr),y bne .9 - dey SYM.SizeOf - - lda (ZPSymBufPtr),y - + lda LocalPtr + tax + clc - adc LocalPtr - sta LocalPtr + + dey SYM.SizeOf + adc (ZPSymBufPtr),y bcs .9 + sta LocalPtr + + txa ldy #SYM.Addr sta (ZPSymBufPtr),y - ldy #SYM.SizeOf - lda (ZPSymBufPtr),y - jsr CODE.nAddLocal - clc rts @@ -226,10 +225,10 @@ SYM.BufInitYAXC pha .1 iny sta (ZPSymBufPtr),y - cpy #SYM.DefSize-1 - bne .1 + cpy #SYM + bcc .1 - iny #SYM.DefSize + ldy #SYM.DefSize lda #SYM.Def sta (ZPSymBufPtr),y @@ -453,52 +452,6 @@ SYM.AddByte pha sec rts *-------------------------------------- -SYM.NewLabelA bit bPass2 - bmi .8 - - >STA.G CC.Label+1 _A = "B"reak, "C"ontinue... - - >PUSHB.G CC.hSyms - >PUSHEA.G CC.Label - jsr SCOPE.Push - >SYSCALL SListNewKey - bcs .99 - - >STYA.G CC.SymID Y,A = KeyID - - lda #SYM.T.VOID - sta (ZPSymBufPtr) #SYM.T - - lda #SYM.Q.POINTER - ldy #SYM.Q - sta (ZPSymBufPtr),y - - lda #SYM.SC.STATIC - iny #SYM.SC - sta (ZPSymBufPtr),y - - lda #0 - -.1 iny - sta (ZPSymBufPtr),y - cpy #SYM.DefSize-1 - bne .1 - - iny #SYM.DefSize - lda #SYM.Def - sta (ZPSymBufPtr),y - - >LDYA ZPCCCode - jsr SYM.SetAddrYA - .DO DBG_SYM=1 - jsr SYM.DEBUG0 - .FIN - jmp SYM.Store - -.8 clc - -.99 rts -*-------------------------------------- SYM.Update sec .HS 90 BCC *-------------------------------------- @@ -508,7 +461,7 @@ SYM.Store clc php - .DO DBG_SYM=1 + .DO _DBG_SYM=1 bcc .10 jsr SYM.DEBUG1 @@ -613,6 +566,65 @@ SYM.Lookup >LDA.G CC.LookupIdx * clc rts *-------------------------------------- +* "B"reak +* "C"ontinue +* "D"efault +* "E"lse +* "F"rameSize +* "J"umptable +* "L"oop +* "S"statement +* e"X"it +*-------------------------------------- +SYM.NewLabelA bit bPass2 + bpl .10 + + clc + rts + +.10 >STA.G CC.Label+1 + + >PUSHB.G CC.hSyms + >PUSHEA.G CC.Label + jsr SCOPE.Push + >SYSCALL SListNewKey + bcs .99 + + >STYA.G CC.SymID Y,A = KeyID + + ldy #SYM.T.VOID + lda #SYM.Q.POINTER + ldx #SYM.SC.STATIC + sec + jsr SYM.BufInitYAXC + + >LDA.G CC.Label+1 + cmp #'F' + bne .1 + + lda LocalPtr + ldy #SYM.Addr + sta (ZPSymBufPtr),y + bra .2 + +.1 jsr SYM.SetAddrCCode + +.2 .DO _DBG_SYM=1 + jsr SYM.DEBUG0 + .FIN + + >PUSHB.G CC.hSyms + >PUSHW.G CC.SymID + >PUSHW ZPSymBufPtr + >PUSHBI 0 + + ldy #SYM.DefSize + lda (ZPSymBufPtr),y + >PUSHA + >SYSCALL SListAddData + +.99 rts +*-------------------------------------- SYM.LookupLabelA bit bPass2 bmi .1 @@ -626,9 +638,9 @@ SYM.LookupLabelA >PUSHB.G CC.hSyms ...for SListGetData - lda ScopeIdx + ldy ScopeIdx -.2 pha +.2 phy >PUSHB.G CC.hSyms >PUSHEA.G CC.Label ply @@ -637,12 +649,12 @@ SYM.LookupLabelA >SYSCALL SListLookup bcc .8 - pla - cmp #CC.ScopeIDs + ply + cpy #CC.ScopeIDs beq .9 - dec - dec + dey + dey bra .2 .9 inc pStack discard CC.hSyms @@ -651,7 +663,9 @@ SYM.LookupLabelA sec rts -.8 >PUSHA KeyID.HI +.8 plx + + >PUSHA KeyID.HI tya >PUSHA KeyID.LO @@ -859,6 +873,8 @@ SYM.SetSizeOf ldy #SYM.SizeOf pla rts *-------------------------------------- +SYM.SetAddrCCode + >LDYA ZPCCCode SYM.SetAddrYA phy ldy #SYM.Addr+1 @@ -871,7 +887,7 @@ SYM.SetAddrYA phy rts *-------------------------------------- - .DO DBG_SYM=1 + .DO _DBG_SYM=1 SYM.DEBUG0 >PUSHW L.MSG.DEBUG.SYM0 >PUSHW.G CC.SymID jsr SCOPE.Push diff --git a/BIN/CC.S.txt b/BIN/CC.S.txt index a3a7c028..2eab8e41 100644 --- a/BIN/CC.S.txt +++ b/BIN/CC.S.txt @@ -10,7 +10,9 @@ NEW .INB inc/mli.i .INB inc/mli.e.i *-------------------------------------- -DBG_SYM .EQ 1 +_DBG_SYM .EQ 0 +_DBG_SCOPE .EQ 1 +_DBG_OUT .EQ 0 *-------------------------------------- FILES.MAX .EQ 4 LIBS.MAX .EQ 4 @@ -48,16 +50,17 @@ SYM.SC.EXTERN .EQ 6 SYM.SC.TYPEDEF .EQ 8 SYM.SC.DEFINE .EQ 10 SYM.SC.TAG .EQ 12 -* .EQ 3 +SYM.DefSize .EQ 3 SYM.SizeOf .EQ 4 SYM.Addr .EQ 6 SYM.BitO .EQ 8 SYM.BitW .EQ 9 -SYM.DefSize .EQ 10 * -SYM.Def .EQ 12 Funtions : fTQ,a1TQ,a2TQ.... * -SYM .EQ 14 +* +SYM.Def .EQ 14 Funtions : fTQ,a1TQ,a2TQ.... +* +SYM .EQ 16 SYM.F.MAX .EQ 64 *-------------------------------------- SU.F.T .EQ 0 @@ -67,18 +70,13 @@ SU.F.BitO .EQ 4 SU.F.BitW .EQ 5 SU.F.Name .EQ 6 *-------------------------------------- -CPS.hMEM .EQ 5 switch/case -CPS.Ptr .EQ 4 - -CPS.Q .EQ 5 f -CPS.T .EQ 4 - -CPS.LOCAL .EQ 3 -CPS.STATE .EQ 2 +CPS.hMEM .EQ 4 switch/case +CPS.Ptr .EQ 3 +CPS.LOCAL .EQ 2 CPS.TERM .EQ 1 CPS.KW .EQ 0 * -CPS .EQ 6 +CPS .EQ 5 *-------------------------------------- *-------------------------------------- @@ -146,11 +144,20 @@ L.MSG.LINKING .DA MSG.LINKING L.MSG.LINKING2 .DA MSG.LINKING2 L.MSG.GENERATING .DA MSG.GENERATING L.MSG.DEBUG .DA MSG.DEBUG - .DO DBG_SYM=1 + .DO _DBG_SYM=1 L.MSG.DEBUG.SYM0 .DA MSG.DEBUG.SYM0 L.MSG.DEBUG.SYM1 .DA MSG.DEBUG.SYM1 L.MSG.DEBUG.SYM2 .DA MSG.DEBUG.SYM2 .FIN + .DO _DBG_SCOPE=1 +L.MSG.DEBUG.SCIN .DA MSG.DEBUG.SCIN +L.MSG.DEBUG.SC .DA MSG.DEBUG.SC +L.MSG.DEBUG.SCOUT .DA MSG.DEBUG.SCOUT + .FIN + .DO _DBG_OUT=1 +L.MSG.DEBUG.CSOUT .DA MSG.DEBUG.CSOUT +L.MSG.DEBUG.OUT .DA MSG.DEBUG.OUT + .FIN L.MSG.TRACE .DA MSG.TRACE L.MSG.RTSTK .DA MSG.RTSTK L.MSG.ERROR .DA MSG.ERROR @@ -243,7 +250,7 @@ J.CC.STYPES .DA TYPE.SCHAR .DA TYPE.SLONG *-------------------------------------- L.CC.MAIN .DA CC.MAIN -L.CC._RETURN_ .DA CC._RETURN_ +L.CC._RETURN_ .DA CC._RETURN_ L.CC.SYSCALL .DA CC.SYSCALL L.CC.FPUCALL .DA CC.FPUCALL *-------------------------------------- @@ -384,7 +391,7 @@ CS.RUN.CLOOP jsr CORE.CompileFile jsr CC.Link bcs .99 -* >DEBUG + >DEBUG jsr CC.Link.CheckMain bcs .99 @@ -404,11 +411,11 @@ CS.RUN.CLOOP jsr CORE.CompileFile cmp #MLI.E.EOF bne .9 - jsr CODE.EmitTail - bcs .9 - jsr FIO.FClose bne .2 + + jsr CODE.EmitTail + bcs .9 *-------------------------------------- >LDYA L.MSG.ALLDONE >SYSCALL PutS @@ -526,6 +533,15 @@ CS.QUIT jsr CODE.Quit rts *-------------------------------------- PrintTraceMsg + .DO _DBG_SCOPE=1 + >PUSHW L.MSG.DEBUG.SC + jsr SCOPE.Push + >PUSHB LocalPtr + >PUSHBI 3 + >SYSCALL PrintF +* >DEBUG + .FIN + ldy #S.PS.hStdOut * ldy #S.PS.hStdErr lda (pPS),y @@ -676,11 +692,20 @@ MSG.LINKING2 .CZ "*** %H:%H:%18s T/Q=%h/%h, SC=%h, Def=%h, SizeOf=%H, @=%H\r\n" MSG.GENERATING .CZ "*** Generating: %s\r\n" MSG.DEBUG .CS "*** pCode=%H, pConst=%H, pData=%H, pStack=%H\r\n" .CZ " LSP=%h, CSP=%H:%h>%h:%h, ScopeIdx=%h\r\n" - .DO DBG_SYM=1 + .DO _DBG_SYM=1 MSG.DEBUG.SYM0 .CZ "New SymID=%H[%H],T=%h,Q=%h " MSG.DEBUG.SYM1 .CZ "Upd SymID=%H\r\n" MSG.DEBUG.SYM2 .CZ "Sto SymID=%H\r\n" .FIN + .DO _DBG_SCOPE=1 +MSG.DEBUG.SCIN .CZ "Scope { %H (%h)\r\n" +MSG.DEBUG.SC .CZ "Scope = %H (%h)\r\n" +MSG.DEBUG.SCOUT .CZ "Scope } %H (%h) -> %H\r\n" + .FIN + .DO _DBG_OUT=1 +MSG.DEBUG.CSOUT .CZ "\r\n%H-" +MSG.DEBUG.OUT .CZ "%h" + .FIN MSG.TRACE .CZ "%5D> %s\r\n" MSG.RTSTK .CZ "\r\n" MSG.ERROR .CZ " %s^\r\n" @@ -815,13 +840,13 @@ CC.TYPES .PS "void" .PS "float" .HS 00 *-------------------------------------- -CC.Type2FPU .DA #FPU.wMUL,#FPU.iMUL,#FPU.uMUL,#FPU.lMUL,#FPU.fMUL +CC.Type2FPU .DA #FPU.wMUL,#FPU.iMUL,#FPU.uMUL,#FPU.lMUL,#FPU.fMUL *-------------------------------------- CC.FTYPES .PS "fastcall" * .HS 00 *-------------------------------------- -CC.TYPESIZE .HS 0001010202040405 -CC.TYPESIGN .HS 0000FF00FF00FFFF +CC.TYPESIZE .HS 0001010202040405 +CC.TYPESIGN .HS 0000FF00FF00FFFF *-------------------------------------- CC.MAIN .AZ "main" CC.MAIN.DEF .DA #SYM.T.SINT,#0,#SYM.T.SINT,#0,#SYM.T.UCHAR,#SYM.Q.PPOINTER,0 diff --git a/SCMASM.31/SCMASM.S.EDIT..txt b/SCMASM.31/SCMASM.S.EDIT..txt index 3346aae0..d16694cd 100644 --- a/SCMASM.31/SCMASM.S.EDIT..txt +++ b/SCMASM.31/SCMASM.S.EDIT..txt @@ -438,12 +438,12 @@ PRODOS.ERROR CHECK.DOT.DIGIT.OR.LETTER CMP #'. BEQ CHECKS.OK - CMP #'_' allow underline in symbols too - BEQ CHECKS.OK CHECK.DIGIT.OR.LETTER JSR CHECK.DIGIT BCS CHECKS.OK CHECK.LETTER + CMP #'_' allow underline in symbols too + BEQ CHECKS.OK CMP #'A BCC CHECKS.NOT.OK CMP #'Z+1