From d8631337dd53e33c2e95a3141999deb43d9f5431 Mon Sep 17 00:00:00 2001 From: burniouf Date: Mon, 23 Jan 2023 19:33:37 +0100 Subject: [PATCH] CC:DIRCETIVES and .h LIBTUI.S.txt demo --- .Docs/KERNEL.md | 2 +- .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes BIN/CC.S.CORE.txt | 10 +- BIN/CC.S.DIR.txt | 54 +++++- BIN/CC.S.txt | 8 +- INCLUDE/SYS/types.h.txt | 8 +- INCLUDE/stdio.h.txt | 6 +- ROOT/asmtest/TESTTUI.S.txt | 382 +++++++++++++++++++++++++++++++++++++ ROOT/ctest/testif.c.txt | 10 + ROOT/ctest/testtdef.c.txt | 1 - SYS/KERNEL.S.STDIO.txt | 2 +- 11 files changed, 470 insertions(+), 13 deletions(-) create mode 100644 ROOT/asmtest/TESTTUI.S.txt diff --git a/.Docs/KERNEL.md b/.Docs/KERNEL.md index 5c290ba2..606d158d 100644 --- a/.Docs/KERNEL.md +++ b/.Docs/KERNEL.md @@ -1089,7 +1089,7 @@ Set the file-position indicator for hFILE Test the end-of-file indicator for hFILE ## C -`int feof( short int stream );` +`short int feof( short int stream );` ## ASM **In:** diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index 80f9b4e254ff6b31db0b5a3c1e79c9ead504dfc1..7ab7d4e206d5a22c98921f4b18089930d585b454 100644 GIT binary patch delta 540277 zcmeFad0bpY)-YV%&C)C#Xu6x*5CWHdN$Aj!5C|az8VDrK5}KG8qe7qw7y^VPi(3aZ zsBt6eq|D5ym@zRZXh&y~8Mlc#I^v8Y>TFNUXfzsk#bsiQOTKfe?(M!nCwbmKzVG+_ z@shy3Rdwo|Q>RXyI(4e&bTv%&e!XvzpHz5AOFnJVgBh)Vpsj?0sT;xZlht z&dyF5QPp3c^6$eb|5m-dBRR3ExLU@9*MPJn4cP)zEG9jfI6*{2dt>@#>ci=mX`Joh z-{+9K=gf^D$5~O7?(luDXs!rzEzfv_5Rs6M_^$Ya2~Q*}U)H+pa zG5gr;BI_mAe(Uwt9o8GIH(PJ92G6aXyL;|~Srb`5EVw=I%DgjqSL82Rm{>4Su+LTS zyMh-BJ}k)3do%Cayy$$>!u<Qd^gb&KoD>gwxS8tXRHZLPb$Zm{mxb&u3NUiVzxU+P}3J6?CH z?#DWvBgIk5{#85HIIeJ9>A2M~jabCdx#Hdokvu(2@){~ckr}_+f)koITwO`-ppm2E=v$&aAh|(o#^f<^^gk?F zu~W=Wa;LP403~j(su&&;W0KvG_u${~;bFW4wc2Bnp?px+z)St^fsBUXy;$hC6YncH zq#r__X%GjJh7rN=OZdli4FBGVe~uxd;iTaTNZ|F#?LEVfvjY5clHF}*9BPNfy=3U= z?P;D=5Tv46%5cxZg$sj&&23jdJWSfe1HAgQvt88vcQ%M)d)0_&}4Rx}V-Ai>zo!ITmN6~>Qg;O0ddwaUML4tDokLkw0GdG}Y#G`53Q%7>e(FIIY zLuX$;_-)>VPCT6M){Yg9fA#V`+qE3ppd0-*;`q-0;yykDn4#)3(2{_iSrkL!R^0j#Go`lixKAtphP$L^D6q z)T*AN*M6k2yAG!hp1P-Dhyd9MfAK|@61&sgVPawWU@)@p4|ncU4VZZbZ$rj(=J5&f z_1wYFSf&AbAXxl5%^i#d{#fuPD+n4BA^COMpa$DLCQkT|1x={TC?|K^*jgA|?db-$ zac{_fg6$LjuY{k@Yj`HoK4$}6`?028mmudsg?H&QA8XQFKx4L7^5Sv7!5Fb)sXKbF zHc$M;5_bglbue^s01&l5Vq?;V^7%)C#6}kBdAaTB6Cp32oCw)<^yMQ<#wW0N4T$#2 zVY2J!gGcwhWpByN8#K2ZM@&^nr2=Wt+K)8xsaKR858ih+BS^L5thr&|*@=kC?b4k# z{pY-3ydQopuYun9sV30{P0t-m8=sF&$@F$apqP~Dp0z#p!T0u^KuJX0@ZOFSD5s#Y zbglq>_8;erw{4>e%VE8>ZJI&kfsCrm@B?)vJbR=~=Qe2wujy!-PBOH>UAmL#c$IxCJJPrH) z`_i#HkL~*w{?ek)H7iuvbl2w^i|PP9^0_9)HB`0mz`heR5o_W zcmdulV&fodA`ffi4(Sh`dHAM-XP_FZBw>hU30^${YXwYU#vghH1|m#xG<`yo91f~F zAIDpk_-z(AInU9{CN$ZqqjcAVrp&Y!O&VM1JuURq@QsK8*cH5IPM&m3sId15^94?|UZ z33R`DFUe~V-&*Wm_4w%u06CpLaV22UJUkINTqnL>3bqVJ%Yw1=i6!Fh9LbiI+1zPI z&Q2twk&3~7@fZJ{<2GSZ2au649kF@yRW^e`?ss5FzY&XVZr4|c?qir$g2cH+?i2Q5 zTLU;Z{~p?K@MxB9n7Lq!htCde*mYD~w^SUqxwD4+4xXMM4}S6T%P$|Cz}|`-`KLJg zFV=|$00sX>%tila?GhN1hN6by)yQ|W7+VA^b%IWe{97FT9|rGlL#m|V@o&d0N>=N3WNL*+PL+066x!+x@k$OwjA;9{U^1an={KvgyfiiT~7 z>Rhaq{I6JxANjjD`VXdWk$?{v3gZlEhf4rE(sJR5i{``(UT`fcvIbhom|HedDvp*h zXKNA4VFAc02W4eJS0+E4Gu(3cdQ8W~Rmj_T$EGm@kV;ZPw z^7g-syeE#HV5H2$rHCMq_lndH8ha;w`iv%4)l1(xqp_kVsvBF#=3y|xS92;4emNl= z{CX^ST#p=uLOBll;ZpnSrHlzCaV=*InPR%7NY^3VXtXjWF?{L6;>;vy1{)FntP<~A zus_Gcah`Zz9Q^<%qT}lEphG`u{ya1*y53e>S!=P?RF`A8i1=NkR*^x%fwWD-W!0dZ7@^@#%2`7_HMc=}&{r)D*gB zh*uT5O=!*RmoEZ?Rt%GfMtq@g_+?O{zlx);ieg3KUe(}&k-v$fud#%BFk`6X;L(Ys zVY1_-xozozgGcv%3u*y?bi6!pi7J)qmj)gW`U^K#uZXliM;%GSD*~&v#Vc+)c>1;_ zD`-na;Bqs_`t(kFP|`3gm`dHBOB0kml#W#d;!*?V#l2?-Lp;j{ck#eaIImzsa9&~K zFO$v6kDsZ46~mRSdG^aPFym(RL}=1a;o)NXJogNHTeulsyq8Q8UGpl){NkkEMst1fcTbmFtlOhXL0l_H%Ah+1FfheTKnsCS7qSL zD$A0=j~a%b$H)Pw;HiUOhimM;IWL1MUNipDgI^x}`r)f@7x{oO;Ha4~T!5Frp@xG; z?T@9lfa)X3po*wr^-S7w{(t{H_vMh8*fZ^mI*pcqDx`K0JDk z`xhvqRIo_eeeZ+VuQfM-&6p_$E)`cTMTaLyz3Vitb_2zS=+Dan6Xt+5$p;@f3=7kb zOk|?pGJCs0+>;5W0$iUsL?{4+LHwH9mj}lAGhDQ5dEo2;d*C6v*51WJ!G~*~*8Zqs zw>Q>4tA*bn_zi~N5cmy+Umg6;I9&T|n7fhwYI$JYWcT#6FZc8`_|vCxv4igE>GX5D zr>B{F>P4Y&Pko?}+|%mG?&(w<3is3pj_K|xF41Sve~IC9l0amM=qbiRyy#)^E}Ppt zL=Jwt2JWtO>t23k{F{5k=V@wuc<-TvaMjPWIzBuB`>({mwL)B6MEym5g8I2M>N=%L zrq!apbhfNA|6DV)gR9KY&BHTx9UZ#v;L)*i@O7>(+nzL52RHZ7XGHzWk&BD=qS_4V zS||KI_S^pY$z#Kvc?|;z`hkRqfds=qf^i_hv_B#8QTnTRy>s_?O`qOX7@Bk`ju zbfKA9Q^${Ln0L+0o?rZ^KcpytCuzA${p=8Zemt^4{hOuq$5&M|RS(i7uSdoNs+YNS zFv#i8ud2-Ss@EfR28d?N7+EHcE|=md2gKn+Z$#c+7q&l^S==L^o>;Ro*Ah$bO%lxE zf34@S`HxP_i_5i`!s&}i!p;bP^5;O7zx~q_`R%y>aJVs9xPKu!IA(WV%ZcXql0FR2 z5PwQ@$B$h#F+&WfbkD@`YJky-ekTm^?{Sg|vXf6tke%kn`YC z5kgEs7{_?&Jy;Lk-yqC^h~Qrvgx(yGYs`pU9Iaw<)gM<6>W*vOL1}Ky)lZ98KQE?X zJ293N=7FM5zM)Dxv`%1B z`Ym}xH$e6@ZS3k#Or4ub%s0|k+XNxuX0qoB$g|bdm04_^8#g*TdV7d=C&@UHl1buj zA(m`v-ylTmLT&{-&Q1N6`6TLgnt6p_*4+U)O+EdV{Cr~CMGrn@HYVRGX92alWolQY zl8|3OL5H*7l0ym&B4oIco)}HyP~4hN#D@>JB7r| zZ#@)doRTQmEVeol`5h<0)XV|xN6n`X}*r0+j*t@NfL>QrPQe%Q6Rg&(+n|ZV7f=xnnU6h9+NTQ|| zB@s2#+wNPsTDRSJaerZdI~0V(U>mmfCuR|IEH+GT5F4S;_;ivGr=oumO5)mF}ww7HzC$OY4C&bE?}JYEOHk(^H#y`f9Y(JoXm+ZVh@Wtj*4zLjVTv2V7L z$i?3N_Y#;@q@q8YOQIH&kR`mwpgY4#u&bD$lgi79*2X2NE#<6g%Qy{fxr$9-=A|mS zsYft5wG|w|SjqWPw$r!_%6c0oX==!FAc;oZN+PR}%O+(pG%&atJDJ&=8cr**mKOF3 z391#ep;w5!tPT<2>_~)q7z@mTqkeZdOZr-HFhsAEhKOY;4lGCGW@o9h1t%iyDiAGL z@u<}dqL>4;@=~Zxgf&#(qBm)TOH_14Xpqqne5tI#+RHdM;^nBQ4trZqFCTvci)&Xp zDvEcsvOE&k0JwRM#bRmcgjp0}K^03IdmD+lk-qz=Sx~Q2(edBRFshnpf1hA(Zk8Jb ztBd0R|G$ zZsEDYR+K_*Ur+0uXm@ZzMbT@wsrKM#3Aqy5gVRAi3BMYZzzbr$mMcXX)^YT1NQYsj zb;01mS$w-{&jRfFsOz{kvgzJYzr5z6vbu76%_^e3o~xVo28qiZoS%dNTDnDu&$y8% z!*61pgyOgrJ3RbmIbD1!5;E;nQB`n|;fh;48tT=bkXt1c%_G9?9u@VJqmB-u&0{*c zixZ5z6A8%H>~?00vqkC#CVUV-@JBrk3<@1{7SZ~+Fwg; zy;q`jpG1qzAs$*o9$F7DTDp+E9$GUWq@!Df=#+h4^bdK^ACS-wbM$c!)6cgGvGk^G zLbf{QQChT3m>KjKFH3RLrfov9>L3k;T-Otx7T8*yO&f6Ps4gMq-y)SB?)rjAs-=A4 zkc!QY-YiR9y@O~EOZ0!wX&C?D0pk_H8!$ZSp#-(r6czK7it4rr(W+f2dW&-=9;1(3CB$X?N5-E;^zV2GIBJV+WhC^tj2kA?_ZUZo zB=iK<0E-OE4Z{b3HfypUc#@B}kPo3Ke>YS5k2uM=k7@bULhO=H00AHDVV`*@))$wM zkk36O+An$Yf+swTf5ovZ`IwNRw`hQ5EbkUaBSNznh5lw9`C%6gjUW!DJtG5JLI zy+kE-Ex06Sq&C55{gF0aBSfbCgbjijB9F{GD>)A^H~|4FPLIXb(VGc9qEgfQ0otWj zbMAAA)=v%AYby!yhpeu4>^C7$ozY)kQ(Du%uz#&JJ7-S++O0S}2C11Fr43fIsn;B; zw&XGoLL$`J?ChBXm~?;%R*=w{+8JtY#h|Nav}i@xLw@DF!dMAYI*WhS|)82uNWUqAG%f$BWLo8APVg)HTXo}$i%7l(8qo! zm`oue6ms>{CSc)KVoIX%PlOrNQ7JUWC75TXsrdvuZ6+1c>8?XULLiRO-$$ zsc)8gc&m_jt7N>vWVwvCZWoeVc5D^W23EP#%=){^i?c>jrdr72%A~E6$T%c2D?MZ` zlE|#$B8XhgMPPUK_By+3x=Y*ExAhX!#cJ78cUAVVJZl#CEIB%Wp7ULx*lQ}^QJqT>(L3*!!lm3)ZWqAOZ2dY zmowI3hT*T?jIM4cxW~i*i+dRcvYKH{4h#H1W*@}Y=qYS@Hf55E=a?2pJ6opgGe!rJCW$}RTgNw{+}EeQmZN|?PVn9Uj*(^QkKfn zofevYuQ0;}@c^j`6X6U92}tSE8WILk04Y^oQ%7RoLIjRgmG!m?Kyj0$Y(;-YrbL-U zK!893<{%^-;sa8Str+^p@U|yiT2@}X(q2y-dI%XvFeP>Xp#3 z5J!;m>dNX@)>V@T2qQ>2j>3xGZM9PlN6gVq6eakN{ZgSuo6a(v!)=fB~`y zh&f1rr8Nx70D%W72U^XtA|U`FljF_Ds@hK^lAm(%^9a!G2v{0|QJvzI^))2&Gy2$l zg30wcN5R59j{4&IN*ghLp~x#+U0((*GkvMZtG~Fm3`9l52~GjiD^?cUZ$uiHz}-Ga zJ&F8^S7KQg)z|?$ba?#N-dYZ7yI(LheWQR|SzTEJl7!%h2U}V7$|_>~uOi1$xwN{h zlte<@!~?amTFNy*{KS*%sHmx{Co!k#Q}+uqE5BEi*eZ(ai2jTsr?R@9MEs!0u-8;C zC59grIk0jlt|zfS(YPUD7KULwgjQm00|a5bIjnjtQ88VFKuc|zgLMOwhlA0NP^r8X z91I0SWjrVx424$19hoRU6^k!c6<1c@0xeCPV>d zTuKscAV(o+0jw4aDsDv(eoJNrN!g`kB`cRQCb5L2$gE(x1q64s24#O?}Zhjfi~gANN>W6iH`0S zQdE)j?|2dD4|p-tgx|m=idNz!ns)sLAZOBF;3bAWiI-XQ9lXR+zX#zGN7Ek!mPBgD zR6N~;mjt>SFNyRiyd=@%cuA)I`{0s7GxkA+R9dx9h*hQ0_I*Goo!*6)4EiKqX47}! zvS5zXzRHd!>rPp4m>@GVy+zr|qHHqLLKp8Bq8H4Sh3iyQE`z9HlSxbp=5mgNf1``C5CIK%kBgVz_ zfrp@|Xi8Ca8Hrp%R}2fL*~L?eiowE}N~UDmVHz;n=q?}=3sI&?WXhlnLQZT(iZ7=N z4+x^LbP7xp6IBJ>bO0zq^l1_TCL0JpP0D1lSMc3Lu*5DOn(z8ZMgJ zsU;wq6J!y#Rn(d(#Lv5w=Z9UUvN$NL5K?e>O2fjB2stVh38X{@U)gj^1*D z@hTPy@Dd{t5U*n4_11FOAi}7TaRb(@$8elCZ=(c-fjwBUAzWmK2ae?mJUgrlvM~$@ zT+GiU#!a-b1)|d3wEq#ofZ;3_F9+m`7@@Y0M*@wTJt$kHVq)AXE$1DUx|P+I;<}{{ zV%+AVAy-LoxW!l50S>wmVqH_3yO=~kzzf&#wwh8I48mPgz=)}zL$Q^9O_#I7+5`Bm zqbo)PL(uh7=iWd!j=smq{_HUa_kK^E0EgSJA0fM z`Ql4S-Z-1Rrlyu?Z{Y-lTjhWQ>pw@|#*K~L+eqkboL`I(gx;=lW!$xxPcq?mNKJzc zv$l>6By>;#2$3-vF8a<%a26IVs%mWOAfb18YlQs*gNIH1>uMW&dO)A3lKE~YI?$mI zGh0{7qQChh;+GOuk6=T8<;7;YS5aLSXy|=j0PX#}(KBKFFe*g1K(vglB^6Tr4M20L z8X$7!3B+(ohU}M0vq>a`&^%pSwy~|ZzOk*Hgbd5Xi1uMl%lrtv{82$LjG)%ZL!}Sm zO>vLXn;#Wo>mQTCn{FO<3Vs};C9su2BBfXx>zK0c?#}M2p7pTpDTV2OCk1H^d4n{E zImwvc(?N&>xFCXtqYhTom9RG2N+O<8@Bq0Nk&ve)e)EVPqG|Fj6O247(f^TGhn*x$ zrxTqVRZGo;B_0ITn4sp7kQWdTcA~7r08uq*Q>C`o1eNB1D!mAhELN07;{ObL2=AEV z)MIeX0=M=RsVtjl|B9*r@sn2}i!Yu-UxQl+EkJyV=wHVTo2D+<70TXWuY^F;8&Jr% ziuHfzAzM#F_5YmO@_$WjdFa2fdI4@KWRb|D-mbQHHZ}u(OD8eD#VLT<^cV###M;nw z3h%&f!6kZ=3&Ly6vf(aV#JfDSoYsYLe{8$)J>CK(is<)o&jzfQv=>p?fkqK{Cm|mI zG%DYGqW^G83(Oy}?wMLgLyR9&>p{Wb|EbC{pVG#sN=$K~5R&6c408Ko3Zkel=`{xh zbHRiU&c4O~u`kX-zX52e52OA|#{og&DQ}kcv=r(49(5Px6a53+!LHb2I#bw>z&Y-Y zz?6|ij6c!mYIKHWEK0{?{TEjgEs??`Dk&(UhDZh+nuyS-L3E`xWfzrI*YCu=X$;u0 zxyzqJLu|~p4_C9zxr&Q*I1e-MQH zEajEeBrc_$N3Z*mue6nd~}Ar^>RQV|daoC37O17wE4Afvu& zvYRjiVuZ4~&Lbupq@cBQATWG}UWyfJ%X#;tETu!g6OvOQV90~2StNQHz@uX_J$$+x z!>1;fPz8FKwOi7QbyC-okZM4JXNw?42H`{O!Kqy@)S*UWgC^~LYU}yfiCl@SmcW+O zB(Dd;jJ=IpiLjb8rbo^cUXgGykLq3mV6bZzvQ}zK4hgvor3Yp`i|8O)h;$Rt^3QBk zgC%AoM#%@;)LVzW1j+>ALuSjH0UH`LFz*mHL;^Egss6AKt-eA{cP$Q&jDheV?;=Sj z+JQ~36T(J%`C%ba-JzxjYiuU{j83VDxt^vjHSG@!H|RG>L#CVeNpcT;?l3q^y&Ufv zSZ3KEG7q6gZ6BADZZllhHNnA&>?{(p#Y4}yRmKnU<+X@uo0PXo%IiQE?aE1+Y>#)w zRdVIZe(*=HPJ-l=L884@;?%`CjoVJ+{~(y@(C>u+RX@M~Bi>)f@85=dD*i!;3TSTY zCb2iL+yLj+E)uf??`Nt8@Dk zpA<8LHhh{3#(*Md{+FpzI#H?kNFQO7wS6 z2^Qlom6q({DzIYobBCj$c0fj4)TVj~;zS$ey)ds$zIZQKe>OJrIsRK+~TU#H3+0 z8XxBATA<`ci)~GRLUL;Y33^zn9RCR22#~4~di%4&64fYu?OEuFN9m`}3Tsr4(eghE z^Hpwo^&f?Vgo9jGQID%@x_a9>JMc`vPD`<)KjEUy_Vxsl@&rBdMH+TZh9v45Zoj|j6Oo&^3X9R2fc z*$c9>bIM8bQ?%^}gifBOw;vG_qo0{ltbLYOPy8eO%Ms|VBlHWn1U<)d3!kS^&k2iM zFYu({Pq?3eXOZr-)B^j32}JiIAjJFGn0QG_WMbmaQX&HrFH4EJm>821$&i@wij-Ki z2vdKNQi~TO;9q%aUP20{UX{u&!^GdDL_8!yUV{WQItv!V5VB|jH?-HJjgdNR1mj4eaXLiDlMvUeEQ%Ywh?2uyl=6^|9@d-QH zJJ+{0HMaL-1mFB`DgWAp9kBJcrL8By$qJ(XN5(lj;RXog_d{?WRyD9Di#|&K_Ph{f zd``i zn%1n<#kfA>Oc*{#F=AYbD?kR&{X(KO7w*55?vvquLb{&~_g_i(z_ada>3-27NPHtD z76YT9-^wLW@L%~Jx;ONcO9q3I@8o;bg40r>5EI`^2~>&DGg2Z2?thT(uZH^{rTfdE z!cQ^`0O@{~fdDGxEF`4vAexLL0pNm0t2DIhQk~hQh73rXH5!lw>>5e3fK4X|SHKYU z)9?`iecayH3_0Qcat>}#B@lgpCyiTG2_!5~%D_!4fY-`N+`a;Cf*>t%6Rgp4>LD6B zeyJ|f70S7Z&>=U=SKYys8*7Enkg#lNg=Ga036rrhBD!!X*$hTxhF(g*nI+&aLQ3^) zY3pqQqZek7GMYeTp@l}dsp-nHBoITST%)t2x2>ZOYUu?jtJ~Sz z*WCdbW+|hm?Mi1SwlfMccstF})cq28oHIQ+Q8B!M+2Hi@5iGSUWR?d;8!L5996bxQ zFA*gI-Olx3a-G<;cqt3qmfp4|$ViYf;2@|Ixr#`XGH@njy%UlIIgVoc^_E*|A&W$;kN{vE2Q~s&G1Vzx zFyw{IL^vcc7?jN%tX=_D2e}AlSSf)uVVDr$TnQxdA_X7|dLd-kDhU$l0%NNcKuDB? zU#vt05?Z5x;IXr?OC%6v3UI7dKp;mX{8E<$v8B7M7us*QOaZbA^GNvR5=c{P=N90^ z(4YXZwbpC_RvIOcmae{D80m&}3Xt;JzFr%NXp%q>f{h(hGXl9J?Lr{PhNBM>+MgH!!XqVRqgcv0SxcZ z@ID!c_o!jB5{7lDev1qub*XWy5{CDwewz&BYH5elr9kaUB~&?PlJKi!s2-;iXPT>( zFb>Eg;n&C@y-u`HhHI4|^^ixxWEE>+Gf%{JB}ADE!s_~d2}!qeW9MejLgRG`m^zk8 z^w)cUIvO`3&<&G-Fq7zaNI+c}B!PL(IG_Mxi~DjCaiav(0#GgnX1YlMRxZQcEW!22 z*i1VWU=9f^{1zFksj&k{8g5krK^`>lHVLGqhr<|emtkCBS<4+9>JAx^3^gc20g!_O zh3&#A04-o-H$h_}ozsw^w*gSj*GQE##7F}WBhJG;9#_JDg3>h=J*NZ3J1 zK#jfVo<%&akWO)ZXE_Ob!VAy_0Kc6KP)Wjm=LLX)7;$JafSu?MO90>_F(Ss_%OI$P zj^1vhqyK{v3J@8el%ZrGJ8Wtwf%*W-^z>9H*mgHOBY}ajYVT_71v3-*tO5?~ReLRD z6PNyv5+sCL8arUBG9FRDm9b2se@+5|2EZdL0Q9^92>8dxPxLQ%fttFu0nnc&1KGN^ z5&eq_AaGG!#Q4$_C~#8rfA&H(W0x9Vo(u&2O!Q-3puR3pY~w4FfmU{bTK~lh)V>9Q z{yGV$xZA!3pkDPt!4?@n{cRFdw{2q=F}}S8Ba;tFs9>GrXse zP#NTbnxBvnAPi!EpVxOW8~K5R09IcxqeYxl)GvoD68514u%Qj-vxtur0Lvi@_I_mi z$bSo4iG+UQWl{K4S>C&~R|5IW;)RL)T!z8I9%o}WF8xBkP(XZ1ANvPHt|z=%hOgvG zp#PgYoLivA*NWnAl*K(xv|isTivO!D1~UZ3r@Y0+@07(Y-A)1^6KS)48o&)`;00JY=fPRvI&=xXGKP!Niav;N51{Btx(AOa& zizI&Z^?wRRyULHR`g_~Z4~S4hQeLFgLl!Y;BmfXp3kQnyQviXfC})6?{(jW@FTuDf zz|YfAQ=nA232SEa>SnE?x((}QGXyh&B;bwB^I?G-tbnR2olofXHw)Js`nPa{>agml zaMosw2=T(V!BGYr2RfepoHX3mSCI;T8(~IxJd#9ZRi3;G(;-E zKpAS`DPO^hZGCswdPdl+00Y8H>y`ptQPBmv#=&vGp1iG>uPKw@wJFH<>!`Vm(4diF-D6zpB=NhTJ8US|UwrH3O z9=wK!-1xZw;=dgpuZ`?S28-fX6>{K;8vkjiH=MmV-9)46EJmV=qQ8&VK*=&oL zZ=1E2?oTt{sx~Ln`{K-kE5+MdbLu3CfYjD)!`=){^TG(}lVHF)ZE(Wm8=9d2nLP=l zojoZDAalGRrc4>c)q@=**&&M;!Z=rkU;x|-W_io?R!LVjvPbg3Ol2#S1yruexsgQV zNI>Y9z=L}*3g;=H&@FL6HZjeYi9wq;IyZKq;~JXlrDj+l10bgW0b?sqF2he1Ba3Z z4kZs9O4)xXb;j<*B}V$vXwkw$e@cB^b?Bv36}+g3>JLPHdDn5+xsNA@SA3oFnCf?; zsz#+bN(fc`P4kl`9&i4W^z&iW-%`)29)g!=s{`L*FFN|V~|1MRv|L0V{i0@8+f98iDfBN|>QE7sLLqc^k zXn1h;7rSSr1X0zE>EG?vs58Sy2Ga3rOVy~6^M85x-s z85wZn!Px3-?-F}pJHvS~aDo8U44}Gi<2q+IViG&SAOq%hh>!PhkXi;(+qm8-Ld4EF zN9^cC9G&g$P!1&PSd3V_sIk2dI9tn_M>p)XMbH>)VFLaMqBYh+Ee*96Mg?tv$gQ*8 z(x$^?w10b>d>a6_PD>koz*?AU&eYW|wcs&-kBs5T12H>?m2%`e_Sys&ihWIONoBQe z4Xi-wi|guj+`@V4iIx(Fm<_r7sbe{x=Yq7@5ff#uRmToD%2{wlSgQ{A-<80a>*CoU zJ`XrYysiQz?fu~>)*%iBu$0S0I~5jKV+X+Gd=A?Rt&nrzYL$3fQ(NBG&Xz*FkTU@y zf&E}FxTUOA#|5}?b72_0ZgXKFEqcJ_@6QBB|FXF-hJLxZa3*zcDfBlc*%H}g^~2omO?#UvZ*N02<^y(?QO;=LtG%*c7IlcC*=XsNN05wg$r0vAmY}( z=y0ybQQ!dAhC!H&x$~wnBo@%duA&&;oSlHc59`xCT}2}1`KhxcX?@mJR6tWU6-Ch3 zwiE`^rJIUOj4QffQ<0J1-M* z-W<+Ufe0&l5tbBUUk{G6xgr~>y|B?C;lOjN99G7GIZ`1!!eOthMiRVm<5G*1B>~hn z_VqYfb-6anQI?i}#GuU4(Suz@kzB9V-fLT+ zT1oG^*OtpPi@tiVP3MBmHMC30!NYhd5OEF{8+*kLXsFmInXYN(6V94=>Q5__Pi!E> zMO;D>a)=Y*lq8L?Y~Zz=1kG zavH_fZC$O-j%n!N6pv_`Cr+ZzP)n`rog;R2cdmmmxJ~SBb$S{L_uIrRjWF|omroMs>-!GWr7Y3vahW4)~|XUCjryeZ`{XPdXdo~8m5-C!CR8jSagjV%!Q zVtk&fxz0@@9DVlUlI{w;&7IzVBKEFxE2xIfGNn22k`0l@SHmtcA}SD@@Y#8>lN}2Y z8`r~zSP#yVJy=(sOl4phQ(wGVEUT-l zshdU%R!l%nQ5SAuILRUd_7qy-?FUu?6_{MfhJ|=BS6{1%6zU%E5b_^1}{c%BFLAskFl^!jj!_uF8{V zt0_=4`#xK|3yx_@07!^8J5yK{6WQk}FDFl=fs=r9q)& z(lh__wK>eX)9O!}k-Y4BCrz(|9*q@N zUDHYwwPr)H#X4F4v9CP~xM`JHUuI87inZV=r}fUsHPDQ*Df%2$65;qw`Q$24!z)RC zQUREp;x#BtN^KoHt~{L~-b$CW5S>Qi{Hh2~lf&G4I>bja*5W$pLnDOX&vdbrzXvU@$V9OJ;=%!_AV_F$_bKElq2cA8G zg>)tpLJt6K-a@uaap9vvXvLXcv%zq2@iZFpAgQs`mDW_-FP>dvsfQ={&j|v&=A zCVS{$5j-LiMOma8-_39LMD_qDYkruM10R&N30{R-hGBK>BNDa|iM>%m&;G{jPam2SknaK&ti_Ro zy*GIh1s$H&=cw>$K4(!-7xpa1v??rnl{63V^}nnsaOJB+uck34OHs~l!LCl8=oG^q z?7VFnO|F_g1}q!ssVh=FH8_2s!Yu1KYi#n?keQP!C{|3Yh>?JEiTFw_4;fD-oJr;> z42eu1-n%N>sj@nFg$dY>DNeG4*EW4!!ToI?PLV=P9;8zQxz6jAo_oe@5-sx;JWGwa zkkMr8V&F=4^5)Az;8(@e>03;>(@84ou<15;GD<~zr_N+N36W4H=83D{dlr}4tA;(2^^bk*5W&8ZD#nvEo|zvR&VH2f@t;=^H`WR2x$ zEn-vt$UuDCz>8ZpR;;`PnD-V*)jhq${q||)vd=Kp`0}|X5HF8LU92zBv0k~*((i&RKek9$Dgn5E@E&Q9k-W#F!!}I$K}~Q~pq_i6ro*tu z*TDgp)THTPa-7AxqMilL~nI<=Sva29C;2ALNowD;S;96z;#Qn!L#{4aNr< zc~o#%xsyEdI426`>P+u_Y~mz=PD3C=NTL2ElL0(xhmvUY5v85RAycIo978y%P2;Ge zvx|i&g9;#`3U;-bn`vB=o9XNQLS%X;zL*lvgG6?z;gJma@CLj)W&p4f?Iw6M4Bp%r z)lI`=)66kF@T4z$qbLc3=WM}^fQN#a+vSXPKYZg3{c6ZI%g<}U7|6c+ZQTrn&K$Do{3fj% z=jLSUyitDMJa|-8OsMXtXszu^kP0zQEEU4m8U5AUL35=rif-y&Abc`GOjyab02kuf z0CADD0kwE-_u7txX|iPSfIG87TqxG#eu8(0zvBW`s_#1ZW3=mBKx@PV#9Iq^eeL}7 zYRJBKV-mIoZBT8k^OB+k?Fp=H-i=AAFnNCILSDqTB&8i>Ua@{Do6qpSsN8# zd~Z@h4^Wq6FHhhbj_jBLo&)O z`1tr++n?R{!T393V8VmP_q{9rB)Z?-KC=R)P92->M{yT9i?Qp4XYl71r!FY-# z6UD}UAOYbtpT|f#e8Iv;N9Cg>J}~8o8FbBcDtP(YG$QkOMLclgqvCuh^`(oxU~>U> z>d}-bD7DzE1)_9dr65xmoB=;@nx(P1tz*6DsI!UXU~3k_QU?z1z%*IEa`a8mn(Kc?>ApQdZ>?NB;R5WX)og<2?T{g z|CTX4WX35>B9INQU@A1k)nX<;iRJ?+2Z2oJ{qpl@la-G#Iwht7pccg;H6ImV^m$IM z`6y-sjvZ(7Q3#{#|NM;G%vrHTvYzl8_Pxbe1KPyF_GhYtV# z56`?d{yJ6dO-!fZ|4a{}Gd`OcPs20JS{lC4GLt^`n?T*-sA#~knjyLD@`lEBO>I|f zXx|84*QRcx_eY40gC9|hpYlUhsb$GDJhcoyKIr}Pr_unbT3bGkhOaG;R~wC(h;sRm zQ69+1$DuwSkonxU!FdE_Oj;k*=vc<=@@+hQCfrah*fOHSIjD2KJv~x2j(*t*c>P! z^Mxc^nkw;(5qmiPQlGP6HlHd}F&0{!-PyBRe#+h_PCjLxtM%FEbw;weGs5_Wx7OGo zOyQFTmv9V5>dwJ3cSL5fmR$;S*mTDyn|mUPR-KRH`8|^C^XhO87jqnXBo2F7S*azQ71DHhik+n4)fj;l5drgIYGfpb5rESIT&)eu+L46i+Z-VagMV3a`IT)@cWad{_s)rK8-^dZf>3)+`FF7Mgr zve$W2PTPXlwR<2b|Hl04H26)2-wgPj4Zm~XH}i0QwdK!0d3S}qELNATI#-|PUC<`w zUBD*g@h(zG1kJ9m?#@$MqimJ~g#*t!WvM~(!;Brxi~4DJQ~ArFFAXnZq)+IOvB;Yn-<-yI<#|m{MbA1&~3A zW%T3b9tL?3$y^B&EpY4S(e}q9b<}lo(ahAF@q0E93?q6SDZ9v0v-}pGQASKNFvC_{ zZ7Z|iNS`~o$PhYcDP37rbuqkvjSSM`Cl|$CwiBMS>dz)KjHK08zxq~8!52DBq}5@o zgO7-?WOyWLtuLur4PUn4#R6$9wJ(J?8?xjKGvSYE?S!YZh&GBJSc-`z8U0jc2!=O} z{vkcWd{a|1vcLOAt+802RFOK;8_|GZVrmUCRP05vmY)} zE#94$=C4p3c!@lmXYny^^OaMJPp>Ec0#i#qA2AAI<#yOU^GQrOsrV*#fk`Ew4;jTI z4JNm$xX!1~15Xur2ZgU`(+UAxggDoHV$HJBgIR^ z>QK{SGAM7j_G6qI-oQ?bMPv{ToAgU{@H-|XdLaQSpVy9dx-G7B|@Lsm_P+&@?pkPb0*&oUQ$v~yS}Yu1H)P;1uteNb!G zJZ8ZZ9xoU~_}+*Q^J~pgI-tJo;rSgaMA24C7)n%uy1QRAXNAGPy4lU6GiXQo$Ipl$LJsm#|R z!fL&sRIL|~suX`z5LK+pd=r&ok>_b?Hdo|x$`u~p$B8!4+tOm2uPCEc3Pzrf)CGhp zg&31CIJUIbf(YpF5sbIlh?WD`srX!F?9^44hcj(c8UEJTz4FMhG~t zGj;Tzj})!1O61?S6fZ}A0N%uMxei_`DB_$CFRLxkCBk1g+2<{Ww+tlWYlW3y*#O5r zjY;PQE=fJyG*VQf+W%-#gsa+PjM(!vk}ay4Zi{L?fNVOF09Q-{G}U zQEMa{&z>aO!78EcCh?a*o&L9rXSqVwazYmP3i737kR4hAPra@T-xdMiKY&vFc!8Ce zF84Htng7!6#w{eI!BgAV2)FnRT>hmP_JJkCI`npAoQ~p)0ArJcF`EcZVB*MgMMg^t zVu4S&Dyyz1mTXT|=Jyy|c~z=Eo{jH0(eHnuNE1`r2}H`pKt}a*< zFTs5W+-Sa(?SfcyvQghGTi~m7SR()6+c6+m;)Tza;d3}Ve1uJg#VdQ8h7x|B3=hwX za{@JrH%IUau%z@7$dxP1=LFzOZc_{9mMi2*6)L8+ z7~?};j%;#;e7OR9C5uc2n{0(C$XlUIsxZ&WD@gc@C)H3k+A8}d@do?n5^ z{+EkFW0lG=qfHtCOqr9ekOlyK=j~$cB_Zv+5Z=J4-3a~*9N!D*AfVq7o$_e#9N_EX z<0NSlmUz6H8~8ckeq4p#&{_*8o8Sf1v%7)H(BF&FG(8fz%4+8pM^kqP3F$@s#m^{_ z8GVw+#5fDt3?3YwYAhyETclg~a)-lGQ?ks`RbN>TpBRnV3g`xp7n}W(w#n^i_(xHY z@k+TuKU@Ej=&PXkntv1tF;`0^_@NlawB&RrT_YptI#v{vdo47Yf8)-@n>lMcHq+~r zUC$5K_Jhkbj~M#_E9|4}|7Ve32X0C9^Yg02a2;=*)B)%h>Tf_;I7KVJ|4KVR2Jy=# zkvFme{Jsh&gO5;>kefU`qQ9BTM}B7miP{M~AAG9VRH45`Y8FVydc$gX%;{ET#>J3v zn>S#{q5e2?5rCqNYx#H?by+xWx^no@Xt#r7!h_+@yP!}+1tR^b!7KpfJ95$ z)l%Q2NUc8Ziqx(|5s&Y_F91u66{c0m9FKuO%_muJOm$FYCe z?9Xu)No%j2)@8qAyKPo=>#m(NZPV6m-Lz?4XYKl{u4B8l>(oi=I!XWMYwpaw^B(29 zD+0K8&dix}X3m^*=FFML4}9WdKcH}zn{=2;cytNP5+RQMpi%Mr|KaJ6y!lrhZy)`t z@|J5Hdw5R)!Q8*5zvh{H_^(^#V3i=kCe_%{f5jRBuOBKu@vmA1Ce@$$A$#M-3$nE= zE%(Qs`C)*b`sV4U@BfVj5&F-9^hF^DFhSL;t3JqQU4Hc!&Niec$EFcGyls}8B z^b^|PAxu}cHOC(QNypQ}{~^8-S*HG@tVV6)Z)I=N3e)B5*_%oobUE|^ptt^$=7EF% zv9*q?Z|Yy7#HTO)l(u^u?Jm(_*|CrQr;bHGt^NJasK1#WA^mNCBWp)-`I+oZS|eN@ z`PuAEdF+wD?f-T7@1W^Z=+v|SxxQv5sP#--cC8pBg?`7>MX8(2eCY@r;{+sL#F#B))zYhPL{zaq3H`WjRclv=bl`lM8{(HQK zS<3?H=Iq`Nee9L}p90S9*57c*>tGyW{h9|`&s*n@&A;)!RQ7p z5B|^Dn{@h1ZKSiEz}=VsAbSgqh_#nP{|o5$tKVoIxc`4u0`7g|XPOT_`tUDgBkF&{ z7aCD(znH;eOhBML@ei{%+VVHEH|eCb{QB5azm&a2%dGqy`el7bltUNQsWEosfAkg*1N}lBST`6guvtfNA?19?%dd+ z-}F!t*T_fM!*t~TRGc0Lr#kC5#t!`!UcB|q=2K5R^4lsysr=aC-^KgC@y+JJ&;DOo z-NL2Ee=mD8$&>H*vls1BdvWZEKgeEyO7pn*{QuTBN+WuA|JX6=(f>zK zk(32vhyO@%S^Fnhz4b{JkpDD$!?+yyXW5IYaIHOd@c+$T0w3{pj~)7BeQP+WBk0Kg z=i~LFG0#J|vTM?~TNm#*BSdN_jJ^1b;`b>@(*MM>xHePBpboN!pVPuq zW4LtWD|?T>@sXz*FW}W)!aZ*!#rKKK|5!$Bz<* zo)*(}lj^lp7V+!6Jd+62i zukek8$H%{dOxgxHv$3(deGZ3A9-cs_#8!LsghI7uUWHOKcA!k?>BXy>J%8+xG+=5) ziS`j;Y88Jj{PIVhKlSwFxKIt(cHt^6WSGVl5_0QKjjOxyFUro#L(@X7H$Od|b@O}n ze*RaR7ml2iSE8nVH`gP-zkg+A8_9iemDksQ>-59V?>+p@Pd)JhrT8t~JhfkMp+TM{ zq^ml1b?fB*tH`!^a_`~;XQm!QDq&nKg9Ilx`f1WnPwxHb17}X|ee;1c)xF0aJoCa{ z`N1=jdp93E^NFWUoFGGjDt-BrySH9BNq_CV{;@OPb@!n&_YIQ3E=_{LRg_FipL+TrwcK`Yu$ zU|^ZiS5q4f*KYeTrS1S(Abq9sx)K_fh5J}B0Qz1Ck4x)y=Lg8U0V>A5y0Bp_;s&VtcWP# zh##QpQ>J2kt4|G>plcT*j(~tP#4$ z?zMi=7f|!NT{U(!gj)b85WnVkyK3BOh&y8qzJTC3A+f9Oce`q=XecX&_yUr;-}kEV zqoMqW%-1^l4#pqdNJ>T~BlxBsgToH_IWyBPO>{rArN-1yx;ICJ0L&;9=zK5?}oIw)D_fy=I)f|^S&30)3W$N)3{-kRe`FjQ5+wMDk?)aap z_}mRX_x#T_d_Eg|KIeZ%V)jR7{Lh2rGyeC+`){vq-+7zAIy z=L^B-IsY?mOL=5I_`KkMp2p|b2mbdb7X9yO83p>m_od)FQF1x>elhq?l)MyteSX>UT)#XFfOX?V5f!ZPcQPZXZd_|BPbtZPV$k#Z@E_e`G})V;mm6g1`8Z2r2*6 zRad@p>nw5}Rw6AKk{U z^NZN%|JcsB9hw|FwCkxtaD;sqX-Y`6^W<&q^2NJ9+k6(<&0i1|>Z!NKW$yuH`Bei~ zO}}IOgE{;?Qtrujbz0+RXyU)q`@a6$&F|a)(m~o|0Tb%;5g9558q=cH-oNV}HV>KED6q z2>6A6 z*7}L@gKozul<GmP!vPfZX3QnTr;&~Qq__-LO9Y& z8a@GPrj<;pei2bq8$JPQrjSe$olh*6)*^c9B>G;|Qz!N4MM?InszHB^eMpQ*+ex=G zMfL8N+aIIAv;iSM82}mgrbH{h9Z^;%1M(R6rbI8i9nn=M1M(Q(5NA4Iydui#q)(r^ zF>Xp2cRQl2A`NCX1nb7KDbWjWM|9OG>h-|1+q&^=N_Yl{g0ed0ce`#pn-Y!tcCaxf z=FXJg?YePeTDd{GYM|cI03?1)1${SuOe;Sk%Ib8`cjL#j@?+Sfov!SE`-AZxYJc;P zoBe;%y6-Oj8+ep7W=$*UBa?aBr%S_lH7&E{_OQ7;?GvYA44WqM4=`3ElX=>wOT!p8 zEwbr$I4Ny1W4n>gYC9{YVQfP#LLPgO$(#=8*lz}+jH{HD1Ci;B=pP?Kc~&Va3nJ4w zEu;U1`CKXEWd6k7{coMdyasqm>eH1;~VlOC`4gOrW7zN`bmB6qyYK@o5q)_@})SP$!F}( zkft%FrhFMXodadGY0S~+m+Ss?wy_&%qfKEB)--ibUmrS!1EsWS9I7e3V^f%vQpcgD zai}J<#wT@CUyn`UK<8{3o76>pJ+>qVN@wdeW0Cr(ug9h^X`RI> z4F5D|keQ5yA6Dv=Y3CMl*OzWaf%Q1@Jb)dgTE=|!OD|jshO$T^CKRc)5saL7+OO1x zhWe#v=X0Zws+CIpf!MOitKRAU>qBwzr(=tT#{iodmAXuo?i-vBMR2!&r8$2YYuO-C zPj!2~Z-uj;0_HDcEgK{1ukO!Ko@h6y6qMltZMbbC1s5sNgkC~Eufii>K2h2>TGZK% zxgHksPhpaUmhxNVuRP8xw6~pL)M30J$l-NVyV$ zm!$FQn>Psh_#n~Dl3q2&PIYcmNO8Uz3@EO$y}5NKp|7|Os}{7y6^os*s&zMtD`zhgXC_dsucx!As@URJV4@=aCXB^aJ>s-g!= zpDQ650!B$}a_iYrNj*R#DVpPzh|r){_oqf?e#DG_TU-H?cmz7chbdQxb#D86jpVb|+dzjRVzR#O8YRvrC}-i#UY! zFYo@yiSe%v}F`0FpP+LsPOnHCUZPnNCl?7|9ti5Got_` z-Txd%A|TIbq@Q}^aA+qq*-JF{T4h=eU2wV+>O?C(5cH%WPNO5Y@(^0eNsA-Im6w_O zuN;rDud2rkpOyI9NRO&GXeb8}qcf!ni+(s;s(MDq_2^QxM^!y4gt5v!iVmTwo)P*G zebTd>Bl;wSK74I8P81o_AEG5a#yPwt%|16|JXB$ckD#6&33Ak%jZ;rg2EAz1gH}?| zkg6_G(bL0voyzHzd-poMCw=ww&~pf|88Skvc3OzCZbwrCR^4f#_`s{Eh>lLBs+7q2V(D4KVRM4!ROh1e|^Avh}1X~ zK5V|~_nkHD2R=UMfkUyw=Bs|*U7^nl-e>4xV~@VBpCRS({fR~IJ1J^okN35Js0k>t z^hvJ_DHdZ7FY6m@Dqh^j7wSHLY2V(dpL+V~y+8Q1_O~2+LtZ|7naat2-JAGT_UpcF zpWCl{?%VfX`1SU)jqliZ>`G_#%IzCJAp31kd~V;Bi>upLHg{I_Q2G-q`*0YOZ(!6n zPhZ)4>(|={4_w`M6JO?czD%G9oa#e8c;oJGv>&*C?BMF&kNkT3z!$FXLoVU@+q;ww z6IthU2k*cQdVN#hGdLnf*YC$p(6Y1E~@6ua>mh#+ZwEjgdytHydFheNrpWXWvp!}`x@rVBIt=<0a z7mOHxPF~)*Wu>3`POkRq=GN*Ab~!=u%Gkj_znA_FI{jV3^ryaLK)_K^f8x6t?9Q#3 z4tE+r-{am8lHV&;9rz3M7AyiN=NIT-PDtBZSe~67BGKUSl4tLsBWIqJ`w^lGT@_9) z%|uE|*z9a>W7aKSeEa9o(j?Be2zEU`*Tj z#UZrSd|;!n)s$dIaM#mlZ0n#?)ns2s$iy9u z?P%J|(j7{}g|y~( z*E{z;3l#)u)?@3drsDb#y^=vZv{$+p7MCtlA{CfR=+zJ|sb}SdQ{3?(-LfQH!<7R-9Hx}Xl0`$4&{Z*>mr`_`$W<74xbGwAUrDdLTj2hH6#b_4e=Z@I{;v3=7h zhIBh%i zP2DUnj2e42^|Zh~j}5w-W@NeNpsTsWEK#vrgSUBjcWUZo;X#M)#0OoitipM8e^hB3 zI_PR;8R0{FEE4(NJytKvkilEiCB_uTz+ zoqhW*j6MAq_kQ$u+6M>UM@BUt#CA6O)8Fsj!PI7Rzkm4x?n@OL{+YkTpEozZaC@tN z=T?91i%4q5#{S_i2VXLe#lgRveVDoS?q8zf>DVW}vadY>C(A5Xg{F4ctVb;I`DJ9a zX}&S`$PbV^2r<)N=KO(w<%6I6v5$Uw{O*@J&%=NI*bq5eL$CzTiD)MD8q!!J6Z%rK zeIA~J{<#xm?Z-y+NQ1PdX{=dVQ#CPvK}`+P1cEr(>hG>x{X(=M5pORF7r7WV3k#mt znp&nqdp*kBuOWLit`C0l?vHi$ef48!9#p*JevXw4(K8$)wM|L88PPwN#X3G` zaa3=F1gnYR&vTaO9NjIc)nrl*-+id5VS0G?PIM;KWC0mf!APyXHqsicsWqy-n4OLH zLe0_P4yc-1qeJ%4YHEXyszAs&Yz!g5VFWMK0Cl`*&BTVO+MqB_kmES&Y^&9UmLsUG z$)|_miI{^Cc&h2?=4LB~rW&8mbGoi0uf;;lYHD}#*5_x_yDju@en>ggkC zIF#mUcYbOpMR7pDd+z>3=RPbLkBn+o1%NagW0KqHA41^KhG%W0;aO9|(@m{QZ^lqi z%X7H*vS!(zhi)X+)Z`p7k~IJGu(^jg{t+X^w>3##Uy8~I+r_5=AK%5VnWZ_rCu(Vq z5;SUUj_9nKnZr7Z9sCiU)lGUQXfDN=HZ8M%g#Gj4wYEASd2IGpEraf9j~kTI2_x$ZrAg8j>^5d4ok~e*LMjbp4LW%Sky<_ign*s zq?tP#6G3&g6^DzUx*CcSgAu!iqmGDoZ?7$`JJ;1>9AX*OEnnx*8v*O1O~tyIisKV^ zf4XyD%r#V3d(lnrn3+&lb8$p>5!$ZZ)#(zApsCw_-|(S6+E}csu{iuv!MfUu!{&me zXpDLh>$=hBbbu%|bdyi05!+v@!>sa{KV-tFb)|znPA@gChIkz6Rn@6@k2SWzT335& z_y%iTxA=z9Yvk@;U5%*YBs;ouOWnnoj6|@x|J?mMo%=XHihIeUp_Od4R**QgXcX4f zxZ>M`hnkpm-S`8oVjjV|8d-dK=J1we9XlKk8?4*TA8k0q)&9B~SqX`-5xANn8&y>6 zBMq&(zwL*}yo)h)yRLTD5LZ@RjVxY6hf+gLts(BcdR@)d(OuPTzZZGs7{O#UyoR`e z>S}Qf8>WZ{AF;%#rNwcx3-b%HF{b-{qQRuE#XMehwYf&S0_tjX4PC6&;IfutMp<3$ zt$Vd3qxD{;L`V$BN2~(FC%T$7WW3&^B{je9RZ3zc?^Q~auc256hFE$kinSVI!{&fm zVJudL%;rhAtlbM;A@Z=Pq8ooB#IRak!-l^zyyAvgUGMD~Ha$j0RM*wy;_0#2U5ZT) zwYd<@JHHS&-|K309j5EoBSL(%#Z@

-AU=d3}oRRz16lv}1@Ozcjzt!R?M4Yg@P1 zS9aGnH-=yJSjYM55pqH8FJA6x>mX*w)iV=pSbSz(O)$37;-+4Gv<+4tX@k|(278{C zS;8|$-w$De)zt)J&1IP1p{@oP2dRHH9cHK1bt`YE`P48Aj5ZOXhEGE+uu%q9LyfRu za-cDK|E@7||E{5y7t@te=|$+;P}^&iY1>fy>l0?T#Jy+@+rf+bXB%dSNi9R_P(y4u z*)uzA7}?bh8)aoRbn9*?*+*Mp4YR^NjoBQ(jT>6pi51+3`tGPJ*`L{)PhWc|a!v+e zXELt`{=qm)@m2=c-(2V{UUV9!{6YtK=ss=!3mYg`?jVuBcZSDOe3WtC{mlhL(njH- z5z`~^hpB?XHbxnz-&yPg=-DBoGETa;=NG%Bh5pROwbgg66+-W52L^>Z>DNgmzj+xJ zyh3mQa0mfiC4Yu%18N;TZB|A|{Z{vFf*gCeU} z&zF_4>wEJY!rInXw|y9az)`&-EzG%khlMcGNZSX|qibc1OxDFi07XlV>P{uC+@S61(X}$-fZtqb(gyAh(*dOR!+D;$kKCKV@VXXjhZ*<_)4Hf9QyaX|hUy3lH)52xry4+Ci7 z_LcRutI5UHtGk=q(K(FJ;$su^>^=C_>BO7KRFTe09E%Swb=KYq&8VCs2>z%VP^v(X zFdj)9P}K*rc{hN(k*1nU=VMUWF z)(}KgYtNQu&-Z66+pEE3s|ebu8-;Z|3`)HW0{u6jy5A$40yy9=4Ye1RDfJ~043EKh z6QJ9jw1%cKnEpbytJoRLfVa@dpg5kqJaMX0ua}V8=fce|A~+!v?%dI#HGwAwjhZ8Hv3g82kmgmmT&0mr+Ly(zRf#@_H z0cmXmpqUxh8q>&E6UwS449dtMkb}A^WL3)gYJ-zt2=vYY-PkcG!Y>#nEoBAv-3_RJ z`-%|H(#Bu{bg|!>Egr5@#`ANR2&mNExM>Xy?Nk{#1^kvuv+efE_BDYc^s+t;(5{I+ z#Dfde(#(bKBJ$*90E8YNrl*nO(8Nnyxv^I-u!}e~Fn_^-mCIcossbAdu?Ojz9ApV# zVYC$0eUSh57_DC2p2)Z!$++{Y+Z(Ivqz_$RyOFfkH=|KEWh4_Qin*SNIfRr8(&yXr zbG;eMHlRrNvOz{|D-)3_S4sP(;{$$iF!Zv1qLExjaDKgfqESBgMbJwVrJ)|lz{xq`o2PEQtM_cz}MHCM~Ah>m5%flb!WVa<{mNlBBWn>b7~;kpODHr zeKbgVH)Whb1_VW=bn%VO;><;_b4=x_j5)AN%-%rS0|RR=wVUwJWKW4L5KftA8S^Z& zMj5g92G^OH$zb?5Zm*pU#B#aq(6oVw+c##tG{e>u8CLYRVx11um zqTz`|7BM(oQt1=m9G*1v2Aexjt4nWWS)!x@?q4^<6L5wmk}6nxb2DBd4+a#t08d-N z5rScGZATZE=|liC@r(v-8HbC|0Q+Ieb~Gt)*-~CE_j)Q3kcZLWIy3!d%g=elV7=b{ zm<5k)j4Yd$qf&2*EWWwA}|2a1?FN#508P<)udaRo9Emd zGAy$(hQ)@Sxy@uqQjD@WE+X;yMH;CO@(P4pVv5n&_%B3ID|h_+=NEb z$8M=VpkP-aBcrTiw(U=nwoMWoQUJ)(`FW_uz1jIo0faw0EGr#sAXaUk&hH(=#BaQfLXj^q(q^JbM@*K|Rn;e_-yQ#7X*(_1t(uJA+1*}Qu z0xXnuvH6mgi`F50c2+4oYu(a(d<~cs(%?jWGJid}uzG6~cZznmw>P&v^d?nnOyPZ_ znsSHWEN7N=;NXGj}mp7ZYt#*5a9sw^!EJt|d~HkB@4=DH2z9 zanX}wuPqx=i!4HjV80I6-#ilLBO~EEel^BL`0`Lqv$N1#^3jo6d@X;{Mhg6U3=yQf zLjMKCA1aeJ9-(4mLdI8cq&21oQmcRa>P?c&lxe6)Jev)02SOa=MNT4W3l@HQ`gb;V zSKckwVUrLJLddPTi;j2bE5^&N8jn^cJe{_Jh zHg9iS^N~?mi-f1tt-0l#&Lq96GBVbyx!bo^x7V(&7UEL`5WHsC}P*RV+Al#F9o!=^7{p1Mfxv5>1u zDv?#9b9)P3M%<5^ufygoyqFj^7Z#Q-2en8Y1DUwG`m#;6i(40xFNFBm^o5@j_#lVL zthtw%PEwc5URuQyTorg@_hxcoZ3pA$D)J6yU9%Yhzb2SASh&=IlgWFeE}0R=H55v& zq24PTy_3~tGXoJbCQDKt3kHbmeg1!zB-MbXzF%om-)1KLIg#b7)EOTsPloS`qaD8SW;Lt8m{D&_s0 z)3EJq;epGuUAky)IY$9%A@xDi|d*`!-)%?99*221^>@{1Q8% z;3M{Duq~)?c+QtDEslWm=NY!#KRb{c%;D{%2J>lWy#~YSX8nevbH22+xICBlXMofh zFbpRjTa!2NgU%0#W;iLCXAO6gJZ>JK`RYm^k8fHL+LxV+b!ACk`gu(OK?{?2qhSz*I;4SbFF~@!izb8Yqmjl5=cpM$V*=d3} zah^_<78}8sEFx_gJA&oR(E|R-{%on_*G0eQNa2R2qdmNkfcsn&&RnE^mn$~J;DrR> z+-4g}g$FH6<3SycO`8jFLjtb5ajmntzK%N__3_|{Vv+8{-;{0kMl+eF2nNh+2))}I zS1AH*C*eqr*kYKjm)WuP87% z*fEk1(!YSa`&btqkE7*Syg`ak#$!omW)T7L9tCVKJcTcj>Ym?P-6+z4aSDdEyB`#x zj0Cs1x^j)-%sLn9382qmcTXrBjReRy)8<hG=nf{W~HKA&{dqk%PG61L_ zf`a$2RO=4_cbh2OjSd?Rf`1h-e*mO50N`h|-Yb;Xe}VG{fV)%_9!P?_w7mu+EcXbQ zKLAo20B}PxmN)0$DfCXjy8CFR-hHq81U?Bu{j0vCV1Jd8>Rbds67J9wda~s z{!;tM2g(^OS?H*FP z(uTm6H*OT^B4C)?PJG#Iq;yFQx8{%feZ-5qN4qT^kqjK%f?_w5QtogD=9x%9-XmZ> z1MPa!3fz$lMl;`o;9mty87Pdf3rgv(8-|OGeSz~Qs9o1qfmf2jdh54$Zsyt(Fn@yD zeQgzZAcYbA)zw_cn-0&a&%mlN5Kc#KZfSLWJ=dD>z3TI?suQ#VCnJOThBDKi>JT)2 z2AW1vf!~ne7U7!3er)(KSa5ZV-QHG#i%`HeR&K??z&f$h{i@=ku;6a}T$4!1YIf{SkmZ_i8r#;OJwxt1BB(i~DHJXI{;i2j?E+ z*=M-i=oE7L+^ZS);LGFY1TLhDfW=nU3Ve5>2fe_B=o*=VDp!G9E+_=XL<&Jfvqq&g z#a6%y1rB;@7hMk%sfjoL7U!|~=LrnEudM=48ufIZE?z=_qId;uk1s*a2TlV1G)R$RBm*o^$1@9QG z%jf4?pYd2_cfnN<6iC(1ZmwKI2H^QCpZ5sJBoFS=G2dhbj8R5 z(sc}*B0=E}J0|KAg{ppMb%wR z*`-ZSQW^ib+TdOx+drtl>!ol7=bH2}xK_wrG6&z6f(0HS?uoQUtFPPswe6e=JYWRZ z-`x%n_27I7K53kXAIyQ}80H-tI+@ukMaIxWuSVNP^Dl+pRluszh;h!HrAqjyiIa0ph~>UMIvHe+d{wywkU) z2kK+2kh6^8&`=&w;Cz<4+v*xJ5@zPxOZmy8%|&H6yk^G|Y{vTZclX(m(+sH4dP4C! z;Ul>CbJ<;LM@}=qEpOn8qdcU5`7?Q%XEJQi3i-^mz0P*N^8)BI++A=-J~P10Z0rmJ zi!dC`5x*By>7ntDts8Zt#9HIwNela;g68$0yx%ud>*i%OuR>6yNtM6nu^KXoJ%O43X zlj!iF5l7nu*k8@-KmzEG1$QT&de;aJy{2aFzSn&*6VEC=TK<4&sZX<_E;a)0(HRT> zkv5}JfFt=3`vF4W-+&G(`Ui9)6Lkpg8wvvnAF0|OFMK~9IaLt4M_?eqFff0(xa;xM z$wrzNx90a>Ms>>{FYbOk^|CE*>=x%45rH-o;7wx^>~8l8po~)(<7W$jy4e;8rZUJu3YN85tY^+5`f7|DKTeUe{ zA!TsOTZqXBMzHkRWBZ`oR{IZkN*P>lZ39taU-TdaF2;AQnJ*oG73k=+uBwZT!Qxo@?6t#Sbdwc`G9YPicG1wYpMs{( z-j1;sJ~kpIIUoCoXrt5z?h!DLz2%N^S6yuEcJ$z6GpQ5hEZl3*(`VuQ2XFbO&s~d( zuOe`d5bDpa?d*aG99{&B7?&^}-uSBiH-Z@KHm_X^L42NCWO`M7Z`=q3VF;126S3^Z zP4&7NTCF?6Q9;Wwl7v#%aZ*hI>IqgVgnT*5YIl52+VQTc`P&FgQvBw@d`8+?Biy(J zx~(hW@=J}N>2uP~8CBKa#vRMArvet`rsV+}Owg&Gf*p@Le@J*yE+RLh?8HwMJ~y4f zP$9C;@o(IucTfmX<;G`t;RuZEN}NtoIJXQ5pwI1|%Izv5;{7sYxGBLn*3o?lBzB=muf89$*-K;s7!AxxvM5ubth(OK`C`q$>PlJUmz%gJk;N+!9=yHMM!ySH(++CR!*!Jbt(%A`gF1$OvgqI8vq zEBDqL0B%~rPbN^87H5{a4vfl2r4M{(QXVJAaBj({$v+9mA2hP6oIz|RTxSA>v)oII z^WI1k!RQYhH;>>rW1zk9A8tRz=0JS8Sb~=lnVJll{{q%g`-==6)q5O zwjz?3Oo76Q0UW8R8sVBIt;5>)Pku~@~NrZ$d49F>QEzZngErCWD|&Fb->uhrDG0oNZNyNXI1B4jr?iaAQB=e zdiI0RJUZo1LEDk7kwZ=4`X|ERbwCSXOr^lJWuu5jj+FmWcUClzY8iq$AUO`!`b5<{Dn>Oq@TN;jSvaA6S?V1@R8MdKujmkH?F+RhhzCySjtsgdtbP%2eRa&TDip?`^_ zIDbOF;^T{4OCXSqt>g;SS{4@P=_+`Fkw+@2&>uLaP1~CjyObsiA;;IWMn@@I+;Q`q ztMF`huHW`g%ZXDPA{Y-R3`UYaD&db98?EFsRKynJ=lLPB@z6)2kza=%jl=DAu8wvG z1%3W2iI{MVWxF$hB7QZt=unuTqO#OHnN%gM)b5N-H>OEoQo;eN3l%c;Tk;#6jvxXG5x?uEE0GYS8;mxZL*vq z09X>O>HY$ue{fX*K#2PoL(>G#t!`~2y-RQg*ld*={Fzomy@Y|ukBvzgMw~*gK9QcN z*=USlf-NhK>3mgSSOp!4x`mVK$YK?5BmlzK4AZQu)>o!GN9R&EBlyA4Ht2S+>Zq!P zLnqXAZ01CBe%0U@brn!*D&P4eU1pyGWum&}4HENL;1WGYXXNuapXFqtk>Tx zDpkfaE@5HEL#F=%r4yoLUx*e8Cyg11HFlp;ZG$Bw4{Q1kM59Mw#+qPY_o-kpL<0(s z^O_`}ph=YkVIC%yEJDe^0Cs>T6|raMJp@tljX?5yU?sz1(69n63nhm>C z6|U1i-}g6K87>H+cRCGwRTXRw>5mHoGxSxN(4sg>rpExudipcrF=y@^a}#4DDtX|7 z4IEdRKLoc7O0^!P=vS@~$E=GJvwoi*9InTlRy8F0EGW@aF)5b+?JDY*5} z!1{48f5zBheq4iSPzy7;MOug$c(j}v#r|1zY~fh1Ff}0*i(?J`*@$D)SVs;3A)*KND?4xOi6vR6z8$|#Tj{O!Z&;AS@~mmhEg=HQ_QSluu1 z(^gn^wOUJy7k$9d1A*PG2H0*HAZwH|67oaH1_DJSX2fvrU8b$nivPt)IFJlD=+<4J{)uCB1~qEH1c7XqudmL56K+(FoT(A%%P}18X8>LhhYvZ zpW$+{&hAN6N2*BPuSpD#2}|6bDL63DO&2Le3f{wN+lw$-t+*F>g!sDP@wCXz@wWune0L*7urfK z(7t6s-r-ixkbm8iqBP<@&a9@4f1+jvOC~lF48Yx;+8n|?yWNGLQEKxg zbxHeeo4QtKR~xs@Q+s~S+Y=CAa_z<=nCcbe@0OmHx)+gEBhoZM$Nj>%5Y&L@=Fct1 z@MhqsTNoFD5^x2ZoI#_)bAQn=rcksVG3Bd2+&;kTdq56d_2`07E`&e#${{nG2pGa>0@tOV22AxN_fPzx>`i~CQ_1i0ynj9Wyt zys2MQTs>A%!NP7PG|(u{a?N(iv-mu_|n06~v!9cHf) zmDdPBw$)_(tV~(s>PfMMWBpWz;exmhkH&13TLE!I0o=}ZpJ3=JXHb!f=F!r zX9%C^=CrJHNFBPuo@48X;smZclg`|gxbsw+@Whwvgt48t&bjt@{&7?tnh0{UX%jx* z&EZ&QcMt$VNyT&uJ!CdD*dIgyK9vY7>Ob`z>lQu1S)HEdkh*YH6`MS|n9?lo!Ye)Erf17`33w@x2@E!rOh!Qsq5 zFT=wX7dToXnyc#vElJ&rOL@(OD4y?!(_Yw_!ayZlwG?EQW}v9@V!QEmcBmM1UoLYo z22+zU<;6VLb@r_Y@J&SX@_s}Jtg25!yybkqJIT^b94SX2C&9HUl=yiiA%)wQDn=0Y z#bgc0bk!CFJJyY8BRAK8)}Q+Idm|JQfE7`=Xs}pO3pYcLtWrE!7)%GC!=r+J0ij@B zFdcv$H`-lAo+U8#z?UOVDP+$L0bD9H;ENG+$JN<$!{rMsfDrMzZM~kH38uJtn&~=O z?wK*sBQ=Ceq@q|tc#DRsb6gu8dn~n~oGvf=lAeb%Ri92Duau8h(h?qT2$+u;x6A@1 zuHqTj**6F9Mu5Ame(V)u=u7dw^PV{P27Lg>y>fxkxGgt6#Mlo+)w)=(*vP^q zxgsbhW3m<(p>w)u#}g7 z2?|L{LsQ9ecH%bO0Q6E6G>{xResITb0J#{23`Bx*4_E2}a^QMd3X?n7FLZHE+ zW*Oug!B(0<2D*ld>jpb=GRRAV7-*oQ+fvftK(q{WF$NiEd6t%~!JeB8vgbiU$|}B9 zA79gG8tjwFK;Kx7@HE)7wMDnV-kA*YQVepc>?Qw!1uxE$2K#9;(8UO7VD6`OX-tCy zxiZLJ43dtDyUEC_46SnwXu4SEGT6Si7cL|MkOxVG5I|laJ;2-^%25hTjRL}ngH_&x z%x%{kE#uN2f2J9M*nN}1g?qU+{xV1q%Rz?I1u<{F%wM|ge zb$~e4?f_|`Wq`rPu0+OA;V6YTASDC!H+Q*7P&R84{%~uO9XAt7yPoze|H$H~AmbAi}J1=KWePraUl zHjBH#2RiBQB}DHa&@|k&Cvb=!Jb7?cS{m#%;>N=}hZ%VW=jJgR2Hr#BtyS{IeT8AeGk3hTS zlwR?o2=7?TL(*U;7}ZK8@$9|v*6IDvQgt!^NCO_Q;m&}DxVtWu=9;8hi zRbP2G|6M~|UzbV?&3-@dk`h`34Fv{IwL;(+CVE!H%^!}6TDVjyid_Mx45Jy8T1yRa zm|ZFr#jQg5xVp*K7_dJRV6akxiLSWHY-`klu1?x)6Q|pyNc5KWRJxi2x70=rc;LPb zF2YNt{<&`c7IvW&d<1v|zBm@(kn_hv&!)2Y-7f8=>1zj{1Hmh`n76zEXWNJ|z%IA5 ziwm(qaL+No;ZOt|VTTL>Pb=Y&2Oiwym-Cow9^MAWKB2n3x3*3nph2BR4LA7WMs$e7 zp8A+>h*!)za%EI|O*?Iy61d?gmqL|-i)yJSF&EdsY5A1K&4Wf#i)QBn?g^uZp=0}V zX7+Jplr^234NOE2Upo)mpztUh091r?K1~i`5EWa;T;kC|$v2MSL2&%aHHtIt zV+({%IedC;(v?Q>7y6P_EjBHZp3~u`($dNmKba!;PmS+Ljm4>x!hp3JYY8~G0vR37`M_n z1gDT&XIE%e+Pc0wE4H6j4+V#-*HP)=XUVdWnJ}#2oFrAY3fN-hVU1iOK@Tm*bs4r* zhQie=ih-~Vn6g6cCWeoK?h%%8oXM|1F>n>|Zs_^a^R5#`8X>wPFSBs{p3#UyIe1iI zYcz{%&@MtxU>ea(40>3xT+U_o31|f*^a%iuRDfdi2T$dX4`U)614RT)UqF%)gbyhX zy)X(z5Q`btFEigGpk--A(ufTHX13$0@J$bX5U|iZbx84$Rd8-?*Cvcs(Do__;4OU| zj?QQBl$}&7Q21^J{|r;O$Uw}4ykJP-PSRNj>s~H&#C=fA2B}}FkNfk=u;{tQsgW!9 zt@X80%l5~g8T!3ShtHl#N}O5`SMWvGehvGIrbnT*8DV2`#A?(Hm2gT)SLVZs-dkI*RZ?-vF0qc z@e8MbnRQ^g-yv0DY2gK2r<;Qm5Z@xROFha1EWOl}e+M7U83FN?*M?eQwDr)%~XU@0*syJsqrUXZCT^62Wkgst-iS0mSdnl={;U(m^0dtr7a7 zxIe);eVM`VTbALb2S|9$o%JQm$Li=jO%S->9%*L0y+UrJ?W5&xXvca72;7Gin)q_R za+tkUjRacp0fMv^bX<65&bD==^KE|@(OuC_Y5;dW3gRm+c11g~Kl1>H9+FRvwtZGw ze%LZ%G6k~*oV}TGDxPBI2ea4(?PYlS1+yFoC4faU{_d3B&`#?=V8ZBW8k_V8seR4L zu4zX)YXY0=UJ8%>D|Y_&DRRxqb&kkoO)y~ywKQZ+`Kb);vUbt{0Oo?IeF${Qzq-NF z)6$X;5EtC-{C3hIKl@=@Jz%uR1Ee>*+zJ49-dMjyd^~j#ARy$fECQZIQ1ORh_`?2PZJx z$WTP9I2K3QjG{Jwnc_H;S0^Uo|Btp$3-EiLWboeN+|LI-u z^|b_-hp+9dk?q$)s_d7M@#kDJH;*WiBb6$$S`HgW0)9Cw>*pAnw4aV{(|6dzX(?S4An%UQCN)cQrTX2QLe*+_@lZpxibI20yhD zrMDdQQy&dhe@7KL&^r@5M2)?BPr7Ch1BzDxic6)X-064YTL7n{{u-Rdl7ehF+LQ&NQdf@Qnq zg>97G63y;!Mza?lwYT22gJs%QP`JaDmJ3a9(d7o2DD9wg3`XjwoDU{6;jdQo><7b< zh2&O7yXU^lo^l#p*{&l&3Hfb#;plr?k=Q#<{i1zRX(3yF%K?aPZItD-@C>%r4WsO* zwB&1UP8{%|VYGy-3b+F_1L5BUPF8zvA2cvkM~(|Kpbe1>S^#~8$9do6u<%T2IqMq1 z2?Vsnq69=hD-K!j?R)F=5sEY}79dA>f=KP|r=wp<=1*dQtz&t`4eVH3$yCq1i9(Y6 ze-&%3``>_m4PVilC@`%D?@`w-;|dh|Nq=3~+@Nc#=zVA94!w)Q$|~=g6$H@kAonT? zObb4lRB>w1+Me$`@c1y~m`nyadi*KKL7KpOWsts%&Y#=7O(c1b-w;{WHg5C%4{>sk zQoud03nd&qJ_I=s?;2S@b(g#>=ZoQ3py30I^pVl%8xnQ^rOctLm@v5z41w^ajpbn| zbGT}6FjH#B8u4{}w*kQ+s%Rt^rooL+s9tdn(4{7x?6D2PvK&6f+I!skCO@SrEZLE& zioRIPds`~yobgQe4J<~;5@tp+)#op*))nm1u&hP-Krm7HU>n7D$y3gV*h2$@()sRX zJAEz^BK2h$pIU)Vp2|65LJ2YjiP}|+aTC4~Y01k{4mPDGN||{BvJilmw+s^B4w0mC zOqd`7mN10B?z)?t<%BQ+1c_i6z_*1{m8Yp35hj2O3Lz^lLj=9haEVmT2NOgPEI|Ol zjlQWadnuhsR-Uryy* zuyn%ZoDf!EvzW(fKJSEG!ko(SV1>=1A_%*huZ-ID%PF4^76PjNGh;@x#`%dm!{L>BDg-DIYe7Mn}(@)srH&% zr=RAVdFWGQGN%+{`kDShbJ3G-e&a_0m~j$+sN$HyK}F&!^v&ryO~stBX$^XIYA}_X za=VK;(}H?Q4Ph7;#7#=qc|e_lD>OI=U@#D=5g16jzBQBAOwmxLhP8T562VD@Ej$d$ zyy^yeXlqf~n!-(WOd-o1Smyo%zybAb2qacLg-j!m3>cHaDcn^CSQ!S05zCZu{ipq2 zZ1_3I>M+RY$c699nyd{2jE>X+CD_wm)`vl2EiJMUo-6}w35^X^T}K z&Kff==J=nDoSF|sT{Xxk8zF72gY{v6Q7#VP3p2>ZFvutyv3+HLlfwWr{ixM*(iDNH zpp2$lcKvr9^p-yWsZTS7?+idehGmZ^82)(5$;7E7^#!6u-=zpY1^8^FvThvhQhUb19;B_i^~g3zSK|{+S-c1V3aD1 zKYIZW;k)R(9##?fGc2{i*_uzomX$wfO3Kht^ zuC~>)>uOVY4QX8;29xPdfKk7C3cukj4$^u$8aIV@nE%u99%21!Ew}QS91J-)G9r|N z0Aq#4XHZCr>3|dijWtT)G`!GkpUZ+D1j<_T)o$ClO5rdRn9w}2z9EVc7L1%vDWVFu z;js(N0W}GqP?mf&gC@g?o>)iWJOs1@-tzhwJK$^Evnia19@wf&9FVn2_|oHaG{mMk zB4KAIYxJr%DnE!)9JV>M!w_K}w77J2{bNeyT6F>&{zQe#dj)02<4!0dbbxXO4ACPf zU%-h_4n%Qi^Z4_iBTT}0a^Rqy|8R$ezi}SH!I%L<1p1ExV`E+rFE$N7qd^x`aD(R7 zJw{o)Y6@qgqTQaKmGBtXdIpTK>3c@aub0B-XwVSE;p0J*vp<42spPXuh_nv@=`RAt zB0=YR6;Rh-7Gdy09$Uahb7uN+4F(j|AK-#K#(QZ?7yaf82CGp7Lo8=)IBhzMk~qQ# zbSOr34vx)jUW^%H)UBw}NFK;OV7veVYEUseJRM!1z%q6`bz(ltl+qL85}#|UL`(!P z$x7FQ=27gd#pg!7pD7N$A8XB>2eSf!smS%Bav6u;6~Y%u@yBLb&GWfXU#ld_GGsD5HUoe`E}^ag#j@C@I5mIl{P2dNd`AAl zV}#j|b`C}PYz{_Bn>9Ronqsh4M@C^iJEFv&CJrk)N;nP+hoO^+WNtG-9-Pf>U*_1h zKfG3$iKT9-)$FtpnxxZ;2`$O2 ztZZY87a7zOzSb);^eLvAWCLLY$DZ>mNKHKA0DJ4!?G4Iffg5IblI|eaIrU~iMss9< zc5cb~CW9Vs5_+&Zi~EGHB^2Iwdk0ltTlL6fUM`Bl7NijJf-Z2$;^rQ0?W*Srh#ODpF{d|5}=+rqiHDlEq%MRxCDd2Rsg|AHe+S)98nm%g<9a797xzXhnxT{&bjRr zK&eK2Q5{@Tkn~~6T)i2hV%u~QhlV;3dFVw5Ngbl|YmeryUteF_5MFWr{Rll9(=(L% z%K4bM7WFV1+ZR@LZ&K8O$0rwlC(&v^rq^!ec@8_4o(n7QuHCvlxTRAg*}TrDCw3CL z0@<(AwvQ#84RvC=<#Hn*g^5d#p|-eseRX?v1Bv7d^R8y0F9>W0>u|ogw1AL;p0hEf zt1|C8YujMj=Jp-Pf!(BsTjZ|!nArxTxEE2=#Yjyuz%OpD-$sB&p&AObsM+?jM8*-- zBK64p6T29&h4#rPGyty1{AwYk?elI>^Opi&{4nU zXA4}Ed7}Nw&DWY9$kd2BLS|;MPy-#0#Q4jIoUg_9og~N(wam=5L-SSDikc+(n%TIz zd20)zjUq;vQoYUXTPwSM-)!be5FX+bOUrZp#fy0o2V0C{R(u)LxcEG0bMm=+dM`v5h}g40VvhHdKEX0i_knSbeqKu6oHtj z+m4pR`JvV@ev#3V6UkCTbA21)|#;!=?;FxklH?{43|irX7k zL9Sb1gFg-HE~bv71A!W5k9SXn)F?uSX3mO*#L>MkzBy1gNh2|XsECCi-@QZ9MJfs< zja!vH4%AI9NF*WZxmc8fat=BfENx;StZdv#WG3zS^_X0c_(IeJQhCvTf{(}r(hyp= zuS4u5xOsgW{PZg_*&tDes0gwFb6Vz~>sekn2u6NAHX$VzQ4s0{`mIq(CM3_n2=6kj z{b97uOCa30Yydo+Hvk~pC`^$*wzJ(GSc2#keZ;b~a5g;6MwFp^L|Hp%hRf<{ql2+l zYL&h5cV2t)@bZNVmt(Niy|>D*9T>d1fZ(A0AH@ap*+r^ccP)97lQk?Z=~rlwa-fK? ztS4_S;6`xI>?pPRbc_-eRVIR>4HN}4y&KZAqO}2)m4~XGOq$y_yo+AJ08|S@71h?m zqEk3_lpR%}Dzc)Du;_F$3k|`q))gIeCj)FT>8UIhTE$ALm5xmZ)xw{6<=pDJ`EEiC znqkpOawS)-VgQY~+X~C#{;ma*&DrCy?Y6_BH6j-ht*yRmg|niauqe)XfeBWOesWPr z3}DcuvW~fM5x%)Vs~71+0zqY&2EA~Uz%z(Z61cQW-Mmdk!wEj!$RMoSM(3f}2!>DZ z*xuW3zjow6ap=Q$$8*RX@93C=293ct*VeIX9lp8_A&Uu=PE6ulYmfd<1*?0%{Pt_l zK1E+@6P%)e0O(7NX3w)$sZ?_^L28A{@!8e4SJ&yLv_}1ExgwF97@Rg22)a(t2lwXR zdF@+BFYum|2?gWLuH4x~2GfhH+kC^Bcw)j)+h}kdOEx`%I=XM;60IG&>x&|4uO%;Q zfGY0|a0b&J&PBpwFWB)ImkJ2GNv`>zcqXSNKnuCPnZ8ZYw*yaue&FgvK*p0v`>Vfu z`q=|aNFLyB$TX%IZ11_P(~rGM4V`37AnC8(xCKo6NM_AY?Fm)8naGo6MuhG-$v-E_ z4!a5)!5}*mu)O2}nv*F*?tLHHdl_#}CU358Z^Ej?ym27msGekbcwmBiorc309y3BO z|5i^o$r#IjgtrT^!MNOtjZd-kVwLFGro%kKQHcyb;7r|^OiJnA-v+@C&)_0m2CsxA zgdZOVBancZ3)I2_O8M`9>-59VDuK9IBPce-q&_e}J#;3BOEBkwj^F~X)GRK@K8q8( zND|C7l{(ENd?O8cBKZZ z8LtGS9fDL91lxRS{TYP5;dZ(qP)&grR&MWz_%tAXLm-1n$N(gE6x_H(cY6hZ0T90; z$V$i;__Ah^%wy?X3F^+`CKNG-^WQL3Es(5J<2?0r5LOx!LJj%+Qcd@_4yrWrQn&g7L0*3m!<*l%Y z%*#JluI?ly(OLaZ15glL+}MP}_|~lz*hkmNZa+?0rHs(OQyAg%N%|V}fMP@Rd1rS;Yj`z1KCIC09oUEWg3qAMqeVn# z6uPthu2uj~ww%1Rk2||}{4P`{Ful9HEN3M$6uFjqNH1=pM+z}eq;I+Q^*z$$W-aFy zcyJHnHhEd}V_tu&lN0Ufq{3z{@A>!~=HIX0+DeXmYT{G*4<`r7&gv?S0Gl~Pn1svfbR4}CmUiEN|}GIY(TgbXPJ$#-Q&sD_U08R6n7F_*eL^UfDwm3?jR@u z$NMpLK7~Q}DQ>Ujla2y0^(}TZq;cx1*q1&g>oB8_6p<4wa4v?UAE;kwcH=m2uva@1 zt<+IGMZS>y<5T?jo$TvLBOl#Bx~g?wy@{agmFwhurha-P>`nB162jSK{I@EvtiF{X zv90~-e(Ed*4T}>qp=nDpmsD>obn;WaAxhW_b9?_hZ<`7SqX2pRZ9N*bIp4`}^k;iTuCDK%YKK$g| z($qv7a{76$8G{SwsPhjjp}lc3Yfs9hv+u0!tR7cV@d5;_lk3}?x00>R9XX5TNH$Fx zW{)*~s=SEsm96yx!3Y87y$rAw46>cwwe`WeUV3ZI7!uH#uCHJRW%uUx=It9dX-09L zWekjPJ|Vz0|1b@5rLbJ(hvTW)&gH#Fzt(3~dNv(n}R1lIPC-Z0x(r51@<=6IO#$h1)lCB;oYZSH2H8&?(o(#e!K`A%WXw--d zy125v$_Tidw91uMZ)2S0rN370yCsbS@Z z>z&>FRJ*m5f%4MNUWP_EvJh;Xf4IuV1Y#IkYzCr6xXJU+mF*QZ0=WFtAduMbhs$eL z@tG!6O{JiJP;c0e$HkkZ!k8~2h2uK^a6cseq|pvq9Kb!zb%d|sY|d`!oOtcE*Ot!B z^b`Dl327nl=bz?@RgaJc!O@$9B!GQgI)^FT%?gwC&RH!cDe^Gb3(rc+Z_lwRp#W=ElGh$4sQ4zpDd(lh07*`X)mLiWUR%EgyO;cf+#rk|*MEXm?gzF|ik;G; zwU#GU1jMsC8j;VmIzZPRz!}XeQM^p1_75%O)*#f-%uEZxTU$XR)ZxjL$F-UkTw=gl zzbO5b7ZZg-9gMqgD^KK`f&^SBP5_S!v}MIWjS1M612c3e);a&Mami6zlc8n>KR#D6 zMbjM%FCOAwQ9NbSJzw|E)s+nxr30wc*!S5aJ&24I?AU+=;SvD%zP=^HpCu2qHdQcu zNh+{!or}XaCk@-Zj zBGq{z+FIzpa-3JLskSY}u$lnj^oOh|U5ckV0cGHJ=LTWqv0?zVp1_MMxlpFFGm*;L zI~_Q2(?-?F7blDoCs@y}?jrXdHle63K$5w|6NTC$NT;lYB`bl(#wDW~+qCaV!0|aM z$xZq1@w(=abaXk@4}C8|RSMz>!Pw`V>jiGkSSj_r#6D#euzZXaaqmXb? z|FCxkSpz82n=a?%hB|?+B3S?pP{tom%}HJhro)8`IS=UJdcrRVIzCm(Mf~zYKV$h! zQ58*R*2pk+34kr6Bbx8D3Gsz%D4h#N3#=q3tU$Of(RV_mnR}ha2C7;;GDQ@MW|G5( zpw_Pq)PL%gs|WK4()UynokvY4#8{wGL2hS?7P+QwR#1R+{>j$3W;0Yqb$6#3E=I_+ zP*g{BCdzg-w;KWS=3=Z)Or-%d5~)LN-dH)Ejj>NM8>I%GkFi2-KH?+IM-J9R1^jcn-HzCm7At$B50#J(Qe>akg0N1&nX-dyP9nEX+xEXU7tWE zgIp0BaxY66_F17Dt_ahovMey#y#qK{Wc`RlM7gC@Dp(TQy z=lsJR;LX8KxQ1gZn$eg2Ub90Wg7Ocw%CS#e98m70LfV?kOBy*|jH!d1W| zfhJ_K5WBYdhv#d%B-w2{p#Z=ptqFi^J#+R194Bq;BXKhj1n~XZ`pa)Iyt(bjGqSod3NOAi+zW;{xrZgX?|D7n+6MuIAuymcSAoQwzTvuZS?ENKva|v zEbD*5JN+)4j$wqa4kjE)R6%Yup>c@+X}$6US5sl{F#oX1t$~m{{t)^`zCj&5*zU|j zBsBg!0l=sQ9K{}sbh0}EGc4<5aaio^elZIfL`8!#;@&(W!=YPf-Y0Ss2Ue*4UUdQ! zrK5Hx{2>LXD_5`Pk?sW+BkcEts@SfN~8%w7WAwU@CbITD%e|N>a={9 zd<8pR`K>H<$@kjkWg)eylGfSDLQ#Rez?D$JwhKoE$~ma~M<@q6K3udnFX)$9$BUHq z*K2(C*6Rw6gcS6uUeDHR%ooCw%j6bpY|Q(@T?&C3^d3`J9tBRsM8Mv95hT+q>2nUX zK^`~knHz4SPzz*&hg$JHW1M>p)kE>mij@sk6fWyA2kDSP3Ls%+kpj(-0$ilPoM0W1b{N;<``Bw!rn3Gc9-OQQ=!{tg zM}?%N$s_|d*tGMxVxa(|VnM&VVZZq^l*mD*?c->5*l#YA?{}ITVN{6FjJ%J$hGaC( z%K)JMbH6XWsUyPuXx@Go!%7!d3!-jvZf@*yGs2;y!v<@%%wRK@ z9da7oRl$y`t}+Xx2GGC{{p(m2xlVYrG6#Dlvc*bM;brGwPb+~*UBMPB+&sC5Cmk(k zRN7w@P}#wuSLv(a@g37qhkIV3&1T@NPw9?E&7?r z;05W5!-h*bF>5Gz-OnK|BRQyuTsF}LN7rH=8-Nbj719$|h!CNGEHx6wUvfcTlHqf} zD&U&uRTYY4=CaWmb_Su(Cgq4l9T7?rW*6R*Z>c*1QARTqc*N8I%~0Usb5YHxh5}Ej z$P3(RD4Mtsw_kj3KASWG!(uM~KHCFwGsBr|J>Bf4%E(|-L%M=E17FO!QlXgRQgJaC z#`JN0)Svw8;=Zhwg2=a>_X+|QN;y5}J76t|OLh;aOG37I>hz*KQy-wkt*rmyV+ zLNvduHT)(Gz9kI?+rAY&XYCiiGT>lLt~#9VI6$p$(IBXchZ=-|kuw{)!FCB5TH0i= zrS-r~Q^EFl{$VdijmuU%w-i+`i%PvR0VB=v6MNqI=ko}%)Fq<3*knr)xtszPVNaZ! zV4JUOOAEHXgZN#dzxJTL$mQAI>)OE96Rdvw;$@<}Yi#KTO=2METYor4cE7XXh~wrC z|8VZ&I#;fY!{!|=kmRbAi!8}1!)>GHp-bzqq|EmN%IfLTpdYZCO9M()f;3KHKZFew zapjrI*bC1;OgZ0N#-433#v?oBGQ^pDjrznc zj|-!RTCnY1$_+ZtsmI-&3T%4pGv^=XF=Yr$8#WJVq)_dmJ>fOAX~P6Jqvjv4O?D)v zVLVa=+p1iD$X{%omU4nf`8&Wdl#=kxJiIDw-ve z8KR)C#Nl(mKK>l?56PZF26CAK2ZQ}V=9I9I_XmOMJ+Nh5O+10e+B3#=Alt6#RA|FU zUvkKXMvN3G;2LTNT!`B*zGsD#0>{&-;>XBq>`)a)sI#k0l|)^>!pT5xhrp?&h)QCj zCFjJj=3*qh@~6zO7ZNa;3KDL3?XNvksS`BQp|}gOilzAI#iUiR#ifFVv`wCVG~Apm zJss=>Rj~J!e?-Y(j6#z_x4raB6G6*Pydj1l|3t;xbST~;n_xNdHXVvLY=LDAGX>U} z2nbkV{Te{TFmul_kYkuD6dDLzD9SE`otXyqkP6nZjK`t2YR44$bAmfMC&RguJD{c&i zkv$dc=Xr}AKKf%28+h3mloP806o_9Ez1RUy2+mxkp!K3w@%>V^H3TOrLEIG8xQGV|A#rCss|i ze8#@gXt7Fo1&$TsDhBK>i+y%0Fh0`YWKElxRm76}8tC_2%3pa~jgX1#UCH_CTT}kZ zt5p>E7_6F7h}*|WW?-q?&_yh{OfVO?43s^Ol!11q2!tyx!d^V}PK)Gm41-^!5Vaq9 zkGnk)(=9jaSb`6??_9xuOw}DFSQU&@B(ZP!#Z~fbYS4~ucHx^8Y0@mqCzL*(zYz68iY~BmY28kpwuNT7A&SxEV}M=g2j{zQZsn% ztvl7S+apfHXqqav?!9#fA8FF)xxFfO=yly;W0gh;NfJxm!wuEwoTz!;s@R^-KYUJ< zm2m{kxQ|3%5&rU4>5kW^ZkQ|;zjv1NBF)vvpq@aDeg6MBdzatLl4DN{Ju`;x!B1>DRO9e@sjW8G+xlT z5Vv1{A7pF=1O8Kl=U_-bOdcjFHgqICRuhn8#;Pr8N_0*zBiB&A*2O(ntYV+sS7s3D z1~Xu;%;FlrfTcKI0ZRfvePKOBy~tXj!xZmV94y6!JnP}JUoWVRl=Y_Z%8nwmd6Kdo z;-Ui5i8xddBU+YrbrRM($wgw>EPZfDh!=KkKVCDC1}tJa9!A>5I00DI#mxJ_beV6w zd6T|x(kT%=K~a7kl&5vwG#rE?39W9(SHG$Qd8}Yn7?{P2dZ#o6%*9H~;#FO$s9_)$ z>RgC>SAU;-jkT0%Z0j!l5iGV4O52%1Fjf(_J%miTWz!W~QJ(>uYf>z`Y{ns2C@3+7 z9lUpY@x4sifod;44w35NEIkh}k(UUYtXZUb%rhKE=*%Y3=1Gqa%sbhUHbt?Rj!lyk0Q>88O5OL~oco$1@9AP08$Mnv%XAT8MEDrbU|*C*OaIyd4ha{D*$J&6$+@du)qmvDte{;_+c>s}K>B4DJx=(4}h4}kg~_Y2`gb-0bmsC6IS z5Wf^r2g?)~w|fP=>n{mALk?wzhRCBjAoZ3H8Pxh{T1drz&KN+d@$nhuR;Yoo;$3@7 z`eyR5`SbW1L;(&?*pS;XTd&Ao;}>Jx1mo0!U~qklYJ_#E5*t6!`}$fc&KA4aSe8N4 zo-+Pok0WaSp#T!2gyOSzGvs>Niw)R@UdA$o3W+h&tA7XvOy(PRIRVLuPMNIfl#42aGY)}rk@$?h z>t~{^uSfYXSG3~8+}E_Cl36iVa&bL@69MW~P##R$h-fXy(-|fyu{(x+56&P$6757G zvNEPJe~)>w=lz&gMU59@ZI;{N`q_;clxpc|nIM)5_7i62j#T}`=ZG6K{p`nHOc`q0 zPlU3@^Pei>_T#0UJ8J6;11TC6i8&Cz*RQ366tAQ!Dl4It$T?uDjlhDo?#k)uW;ypD z#j;9CKOwQ~_jl9RvfS}oC;t$%Sr_AK%$oe=vBr%sm=oejWBgfEY^#J>UW-Zl7`v8> zSAAcS(P#w&`=c7t=uN<7TH`K57j+tjYpb+Q$sFm&yvqdx>FeLP?&NjO7D}x&G^2_= z#!q7+pg?%D<@%MG77As_j>um>v#B=fS^An2|nW=$`wWE{ROf zs37Docc7Zy(P#Y{*Hf?MTU8Qx1}y|`zyWXRK-`3I!ypy})KR~Btz(n#)EZx1SKWr=nkS_HZ9}3$%Uf0 zG%3{ZJ}lmYI!p|r{GYQXjR(o@j)7#(5RB))q$x8eEi;~QO9RB60$M(nXAQ>d;WU7g(zHBlF%CwD3sAN~xL8(B$KmH(AJ6~vJqL<))3;Dh zf|S=^%*kep7D>6w3cR0 zWgDZh#n~%+GByd~$$iU#B$^r1Kak#5UbLg@v#?R5mxV%6X0aX)Z8W%w;$V9&$UR%Z zj>dnilq6=AD9pz+&RE65#s>o=Z;?!r6DUyesU#!j?q)I=o}8X>dh(xrf-K;;yo&B5 z>LOs@Z>iu;B1$3o5q*iBeR6uE_ErxK@C6~Yj|g`8U;eL8fAvFdD5-9_xcYDY&G-Z4 zQK*6W1FrOuWRV_3=;2@nwq@uRnJ^DXdeZe$+{(lsqUqs4M*8p}8eU75<4Gc?FuK)S zS(b1Nqdw(Kmz$j*9;$N@aA232#<=zvENFAC91?tb=OUNO3W}97);y>r4KYsb*^~To z^p?=1=T~~jotAEcGMebpGOi@bo8RNSX)&gr9hSIkfcCZ#G90Ovk~OXNHYb__p2o+g z7t%1)865Jq4NuMBrS9xmRcy3`yeAOfDo?pw9!;_8O$E8n$!p3>lY1{_P@ELBhmdyU zZNB;^Uw(Q+7(4xrjl=)`-+%fGX6*DE?ymV?|NW=$XTNFGG2iLb1z^a5j;W6&2AoYd zF$F?AKc;->T?Fv^Il3azWi&@uViraiJC{%1VyeCK<)^>Wp(GzM+5XkvkGnJv)7o(P z&;R!KW4Zt>{e+Nku2Kgw^M{do2*$=hCfj@kn2beIsdRD1zz?Jf&qhdi#9|hn-J^S} zY9AR9);o`YBb!V!yI-8LcpY>no{2U{l(UhSNH zz!1wMROvrD%GyP;P9zSVuF-!~!LmyguNC0Zsv8K{yZZZo`==i<3#`VBh?s4+=Zufg z6flK{ERhhZk5g-2DhF-DE({zkwX+W45a`(r$Pq6BKA7UYWxc7Lu^q}~-*rO{#_*Srs=aA4RVE{;| zrUNJ$!wA5n5g1A*14B5sicDe9^b$GAO6GpWf;tqT?2s{NcV)X zfV-u82;os`@JNwKiF!-iMZHB*BkBx>#>|7{L0}bPJQ@okrui#+M_&=nN`EqWXtNMe zr%S-Xa3+K4wSgC0OhxqJ(tPusbe_h-qCOeR=fwv^s~ymaZ_Rs+`_6CEJyW39>G81* zvDcn1At~ixt>|$_uyxxjF$B5>3;*>IGE6tbQ9e#YX35(3}y)IMJD1x0?#<5WZNfe~! ze#v$9R+ex$I@AT9ERjRmsn=sRC)Omf)k7)({-o4}$5DGpk^(;9r7k*w6MoD5Cstk% z8{EJshnI@}>AZU!XY1lYlcPcB$|<+D^@S$AncVa6G>?D-@rB9BTAf)b`VtQ zHz(*Vv+~XVY~QYaen6l$E*(TkX*b2457v-z^IQ{LX0GHovNXOE*O@!-07)X zcrb}CE5hKzF!$ z|4(aUeZE(0Q-VaUc;i$m9`EdP#qFf#qmw%a7q45x!MIHlyVgRN$oS9R-=Q`d3(3{Q zpP|T2y7L(I;9swu0NK8i{CjI}Ry;%VxSuQsfY7ZwS6}|C@lDAMm)1w&SvP3S&B4-z z9edl8?T?Gu`jdig5ShsBdl$GQ4HqfmX0+3n#l_j-^P`tXxK#0_sQ9%`Q{dM6zc@L2 zb6K1nogEgq2CTQDH|X+1zAurem6ie9-zsk1!g7m;Z=FW)=Et}gwSD); zKf#sJw-OjBf}3e?{N+!6(wc5&KkMO$zvSCW9g+l?5TORe1@8IAN|AO<%BhAWcUIPF z!HuQp!Y^8zBdaXel`d{9rDDN?`#s4@j|6V3ivgq9uXC13y)f>8T`+niqN>#NI&i=0 z_s05~#`DyR35MSz|4KubakDRa@iQLT?`fLUE5SXX@u(KH2+_G{RM8EhDK&b6=J%Nz z8`J4dt~)(J@-H2d8;dSRF|z0^Kygn{{DEVQEP8r^2MG`hsmGeU_p7g6j{-r~doST~vlR-R<%E?q@m5&@JzvJ-7{tr?bQA z_mn&I(zBES`hxRYReO`^!WIL6qs9CP1noc39lIKH_XW|vtXdrHjW!-;hbOEp!^<}& zh;M9cAQ=Bm)!6QIG+6^ivkeXe`_dp(g8I}P7=t(96IZ|xMI1gK@2>Ann_Cq8FKW?b zc2kRj?_X6z9Ak`oWI)w$KM>qYYou+~OPDliYE2OS>#DU0uF~4wewwQc>2E6b%+hZt z2ydj{P|)oLw>#Y$ZDt1t*J;&Sb~4bl?kp-B21ggScfj7ZlUW?MsZ$W`t5 zKPOFaW%NRZL?&zg?qnB6r}@qk!gX*8yqazK))tEr+{B!=hQT$p=H*DfwZ(D-xti0~ zFt)XQas#E4snhY2B->hNu_VD2$7yRATT^RZkmOriEJ*0GTB5~LB_lC5Tj!>^l>qnC zGROkn>|BFTH6mT37~FLRs#;%f?r+c+ds=W=K$)q^cddL+%1_oGSy}o?<-v$m`M#CM z{a4b!Hg8!Q5CLGNr~rlrFpvgDxE$R*R6ZKOij^Nq`T0KIMqwaS9&SRapTZe1;E@5W zD8Pf-h)I8|dNekGRRh?y+UX~?(22%8RRDOndB|FS2&Z8PKj@B80r^w~g2UQ;E8319 zddFyi;|&aTKLem+#~0*O=@>PTbX6c4+96MTKtRVxf|zuMN`PoMU5|i{(FD=K46wxz zVf@lf28*y@ltD&S1{-bFNZ*YRV3fgb0t0MEgmKL<7E0y$j?o9PpbYj+3`ZU7c?5Kg zJP03TfQ`6k4B!#aHOe4(O8}^aUsvQ|2#meHfMDB`C+ooy?sSRgYS*ZT3rDHeqs(0G zdHi&ZaEJwBfM~M*cmMP3&p;CHisKSwLR0od(2g{dnXwUijBZG_$^e-u+mFy=bQ>a+ zfgv)JmiDCr3wDiU2;UKa`lRjqq4$hr*f~{zh&O1|*!(W5CVECQ>@6@vBozct13&DZ zkpsI`0+7rLA~&@|J04*qJ);S>9A`TvsF<0xv?)?S7(K8D!tLB|Y9~T~5d~YV43z+J z$5s$wSG8kQ!KM~N$gHiH*2Xi8uwazIHYh{IQ-cve+r*)nzEK8yWwVmlIG%md9(8Z% zvCud2V3Ua3c@l#$fJZ>z$b-li2FOg>;ksx1zL5rrPX(gh1Z^?ZCoOVU`W3bpjn~MB z;2Em*O=i~8zE*`Gqa3bIV}NMZ3QH|Vs4>E=AbW;1QJ=BP5pIlV$STPInHjt6Q8O^2 zAsB}NG7}cp9lPl?FnS^OMj+}lcEt~SVDv)rNCl|P*cCtQfsqS0r7^%pIIvdn(25`S zz(|2G9f3$Dg>m>wG< zkAR^O1&J0JV5>|(Y&@$T3qzv}!gLrcGhP$@{4 zlTp`?Gm{qg8~KhX^}1~##&KrW!jg0l%St}P^T`xB-mFhs-1Xx@lyu0!Al=H$+fK*h zXIW`S7nborG84DNjXb^)B^zB#CLr~h3nS1&7}>Dbt`N1U+lf@lWg{Ch=M%(~=AG%;9^*D1<~U+)`!+cX}QRD@Gw?Z(#^ONrGN3J@mY=VsyeL zEW_oda4*7wkqOa743V3{eLoLY?iz`Z2v@C z8)=-vk%~Doy5M>f2Fp(2NXZ--VUVXlK$6L5D`O{8GDk)nwZmT~P&`3~9t$HO4yLdg z;V&DfFhwf`HW(R&5c$R(%uQiZHY*6DkXqp{{UnK}aHLL-j81BdzsybHNS|CYGN~Q@ zGB<@IeRA!tkw`7^m$_A#G|KuEh7r!I@NzD=1qU+W<9dB?`Km={@l$te7X~>4Zv!}B zYR!nR*7wfih?Skb0+lsasf(4aDmB(n<9lN};ljXCkyg8AlUGgf`DcxN@$!0&*n^IL zb=3%;KH=aj>AU^Q>=84kx#9A@^T}KRd@tmvc?{tenHT;%fd4H1uhsHIoW#Or`vIA)qqR6+VSbx z+k(Dco)#a@ws&{7_Hc)LRh3BqHS1^N?M+W(A4R>NR zC$k@{GTYv-w-1ez9!2{PGjkKxKTr5<5L;Kbpnpb@N@yfExpf!%28Vf3N3zjixclV2 z%YXU5|H=RJ&iAk0nf%%Rd-cx$`Q8t%zW0B(-n;sX)-P^ceeZnrJ6GTPFMj$LSO4_C zz46}F_x_LH{OQ#{{dYh8#h?80SHHgX(e0(y)jPlY@dNxb>tB8Ece7uHf4)1o`Tys+ zdgtfg`OCk>MvaT8L+2X;nsDZgw`dxIrn>M%FcKeTMK0V9w~G76r_T2}3v&q5bLADOr zHvHMai|56SJY97={N2j~O5sy)M)qS6!lupeS@(J|!7n#-C*P26g*TA^zJvvQth?YG zaYrY;n~&res}Cc3oE;I8 zoO3boB#$V|DwOh^Hdw#Km^8v*!I%Wm@4h(9BSm=kTeKu-ey_PD-SKY19?bj&*wYQ} zfW3`8_H>{71H&HFpW1w+#J3VV{iCK)8*f^RHc zFyC~;``x3HEa!CvweKt9KFX3(H^mpAuDftEoDzsGK%I}6=6rIw0c*tc0vUw!Mc83dkLwdn@G(1|z zlV9R{8Wp{0JQ@~#Jx6MMbYpK9sfkEt$28{N!tTyvU*n+{_EvFAnSK|pSN(X6Z z^n5XHkr{#(fTrHUqFsPnjdNz)jyHGmz13jnMZMJ+=Noi;=|WBjq0V`!0qb;8Zyjz& zlW{|DMIJ%pw!k8hWd7%!_)ffy_59o?X*hjc9J-goUPK zPff>y54r4p-k|cS_4xk1ISK8&!rfaI^fs-QYMfm!<1~J`X*{sg7GPfkmKpo&TMgJZ z%M7V+v+EUYHP4zbr-s?Du3eg8e_eBe5GfZHNTgtG=3Ca9}hGpuQSi@1DKNd42k7aW!F1gN?79t$j7V-aR|c89DtBUz}aJ`wLI0 z_SMqT)ujm=W@eqe%J)Dy)-2|n{n=MD>w4;{wbfu(_q)Qlz(yA7YHnTMjG9~xQooNq zO=hBOgmWL~QgO6-Z!~^bkZ0)*S+Y5~ve4M->$y}GZ&Xdr7VfLD72n{QE<^pE$b%0= zWX~@Tp8o+p{o10~SF5XW)Qe2nz8*)_{^o7>zFJ&xpO7}++!Hmr5KQ{Kx>Bh&u5cVR z&)f(XUNF`BV$3pg6MnNk#=aU|&0eRzT3qi+lKpxgMba*+V4=F&Uub6a)y&dSY;5F5 zp>|fYQ>CwFR)dGRug2A)3Iv@^yo3G61+-AhD$rtWJ;$B{K_#?q99GO~eSI~n7GPUX zkv8=cTY?MvsWw%ZlaxiKMsc;Oe$GcWZrsg9fb`X%;*EjdcW!47?)UWo>7v1iZ2hSv zXRP-{H333d9%I96`EzLenLp>+4+li^vk*IX3oxpdRFE23jH)fQ5Tj~FE#Oc+3;I4u z!A6{`LDh^=wWSudqZpNTavTyix*9OL*o5jYG@<%xLWQ~X`14#B)P`zy!S(I9XQRKp zuQt>I{?r4XO_L2VvkO>5Pk2@X$qEHEo>(a4H?{g^IyH|(O{YbYMa`!LgH^k!X|RZo zT`*YP%L12w65FYx!c+^9je=)TsX;mEXKD53_}ZfOnFwrZSE1GTPx zE+Q(s9W_wHs=2oV-PK}#&I-DLo!@Naf`QsrO~;o9dVaHkzyrjpHWPTzR&;g zs0k%omAM1%14N*@4Wqo0JWyMz!DblPAx*k!IlDVHSZqWM78+3lHKM}I&Y1!OwV@V_ z6j7!+Qk^N;J?4S!S2c6_Vhd`Z7Swyq*ia*?X&TtM&8*XApc_?Tz0YDpH>m_0x&5I5 zOeaV8hPk2^l=68VUur-#nBfDpoZjO-!rTVoKy9Yx4Z^|VEvmu7EvkXvqMB~)O?NZv z!a%L1518m{#o5{cXXj0|!GYRKocvvkuWW5H^&9+u1GSr2jcRU5R;q@$n1St5k#jt+ z$q)LXMe()93;JrhX;$|fEVP{lev1mBD35Y^UbjPMTdyl+&g#6@K2S@_`jcI+)RwxYCAFxoD<#g= z>q;$7FffR0tIoY_uhq6{ngeQBu~=!C%}6q?^7^{&3X+?qif&RZkhW@DHL-s%ts01^ zIdz(*$HI`off`jjJ$9#ix#^)+6~fxKck&kbK+URm&o6UPi-W~B)xd13&vH?cgB3~} zdH?X_@El>1kGFRx$h>%RboS=>;PUA7q&W@a0H+lf$OW~qcva^cLvur~jI5?WrGXk* z3yitJVk>K~(8?O9mGyH{!l|FxZeDL>4b;eD1*OTAFi;cg8cS@T+gObT($H)ya-e5T zo1xlRi%h7YnpsWKbhvo$YPfLkYN)mq<8_Y9O*42Ms&%!=Z*gN(@ zp1r+1gsckic32)~iUE&oqg=51N8q9O(j)NiQUx_%Y8z)vS?omJv#|a)&XbO}cc-nL z`TEI=!*8qss{ZB#c0=gUq$4Lj+OW|TVto1r!QuJ{1ay@OLIeNX2<|`InO}|0KK)V2 z_4*?r6FuM2Mr`TX^eYNCj~=NPc0*OKp6F;}$Me+#gkT*Xo_i31z*((2E;|1h`$|{; zWBcyAKjV&MGcF?Zlo9sZocM2posivmUW_Tz@Hdyp*A5~u^^mUkNw(1}0rWfxQcf3V zVKJuK1&XRz!iaV8$E-2oAn>j;OciV-IIlh)^SfFd3nBLkB?XDqkjItY`f&Q>^# zdP^!U?r&_bjW&>Y{C>_9LW&iXEN-riF@}5eV@3)8-#j}$dR{y_e13U)o}I&pfW`GI zc&@(l<)?+4$yAZfOB_U%vDpLA3X!=D3IneR+I#wo(HmdS3=%MQ=`3y z4v_(>Iwy&yR;{U?0_pLUw5e6!lyNSc6w=Zf!rqKzxG8~&h04LZb#mY&Ysv#_W6QME z#^vLRC(4d3vkC&^Hz3>&QjN%_0FKm3LrA>8_jJc(l)<)WgDk<6R79bM~3ohLy%wyN=|^jxG*T{U&JSCN(yYH8_@jCGeI8lpP>(1sETqw zD5Lp<#{|@xp1iV#8VA+JDPG@FYhyeQ53$PvwYR=G-9A^W;f4s#fsEt3bul+HF^BMH>GZ?#_SS6O&i87k zXBCrC+e$=a-%`|muK3{}0fzn>+!+=x5lB90-x;i{+A$s3E9n0QH)>ho}OOP zy&*VAd}nvG`AwiV%nCyTVzIR=tsUf%F;P@i2>n|t+&Mp7Di2?uv0x{e8tQXHL$HC= z*KmNG$j?W8>6cZ~Vy3g%?P9sOI6ftJOPDxe%(&4-YixbKg2Zp}!|0;(_!Xd024{{9 z@X?dW?)oD)I037O1G~iR4IDH!u+iRl1P@L1wAccPPU_oP_BP_g4Q{f&UcvBh+}_xC za~!&9j5u$tZ9L4vRsBS!Bs&G)MuIYHaGq!)!hYjQJhaLBthev7?k-Y=j3E=|kkdEWQ`{N%G|6AUb?4_bqVK1zX#<3xDPck*vD&mXFDY(S(5QouM*Jih+ay7NK0x*! zZbLPmZEQb|ApGpGL>O&og@mNX4{#4cili~k3ti$ccGYpQ05Ax0Q8<98rAo^Mm}w$oyRmNFbp3Ld0mo*RiU;$UM#?!5uEqBOqSy9jl6n%yEF&ohH2!XaUQ` z*&#uBaSNALRXtGIgq(4xZ*OybzKJ!7?}(~;BK76wOQ&784&k%2O5s_Xwzl(Yz_Orb z8@F3+zbtSk?Rs}h%6y{d@_H6*S>RqI=i zlDc@1xa*Cc^^>m-j*ngxQkCzIYQRW_j!KaHoQvZhTQ;N?S%h%I@k_Y=w!b<&M-H&B zeKkf!`0`NAXhIjkSylKcwRkPx^3rwS-(!d<$qd>T5YH;h#*a|3F(LCSI1+@?1F1EC z^ZXS_W=f?|N1iH{NXw48Y9gl)wFL`5J@dCGmj~a}>##`(2O;Fv)}w$thSEW^m5CMX zs{%KI(hcbzZ8q`YFa!y`15fZTNDy+<_KofD{yX=u|I?nsj452vXz24JoRS6I@s zfAXR{e0g+&yX+oP3Wy+GhBkfSpPaTP`#VWhQi-e*lQ(DZG9FwWrghl7g%=ZSv$?bP zG^$1FC}ra6>dQ9OCEY3ehqymBec|Usf9M`5b1(5gq%N7gw2BuShbLcLzA844F2Fy} zk?E@%n#~CKH9@p;=kWwiCih5PG9!#?C{(;az0Wv$r>e_l1_G;4*Zw?N2Bj*Q8CKQ) z#i19JpM{*JaDFnXlUk$>nHm+UrIwnS*0Fon9^^DmWN?IDrJAfLhGbr2FYY9}pWQKs zXQcR;hol3C=eRZ9d*X0Mzfy;V=f{`l$4h<}pskaxgA9KF^@}W5LZC9^7&N#cC~sNY zW4ug9$?u$AJ6`{cF1j)`Ps8YF;p;0)kN(J{7y;}dwQ`6dXUTYAiP zj1+Ktf)VFA!<8=h4eVrFwjH=UG3=eu*sIq%AZjN{$r^Mq_&mGg)+Eco9ll8z3>Epr zI&gRfQ!aGFjKdCjHfJr|jEDUs_fQYK8^Zjj9dc^Uu)IxcTqiV+xX5NWvJ(QRHQL$P zKw`aMr8AAe6LrZ2hi0bF$^ae2zHPU5C%C>WTGFV`zhoyAe8djrq3>{bZnqxqE`am% z3|sEMFXi?Ucsr@VG|{7LFrIEUZa6x(TYJ0vTPa%r(-klbC*NC>H}HdQmxQxv?41aJ zKzb8YC_anhW{kYjc*;RtL^Dw?fS-O6cFD0%`3(GDM zEoT82@K4S+S}osU>vZs)x~>P@!wU(xf02bV7pa2-YbuMu3kkrj(=n6^2OWqFmd@e| zZb-mQPhL!Lf&0O^fjT(CSe<52i`{1LPF6!eFkq@7%-)<}#|~-&tSdxvWH%c-@HjF* ztSn9PZPP9$6x+RQ$KYF{BMtA0F%)x%sm5>?VgtH0eg*%s4cUGQn`Gq>e1!xygT{Pm z#qFnnxfKfQtXV2>6%t$yjAzG9$^c)C;d6H)h7Pw4A%KzKb`Q&g7fpbkxZ%Rkxoqb5^WqFJN&y;>uDeECW4bO^d^N9Fi-oA(H`DK8U4Wv#(xAV zaGv%ZQ|#b(B)G@tM=-sTN5DMo!xcqvK5{Q#ZGU}|K&dmLlchaekTioQ>$o2^kUpq3 z@HSGdvz<4WwS|v66D8{pw;93ZNMHo@3X&`tzW{p5+l56CZVY(;u-=?Z&nRWTU0X!A zKu~)GM(xE9=f}MnCGNMtA-W90#+OwQZ+1OxyGmQS5JJaSgVJt#(soOwEv4M$=Frg^ zpkT$**6uQ*n<5Bo|Ky80UIYxJ4aBn>jp*(NZf(27%#CrP>uGe!fZc0EH$X5P&%XqY zn~M}$yWOY@*CT`N9v&o;9P}4BCzBCZBmO_SJ%ZtK94}ygTJ}^Vcj19#u-WmOi&xoR z2GWt8*)!U~-N);QYL^tB<;H0TP_#Q;u7c z(M9(k*!@Rz8wDd}0UXgTY%uhVcyRL(;CumKpdN_VH{!vm$8g9Qoi%-L_C4|XO1!cQ zPab!4o&glJcTsyQE#6d!-eR_f!}2io;Cxc z4V+~JHhzU*p~?ZT@B-(FV|O2Q;UE*Z(VK6Q*0ecKn}N{=E-|Lf;pOP^vM%%AgM~53 zQpa+@luMH=p5Yz4RcvnWN6L_0q11(UjGEo_vCP(M9%OVQgwBb8mW)I~5LRl9L|@M3=XhwW#7ZC$}nsnv^I^GSB$0Ar~{>re`&a9PHI z9}H&1u6L>pZA!!E#q@Y}^fIrJN7q^mN2-<4br=LUI{zY#dAA{Wt_k-o!T)7oaG$zi z)2}jguuYx!;Rd@U`_KN?0CS9Y;q#(uff(#8Y+fJ+xq775EKkAn#aa}?VjY>n#Z7Q? zacB2Vozyb=bG6Y89=31Lg||!LYECs7V|0N>xWWlOF9nM{K|B&^jkqF6@kQ3vN&;$@>e{9geqZBUx?^1^n`x+Oed0WQ8w7A*>(Cw;ir32rcggPw7`g9|?@ z0tGNHFl@^b0g?4tumH>^$HOp7P{EQD5K*3?Ay$rL(_|LlOX&bJAg1=1R z_Rs1<7BV)v0WaJF1$UVt+l@$5P0JnZ} z(FB$uIGQ7R@2Jv4{Esagb#CHH5Uz6i&EfMrI!E4X7p|Iuhm3kcV72v&2QczP57$j$ zYrZ77+39)B3K8m!Jki5_Q-}{Bu!l!=D@4KkG}cR}aEwHzKhc%9&xx>M{!2GKUBjhQ z>SiOjy>Bi9DD#DYdAg2l8mpI$%FhoE&JoB^Jpu-*0?Qh96KeitKZR-3CFfcRI1IlD&a+Cme@eY;q;NGf=LJBxe@eY; z`zIF;&^TJUnPnAt*KQRQB@YbH503&1?Cq*AZSTn0(1r-EJC6S|E?C8p3_=~KGONJ~ zoM!?H(Cey`ZHHjG37~*^9DKHT#oFxT!jQbqCM`=zkbYia;k>_J{k!aap&=VI10oalA}(^ z`BtTRPrYmmXG((6fqO(&W)L-Ye6^=eHcYdf$5z~a3Rp#StdtX-`91Zrp}G6J_v3EL z0xBNb77jLO=NoYPgSPzBQ`chPdkE9xskw1n}5 zR0Dt-!6JnKuYg${j;Ev@=A!##0s=NpPygU;W@*k7(oPoj*x_~znMTdIrH0!SI8RAC zRn$|58-t}wseomvX?eZMB|5`Xv)+3+t_#^knTNuRvhzJXc-?dYLwTrhQuWjw3qlOJ z(HXus0wZe@XOR@nRuaMJ%u{=&YI_gyZ&iCcu?vcaIP)Z*8Oh{-imT5WHi{X!YTwy40a5(t ze5wFcJ_HTm-G}Qq)PIh32)8I6d{I^>FRSxbJ#x`W`>1MtukEX{I0C$bu6$g4eFS&L z2kj3Wd)roAk9>Ao&(`*McY7{7NZcg~DQLH`OgKQ>zU{$jXMm%P_4`{<2L#f?S(F@Z z;R$fwpw$Ki(6!g@m$ISLBafc;ln#?r4TAR+6rd~w^G{Tc-&dR%4YIrp>(ZWn|b&!=WTbmE6 zJ~!iu38x)8xG+7n8BOrIXVJv;;d-9%z?{Y9g|7vI_Q>s~BYTpJEaqiXoXFp10LjQY zeihOn%@W(FOgimTJhl#)rcOILmFor<}(31C+X-s zIxMiGM?N(JNJbY05+G)S!}WUPQZoRTK8861=;%I6gTZ=mrbVMO4kvG!)Ku|{{l?X0 zab=-pqwLxQZ`rLv{BJJ~zdCw;Sd4bob0~*An0~nRh zN*{R4q&&`v;gphLlYbJBXBt^m{u2A}aF_`cPHyk*ZaYpB!RQ$$%px3b2k)4)MrgM3`jf#t z2Ew#~b4;KZ%{efSf-raB8xyegJG*I+Jg==ZgX*)r@{PG6Sj=#b0?k@dty=)y5F&YP z>n6F$6bj*1d(iKDKLbLntr>F~OD{8U@_h&6w)^KkYYQfZLd2CXb@KE>s)LT)}(kE}4 z0JR!&2dsG2Tyi*ow{g8z0BlHd5bvid%k;^grVS$fk@PP7OWnM=;-{eP!}iIcrf~B+ zaag~O@8(KQrN9MUi;zZ+vle7Pt0wI-NYizwPi`{-LPCk{_>dtDA(*UAD)em?>r;rD zLf2L#hBhnJL7P=dcY7IdZ4ne;g?7NAaT3wU$EHo=CholA2LldxNln5GTbBfhF&c>WRN)ImE%FLPv&a6ghpBTV=4U*w&ZV$ zj|$L+6BGuyV@Im!1~aK8LOEB&gYWtoYD+P0HZ!OeQq#EY$It_uA)(*x=sCq{u;-XF zAbm(cFODwg8m-VJJ7>}--yaWbuhnbGF=6Qs{YxmtX%qStA3xw)B7tmVC0C%BFCCH4Iu{On!_fg^f62-e9S7r*GVt=?S*1IFj=tfngPNVb?8)R5^=P zyoCS=TC<*3L$$Fo-Z?&(hMDOf3~hrRN2`viS~zS%L&s)LHp5pRj?qv7C8F}qCmAyP z6e#c1YsVz!ufXkh0nf*Do#H~g0EbyliEpY$z`Xo2v#rnWP+FPy znluxv-FUVR%ge7Rs)K#QFAv}qAOYq_VB&!trBY=+zY-R9JY@RsQ1T#3?S&Yjc+!}G z*k|`C)mH8yRoKWo5Dg!Z8Eb-p-KWxv1`Q}aylawxLM3&Q##xtGvIr(412_PhRK%X0 zdkCWIjX?9p_t}j~J)9$pUUC?c+lfN#D$R!7sR}olKb-rGR)&j$=z~tfUR4F#LbBr; zW5&KJ6Iv8K$@nOdnrFTqA8O{oF*U&(S;+$zY~;AoY$3QNP^xv9qF=c}9ICEQ%)vj> zW5R=+)2a_=D>h79vq=_q(N;>ILLC8^D)iKqNYOF96lZ^>Jgh}a(PzV`0ofu<0gkPb zS^+X+Ce~5AsT4FDcC<3k81a18i2kIuJnNhPywBcNhFXiE0tk&)M$%o81*i5UqiL_DaX%3fJ+Yn})5GsceY;~qn6Y-c@Lqy>qQM=PjN z?4LzrJGW-FsR^N2=Qa3eGmcFoB?UIpkU@R?KX`}BA=~eps<=y1f%xVa}QNS-tYR*;kA`xx14AB&%gZiz3BXL z)kRVVFeWfF?u_(x3ne1PG?@%nYz4Fvyie2{pGYAvG6gU4q@h-3iF1dbSSc$+_EdVR zI>-AI#AtmSjYB61kTs@au-uCG>~wdz565hPEM3?P<1G>j#?TbOXl=Ow76!=jGQ}<$ zfJ<1(01=6aWhr+k-PV|;F@+^+O`Z;1Qh`Z>G4^9Hl2arhV}*g@O$kCxmhL6aQZDsD6WsCp|#yb*5I38>L1P+(j7^S0xUvpmdd0)TMe> zew3|f(Nx4;lu{k9k>8RyxSrAjeLLa|N_K0452UGUiGwRFeJkINICQZk6Gs~PHpEeC z3c$A{4t$u=%roLp)E}`1SN3g)1IwpbP7c^TiRwrdN&T8c@SLz5uvgN6UWG_0DxaG) zbUmi5fZWR7!O^jFoB*OFR%Ik^%#=ZL`3K?5GL_FMeYiW*m9HY}(IH8qFL|mom z0Fc=0Mb)85*E4}fH)}H51y3Qgm0F;E%lhPkBQc`i^b=7Uald9&Q$;^fGovLFA8EzJ zb(=y!y7VT~4oObjVbU0ag&Q|>44`%ZuG&O*b}%;A7yL>C^D2Vbdjs~vQTf(`?TwuO zC+pCr)`$SOkW-sO)M9#N1GV|fj6>VhwE?@@xNV-=+gol=K!BmIQUzWR>l!iWB3rkN zv^9N%Y#PycE9ksmc)+eTZhC9`!TwGYaMmr1TRy4fE;czMIH9@ks}Y5w^@u2c`*-6X z@cNz|5j(R`3IUS)xefJb?y9?TfS5h58s_kJiU-0%Z9X#D=wvN*Xx{p4e?3N>@Jci- z4dooE2dJG8j>Y4rW}?37ij1p4rIo0-daSa7h22bOU>rd(UZZj7VvOrUrGZ!Dh!WJH z+&YY#L~(F}#{Fti1Bga!>o9wbsJuo1D!r+J>=F#W5d3Taz=;pKXGZrKsk{j;j2}#` z9tT`Esw1F-BGp3xKE;|@wgYwqQHRC|u&*8}9}7Cou!g%xwSoENi*S<<=ue&KMH{3yb(Y|>rz8l>Go1a)ox-!5h!;oBDvy(Q zg_F@9jsZN)&Dg{Zr-}eT5Q=U54B?4xPRjv@)L|&>IktglpL|j}b64VqQ)$A9uP_KB zJ5il8>+$^Ks5&$eWn$ANJl)OVIAC`W0AfkSc#1t_HZ|BEL;xO3)GHc4jUDS2J<(Ma z=%R5xJ9z;A%AE}`NKa2o-Gf_C1qq+;w9-h_D3MHQS$l8;YJf;%P@@bomab>O{u%TM zO(47^?7i}6B0L+5v1>*ELax3e9R=lMsV~3q%J^m8a)b;xI1bBl@=(*m>?#j`46A9= z>?#lE3$n8#{KPVYEl3PDu)w(3=V5CLoGhql^ZqW<5vGw*)V=Id0}qS>?Qc0S#$*mH z?0~uY$6tPW^KN!L2!g{&e_n>06&E;KGMZ}`2Q5j{M|-K}LKsiS5wsU}rZ7+o7b``1 zq!}oyyx4C1fE_9ZJ(sInjKI`nPI)oU^?-dV0{jZmyu2R~1FPyoDYaj4cHfI)?-dS` zBaoBe*1DAD`Jf<$+bIn}aIr6@YCxu|wjjM@-H0}FlLoZ@j<4UbIwSxqqHxh*v7#1U z#U5G3c(gE>4nW67rTeuGMeBm;0PMKY;cDku0@Db*90^Jxdu|Beajk)9K``5bJvUsw zMgmB8H*6dA@_IDI&C^Vm$MVRGhz`{dE}4pQ>ECS&e!y{Ubn3A+hH|>U>m@z2GgaSN zz1?l!?si&u91EC_c))HMuH@-7*<{ki0+nDvY2i954{yZcfH#KUL;$GwqUajHooKb< zP)#EpN4_!iWaPU~KukV?E?d2bQ%p1E2sj3Ru)Uw#TwuQ%`reKBz!os}0ZHF+ETl@V zX|3}vFQ5lyG<0JN_69LHCiZq5G(??e-yFb)QQvL#W3LcHKhBSx_ryUr=mR+J)sBZl zhEcZSypGmiugaMa7;>mt1^Fb}N;Akv*UJ;l#lz?;w-ynwxE0(c@>DA&A{K6~mK`}2Bx2sY%w87SF^6=<}p%Yali0OC-40Hldl0Y)3U5*b5VQ(Ss)iSEXghUL$TizG0X<&*0qr zB!#!3vlD5)SEBh5t+fni{}4PJuz2Pz`sm1J>0O8HRpJh8X5qntIe5#EI^^gj8UHw6 z0S}FBoaJ4FL)CCS#HrGyQH}F zomPBPln@^d6+Fo)ke;e-Lv~ORH2iEWE>?Yf}k?nGRkSJZZbe zvh1)@Xugh+$hDY*LKhU~sFa9rh!0nq6%24r0?1=O8~A$zeZ!12~>gN`)t zAr$yv@T8FHnH#bvtAaFAbCVqWm=Z``ZA12GF<^WvE;YtIMyL&$1!=?T6&Z4rlQujW zkEhf%2z%8}W5FWU{f{XBRYyM!G-nlNAf{y@?z}I;J4mr;YGn>ldcYA!*>D>L{&w%i5 zKj$qU!r8Wf2iWCye|IOx1lRHaheHu?h8)5IPAlP%2WD>a%Q@njhj+-aPpIzd%d<~^ zKuq1q8gB5#Ef^4oJ^DD;PZto!g%?vyUUAtm$MnFcMvV?L6#|!lQ5iP&=HUpP(XR&t?xh@(d`M^#lYL zL7n~Jzaz!onz9+hvKMnS10Y(b0>mMY)JhfzemK`km?_QWJH?N|MD^A&mzX;!`Nl0g z2#!B^L2<@&Y=O`zhmVg=p5OTc-`U(c%G3bW^6Jk!cYpH!!ZP*XjltBEU<7Ox4_nbq z?|~JN!dDK3toB<@!Uf|EyS;$LJ{7JV`rK(%Ow)SuW4^N*Hhg_Tn4*YF+fX5;3-P%! zxIZer{Pv9Hp220p3U$I@uhmUR-ME>}WP{zd7sahiO9YZ#oiHg3AZmo$h^$QNElG}c z_NbXz_&CRpKEFbU1&;aX0O<$S7bSQ%)1K@iUh71cIv0l5MQOKTa zLmQ|~r#8%b<}G)9FIm-M)3%}H!>``jJ9vg046BDJD8_fD#`@Ihz<{+H`voX?QPm~C zag9`r{tQG8lCcB#pj9y73Rhk5Flmk?s#3%07`Gc&kJbRg$7+xY zG9#?V5aSNI(7_et*0~g%wa#8%Ziwxt)kDGI>Xj>9|13o|G84uXJdjk%RsmbA%+|;y z5{=L@Teo3bRb6=gOnbm=c@sTlg*r?O-vteMZR0qTuRwbcD&XDFhpnH7LDX@C=#Ero z;j%r$5r=XxS7B?^!b)W(ql3^B7)LY{qY-v3gL9jG0$KqHeFDHEI6^V{gQxOOn}`U< zK)r*eFCa+?!iSWGE{sBHfW?gKmznPnXt`ODG@^okRqc2x{K&zV5edyxhZGN01rLrc zZNg{;V^={0Z|UQ3biRV8yrf!z!cQyspD>2&1jIZ@1w#k!B%Ot@?&bPM-2TL5kou+i zyg#oEi=Jy-S-5h)K0aEsZ2$Bpjo*88`0OV|i&N|2!oBR;uVG)=^eD78BWz5LSS`Ab z5>6=@$`*zajF=!`up)16tP|rUxAKB%2t)bR5-T#J=hNJN1y`G=UTlZ;{!x9_{#&ug0vQNTzGxUwsmCiW50`N`CeHKKq9sV5U;q{h3rUG&J2(} zBwySdds13{*fwG^rDr=hd$S%?JnflRW9>S2WS1up^eh3P@uL~PJ7xE=)A|pXIC`3R zlO92}*R1SPcI4tFu&wFi_}IVp&TpS0*Q{LNg*4U#6NgYsL)Mf}WoTEklLi2=5Jc@E zFeravgQcgXB_ALmxI6gmWI#Uq;aELjw8#Twwy{5t_Ot~8hwXx3vs|`qEb4#&>uip8 zokLn6ycc*}YNQ#mG7<;~lmmf?4vkn^TUy$sk$OyclF-(s4VH3gqk`b^bHC^sz^V~- zkJI`tjf5jxjAViE(`KT!HLd8IWs&QIyY?yOQpksDWcXK9s|ZrEI$ zGS^c#iklNZu_h)aA)=)pSrD67Kcq-GOTmk@6$FEGQoLhMzNDL!bT_l;JFPAAm7=N( zxc%S+h8r1*XphppAO%C#=5JFRXYtX}QvSbe`?LW6aZ;3j+}--)TJc9a4F1Q*_`UdJ z7KARl$hUx&vk*8%o_$Z`ntTjKn3RVXm*=N%i^CJPe4ZU$W&vnT-rWMkE(#*`_Tu7j za^oHYs(%faroTBWP7V)WAjm#bJF^d^J}e$ndAVrpn%c3=TI^D3zRv8L!bHj3kjO8M zYwAC~1YPeIxGMbU;)ra&HKfY^5E*|S6kFSfBDvA+A*)55L*Txys~?u^sLk=3v-Mm^ z=*_lYcr0|ME$4Ru(b~np;)myI8ZRMkgh9zC83^ui41~V~&d>2+JhRW5I@l7E%fkwo zpOV2OWv4ZR*}2CcezmzM?jWdRa+sG#mqx0qq7-Q_rp2+lnw#^%%hFfwWfV5h4huj0 z)HtC)&CT|+e(Iai>L0Ko2YT0Yhp4f4@1$!5QBu4Ln%ZmaC8ysF{}G&SI=1CBFM-AB zM`>(=f3F1CE#7VRadT_tj7;(0_A8Ag8g9#JUuteN_ebVKb5WwJMu!Y@;2PXm)>dH)rutdmG(2TBdCUg*#kvxifN$E;q z{a`qapx>4kj=r}QiM``AF4`xR7OLg99f9c9Mq5q`uVZW7 zdQ|c8jDoW0_YW<;C++B!t1U5 zYG?!}5YQ6q5)c8cIAneGPrm&021Odz3y>qcK&1Bcf2UuQl-#XS-GS!ne zSxA!qA7QNx|10U&_!Yg$0@Hf%M;h8w+95Vx6S}O5-cJtR(z`6Itn#5*=>Xa- zlUG?_TJXuF%437p_H^**$G1Vpa#1Gu_y?eaG=V?1f%-NE|KRiuq2!PJhR||!@`mq! z$fJXl0v`E5FcI+aEzp5@*Ub8AUH%7jU-_j+z5s0)#m`+Y2sv$Z4kEQ@G;ij+cq}&DOF*~ z&Qw+R#bVyuQYq(**QZag7$HlT?SoT&erdI#V3&qvEy_oNiOROO?U@#D=85l^rxiyn&rf8^A!`5a&62VD@ zEj%z~s=5Im+FDk&cHpMErI76jEOY+=u%xjyKw{NX$W8{50dq3A19z1HcAEgfv5c88 ze%kNFhF^f}H9=-M7rrZNvfl)l<tuu{%K(Q>fLZ#(p=E%}6GSRS zkI@nF&fFpP>$d`3_3MbgboAbls7}qc1cY4W} z#I%c}mq<%rY7)(h;^>6SWE%$)$~N41d*|uy`uzuc#ttAKo=;xl%ATcr=zYKPhSMLi zJ=sAVD;%CUG8UZ}qdHjr_1RB@zCL?~irAf?5$>vll1a zrB2{c9+ePPLFX`wPV)WT-$2r(au(&3&0f>B_yZbwPUTP=|ZEa;>z@-Y~ zXRpC@;hD$)L9{6lJi6gAAd}tpfkiiY3KfPU67-|NxFvUHH`I3E9xQ2{wtxhyP=VYf zwQDoGq_zXEA+768FqQ5E81<`n;5XdBL0YGyaZ_lA>A#vE5!S!8{k1fcgLMv$j11-= zz*u4N1d36y8lj@pSfdV{hMS}DgDUtzpsY2ocH7QX2M$AliOmxm8=@Ft!N~cPdRO5# zytO$hsY&>Rs^p^;G#O6x>V@xHj27wKKqQa$KL7DML@=b`2x?BN6^hlRC zaUzrhQ9`$w{~UCNNZ_Xc4&3>N2Q2i>(+Cd63K$~LKMIVEdFgn)Y4{lpx~75~G`H>u zW$~&VI2*OweGEu{5ik}B zI@ha!y8f~VgBSAF4mO%A(~oN~psfA?7vwG8OWS+on==@!MwuRBIUB=iGuf5I5gssf zF{^WMZ0_h$&Jd$vWtB$qK+XZ<0tl!{^Wu<@iQpw! z>1NP8>VviT+^qMrgM;t4*0vskSdqZg&e8pYnHoW0f$=Mv4QQ5?%PWHtSfE=H_aXzz z8scLtJR%)SmT))~e`|eh^e_qawMw!iLngyp>i{T|gt`Wl%VOKXsrg$En;Xi~8Tkuu zQO_FM`Ei!c=3u0>SPue)l?ay)ukSG1Vj+s7G+@1;2vS#2g3M*RS84P#z21Fndu<%Vcos&4P^P$N(MO zo{deI9&QqPuse(UgkKaC-uLDLRlhiNXfiJsMPW;)5c1_V{tXWPsm7`g9VY&K%OQ$+88yOWtq{ z>*VC{`DJu%SXcFY3hEqG(6+4r(jVE3mA!LBVO-W~fp4;uuyYPMLAN;Pc2EGN z8u3L?8 zn79`8FdEr+4lZ9&)PbW@2)~nPHFRb+UQ1~XJC>f!gKv&rzbRkq)F@70^680-g04XJ zby@(7gtMVeOt&OA@=chy^jOz+4__XhAD$qQd~M$KE%XI}?I0b_H@9{WQZNfPrVLf) z-Q?&TL_0ly3psFE%y5g`3*R%_fE4#4YI>BZNf`Xm>G2x`Xw<5qK#RU@KTBjBVJ%aS z%wLW*uGK=7*c73Vd6of_edrb&=E;;{$rPEqIXmW5HpQ%@*ky`j_v5nT2ogd^x90mh!BHW2lBv57Pr}*R={Y7pgxu-*!54?c z91-a@;wiy@gnvwDwcTa`XC_;y3&Vhx*(Y-I;92l6Sk(fb@k%b`Ny+hJPDvBjdSd|?G z1|}CIk`VPgs!KsR2VInVr(lGGledM;qzhk<$pwioL_I*2i~f`Th+H5IVeQRJh`jucSCwrv|mzLd8pduVs!q6yXX}JK(#PbQSBfux`Jaz z)ln6yqAEI!i>?+M&=7pJp=dc>j(UqpPi3*tYOkbP8Q5x6E&Pek9vmKtG_}cyo#4~WFyX*9I)9wwVEFWIU48Y{ z-5Wosvp#%xJcq*Zj)^&F&=`DkW93~N@bhB`SxlhL(()KtNed`BRIqpTZ@;?x-uLNC ze~D8R5CDDY)9iWADwS$JDUe#Bdwb*XtHWcuDQ!4--tJ1|CYaOa0znT5`iEEBU*G+Y zNH1{DCj|xLZ5+HkMF!JHhv$65nRsGit{o1!jy;K02i;umFyz&Lh4wz=<~~0>KZR9^c@sclu3lz&_=6=Lbth(Hc+9AK z>03SBBs`XWgtrT^!MNOtjZd-ka+T=WrgxdcQOU|glfBR|mWx*B>VE>l-(AN=x(wc3 zf-(p8#6u;79zO*kkbs#B^p{p6T}sP z@~$uNN^RhR?E5&eizLBZQ)@CR;y2R3C&lZFFN&AA+3n2*Vf;?<+gVl^z0y17gL`MbEQxI(Psr6?N`i9$?F2U@nfcgrwbMWRu z#HRuA8v-f2?WF-y29kN~J!nDQ**%3K#&G@(L-ixc zy7CTBJ!Qh~a7nPCcoGqrzEx#GG>POR`Jevz-;LkfqYlQkVN&0sJwi&`efSL>d1Toz z9^aN%bbB2H$H5l|N3c)p;L*_q)IA**Q#K<(QAD)~KZfpO`|){g>87ur6vx;IERbRJ z1l&;^oPPns@q}sKU0E6pT%}^9R#g_o@r#2p)XJS4MHoZi+dW^Ne!mAdCA+V%GLlQg zo=?z+%fr|3$zY?Bl=(t9uRB^Ab&DZucr-Ms^7zF!#SL7Ulc9TS^eu07z7nhPT??q z{rUj*(Mz)1Z1xVv6CvWZJ#pPQ+gx(VJ zwoZ9ju1Zv0=3!IDL=m#1XnmxJA8|=Nw(po2Ayn}op>Ekhe`)&306GI=} zKzgWkKYxXw?1Pu&e5P?a6wa1r=)B4T3j7T8w<@0MR8g>l3q}X-hJg z5Y&%mD8-8ETSy^lkmLgegti1l-wiF0P`diX)^~r*EcruHgYGt)(MSDO+L43H)Rc@fB+rg~(q!e0^B_;pFt|5?Di$ z=+l$KPr0cfAq{7mrRzaU3mq>QXLUvpieH@GF1|P=&SSTYB55V+KK$g|(#p~pwD~#L zjQ&fTRXg|%meAEZWY&Hnm(G5Dba8lFQN;@odR@FcKYd-ConFXUtbnpr(lBSN@l)mX z4nI3Pt|5$CXW9#c9e~L$E{~4OW4-j&nz2qmXL@;n9hA#g=cjMJcttac^DKig;`s!1 zD18_QNhvH>DLdZVm^{7ui+?@-ld{s}sFQWZY^c!7`LtKEJib+}i5p;Hohw(;taLi1 zt_r!LxEW8xIzEnIpsk33Mp3)897pYOucu4MD^J=V*>P4ZX^myaAf9hrA;Q;L!e_ow z<>~8TZn;z~W9QA~TwySQyCymiJAAKr7W9Zvs^`@RiZH%cWYvg4q|9+aZe_SMS}i0W z2Mv4q>OcJJ@y~6I5?;JGyo72~Pz+fyf4a4Y)H~Qb+umjPl_hwlup2FP@WZE1ywovFA1gmx z@9gHM+O4Gul$U0G*d_wL?< z^?8B+_K+3=fBr+BT=fWP5X`tqNCMdF(n(L@ZdRBIr@?A5Ns+=}FT5%(zezJ@g_dH5 z=K6@ph8nC{Nv=H-r8X^tm!<+FIVDzKYwgX^@eA0!e znxZN)p9I8N9mC9LS{ldY;@?xS;tb_6JZRN>)QxH&L2Cob+Yq}3?IHaGB3bbX#K*J^2mZcdw9mG1P4;z;p zwY3~;R`BC<6)QB|vGC#{O4Y?vHQm#?FPX04q2M8)e8ixkYV#gXmH(?}}7ozGQFY28Jfvfve-`xfH! z{6l!<3oE+FYeS1{SO6s1sV~cvzQKOPm7cAW-(k!RdRPDH-{U?tjD4ja_e8`K-qP4j zB4XdHl}PJIBCZTcBEr*(2V;k4K7CjsO7QYZpsg&1aL&`<@tRCBTOB02I;w_EGWHt3 z;v2ab3hQ*IkjQ*$xsG*Sh|YHAzq!pT*UH$IVpvUp2>L_SlrF_9lL#|#yK{pu@+Ji~ z#G%#`czq=o%uFtpIFj)a_?aiirNAsw6z&e6l#kggR&Nv zggchzqBwq}WL9Ha^_~PApQAdtDgPd?`=OHoFZbrL?|Bvl_DjVaDX+YW-UdBv!Vx1e`Oad1pGv zfsj7Tx6V7Wx<=j^c&zadGt^Zs_Rb({07ZJ!<(%BmB+^wR3!pV6{Kr#snb(5Vc;Q0M z1A4fg_zQxL@3oSMUtZ|fi>~A|MO8GNb%UTo0Bj-M(C;INFI+ zQ%6S0>rReQ)#{NcqEIxGLT?D__(iGyQ&(;f%_B(P2Fqs@gcwtU3UWIuw8)K2HAH}P z`c!M&XcQ}>y1O%q7bE0Z$T*K>Cdzg-x0?ZSb1_#Zrcw!wMCwqRH&;$)WA2m8MyY}4 zW3Et`kN8OQ(L6917~=3`Lb`#Bc}B8I4KC-=`({LE8O6&dm$EsT`NkNUE@3l7B66r= znv<3MWhz}bVEE*z@9SP8+I>?7F*1D#N)Qq%W{}2|JwfZ+6S@N3p0+NSmxwbC(xloPQ6XBI1(RWZlGbH_Q zoG>~*dqUxJgaQ5>V_@8kF~CJqI*nsBlX`Ja+OL10QKscrGouW~;rY?YB?rC(3SwR? z3u0`73JTLsRct6y{#W|D#*QyU)wnfC(o54S{S<72);rDFd$z;$L!OM z?d=^d=d2~<%%h!Th8xpbBCZvJTeOypnJHABQDKP`z-!tNHN70-SN-*YVQ52CLw=~u zNt@IK3$y58V=8^bMZ?;{q#Mn>72KP{b#%E=wil=?bw$NBD$-&SGXV}pa7AK-m_>!7 z4=uRZ{lk*SVy18*1qQ&DNU$QX^PE0B0Nxy&#A`S<9Jp24?=?FFA}D>RRgQh);v+Wu zc#vdIVADB$cw<3YjJ-a{p~6+bB!MPmvJktr>BIAN+>-3JlUM*?lhy=4wVruL%^3Sg z+zbQ(e80B-GF&w|-dtKaMA&&-g3Dzm$26AltDry6j`Y3QcX*3xVX4V9z&2^RtK+7@ z&Mr=wQm3b}9}rtw`j+@wIRjBqg0O1*1@H8Sa5{z&x(1k-lc<7(o6tDK|7tL65qyx+ zrC^m?10k9J5c)>GLFFE7ch*3N|I|T60)V>~Q51VDGRWx?%&=;Zb+)*;{F^Fd5EYee z#JvH@6q!S^1`gd?^B&0~99W_DXT2p%l!@Az@Q2i(o;`n_c6t_BjIiGemgjg4oJsIC z^`P#OXPim2JO@#u{bet8y1BhM+7lLs>MWAIUEOrU+tKQcU~hCYn{GUb+E-ux_8)!! z>5yU!AYo-41EUxNT%<;v zXdRJuxUcp1x!0ylRpUoM5?K+@8LJGA3Q0?oNd|1N>EM%Mu>hlD(YUAaxcM`b$g;RAu0w+78(sAMBs3LONWE)&ui+OAS zTCyty!Pw-F6(rO;OO3?wmt4?GGJK9mg}$b=>OqmLTsB(6MH%~SIvla69HAXza^XGs zmJpNO*ir6gEbxe^Q8#0OhtG92qZbQ2sUj7)y;wAHA#T6^-h4Kl3=E68^nJAl6lO*+ z*=D-gO_h`oa9(dV*V=a!=CWl&goW;BcE2lj#BuY`|9I}=I#;fY!{*IH*F}=6RuWlK zRfgL}%|q82z>p-PY+JLKG(Sf?1aEg%3}kx@To+ne4xPhuC_-mQe`oKue*Scz@%ki)0i>@c7`?&iBr%=xIOeWwP|dEn^DupwaJdeP8^Ta#kML}#Pl`SQx{vOtwazh zeM=leX_@b-6Nl(@v3JUq%{b=Fh^~92zGqbs3Xa~0i=~559M7-V%}jMT>H?j6qAmn} z{r}oK_xLK0^UlvPH-V5qHxM^-5CRMsS?5Ry$+oN<9SN)$LqH;6yKyW)4kQ?4AYdoW zo}3sviPNS^)B5c#4tDJ}?KZ8QP3oqX1aG&u?RJ~&CcE3laqT8?ce7o)Y4_6YCGB&W zdEc4$yb@&d-+q3_{)lsCo|$>(JGW;p@4UOh$pv*vtOB|E6B62G>`E`LD|ZD4H;S}D zlm4Xa%3Wd8rKUfZT}jfuu|m>mTZN9`__m5jq)mYSU~`1uFeiqJb&l;y**{3Buk%XZ zB6QDa71FWNEY>$Jv%FLGnnbm|A}7f*qf2bVYmH6J%q-#AqoVD5QrsSiW;MuqqtS%w zIaeR{%v78A4rqfS=w(>iH{!@UC_eEHww-8iq7oLM$kdczusr5~CtDx`x()2ub?2?qYEA!SONq`j~7M~w{8D4bH*Z7=InqC?AZ_eN(3 z(x1%kZ6NI4GB&|d-P=Iez0np}QebWZ8BY=Dz>3({6o?DVEze1yxWHneaDpI0nZ-p% za^k#EJ!e5iP%117%WELE6-wcHoE?NDP|Am94RiFqtLjsvppe;FX%EK9Q za=knDX(iM;D1zCU3OfK66#Zzl^9H+tVq6EqlRZV+&+`U5^7QK?s80X~b&!s{ z@OG*zpNyToqz;zC3P9U#q9>Iw#V{;qRhUTDI7v^cg~uWbbO^>@wTV~kOL)II!b4MgO1e@`8Wuu4oinYA#3CIE+tcj~`cZFIX3HTJqKPW2k4k^%qn1F(aq)V!t zsu3(CIg*_(dxX=bFa3r+!&xW?!)C31!AhLN>Hyu&GP091o3F6TFHzn=?bnXD(PCYBgF%-k_h1+3`8 zD~06_z^;Y$(5V+4D{MA(Xb%rdiI89Q$lD(;IF5AH8x2Qx65-90bk(DCQ89Eb9F9mp z>(DMHs&&^T0Iw{$8~4n&`~5UtBvaGkLm$htLGF?-#%waP;f<=OQrm#Tn zycaL~-dwdZX7hYAjqD?ZN2Ge_S$fG}s=uUTlQlC^`cAqVj82+|{$r9@ty;*M@AWh_H&$v>hQ<5ywGdJ-BpndDdzg+j-Tx*oO ze$hYe`^MAT^5!@1d&WGQlW)(w^6abf<+AyO+|z$We$O4c^!lT3Jp0D)zVxFnJ^Q-+ z&BeNSd_HRk!wbsm#NoSDh&7FZC4|fQ&2+|d~-bHQNDa4|} zpE^D|wv(*6voAdYZf9uLGo7L&NT*|WCNoWmgsqb>L6HoOz}nzzw@_Jr5~<848s2)?!-A|?+L^7U)JKb2pR=4T2$ivWR4X$| z?eXcMUD=SCMI?EuRO&#MYHlTmWAGmB@6j_JIa!Q zlvLK_pL;&H3d7NQ532Ui{Tw=k+eHpq9As;b9+l>bLoLt>#1E>{oIccxA`nGV&U{5s z>J#^GvP{(wT#>K9lM$ksK(xG#U{7<+DM6!adRV2elpcQTCLgB2UFwR(4%riIzh>&IqkU%Cl=}}*$$V*gqCY|bem*a-K-#L zOL)TC|H;Bk(R1bsNk>&`2VGfd^hjnTLI;~_!|fd@b?u>b7=L8ou$6S8^j@(zBlb(G zI_o6{HQHvGBCM@E-cTd$tdOMsdD9TOs1W6O+HjmCeo6M)NI|aa#dD>rZp9Q;f$W;0!`^r zb${tV{ex+FF+8U$TGp%_6vKnMDkSOC&NDSF*8*$xtndv!bow}GJ!v(``)XSDgez7W zLu%?=>CO;E{9IuTjLD)xl)Dwe#BmZ2CD?}4#@P|D&T*2SK18B3$6 zDdkk1=XfN3S;dNtYSpxovX(_KT+7l_JUzp;EcH(587^gI>)Fed-f#a-QSq?-8TSk1 z9@oYUKUO*I?4L47_u@(KN}(!bmFN@5oDv6%t#wZ>xP?Z=GL4en;25b0igqby9qvTf z%Re0#t?kC`WY+b+8L>$)uMFE{+haq_#LLq z|96ICnMg_cx;YV7Ze0ZSn}|q-{ko=+@T9v$=g_jkSAx({=c}kGLSet2Xj$tXcb7YB z{lchh{m+_Bx;n`7|1glY84lyszjRVM-(*-Efi)a!glHK&IsZ_s@s?)k;*ffmCE~$O zrHGJUpcOaIGt zo71r#tfYNtLfpk@Nmg?D!kKScL-G#ln`9u=urJwXk@?pwDyHgntYNKtT;IY&_M*LH zDitJIGtlveTX{Uo;&GQ>vqq3)6Gl%sG9$NWAZrGmShxuzVwwGPU%1Z4g3|ue?tQXi zopxn2B=vENY;F(}rBjKB^^(l7Qn6O($p{{Lcx%PQBK15aXT|)!$2O@f!MLj>&tQ12 zNlfP-eY6SVkIyO=CMJ0cZcFPCrQGlwK2;!oSwT{knUsHA^ls$kD?q(fRC*F5V|dm_ z56GU?y*ClcEcWnWgB})S&B(d0d#No^u3mcn^Dujb1{>{rNPv_pU1KR%H?a7q?8#7M z6j8?AiKov@%f;yHZlU|f>9tw>Hl!jw!r`M!@svkv`TaFiDG{r6Ay9^ZMi z_h@fFwfp{ozR9Vn$$^`@=|6eB%b{~qXK(I&@4@~1C*H?FZ*o75o;-cixf3rGo}B-g zT=d*uE?V=#y+1bet=tnE-?S=f?;h+}lBbso(u<<-%e!r*rPvn1MI7_5srx(D0kXWgljM@hQtq{!aA zqha)|UHq2nm`nUcUaME`W`X@#F9YwS2j9rAT-sM2jo*t)p*7rYPnTB;gY(yR&hd$8 zL-drf>5vkuDqr+XV<*ZJM?!b| zzOsfBt@J9zAejuq~=y z7`!bSdaAr&;rK-9;6y(9{R>nSuU{DKjNX57aN+z;e(%`oi-X;-B@= z;}-`v^S4FOuU;Hn#orb*(>`MOA}x7`Ry6$Sp|twRw6|;T*zm-m zF+c14dLwm}vZejcyBC0?9J~DdUdsKR| zyt+{@Q_#>2+oI>G8(Mbk)Uk#{Zaf;eT<({q6aCRA%FEYLnq$LdevkMG4R@pd)5TXe zN>Yt3DSj}|rW74%8g7g}`DA(NVoj6!0TFI;H(yJooHLGQC#n_i+GMqAU7M^traE^% zVjZNe%`F>ejjP<;qRU;@xIWCO>}Dq^yhhGWUd-nYpQIO$l=*2z;q0|x^r5L^BC<%|fHfdLmg!^7G%g(+ z9Ur@U)JgDVRR#GGLkqJK#L|-Y9vGD?!6-1j9cJZHdGyx~nSR_lLMN55#Zlx;ax-ObprL!>kaS!&ZN7y>;@9ydGxm7|GVC4ku#HzvsKhzXq`6<>q_KEXCOA(=v^Aw%?@GJs#h-^ofkc+p zCh2p#xOz3|%L}(|h+hBY8|tIwUmI$5nbG~vRAySz{Ad|O0@SIRca`LqE%d`4`8jLp z#PFGiBw$cW>$R4O3f5QGZRE!s)wETW;aa5nb2W{=^NI56%qrR#SJ5P?n%I*%x*{F> z_f|=_BqJA>27O$6&nSHw^lj$=xdcqXJMHT!5t9irHu zA{NxOq(!g%{&bXMsWt6U@4M0h@o6d_*Hus#!bL^RMsIl7JEeX1+--*rzDwPX{)Q|+ zWzD(mNJ)tm)*aPs)c zGZKSW&tgkV^Y?w`P@XOL-gD^9Ktw;kpw-W1aLxiPyUsAl-;K_EblKB?zn@~%F2ZKg zYD#7W9nz&w`TW^aJ7hpk1`pr*vhv))l4f?kBzg8bgkSk$sZAV%mUfNpOIv{L?~*|5=71dCn^EZ_nKl>Teqx5X*8Zu8wozkTE znm20KBmmm<=&7*`8e zlYaR@#;DWe(CJgUF4RDNJhhGf?s9o;lD*Y3qtcZHMI$k@t3)-cZJ#j#ntLMX^7=IY#*3#-|>F#`oF86md*tIlU z6}^9SHJdjM*6e7ADaFgVHk#MI#eK8gH7U*#H`2B$+Ps9%?>gA?%L6iOntmq zIi!S{;J3SzrID8@e20||lL!UXPowXktk3+Kn*@x+^_ov@MZ(}|^l^MWSeAiVa`mZW6kSWpVsa5E{ zx=1$UAeL;(fk-yymA)>$`BRg$vQO_~PLx_C^{hY@p=Mp*R!^-y{OW1?f0Cl0m4913 zH|=Qf<^xG}mg-5%=NrpiqvJaCT3zoMC_Hd*>UfgASFNO$El7eaSKaR!C_b=70uu+=S?&G$pY-Z6ZoC);LM&qMHz^v+iKh zt|6VV0@M%7j_-P>N zR{FXE9~YnWIJ~fy4n%s=o?Slr{L|%K(Hl>fKlQ{fwQXx{TWDrztG23JwX{!58?ezW z&o%W%r#`cyA*#G~>zXYerft(|XSv%nH5jo?KcWt!rmc}3)Yki8^x|u`wk)0L8aYJ! zqo%RR%tWuecI*0kA19@&Mbp@7c(wM2_*cO!YcsNv_YwWMe1*}adJ!h?mF5H&s`YMc zH?+S>>ObB!I%4WpnQd1#?=Wjun{Cx7*RrHNy8QXA&FvpLcIvoUw$9AZU8L0;y6A<9 zTTRFHq{gd%zj*z;_4MUq`6cV-<>|}w`6X@b8z}1|rRv6R6Yl@=mhWF*cjWFU_t_QS zy{@k#s(!YqEqdjT>(*blZDaJ@eeG@0eXaGa^ExS_?>y1kn%fk;_?b3Zr99ExRN1VN zcAL5_s%7&HrmOH#PRMR7nPpp5MpwE`%eMIE$o|o{j_#W<%eTi)JTEgVZ!~V#^Fthy z4ymSYhbFPUi@bGwtnhy4C6-CXOS9o7=da__BeB2!HXFK0z#9ui!24}B7GePn@&S%Q zC2Sn)izKqQ5|4PIL^1%H4L$6i^n;Ry_uFjfB>}I;69MnH*|3uYybeYLyx(ShpQ-rU znxaC|dzkgRD1xNRao%=rZr1mcchZ%JckRMbq-fqmM1!y0&6kM&p=yvd$4N*llJ^ z!yX$uwO7-2J2j|tXE}MPQoIXeTE=QZu6T1Sr}aVw@2C~rtAc!esn!pbyt7tvUlJwX zmnOS|re%Mv;9FursW4XXK#gGA!C0`o$L&m-mhl?F)`?h<%ULx%R3oFe1^E<3kTXIhSO zf8^ri%|BCr%*I$W3yzza1!mf&!Pg)|9 zxz2Z5Akm+_Tw470cTp{?KcxcH|JFS~6>jR7S$Nt|o}14&LR2FklNvm&>^dK0pST)c z(#5?hk1Ln#T8!C#mQuR>m7yEvowG@f**!i}f1Y!5u1aY%zS~xD(XRdiwL4x^Dw$Om z?bqS4s=v2q);>hh&Ad`-pWpeg%gcRV9a`M_9v7f0Bbr(LUh;n5SBF-v{Xy$tbtMV3|X{}PMcAi$%4LZ~E0nM1@ zFAez-LfmwTW$97Ns;+#P1&@+z-D75kcI3>84~p+iv>|7^a<&^x{fFXmY5K5Ia`R5J z>T%Ni`QIqD-QM&On>pfCxOAjontsT4xQiTqIC1Fhy>!?#eKc_>c3-;P-1#FE+e?2s z^yZZ_7Ui!rwQX4Xqc+@KCDZhA`~5H_%Q!lJ%(48)*M=6~{o`>7FMGn4 zuy8c>kqt;i{1f(Tx`G#iO!3Ri&vgEjON2_iuDkiCT?DSZul^b564x5p3>!DA zKS4ondueFpyeC~8T<9I2j0^p;7W(F=xX_ah@e$^{V$ah_#5=o%^Uo$Og-gu&nZ$vx z9yZILO&kUYbJx#N%7l6APSgJL){Cp8H#oO{%DVGbLsdP&yxAPM1BbiN~w}}@i z@d>^x$t?JF?x}vm7S<(G_nS8Bzr|Tk1{=+K`3p&ER--Q_E`?s!sPRhT(rp@F^nb1T z*QCI0l(n_LZJnh&tP#WovgzMg6}OU#vdv@ae#e%|@2YB>evegSyGVwuOTw!1`$;-h zvp+~&3jLzlzfD|7vp@8It@?NN7grqL=4$^V>miz|kJOxhPww{21(_+)&n(^Au!VHy zof}j4$5!Ppsmh&SW|iGQ`>1Gq;6EhkTaEu?;zAXjSg!a=;!+s6B#Y7GruD0dD-D}6 z#I^q?;`ZtnN^SH0vjrG^;ftk3%j*A2T)9^L3HflnI{Bwbc&ZuHw>5t)aj_}Cl(-aj zY03vo>(>)kN?FFn_Lr?2lcOx!sjS)fXPzQ;l~;J=TluQbfeo^%w6{OEmF^o->5^kR zAIKU2o4%PSv5WhIrf($<)ckHY?XP(%sfD|k=M84#Us#>$sibJf#I*lca(M8iQrnvU zM(v>S+qO&PFlNDj=f?P#B=FQrr4?`cA4wuwTurYhF1w^HzL7Zaa+hiTtHgnDkulx+ z9qVFYvD?PK_CPJVzW#6G5?l5^RUbRJt@>Y9my`cHiFfBN=`{aO;v%}V{cYmVqs3P? zEBi;3utMMPL=_8yreAmj|pyt^>#c~TwZpJLj z<-~Hdnb>q)PA$iEvE{gYUXCm_F%&hz`6NPy;`KKypzuo;=E!`Y%?4AqC^th}4W_Y9 zW0V=R>0E3h6w7PH5(?5-Zw18o*|5|KY#06N8Yq@ilcuhb|D86Am*u$Ar><{#PNv;E znsVjwsJgCw>zQWpGIcHLv#ynVq}KDqCT&)<j{0^h zPB!T((z#=`)$&GO|EG?54LLTi&5+1g~&yMQy zR^bh*@ahg$SWGj{`=!JfU%4?CZMf3Z+Sb&`x_Tzm+D#NK*@3Gno5@FZBB^0Z9BM2y zZ(+I*S2wEpNZm)Df+EshgSP06uXvrIRh7-8biwY(5r!>DAJmm0Q$F4ckX09{pamEA6K4Rt?=bnDb0373Br? zDh&u#U0^qxZ?iHQ$0dm`7E;F!Q#YhBu6a|glGreh(ZYIF7S^kU4%1l5>Ee!bG)=?w zEA}pucRBDL@x6P2dxj9rrUl0o#a2}{MkGIX5YK7%1h~0UP`aZ zQo3op!r3yV$nFfh_xaxa74qL7_`k*XA0Y1ozIXFM-<#IYP2+grKN0vd$U}ku zTLb?h!#ErW90>%NgFrrrF;QXnAgaTh3b#vNeR3#;f%IWYsQ> zo4R{ddiC3L-r~@sydI@1;->jNtAkq)H;t3N4$F?xuSz1{dMuWAi{Ym6co1SL{zXgf zrse)PfL#bT_3y9}l${f*;PoeSo}r}Kkp=K7Er6R!-8)rm{kw8x71%W>s>IY1y~S^{ z_7r&4u`J^B;_2|lKREf3}5d4~)8uhrXaPx?4MP=l4UXS>)_(Oza|T4tt2%VTDxzjN-rvw%I%_w&b3Uo-Q*=bU@)x#ymH z?z!iE-)}bjeldIld|b(XirBkT{9f{#WC;zw`?TLY)fmf|yQlb{20%#o-RS{|aFG=L zSXsaa3f20tS$C(YAM96Y1E(nV2Dkh9T?o8*;qLgLCVI=miX${@TzqPvo)9e|germq zawaMLNWdS>Dk$T>8)6A5z2Sw67tbmDW&(RX)i6Cb-cI;BTp z`)kU_{V5+Sj&vlGVzr2mT$nUHJa8{&Ht9!)q=teRInZ~eSm=e;uTlX=JIh0l+f zUpfD#`CI2dFn`DVH|BpgUttZmPPbaEcU!kxyRENU-?#p5jb89%M#|`$;s2q`vzakj zu~~9fLRL~%O4d%xx0bANvodeX+&Vcu$Cz_(j{lU8raV72e%kD5Wz#Ah({7sf{^Z7- z$ebr~TvKwV9!=-}zfAwjT*ur8=628BR5Y!4MzOv4hT`_(JBsfu-VVRF7H=-@V5JEQ zau+;bI#l{**@tC^%8r+vF8i_UVp+&S^TJUJlNV+!oVl=YVfn&q7S=9oT-d&F%fkB> zIu|~^@Wq7(7Jjtw%Z1-9T=v_-fO36#T)CxuVtIafNqI$ib@{q-M|oGdv;1}T|DE#B z%FmSlQ66qfv`w}Z+b*+J!T)RFf2)mCyk7plXsC9(YAoIUsVb!)KEc%^$E3LaDgQgs zwL?BP(RIK4QObaIut0u!(%{eXZHca2`P*r(>GH`LuF0=`Eq^`9m5H>Ykv4_X?mDar z7!}DYT%GI+@3F|e}l^}P`AM!t_J-4CgsIp6U;>6AqJFW#1 z*AzK^V%Mvc&)!3;kEs^=olBH&qfZ`F-K6-KPCKr8*U^yV3hMb#?)^x%Dw8YaN-TaX z_kO~PMVn+xk}IW71}b^Of+ABOI)I;%Mu@Li#m9P76Y0F}-ii1gcp z*F~Jt4=K-A$~PrBk%99y{Bj(|?=ARs7#ZzMauz`Wf7fqlb?#*d{5rv|HZTd*PI(9E zes)8erxXOGC|1&Mn>uxBaIm@l`aMokFYk8B8x)mL*A=u0zg62djH>K;OYVJJ-gvg- zm%Tw}_Z;k2^qrN>)bN$6RPhC^`ARij@proID^*>fx@`B(Z&wD*@BF2nm@7}7 z+BO?xN@DXMBsV6)C`202Z!W_NnNKIP!DPjmQSX+f)U}5i1!#GXh4SI$+RvNc5y%+ z@*fBqQkYR8uDF3K2P5G^?=tMre72KMot(<&`sR2$@QBB6$< z3R)WNRkVE6uPa6ln(K<%q0W&rXS*VBXoF!y1E6VZVIHdk_=)?22DA4dGHqbmP=dT4 zgfMr5QC4KQA`z?`7&90st7*w8RipzL&62kj-l`lNkGM>z5(}D7!+s_LLf$mm6)R7e z1685WQ0UUhg?X+JpoNx|=1RAuVLdbD-dVyz%47talfKSeCda3{s!@h3b_91X0}Fn7 zj?1?3XvY_Fe;VNEiBqclke*becaklKKYMTp(Mv&# z>z!otp@$Fc{M4$LL{ERKN_2qClLpcTC!)M#z1j+tUl`*WwITN5V>^#y7a}$u>o|@b z3>rx1W3|dk$ModLy;EfQXlmzIh`R#iw8*JxUAyH^@?1$UUC*a02f;Wjpd`P-4bvIB zf$^>^#ttBk3>Y()?%`vD0p0!^kJTKP?PFbr4TgbKQ1OprT|pay1`-Crby{2n*u+fv z-t?}~Xx|fhrpvuEuyI#<=a=U*!@vBpOT+jd8q+o8k`C?svdHQjeLhp~2L8N3>3s3L z_K%zmp*z2L_~@V?AZX|rm01s?Ze%+3c97?hirm)bnXH=Ht(F-yIcdQ35zrlaUT6Feu zw_@k<^!iRm_T)FeYt+NM%u*Ov9Y`+U^poa!W?>#@Db|vqADsjQQuAm-6e6JCehu5a~UJ0*z z%<;XEc)|MfCtSu}!X_%dH`>i6CcbwRV-p+S8wVG3^Y^MK2Z%AZCnUZ%lu12Zj?HvU zmeaBa6oY<8$xw)#lH^L=L155a@{R!fVbeHt;40_>442$-f$P`#c1~q?Sl{^ry7NN; za#~iGt`fVr#>=|_c`pav$nzS`^RgPwbRkZmf`Karr{TqPrjyK}9IP>^Ti17f&n<3k32=qeV6CB^G0S(brKd9myr{&%=%z~zO2lSmU zz8Hm(LuSu+a_{#TP;>_hfx+COSXb0TLEP+c6n~U^e`0Y*x3cg2kZ~A`pXJ{F{C5^- z<=$Ta>qzc7C-?sP-*_nFdsR5je=de!e6K&Vf`ItmK)k2~eeS+xFsLUizBe2J`xQ{o z#`o&5AXi|h6cOL6XSCRxBFEnIyX$Tp8Vm$m-9cdZl{-jIrM!BkYsuboML=>ceP}&! zQSBKDbe78v`QX4{I!zu(ADS&^xgFTiIj*$*7l)G3GsWPz_{**1T}CX10;LHS3bwAj z$-F#3e*y3LlYDrN%kcxU`v%s4Ao<`-*KuopUL`~sA9t_lJCqsfWO2|;=f&<7n-9r< z6w3K|uFP(~zH>w5;qML{IM4^HYLY9q=Uut?JvNAXAca6feg^}QUuMCiePm{(^D>k> zN`7i4h!hMq(eu9C`vIf(&%;(p<=&qMEQ3)yl;~@+;BxFi2zJ2^lZ(>_)r-} z872;u?!P#wa+2NYLuk^A_^7HYKz=mo;NW?fF<|Kffi5@psLG|m$~R%<^+{NV(+pXPU;^)9 zMqzz2X}=QU#MhGc2ZFBOPja5ZkX6Q?z+_jXQ?{Pzul|qfB?ScUDZi-FQ-jKKzLD?v zwRGpnJI-r1jWj0ERk(c80bwC)3v>orfzS#4LZbZ0 zOo-%wED~6mkd@9m9fDArzBKrz+W^3^a(uFHWvbgZ1U z?X=-_p;Lx2!)j9;P>;o9nY@oFA zhmV0PJGuux2R0tt%{t^t-tb1x5xMtsMqzg5BfCJ35`&_$2GjW8RI!S1I{Pj4u1T4-sZ#K4@rGL4g?SCP`(hrqnmK%TO0D3NKF4~E+&e|49%xpj_pRFqcY0> zD%>MWNzf@aKm1uGKGNXAj$VaJ%~84c3tY>NDxq*p?mdnLzD561?){1_bLjAnBJl~i z_iGRFNxAnMFYzh4_ghYkeo6(Kj0J^(=6%1bUhf_iS&>&(TxKb?=GU^slHX#bf^-p< zJlcQrm-qR>$G`u}`vPK|0ey$iUC@T>6_IpfVPHx1)ic10pBvPJw>x)>?i_g52d2Bi zWYY|n5nY`1{50@#xv=)AzY+fEyaPjErI29$Hgv@&da^OaepLQ+g*o-p|&;@g24e#Ft*6P zA2W>yz~XKg3WMnzAP>yyI$7y_3DW_9KHK-BR%Hzz&nN~@KKy;(k9)4)B=dQs$H^4t zoQ6Mv-IaZZth>V@VFK}iamO?W3IgTT^y+zm8Bwrgt9O2HuJ`Ns9=89mA?y4SwuqVX z>$!BHtTRN)AI;ox5+`Zc((cC1hi<8Zm9#%?!-`6VHX-^HqQCG()4q6Z5`DBVFnaX8 zsE$++U%m57(D04sN^lU-@{U6J$3hH=f|Q%j;qEn1oxV_5=U5clX${sy0m=>TEzvwBKeb<^jcY$AksF; z^f6hNul#5x{Z-b*1xp1Rk_O5luo+Ji;&lg1pKx2~3auOR`^Im>J0}i1n{q0*4w~$p z3A)aNh|UCkXM&+K!MH2I^a!;NnpUQRA3O-|>vy^L59YqmmtBy1FM8bE1F|1sJ@e$=`64s&u#6MV?8>PE`nAHL={wetZ1ZMH4M?^!_BttgWlydHa*cx5Z7eLIS0zgiPF=BKJ_t$&rS^0RXIVnh@ys~f9TXktJGUMnbg)tyHR|vj z)@yqo%NYe>e&e-c;14Rh0Yu~CwWH`ziqhYI=F%eANM=<@ZR0`PF+EnfcLCG3?x?aW z^r+eul;%=h|D=5V^Ku$;#Eew9hl)P^u3~g9n63fRl?E8c!?^tnguy(S)^(cEgGrlv zO61;B#x)daf>eya^|`t7*8PM2U?llBN%8xZNzVq(4gV{#WYOxC&FzV)<5G!vBiX*J z(cW&!?x=2BxzgU)*4l2dScs{UY`+$W@=D7KEO`P$)Hjoi{V8Kf+%0tUwNh->tx(y1 zUAtu>iM$QyTU$Kz&Gij-TiePu5^_7xR0Go~Q;6|S+V_mvkbIXLltV%{lkHrXzoZh) z79q@dl6Vh&@f*oV_pgwGVgVI-FV?9Z#@|}sXeXm6{r*}hCS;qaI)Nnq&095fS}HN! z$3?SMEU2)cOqPO@d=hfMTL_TrLAvmWq*um0M6X*R#VU5tt`(Bm|6yX8NNIcB96gE= zs@O%}S|Ldh|MXV$-%aizMkm%bjN8K{w2UYE9%7l2v$dh7syz|3XS=+FsC&uwR(mzk zJOZdGTU**%>8$rBMJr<;qe~j3QF)JZ#TuRhR+-yo$;dzx%-TU$j;&p;(xMXqITJJiWrS!1hOZ6}6j`KYGN z3>#A$qV1)Mg3dEGM=K%v4NUvZzJlr z1!|BfZJ0ja;ra}I7f=+`N!0Ig;)(Cm6^+t_Yd&xr7Fc=8haeyrD$}iPMSU}x&Q0US zwYS1`djk~q`&)?rM`Sw*{n%YEBbDesfqFPQrgV(UXy5N=xrz860-~5t32k4*UIrVXO2#;%`CPEcBGMOxZoWY>UVF?_EA&fu1&~zpm50>-1aOnS z=DLGH1dWu~*S6)=Rke_aZ?N0L6mp6yMExz<&eU<5t0U8<>7iyR)S*=HNu^S7KQx6H{1w~}V;+FhCCLOt4lVOf5b_!Ma6jualw_dfI-Sq@Q8rFr1FJHP@}X z`SSJ|?G2z6jS0nG+n$(7%x3J1yAN#ULVwdqLL`0XIw?LjTCu&kp^6w|;J2=-6BOG% zr>c5IJ1RGR6xFtX-eZN#6Np+?Y;UVtN21~tFxM{OItF@^sDLR|V+Y+O@qRPiHQMK_ zs>OzZM3e00mkRu#=bAJD$|jK+Orp-hrd5qK?JMl-*3d^=B(-8ReX>Q$x^gTZ5Z|#= zTlkQe#woT})l{>=@^)06$wfA0T~c#&7SUw$I-sfK@pSsTp^4+w6BNvvgC{906Tk}A z67^&pskOwEx;9B)ln=$Ws$qtzDS$R$QGzy1b5X2nUo`Y6xCjTFO~+!+ zJaHavXp<5Y^XXk}k}1TB3~+HIQVHz?$n;VL`t8ew<3_KYZ?DCLPQ4Ix2cA8$oKXmZ zI*x?_B~k^|)#{8YX_1013<)yWf|s}rR(%<7UA`QR)Ml-3ZR69eb7t8hTTyOD9fOg$ zrNEm*APA^!YH4S1FvR?-wkl%2f`0LcSyEo9po9MiGbpa23tLQ5M#$CfUcmgT^l+GO zUss%ODJmxFDsEVYW%P$tl0L1*GeyxAUq+0zqRx_~9aRktP1RLxc4Attpp`G@8WeRj zceP|v)ho7B#hP(O6-iz}TUJX+ij|bEmQoyzV)UAL7sHwr8SQx$?Y1_UIYfOOhe|Ej z@v>E|bz9K0U=)C!M%}8|j?+Wa20g$)W(v`+#&PzN7}oM}Ps2L4wiP&O)azh2fp=7| zS8Sh*qZ@fWw=lNe+bZUlE-ol9w3aR*>KnKbsc#fgI=DOu8))kqDL$i}7qvIBaY1d| zj$^F-tGk?gJ2qt8sDRTnLHcVuJVpp6!DlhibP6lXA<``#EA-&e3^$=yV`g|8Z_so* zHUQ&VS_aPLPUdYhN${O$uFTioMc;0gq*_gvP)rUnZ1D`6*R$R&nua#+b$d?rR?$}~ z+S(@CVylFwt-pEN`a5eYRCAxFt>_2n;k8m!%7b3^4|&+{5bXcK*~dLhW7a{?wv#Sg zFGZXG>5($8&R)F&7l4vEBxW~lTqi{bIeDFwJ#@=DDOvF^R);QLCz+JyN3dY@Ba%x& zbJt0RDe6Aa(q3N6@R)+FlAt!u7FK`#j^@~Edm$-1omp#Ijc%^&A zBZFHy&8w(W=5oSb_ibNuK&U^9m=DqyzlY#E^Dk0Vy810Hm*#CI7tRsGJ2-Y2?)%8~ zF3M%rzwhxmA8^6s59y)5NO2h-x!cVox=%a}+sbnD3P{KyH*Z)Z53|M{B;+%!0p1mM z4*Ji5ZRYTZ`Y4}TnlAvwB5I;O#+!^gjuz*m^-i|M0MEx-(|iex`D_nA;gO^wcMj2f z?ZL#Tzv2B3KIQ4rx19a#)AYUTrCEwIRDXk%9Q2(SfbVJU4U$1IM7wT~Oi4eW@J!92 zKZ!O{uY{Q6XMkhO0h9GCqofTtNNPEUME@${1Q5NTwHn8;)solPHWtR?HwAqQm^ywJ z;!Psz3+TDl63s;bH8-@w5+o^<8SNFN`K9es+po;Z9zUl2%C)%Msgx{8Qu`^{QfdxR zS|+h@K{V7;;h`l39_`8niQ=u6i1G=YAaJmvqACX}hN*0bLve_FvhN}oPG?pt(ZGrBkjwR-CO3+1d zYe8d8Zp-pJ`DXVju!Ky}2iOE>gHl&E$H10+3?RmP5fd2#;M3>@ha{Cx1@sgzdYT)Z z4)Ez7xO%1-@>yd1ay`P$5yIth;ga(y-5@173b9ux8i>lHW@Zv|y)28B+}`E^h})am zDq2|}S}FCkQYKni$XhX$a}`+aZEg0J(w6-C<@IgEXj8gFbvm_O(kLqEi|vxJR=r3l zZn1AIp}1?Hqf0;p5w>5B)(ARZ${P&7(%p~5)Lfvv3MiQeA>r4!Rkbt~Rz#^1+cKps zb3?0uy4p)^cf;2swRt)1yU`>?)}zhiF5YyluW02J{q~!r`AYi6$BKmhQyV3d|JIMC z8}@CIN`f`IwPa}-1S4(sE&WUHk!}eLR=>=5Qqix_@cX1F$E#$!B|o>l;IcAHzP-g> zOSG?fA-T(1iT-tOxzWBHqa)n`FQ}ohsg39cyntm0(8H$N&9=G44zVfGzcCC{107NS zn*_4iE5At}y-$jByyZjE)Y^tL+PA&1`kJ*Qe9&9AEh1XjiF+D?vie=#J>z>sWEr*~ zdj1kiaUs#Y&xxV5q^Q_hKw>^1a9v=v6~2y~BuOppT*Al^3yRi6}1e#7<5^D}oM zVSX`YT5=avloAu91sDh+wnQEGv-SqlovJV;g|qk?GrLsE<)m_C3%8v4vbun7&JM;?;WVnap6 zoVKQ{NuG+VMB>9}(hf`3F!&(x>nziXOqAXsUV`eqv}E{*0mv9tKHcX)~7M=)L$O z)2Hz#o_>x$3Dj>V{3OzJ{7IrEJE1}{y>2I{F@<*RgoaXSKmMfABlwd}{dd7n1|73Y zikduH^sKnCI(riiIjR&3BzlY&HNh7(fkcm`H$dfC7D173_o<8p5T{EByKYK zsK#f}4|hrO97ub(+nobhG0U?2;>E-?ek623X+AMRK4cheZax4f(*3(7gMHEnoUO=8 zjF2uFR>4+OPV|sF83u%X%#;zJxx_Ga1PCU(VH)lGr=&MdAAu@ZNDMP*l~dA>nK=Sw z%>_SagdEGT78!6h?RH8AW9|qXcy;3(nhRYD$`hK(r&sTmWJLjW?3RpU3P)6^W~zY< z%gwf?PV?XjctX*0NEYf35m9`F%nV7 zlXr=Ag>at;Gcg9p?}+;qUf2pjh1q)82_c=S!9&NO5(kGv7KkO6L5hi!h@pvA)duSw z&6hR}nIKPs4LOJ;3TA>Z^I~Z8@UIhSVt|woySHVtlrJi=@ zxUs%%1~EW}=#tJ~?U_7FMJP`|#r;w0NMaJDCT-M*rTV}iVyoRP$J267Wh;7$+-}0=brDa5YD<5!a z6V>*@w(~YF18&4aZU=TV+4@7YcZiNazQ4Y41qr#+hwd&fT@)mdm|i#?-qjajX5FY-Pwf%<;l$LI$zdrlwhfqr&hs>p|+2JST=1@R9bj$C<~hXpp9n0EO{ zQ?RnWt)i;FfoT5eZjh*V^Y+Y6VX5s_%L3b63(S=K(h>+W_b7Beb7vK#dg6L$?;}!d zMX$&qKEg9T!7fZlzzqUof&>*Rmd&(+mX@ZL1+B}8dauZDKPD0+j|06(kUYVKh}lQG zWK*=`Nj||~Us~LV*@TFv(E7#v1?Xa;fgBancn;A$D`pNPxnU}15#4jVI^0yiaykix zY!%<%j)m>i3qm;)hz4?1EX9~b^e_3;F+!y=>qyAUK*{nknI!&I1-=TObZ_H8RyV_)mh28|F(h*a0g1c6@kIA7&x?9G zs(XKA&p#O1^NQF5eKkT#^9eemPm&@r zO@(<09}dyqDDZA|)iZO9aUrJ!3slSL5j-N#(1-dYv+=u2c|;A-xB8^WFw9+v@re8h z((upBF(rlk>;D_=|J^o;X;z3f!V85O?z;0c5RTmIrsC+K2{{ zQ%pKYf(2tzqMAf#AQJ)iX1qF9hw{XLHcZKGLnVSUUseh?iNlrbo>>HBsn{Je&!`w7 ze8mKkMFZI?W>aue$AGCaA%JH&&|snp)ssVv604IR9O+4YKxT?9rO}YYfRhsX7$uvX zi|sATz~AEhG>%fjie1`%P5zv@*Vyt(%L-;>k*HXD^f4*2C{B3~&S(Z^_bZ4lUU?6S zYO8K)W=o|eL3t0qNki00%6sq@ASABt!L&UjuGp_RmF7MUx3JPgF`ZUHF=w=>2qQC* z7{)-!J=eur9Wqww@$Nwu5VNqjgqSj^>v2eoXDKtb(cHzM`iYRP;>s(tv3rP+uo41A zLc)q&E)nhxZiLJ!h26JlGSxi+(>aHw0*M-uSSWbJR5vU4?9f0?%iTN3XyM(Nh#8j< zL4r#VnIXr;TZD|C&w?H{Tfm{S6NoVvO3+!_8K@1@<%u!M=VO#oKwo)6N=_{lL8 zqKbeX&s^{{qR(wOshDc_!B%WO*knT;fhiLWT?D5)EXyn9+?Vnk!KqaCFzs*DB#&@yOb0c9Ym#2af{H z)S4#Ly9YuH{Ix>IxkOqaF5LrPP+ktfs<}Z)`%3eSy0Dc355tj7jEzd#9;nspn#4#n z^N~otj(*iIB^9;sc9z0cEDzGtkb+aUa&?8a!SAwaI2(|iNi?fG?Hg9R`NQhOYZ2ob zy6{QKFn6s-G(@pGnPKbP~ptsieMxLrizlg-?TN z-NlPjyJ*wX(iG)pCC$1l)J$J`T1r%Gp(mb(?eg6;=ou+PaSxsFj5JnpFJ1Nw;I`7v zXQXlkrJp<_Sq$5Jz1HOmz-LX}PNScdrYQbK7dJI_iviu-BsbJBFh z19a|l(xkWtg)cF7`*IP!FZ&^S-*ZwH9J_k!Icc2YAN0a=Que5aMHT%{pDLw`Dxl%` zU39_oupRs-ZG2ve4Bjm$*n=HAu_JqZ&tqlnzrH>x? zMVlA`5f-N$^_Y@gyeu>-%lNpmpky(eXq>afk_#=tMFNR0JzDX-XjKeY{?5 zKfQXtG%Dgr5WL9NJ~1zQa(4FkLX!Lxy<n6rC_bU1p=o)2byY(<=Euz+3iyo)9dO%gO?@jJd`Su{`pC_3bizi+o3}&a z9QGctQ;Pc7&3i1zzy<J@2>@}!cEyD~IZ`Hhm6UKtwWIK_q1e~Ut4#)(@<2DE!xv^5T{&xq?} zxc*LDgU})0i|er<+mN`PJ{<}_h{8+|G~`D&1`t2FuVMT{es;LY0P`RBHJZs;QJ8^+ zUqk_ICghwbq`>vB;`(~HJ}<7Xh6=yAX@Ds7cQ+AGY5stM7@iBzb$=t3o5^ z5*0u4qfmh=@{7xbDr(q~8<@gM6`wI+1r4ie?7&O~5W&n(RQ6ZV!K*?|jsRYc2t;P{ zm)yn1xxO}mXw`zvnmR~#B#`hRQMNatJ-TFRjV4>ps{cPS_am+B7x|&?ix*vZS{?-pq5T2KrQyRRW0BTbrAy4 zTEE`j1QKiX0N~v;8+doJ;rOE?KpmhF%jI1KH=Q18`(7RnWKAHMKyKkQF0Rnuq`G zOq|tC^)jq%epGfZ?kmBPeaj0oBvRIH?T*6njm5{bc zAZ0o9vjM48F@=sj2zQUCa@weANGswf?&YfMU|?Wur-N*eT2BNdq<<>bH52U&A%1n; z$|mT#e&#Te1x+qy$9K&GnGf*4Kiy8j8F$4$Ff1g~f$%YqD5SHP<)e!pU^1iI5wiI7bL41xx|KReP|XTFpp?gxcTtm z(>M6gu;eDut>h$*gjz9zhDKi^K7`sPHzPiV`et7mHio+E+$3TU4K2Pjd=z!9Zlc-- zI8q6-p-m7uaDo>WB9v%Xxv5(1c3g;7`*N}YjA+-mN!siU5Mk@r`jS)tjD)Xqldy#; zV!bZ~=DKx%5dKD6%IJe-&h{91v~j9i-HaF&5N+}yvT>sD+tAgchfJE72_e(%SVUKxNy6{&qOt*Xrw{5b zFKR+U6bb3_!EN^9CQ;3x6cv*LweRtvxYtXOOLKw3kp~ppyc7}J-J;vUjknZ7QB$%UbNBaM#F5jx3sKmh1EM` z4sFwidQG{zaftBd`1OY@b ztP#UrBysyITU!gZqkGJk3K$t4_hH0{tgx^3C0Yek#(g8H7C}_iFUY{xG&EPWftxTr z=|czZrlHIMaH4xkP}gC(3JHM|3ZEi5zg&9H{n>pn}Lk_oA1o28Yz}(r_XeXQF%AOSGyPtl03%aH2)c zV8pL_i5k`*(Q6)}OdC9r)?!@)RIhuf;2sK49q>>wqP&&O#5l0^eaR4Wa0CXn0*E!g z0X9YB?VP%Owt?$B?4yYSfPtZZ=4OC2$o&YfU&m(J=Yj$3u3$-uIO!tvMU)^Y513fwIgFfSnZndMk`pyUay)PQt0JLMsi#Pn>i?405!-^R4 zqZhCHNvHvW8+#*Y(eSg6A|SdcXk1Nn{}DvcJSRHqLu9jaqF)5ja)+DhoDbDpPNn}< zP_1foGc%p{AzOrCY%}~fLD*K0t9ZokK13A&f@4~O0Mu5?iA)z5k%MnPV7?VHO4CKR z4j|jN(w@zULx`Ve;EW1CzWuNEAuuZe=-JC4r)>NpVJbnqvSuReRQ-IY7UWMP5&p}( z_nkBS8EQ{2$6 zI3!)nyK+5Lj|?7Oy^zta2VH3d12B;0HLGw3Y6|h8g~2IXvIrh7HH7*S@&HN-qx)mc zrtENm0!wKIBh_nt7=WRa!Q-krZ|&tR&C6+5tl8k0TRs;!8}-9*g0;bi2M95@yadBD ztx?cIQ_I1R>P5=HqCMZ5X5 zHb620^aCSN1#=|Ehh`K#5@&|T_IyBb!>WPJVl}!a&MaG&twa5WBzUQ%#9`Dh$5+^4 z%?e2Z@K)LUUW&f~o&Yr&Jx^M)CmL+cRn>43!sLFQb4vfu@LD8=LQ8eZncv@?8Kk9( zpUfBi3EV=?>c5z1{#4=Tzl3b>|0LObIb5zG+i6y+dGx5zzYxfHK~^Wfppb-JFA61C zQr|!y8WXT~>t0hve@2@5!`sG)kWoq=CUOIN@(x5!@%GA?O1mC68$#2(AVWIsd)%yd zWOzZk(PAuDvM0X4!Hn@4Oc?V8_LU@JtRTV=0-g(lDP!@W!UzJO#5m3^6pRx%(`Jk( zLo&UM>9ZI?7z{bOIv@c<&!!8XFdGZUizc`ajF{lVzYu8QaGjeuj8ALZil$}P0`o~; z=H|(8O?CC5dPhi(7i^m1u7{jG6{mVBG}A;|kO`me$McXg{2+r;ShffcQ1J{(Ge!)$ znSvBhma-+~By5%t8&cYUp3TrH?TLuDmd+(%xdPvM9af*?Q{C3N&)m5$vU6Wl=f3F9 zeKDQ;Ms@Cs?c5jFxliug7vH%rp>tni=f0%QeaW5sQg-c24eKv?C3UX?EURSSYpDvQ z^2AqE@s{cjIDe@amwM3xug{vzUZQmqdx_Q-Ip|sECuaMRj-xw2@AzVeUpLu%_{8DO zM-Lp-o^=+}A5P9O<}v&+z#oSuwBdBAwy?4^0TAb}*%m_O*`3v6

    2PvCm@cYZ(3#_J0lyMvgm}N%BU0zx`Nq zH?wbFI9%^~D^(fKhpmeLtX$O~#C^za?d#MGc%_0{5?T8!yit)4=KHKm^r=lL(VA5t9osg47bM`>^1R36xTq=EAzVqa&kUA6AaeJP}y!u zI_=e2(GE_YmM&=RH9+kl{d4em0Ato$u3gm#@l{hJzIKH1nQ%!3%sK-Qw%*k<7-Lz+ z!s;uM^RJqb+!|^LhF4tA;BCU9D+_udINq|Kk-2vAc=5`3LLd4hFKU)k#Rl%=pJ-=t z0=#9`cdj~>yN(<}$Ps~XUZ4-1NtLqDwH4vldhsUm;nn;`R-3}d>*9&j>=5sWa&Bh2 z^6OM{Dlh_XHhj3ICXN)y>K*tD-+}kGc!668|2cI^J|a8MQ^)C4v%<#eD$ZjU%#*xU z!@bmb&-8J6>V6rIecW;szq=Kik2Pb|z?mB0HK6uG9Y;6WKkfKJ z@D=Y~Okl&n=g(-ohH7^vjA-xg-tG>w^SvAn`ix8(DTPpg@60^M6>yIAT*1e1!j1`` zaBMFEKD!51))gu88_CXds0ZdI_8yDca%?aVUafO1YV$Gc@?_`By!)Jw|L;h->rkp+ z;O9U5_{+Iphp6JmS--+$*7c?g!MYiq^DOpj8-DFDjc9Dk80WA5r6uR@ym#GaQX}Ef ziKjQEMCqMgs{ub?b&YoZMk~Y944Q0MVYsdtWo)=G%X7H$`0r5A*rTZlk*Z<#SpkzC zq9vSp^w;1SqZ}}2o2yz{s@CCbDw>*H1c3Ts0D%2Z@bKy$>RQHRs?1lprY1I9$H>d7 zTHGy7O>UjK4CZn$Da*ClmXwy~6WBN5><%XIFwz3{TLW;WoJ&PylLt52t@qnQyq6-YK>3V7KFQoj7K3L{AQ z1ULTwAt2WQ&HOqwVWLy$@)*wI<2W65?>*LWY~yh*FLy&DMDf-Sq@aQ5*#FK1d$Q|9 zs#-eCH9mq9cRBxKpp;_3P}mvp-Uys8c+DEVRP|||VTn!_u865!T=O7~V7$UTh(Dcw zIyIV3_#t<+(#brW5uPY=5AFYz$9B+x^ye|}oqV=~FEi_QevTI4M9;!6n8(5eB96ud zr}@*jHEDq?FhJNMoi|S5CfdVz38xXb;{ZB=CwyTMK8psg^1LrP5CTG|BK#cpJ(pH_ zlUI2ce++>;p2jL4{|Ot2Mj+}J(nMa;@n{@dE=<>nC|J7|SGU ze4IJ$$_;kAMLx_i{>q?HggrkpBe@3rM)Jgba4T?;3t>!66TDU7#g_uJ`ZIfG?p3Hx z{_#5oU4QKQJXK*pTVo5`$OdT18Hm7M*pvdVLqJo4Hi9SPko>tfKb7>~y?F-j40!W6 z#&D!BS0@Qy9^~=m^vO*ra;UE-AI}22;^BVWHx>#emTH2J)nG1#d&U2!MqrH^qs94* z9ox&kAy!ZXr^PZ8iyF4(xMNRdH7H;VZTLD>9&VQD{yT00YhY-lGYoqU2C@+iM90;D zA*-)NIk^>ZhW~k96@exmz|A0TxEaJKPSc0-XPyDEBQRu63b?rIXsVwgk18|)7ZDPl zc5#4a?;wg(%x2A-?&`HlPqp9#^N6+cB#|UQO+lD zL1x=mA=MbNU;En~+WpiO3Z@wzmNw1rmsICPIu6Iu9+oyI*iGSloL&U;?SCOGO{*B^ z4N?D35h{&Oiv65cM*02Nf1euOlLH;RPr3IP-G3}MhW;9o_N_Y%gr(mdi2BINV^)uh z;Q<0LDlBcRCTz4P?4l)MX*M-S3VErrY}|!@Xj!R9fjz35xu6;T15OD@=w~12 zeUeuWKfqF=>psbg*2KGobeh=aSI6B8=V5&cu$=etqiHtbk)^@M?(220AM? z%^U+~qusA5fCJI|37RSR;(?fEC0&`KiPE>Y-#mclpc#v{{;Y%AyA-?Rv|z>ic>Ok7 zOJ+VE3?JW7i|Nny+J>s-a47N$_o;0-5L3-wU=#sI$njktiKUj@vNAj~%wCm%4>gP@ zN!QTp<`hKIS#t}5wQz8py*O(EF)zcXF=*Aa0=)y?lz`74;n{IKps$A4C9s!~)QV%} z@Gh@9Z$)-$9K?c?0r~h0I(!O8XOrL+cwQY}c&2TDL*4B8jlz6(Tpbj`j;l8czMvIx zTwQY=TsrWPA9(tr6_2d5C(DWp;T{>BD99uctME~b22{g@4!rG1^lL8Zzh6Z4oLP(6?2xW2NDZ72;3OGLm zH(EeM_ST6k;=e(;ofz81lPxGECKaKg`X+v!UD^mEo3*vIZGC$l>jc~mU)4&C9lQ!s zm)l#}Q!4N%A27zQJMf95ThJu1^95_`+bkue`MDLjB;-~gVUO-iAz`-x1w5iqR$2yU z+%?szg3a=AoEn14kzQ~u;6W8&Hd0z{ss^ooGi!JbuBG}#u2B76XvK*Hvndl zX$J~2!T^c(AMSx?Mwdv`aN?X(lNUMnIBw^LeqLxFA^R6jJZOH^snA_!plE z#vWnGta*4U4$V2@5w0H4ixAS_;t+P6#@1B50$z5h=|i*OFJ^iS(D)+E2D`nP1V4cz z%_hA#KOWW31}@uD=wOPx1t(8kA-;`4|CDQ>%fY%HM zxEV$t6lMtd{F+T-=8E3HG4c_UQxE6Iy_O316wy@Q<}hKZaqnO)G#v{X54Ti!zem0W z9<9ENnBW*Wau{K)aCBU}rZMWn5!Q-=abtzk;mlFFhnHTHUEuG4li|!=!8vd=-RMKZ zO_x{amiwv4a*dyP#9$K!j0Ik}__1)qHLdavWq1iFB1c$p}otMJD6s1r(>VJ(P`_!_Y2L&lTXZ_xiX)KKl^1ty*5 zl&AkWr_ry{FG^t%pw@yY8YbiHchc zz7Gxz!foIRl@KA5j8Um*d}M({KUh$pSNf^w=1B$mYPG+L_16@j+TH{@gXdgv)`(dh z5(xE~6UrpoAl`)A_VpSSSG@O;Y;}l=y@o3!6sq9>fDa!RPRmLPEJ_`3&=C{?cck&b zN~2!IA6uNzX|t3RRLm(ZwUHo$z(yE3)*}uHHvx0-0ph`2jaf7g&tFE4pap-Zi}IyL z2N{F(>*!aD3$#~^;&pv2N*C)xpAGbSS%vF;bfIbU{6ka3EnrUpq&X1?~}rW|^7ae>h`<0;<76Z1?J%ssabVKy&st3x%KW(mFMa(Q*P zphN@bn8jRL4MdUh5pcPz8~cXKH3|q?eT!Bsfeq)t?0(6++|ij)1VmKp_H;iSW8ED2c%1 zGI0w1aup9em`mVqgq+YJT>jEaWXBW09+xknPXw2LHHQh8FIUoVTS081sfvR~_;xtW zD|~yjoi4P2ORH6}s6)4$PjNLI&1F$XJ>6_8h@J|ka7BP&Z18aOano?P)+5m{H{Hza z!U3mfM+|@t&e3|OC{CibODEB)OR9yh_N~Sa$og0fTLaczQc2@jFGd5@e?3RT8eoDm zuuKhE9c%D3c6(A5JcXr!L$AysO(Mp26+A=k%O7Vp9CaPh7ZV(G<@$6p%3Qma1b3+5 zX=#k0(v_WJRvH%-Xq7i}T}QxiRknQG22&oFkJ~xiai@yMPF*s*iQ3&doEAXpZK9p+yd6Ao3SO^WJ7-;+ojqHQ3$5|*LfZQ| zZ}kIQYU6_(zThF`vKC$k;bQ{aVMXlZAfKi0pByr5>DvwZ=5d~B51X#V|GGrOnjSHL zlZga}J;4hxXN$}JSUzm}M|lT*ol+k&zRTfc=ZFE5;9MuTJ&(g4EqFYB36HRSAh9R( z41E$k3^KzI;wf}Hl4Axu)*l9^F@4CMLzm!Qw4djbJnjWH$zjcAy}yNrT;4?+&RhyL z;K@qF4qLS0ASIh&BNpvfRUw{LJLPp@WwDnPghZR+yrg?h`mPWu2fb9G$~U-wE!O-S zn&(>x{aZ{q9w!K-!C z9OACnp*bvM09SnE5?8E-qly>{guod^cfg=KHryo>)0cnhjK6{^?p@&5!<_L+uQPVQ z8AN}g7%DiWcfjVH5@!dc=Y#z z5$?o!AV1OV)rT%ogWmC@cfjyf#NgF6aO@Da+g58X3ICY|2Ayl_?2T~V$^Sp9?IiN7 zKwCwm^H&z|WRq|>WQfZ;kAjImoG>K*zrvULJXfL`jt#OM)bCu>@IO>}4u}E3I@yB= z>I)nmc2RVJJ$s;rvw+yKaD^WZJ`i)Tl7mB43~VW6uK@Jpz<7T*EkHpDFm-qkI43tB zPxTNrochCeNUBY6(vQic<~7rUSWUX{N?2u$8aOD#%(=l{)gl7ja5#o1CQ4q#Wh4fU z-Z3}qyU)oluohInmK=`ZA?b*PFhbM-%Qg57-;(Fs8{mK@-{!!r-9Zn z#pK?(vAs$L=kDXM;S?IGZJ5J`Q)uqJ$~ZuKykl^dpJj@J@65CL7{-kkqt72Zxy0$g z@iMp5o5Wih=JawnY~)sain|uPo*WL1p#<)ohH2ak5Q0T%zIh~cY%Vvi zH?J;8aTxRd%)AObA~Ext`zPjAUQm*|0L-h1la4U2d0z9fjxeusc0Yof*8;bBl?d}H z73Nho+`Qa%xOpw~nwQPfx{rA+;^sBcu$W_qnb&0;Hp0BrONA|6!ENcvk+x*IO4O^V z$@bV2(OrZ6^zOPzSS7cvW;9%)fs?*yfQb->R1c?wY>>9bhZfeFm|8#B3NY_=Iac4u z{wB#d_DBoSEEk;~Pqg(+xOUbC)Qec*2fK7G2G)buFExPrz&6+)_R*{qHM2=nlUp;* zf1;U|Ki5o~(99~XnbjjTV_eHS>Cp-cp4R=@Ec**@!Dp7;z#+qC*^O=^Ct(`^?U`kv zH?dj9q6>I;;$Ou?+vu5Sc%SQ%iPquaX1JL*Hf*BZ%3&iX+9r1`m}s|i5Mdbm$rf9p-s9M|B zinL(r4ti=?K?=NELswm3xa?tfKS|gwKS*H1-$@Y?`og%w2G zC#(gUMt2hRb&Q?B_rKl(*vv~i5~c~5`YTvhLfiV)80UKHZGhr|35uQ5C9Wls&ziux6? zXurw@)4#?A<7xdnasLwXx`!1p9q^;M9VvQ;{{ZMD>>y&?TGYLP-Cxf{WkUM@8#VqW z3Iw~Lw?sp4^M(wAydmG+rsf^aFL4qiHSuy}jyvLgVP;byLn!X8e1Mt){vV=^!M&T0 z{P?|_k3GhvIpkp*_o*LCj75x|IC>%p{tT^({fU|*JV0=KjQ1AY))_n5#tl;^>ND7} zb;R_YA4}g|X&(9$mB4)`^$#$sz{<2g`mrRNTQl09hMS}MKdcF&JIgdBT7s;r=@;ID z!~E-?wE(XA{0Pp!4d<-+9p@jsnGRlce}NB!_M*GjVwi|OhBZX1@aJ)h7(R{CpZfr) z>hMDvSW*1_g~mkP08uw^c-`P2e@N)CX%+0x0$ZcTpYLig;l?9&h@V3JMP%rHu^$dW znBc`DZk(=aM>ua^t@Y<7q!Y5iUR&?aV_So0$jBRF_vpA2ggc5RlzW8D!T@O`-XGXs zMMR=3EO2w%Z+Mmv~7ifdkv7)Isx_QgAE4QxJWZ#@!k_Ml2_+-FmGD~{qY+^2NYW?$7*lZ zmW+c>CVaBslMSEo@R83rF8yX98f@vVF<{}Ey*je-bP<^73t|GN?iiIaAJDU6?aS}Loof^5ut>6$y^-op3%uuB9mU^Q9urNo z(u1PYVt7$Iiok2D;2q@U74WBSYDjo2|AS{~7FZPFPh-W=Tzzmnyz+VTd$Z!;Xh+|B zv!tK|{$lOK%>IjCPgl&`dMGvCF(v8#ix&@Se}O-%su)bYuOi90Aq2i=!x#KbTltRC zgahzhmaxP4T)BtT84rJ*STSZw(%=>H{siaw`+s}E*>nH77rsjgn122R?K!8`r_fEF zTAbulKCnNa>&$n_0neR(AzgM1oqg`y3qwK9hwg|CaHb;LkSXv-nxO=L#W3K5N6FL$PE$voqmf=s>$|%FTcf<#DlM_jUekYQLOv6}gPB<`l z;zZ(xV|8iJjf-hHZs{{dNWTn}72zW!Jl7%n6j3(N|8Ph$4onA*OGl!oeM4V@57mLe zlhFE?&X4X$4A_@8LkcWjRE)T-C~B;2#k5|jZhg^JvxB*kN+A{b%o*lab8CA2Sd zMkKv+Qd$s=`Yu&VyFSPZqBGix)ctvxGhPYx|LpVczK3JKM{|GPI%`HCjUS!+IUL7` z>YP2JbN0+#vu9bAlM?AegT`o@Y0yN$Tgu-VG$zu_F+l-zbq2g2+WDg)-yw}9R(y#V z2!A_1aK$f)+QO$w+&Dnv^s`jdw>8*rK@x9~#AmwEHg*g5W)Gg1NqjaCu=&avFan>l zgCvgkQ1CjP$b*vzCUFyi#EO$1Ui#bG#)R+|N&KY$kG=N*Xky#;hi8(IgqDO}q=XJ4 zkYE9+p(vmzAYvCmKu}RoP(hI-bWxgmh#nOpDvAv(M=YqJ2`Vb~au7q2E`%bYB7S=^ zq3H3v`|f-9{lEABzRNkn%-Vaey=LvUX6@O(g(N#T&H}&vR|ka(>zHs4X<2{_3mlx? zJWvM04Cp;m$Pv=A1Ua108pc>mxQ8@nf((v63%$@Pgd&XJLYh`+viFb4qFYGQ8YCmL z)C4V}w;qUAAtf8MIG8DgjQ}`YoW1v7(|pEwpbd^PS@hS8OQ3`Knf7?(JIh+(S4sH?>~Y`=Ggr|UW>Qq@ zW?SJ=54xdIwzeUilb7No%VB66T2sKuj$nY@0zg;{jjX{9CsEtb6iqMFHgx(+dbWX8qlaak>AKaP7Wxf1TI7eMCkPC)>Jby z^!5tZ{)r@riLFcKyf|YY%#k55^ouW?wf6uqn6#awI4oI19H-VE{F1LQu*yGgFPmK} zfn$QUTIlWuc5_j!Ed8rimXE1V*xf}LSNtWTg6~*Hq}Pk`t@=wodH=C|jy@U-Mfq0$ zC7)3sXw#!tUG-(xAaON8R}&6sNG}-BwVLg<^oSv7zfxI?bUCCKAu!-zUk>C&x(lSW z4rFEDaaCtZu1EQs0zm8yqBx?!h*&TSiG%`4ZUm|58>&M1m~_G(=e*f}8zi}Dth9Ut zxCF1$6Dow{wv5Fl20XnT76Fc1MI6l`5N@ zu7=o@(K_m$*^4ufn92d@XF$6}BUmVkWEzN-ihh-*k}kRgV5;7x9*%QN!xy?O1YiHC zfyl}n&lY=SoNgw#a(Hg9B@bdM3yXmd)`Wai_kon1H(Zsl`@u!#b9+VXZ)jdBO@cEP z?)3mFy81yxbaVwkdUmpK!`O{Yr~VVuf?k zVW2x15Of*!Hi*7d5X%8nVy-A=F;|$1VD6$7h9F@rCG*DgEprT2$mn|sq;djCexcM= z!Br-I^f7^K2}{674ksrPmIA`5al*7|35y|_f^mX5Ex{FprAgJlbBe*G9{Z4r5Fjt6jjiy7&AikB59fB1+!FEut647TNT>wp31i-j-L9i=SsT*&*ic>+PE8*a} zhxSnY`@$YNHus_;Git`GM@5!>FixQhWmW;fuEymUw^<;)M`(p|SLz)w7!HO%b0k0B z4$gn(iq8(W)h^aUew6};nvd90pZjL zYiW)Ro?$5~4In81f*>{0KiHd2^`--Q0-j;bxrJv;Qb?6Xm$7|X;>)uoZ0W^xBI6Ov!NQDh$d}t#IJ?4tP>eS;{0v_qG5#P@ zaxlQ)HIikGfLG1wrqUEnr6S`E`2;Maa)B8P*_o&ppI2h=YbgmhX#W&5S?C*}Hcc@k za&p=jJgkWkq1eBj!NnC%?`GVGv-=p+@&yb`b{9j8lhXktKGMgKE)MNu?8k!H)hd6G zQK5~PuZXu(X#W8e{;@G21|o$)Do=oJkxpg#bi6%8E%a=hLN^!os^{Yr^XZ^hDZc=v z!+EX>iZ4go4gfe$Pe8u>)B}OR&~9?1-d@~D>@_l3zY+ED1c>;jsK3-9{bhU!M*E8s z2nF_I7niLcr_iS%(vaSbQ@|EDhypfRf(EHHfXeN_W2rTY$ihx6GIWt%tOsI(q2k%^ zcO_~;L=X;4*pJ_%a_O}oa*eI{DMBU9Y#pyeq@=cS3f)pDR{J;why?V?d&Nm0#FtQHp=_v0EgWDUMn$Xt&6Plfh}|O5dQj1H1&C;fA&e{m=|ai8 zK)kQf#}`S~H%|2Ty{Gr%vAw9I4a7ma#sRb z^3z!FQTYr~kdCt!#PS-g88khZ>t(-=Q$#fnk5lLtXw4uF4+!BkT5{ArP#zJ{kjZGm zTZGQ{34#!k6bp0D+K^6-E*aG3U@=NSO!- zn<`utCW*tq#Vmn_n-Kb8ATdcmQjr1~j#juTu9P0H18p)H(m2IJIN-?R1YulDS;{y8 zs0_Ra$;*f+B84F|9a)i39HiAWB;`P?2-JolE1>d-)_WBtkt}PK$N5gT5H2Yy;}oGR z3aaCTsb~{AL5h>ci9jCIc?Bt}iKwG8iQdX|)F;ZNE~zml7m11nq(Jqgr8Sywq{$wy ztv!}aOa~~Ctc&IqJ%gSe$PDaeZ8-y2WiS-l&P2K>tcyAFHyje5DvUY;Cbns4_cNK! z*}YxX*ortq6dBk8rK?*a-4Zc|_x{zWk(>#h71?#7LkiZMO=o4~bjY@2kA=KCO4^BX z01F&M@q)A&BC#e5KmCTpK=>|5)^Rij{pfqFq?4!^2y{D(Lr<8cINb#X?q|gFPIMJX zC<=1a)Co%rL^&)Ag2x{}m6O?OKaaTvC84exln;8% z1_!Ufsc!&DAB>jP4S^?^m}u680A-o&7#77AY82q#uNCD%d87#C8q0(d0mpSH$4Tp9 z=D2Z(;^@0Y25n%|0kCl>8njGDILF9r0Cxz?zA)JwByap7Ic#F`RFEA0L-MAH$r2~lU<4@}~JNJsPu0*E1gKD7KK5Ic+`eak_anIs~E7u{sEP4!dY3BEj0F9}&2 z!3O{PvqKSY9nz;n;lor@VUhiVcnXDqB|#Olya5U?h$tphb6w z;7$k_h~}41AIopP!3CU&0{D-lj2}v5j@=;@$YqTcn6beT00jVi?7gBK;Boe$kE5|4 zp5RwRA4m8}0DB%Nz$E^LX4A}ufngx>AA*hy0z4S{dK>v~4H6C^H4c(2az>6Odl>=0 zGQa{q0FSTTXc`hrhV2j`EUIu2DGF9lv~IS?T(&ke>31Q~Nml4+L<^zonKoxej?rYM za_)qY4qlOyk%<88X~}U#j{|JF-RR^Xe-uW&QXrdE>c zJuVvU$XmE58|eh>>|I>j;zUE-dQ-1-IHXGJ=_CGlp!3lmJS>leOfZnE37G_eR}bn8 zQ!=@@@RNgS2Ip;yffVNxLqeJ}37r1qoO$j@Az!R>Er9mcg&=2--A`$8N}oF_a%g-5 zvY7jOE#&Ah08kQMY6&lwBUI@;=t*6zL@F14Tn7LW4h>(6NjiIlpC6DjGHjjo`~C5IUJNPhH^7Q^HBghp=v?c zne4e~or2uLOby+Q%W@^MZn z6I_7lPEz*BdFG#v5&w9o_=h{c0%#1@M~E@Q;XQX$Lb@zP8pY%gVn+Z_AAq)_LO^vb z#F|m&+>$WFYoX8*RzCs3$c&v-CZi{n5l|b|znoMal@kT8arg-+$WiAfT&L0Oqfam< zxrhKj3Ba*y_rJ$N0w=N2tfK>Fl%oh<=Wmda2neDA04}(4*y*jZ%s-_vWfYhPRhH_n zm32m|V1kyUCTI!Oi_K4Zd9L*rz5GQJ!oEobcrFOM-S^_}SDfGpzGCJ-*GWdTG^%&e zi2JYqVg6Hn^j}_m9rK?VBLDj86N#fEl=JqPqoMd{4KSx-y}b^A7U_KEs49g3rT{(p z6#y8l>|0K6MR`SCbz)w9PWvmTDIgLyf;qxDENLhwM4nJAQ2Yg|&nWg1irymv0{ezk zH9qwJDOS{ipMik>x4;xlnuviT99D@9C=gG<&tNR_qkUUA*@~7CA-01E>17--#SY2x zYs8dAW}{8{PrzWQPQYXm6-N*p)|jH;5{jHKvZ2_24iby{OSp#rZOB+_{$;K zLxnMX&XHCFy??`1{Rfy=!ol%xq^f@osmcX@gMco5&(Af3|GlD)E@`7P;OK<!5XaT%izWu(Tp=i!2#T772BN(Q7KCV_zb0x9PVYK7zK)3qY1Ti6F^Alo#N}cAfx9K5L05L;{D;M1lE0s4SV;6OsWK zHbim}B}X9f=VZX~Goi;4!8`W`F{(*!qU1<_Bzew+9GJDn3nRvIg37XhSV3~kh3SittNEgBgaGMIV|yXScvR0$SlE4 zUhN#TdP}g#zc0HKHyU1g59+LwU5*3M%!LOZM3j|ED{x4J^Z60NFR{VCfj7buQd%h_ zd;COJT!p~QyKO{J0v$F7r=V<={1B?g763UTBn#b&VB5r>L+fyorv~7JFoNJ%rl?Zo zK$L79^gmbXN zX_ECiL{wqWY7u|=^+FavO`|N#A^8o6j7}Q@5ihEUtx_1Lr^88+xd}-MaTbQ|L}j8w zN}CZPk{P87pUE7OjTGix2;k8~l__o!QoI8IaGH1IdXY*LwxU!Je;E)(U%AUhp~UD7 zqlM+S0}3ah(}}{2LDE(u+vG^#Y7raaPSF{j*wK>XE>&43Li3K^;N~n8#T-&(jZqN6 zg*-sWY&Jp#tp$n-J+$6S=Rr#G2vPWm);ISYEU={a}A^?vM=)#FdRi%NGhOC`L3iA&f%WnG+3zPCk_| z#N-Q{HvfztiTYvwx-JjuQkUxf{kr^7N9X{axGt*zr0Ov&O4*4ED=PMX4}%go%!F>j zaZFy37DSNM2-qzLCKA4*K10!O@V(7y*zrH>68fu6A%Z*=!Y5LNesIN!esGb_-62#P zB6qm0&X_wKT%zu9raQR&$sL}e{j)o~Nc(4Zc#*cy9sX(*>HH_JxTuLII>&#FrK#{s zFir4@QdoYdGsg6v)6m~yO6ra}AyG_8U0Jk^+!v40QTWJzgccb*2GS^MWE3qDBX;LX zxU&Mz##$SOJRpc;qAH1OU4RP{$@v&4cykzt_BHl{vjN#CqHJJ~0L@kmQwW8y<=;WU zi-s856%hZF$hs5>@{fVxO@9Rp|9JF)#=Ntru~;wxAAZh+9xhtgC)6+UjR{w86!H|6 zsH1~w_A?6me;PP`jKwOQU!pjRu;(XWX`&#ZG4)6Z}r2!0~#z zKh-w@IDR79EXsTWdc5ZNEbyy$j$Z-CTmR*qW0D~?+~DtlN2 zzYMX$d8cEjJ+|>g%8zx%shdM8DZ-^%7)S|N0pq5Q;w}z5&RGFY<#6JhspLI~{RqAf zLC?~q6<;_m;el+zdgLcKYh-hcSaRTa(?QdPv)K`=*KRZmSi2@7(7br>w8iA9H1P$u!KpHE5X=uGX(M+!c#wrt{ilH?@^xtzoSmvK z?)*2=c+gE6WC{KMSmYjebfWk%a*t?n{I3P@L1HY3Lc;t9kzvNxn$e4i!I4CR<(Iwe z9|iKsWoZ$w8aE^bg!zIofal6Np!9M-fzqpp1iU;putm`b%=1f}F~wrH489@<4aD-xoG~2w zOhZ+Uw6${!?8SjAsNdlQS1czVClVFFxhcd^!@16wtW8t|=cbUm;y%XY%kU&QaE1uE zb@MP?AOioAJ1)xc)^W0qWPaQ%wd^|v7Mgj`&2}1fp9s8dW`5@yI2Zcx>c&X2IY{;cze#$4R~%Z8dhJKQH|Lt^c>~}) zcS2)g)44{DdOKbX?&MgthfXu2m*xEk128EyRdCcDIj~Dj{*Y zz%;9)`sM~&R)+xBR?Yh82cE049dDig#aTYP15bzFbId#N)0l7RcQHkz>go$EdDyr6 zX#(QC+97brorS&0p%Gory{8L^hu_ol27y2!hJJgdn5UVTPkBqH;i*^kVfZcADfid<30;XFs9$wKD?C9f zlLVEufz}31??T1@ZDpE8GN7^|sc|8G&HAlLkuB#@CtfF?GE2e}%oyid=|Y230y(sg z1p-m&ra&Fw846%aKdP)LSL{N!K&-$WP^vFz!|*1F;GW06(B0uFW}698(Q`F0?G;ie+_gPf5AZBA9x*08Z=(?4;%|Gc8b5 zrtUSp*k(yB0KZi&!Ogwe*5e%~I#Fx_$%Kx?&h!?#Ux7R5A)^d|Ja0fVjTS!L(pHOU zY3F{gzR;G{QTsU+u;I-8fY+AUK&w?~W3`9OnREJPAT5n*&WZhiS0xmff)=Ibb8qGb-`jD4Mb5zi7GpSsT zeK%g!cw&oz1|KaUY&y&FdqiEF?cMn4q>HAYA@Xpj6b#PIZoJlnMoMd=BaI|iL=yI( zbKthb2xwfSZ`_4Cq_inodLjA@3c_cgI+}uLE_DKY0h9-`a2MQYlK4Ya6SH=mBMx5}ym zW42B;tBxfBS~~3=7_)mp6rBqokXo(6*?07Fe7bAFXdOWA*ZS_yz_=32*PZ3K5#1!f zKvQQa`Z z@XZ*XzjAb$ENvWZ{2Sni@FSVHm|Q_Q8jf;=ptH)j49ci9|Gxf3ryyr*tIFvw27xv43~Y3aqQh_|9^*!eaNu@A3< z0ncmFhqra@qGxraP=@>p7|KB%i5;1kmQFwLQ7qWN2J_>++An@!@dN8QSj@%Du4c;L z{a#B34Jsf^;e!v7zE}+K^MF~NeNGn$+46-8fwu#O85akl0)jupJPqUz zIS+fG?Z^l{1)$k*#Ub=5D{fMA=aX&s1hnwx~Y{hw;ft4$6 z?8mob^CM^BDLPtah!Y_qL|WuWAaAr4!1*z=wEzEX6@~uW8WP%2z@+*gvxY>hN39_P z_laxjzpbEukrkx)Yb%KJ`~%+kf5_sw_usX6?&*&%i2p|{9_GKq;<+cXc*fS!(P#Me zqHc=VQ;F><;1>rcz#IJ^THo*ei`RE_5a<1C*Y|t+|6bq!3+p>GVN+m}$vl}R?x`?! z3^dd>DUsNG_x^)v9;vuX0ORNI@Y9xF#&7pz+V{QuJ>*vG7niF3#v+}*m&Ap*fry%S;-H6TFe(*@bU5YidS$(ff z5#B+ZyU2DJvJ|^IkBLi>AKIQUJHrHi(8=d{c+A9DL|0?7+7G?V2os08XUj^f(@%T} zfY#iq!&x77GHBL}v5i>~V|NEze4Z7~OE-8tK-p%zp_jU2*fmtiYM*kW<)b43^{TBs zr&O{UAYU%Fr{Oa6`PJ-^GK|hwnr1&F8Gbfruf(8|u1uHAGo}JfqOzT8;FrX(UkXXh z3LMN@M6%Q1Pcbfk)|a&WBb%%J^x9)(vuT}GE{mZZEfbic)fgRn#I#=VG25z?m$-CIu`Nf>vb=5rfW`u;9PM}I|5F>DyZ&J-Mf-Cg&eru z(fZ=Hvq#=$pJE0#LF#pWGrA97#9WLcr=K#L{{Gna+}i~wT67&0bZ%J0%pB;%KDtrK zP`Zs{>GW-;EA7j!?R}~#4ZBBqG34&T!(7SucML{OHdf{MHz=zIC^2j@;UmLkaW-^? zlD_ANP3`jV&rwrPma>j$_)$mqugb|uFf*;q{&*DzNMZV`COugYNCmc&4GwLeeKf5UQeP7KL?MI4Gz zle8c+~~k+XR{7{?c=e&Q|76}FETN6!pMK|)VM_wo5ta0<=MS; zdXRFpx_*iCVEg8q3aieSlC~YwViC@?{?15UUJj}F?DV|Z0FeBK42j02jG5Q!b6!Xa z(v}SyQcPAqY0}*On5gCDX;w_1RnvV+yrw6`k9lwK?uR-|gzfhRNa;IqWG|y-M>G*z zZ=0mSxA5;di*sYGw$02`Dw;$kXJ&4#mVWiE6UOVzR&w{bsd=4HT%KR)(|dIC<4610 z14EWd77vc~?zJv49H>0MlyojEgCW40LP#kJc6pHD^9ifH(vVUX>AHGBl zia#qCW9zp{58GcYJvD~D${dfs7~^T&#$U;t-(JVsAoeFjq*A$c1%lcW)qaW4o9?`# zDVDBT7clxE-&vV0pOuZzT|D@GS9`3*315Lrs=;2j_A~tUeMfo2h0C(qgHOwR*!@n@ z&QLtSPM`Q}mJ}yP4XW(q8cyHc=)Xz^*Ljkh%BJkk$Z&(4w?|>+&KEASSe44gM){~Q z!#s>IEz*(Jtz=0|FP9ojE{Kb&7PFZmcZnEp`Nn;%?up>Sc-R(ya5Ap0&Mp z8}k%2OWo!sgYbl9eD`$%9G4-BO|@ob&|WHrSNmK#bJdzmgS$ylmP%<*`c2vlKl=q3 z?VS|y{~{DPqmw~;_|0Hl`@>|%j1Q3j2`cRQ+-s_5;*JY>x5To z%G--1-()OuO=ZnDP;z=S$WohiLMQd1C3f30A7a>pa$eAm)r0NL9XtBNRaPvyT4D%S zeMpeBd#>~4p~jTQis4a)7@2Q)rcziji)_7f3D!{m#u`}QDW>zK30CT%m`qkPaX9v7 z`)!S=c0Sj1NNz_=4fL@@O=C6Y9>0wAT^#C%D2oq3wK6*rG-7F(W$|AhLS`+HMjN!@ z!}Fb8ex7DM4GiA|xPj|S!Mh7Qk{1XhhoUU!mho}bZhxiwQ#eJXJD4l|Eg440vb1q$_F+V-ixEPSZX{_Dxo82@AZXfH}dp_S+pKyB@`-qJ@)vbZG(Q6|wQEy5wJ7r}9&+op? zNY<|Re4d>yPQI6#I|ct`zvdiRiD7PPZnG!D))88_xg^?mMWLOf&Ef0euUp=4>%zAL z`8=+bsBC?(`~K-Yr@t`>G1pI|=Sbmts&ZeonVj@DIQ)bOSIxB^rede89I+Jhz+XqoR6T%W*?ROrc_F1y`+k5ZMh zO(?~p1KPR3XCb+PIvo;3wGsA0pNWKf+`%0%aqb=1=9yUWt+!dt-Re&Lkacpb6l~`) z=}s@-zcm9U$3#sntKE@2QkY~ne3oX&;xf^xcb0>Pvm4~TU}0iG?UOF2yc5cLb*}T~ zduMSkR6cvt&Btu%qhFI_wcMk=s0Is$4PT6PP5j-y+cyfnS1_wPci2GR zY(L6`d{m44lrL2nnsXPDJJ@}^r(v5wqJ&Rie`x2~V8Uj=LwvJkm_bI&jbUZ+cYNM* zuxuA6*3%~WeBXCF`F1JG`pemto?2qdwi2vfp$o>=+yS87t>?VwJ#tS!k|{k<&Xd~v zr*-6q$_|!FsO7ZRdUv0}oy>tE?7ZvD7@45rc%Vk|^<5wBU#ss3`MP@BS&W7(e7~JX zH0c`L3Av8HF= zHJyL+dWIZ%gmx>RWoj;7A~{SIdyhT! zhu??mF#!U;og;>Y4Gx4PEhm>u6Z2|qFg)%uzeY0Tb0aPM>UT@=$}YbcQzi)$5f!cx zYW5VO26i+wN7<^FeT*+JFnIa48S-G_F*~AUyz z6xb-plrzpS(9FRytzwkLA9uTczFtDp>8Pz+MNAyx;pY$YW?FyKBD`Ykq_}j&MX9}0 z#w`9EsBBfSTl~yydtMSv5NrVJuslqOC`@O4_ zlOp>iORU6mS3_gMq^P4AEtu``nwc__Y*_nUJ1nucbWcE9%;7vU1MTm72})d9!PTp2 z)G3F3_msA}j6|;Ni~nwjnfsZsqE^Lfe>zEtTmpR!`FjW6Vrk#cqvqGN*A4g;v7~2i;cc4`op*UhckIBHyjjo|c zkg`sa4P=hXFgf?R;g}Ljib?~|mZ88g=%HckmMAFjxpsNjcB+F}6{e2|$sS9*zV>6d zS@!CWEK?n*q&mo2vZf`VGCt_SP+hIGm}_9z%xtmaYa24ASk}aKPt_IEP{o-{N}olo z^&p4xPHcnYK{p;^XD!A$X)dBDA8l_J#745v4g@FS-kdQje`lBQp7I8A}b zSni`oLa>dyFSmE-T?qP{M=y%aCDxWJ+ho{-qLgRmd^A1nyBje@T=j zeC!=#ZcM;3rKpFo^6*D)d|Q;K%%uvJ{#=14X=-i_Yty&Q_?G@nHSL(9!O8&fdpNi! z1z>M6Z&9$H`HD@2aZogspx&@fg>FTq5ap$b3+gD6Vq%+i1zgT3aP0q3W<6MY<^(P0 zh*Q^~KcMsNj`qAXAi!3|~E7z%i&R^7}v#6`O!8E;0;r+w8Yx}B5WeErN-X35X%YP4L zxMXb5X3j3O-E{Wzo1nEl+A@p!N-urUos#n8>Z7HRkuC=kqdFGd+-^PRN!dlMRh^8P zU+5hBb?w_eHLJ(p7K4x3_2TCJk&2xUaZLLU;S6)RLj+2NUMVaMrHM zF7DD?ANO_n%-n~;pV++9Nsv7OLj*=%y_S74DO2CvX1*`Hfmx`b(wv9GexM;@>AreXogG$3&4PzLfNrcQzixvQN}YGBj+Eiynf@4^XHGO zTpUrWg5f6m5f;oS-oV?k{sqg@(%B;N$$?L1S1YL>7YLS$wO!GeA$dA(#q6R03)y)! zvvWx5i|a{pxuso^wki}TE;$7xo^!`k`twi0tNYPS59tt z^tOqcZ^NaMIi$TCq8PD}l=6pOI-PaOZ~r(cacpaS*1*DT{oLa4A=(O!t@dlN4;&Sv zPiZMIIrw>7-7l^^rP-C3fthu=HYN$irG34K=`!yXSc$1LcC6ZTnv)qf;Pi<#6s{t( zl&Z^0mhs>x84AMR#!_=@#XiHqjedcXntaS+xYK*~6TayNe<>e2wfx#<>|pu&s8<>c zr3lVGd-Zr8_m%cro8?sF$rs&nd#Iueq*WadBt^cF0d)tkBH)Cc;^R{3LtdsYrN;f4?O?0E8aOvl7)mWxo>ZN{; zaV7ccXwE(`U(D%l+0OS<3wD>mz5MD}8(50#)5|S!HP78e^tPNIGor6a-o-9|`g&@% z+RA;s%-Wb0=Eb{Nb9+4vo?SDz)>Q<^h9L*{y@MjXu1W$zJbBs~vo846w=WU%$3CXdf8X zHFwi}^ipGWmi_*@k2O}CYDmDeB&Wui5f!1+>3i@@Un%&0X<5F~ioFXAhg^fO`&%|xZVlO*n<7R*h7JK4I{pCxtP!Zn z8U_Q$FQ|a0<(+0Z+dl%PC@%}lJI8No79;DLI5@e7hJ|X*NZNkK%O0oqMOf}XZ>qCUyJShE)=bKI1&)qE0oRN@C{D2OFfCQ^Tc_rKb(6$R$ia;C z^sH5M$ti-?9reCbSNrnL)~osFYDd}xOm9pvbZ2hq%~Rjq zzkBhnEerQAU14e5ka{^??E2%mjeFXc`Y~4y*f%)UX5UJfbA3(lVS;C8R~J6+C~-?r zlhc#|D<`Lf*7EnH5l^$X_1pS(t6lG2$S%H}6n^l=RHewFfY>L|tM2;FUf+KI{(~As z9g>JTHb#aA`bP$_0^&Jf?n z!0yzxyneSJMRmp7!(AKwE1R3Um^fqN0MCc?zUBD#t#J6f?RMe zZBxCUweQ`_jpw&y7%ZuzTxjMI-|Bi7DZbTxS|oKZXmuZhF!QjWInH6_~BXGuSR>!p}t8SxO` zm8BQ`Tw!0t!K(+iRc7)qTLuMn;cFs?sMyor{V@2i5XsX~ru0T)AYVDsSj_X;;x0zO z?vJ?e?j={{j(ycuS#on2O7@y!>q%H1Whs9|V??aM&nQC-9^g}2PeQtQU(eZ(U*5jY ztIYA$J854FM}A$Rl*De68DKGs+xw;6C@;!ZV^21%@mr){PIljl~k+M9T*%{1`E zWl*xX>h9q{#0TnYzHpB$FJVz!eKO;!8C?jB#)^tS5x+k~h^Hpz>(`!HX$IjF}%I8;7W94xbrC|L&>{(M7fhyn82Yc=ga!k`lhP7 zw|f7!Rmpkofex`1Y0>UI`)#`jH4I|i?0rF7lhqogCT>oOp#T1@nTgkJ=e=L?Q0~4J zuV(YXE0`6-O9(wsm7^Eyei#mVwF=me64=W&@`O83Nu=bl_#FD47z(xwdbDYPNS4J3 zGFQn+3;3s4W24VMQW#Q?RgJlK0}DnUH|X4nH*I=4e*S@B%GzrSiZ0BV9~zOEmDd7W zx-MXbYGNplF49Ax-H)kQ9hpF}TK|m|Z!g@a3b)gCcU8{qowNFI<(54GS3IqqQi5Hy zKXb{82wIFTt3=P#{v_LLj)F$%@WCyrB@7%(ALCD^Osy>Ir1V0=x(aJ*xxx19WdkbZKa(!8nQCqb1E&%)M2LAQz!;yI6@SIRY(NJGX@(&Wvogdlo14e z+f#CC@GI}}o*7R1M0=potZZKwPuh{GeHSPoLn5k9#n$QAgpZIg=*dC@leOqIkEjPCnD;H(y zvt@`jzi^-Hjw(M-oqfnpd8vGO#X{9DELQI9>oTRa4V=}+CwRxheaa#8Uh33tF7ufa zIm&tG;f-V>k`X@4rem$+-vp{e%vSxn5Lmj^mF9G)35}C;<#19h``+wqX&h&mvDRxAHoSwd>og=v;|TXZw| zjqXV2rm=#wh+bw!jtj(zj&W+va~vq|4j*}R|KX|D;QlMj=(>`ghvB!5uXI!0`_Zr? zz16s5`LnwP#mhPlKMy+7-E(7zN{EM}JdNa1_Qj9%d}UQWU`K?n7*etqEA7_ukX^sa zw&Gr6P?Rti6+>O|urYWOxRaZ^>s-YP0Yhpi<*H1$fI-G%bom~HxycDvb!0TqjN@~Vc@h>hGuQTAYVC%&0`PZ&=&OK*Cfh_ne$=z(z*Ei~Wb{t7^ zeViE@*rejRMqVZR&RVYnF@djDs>HHMHkbf8zpCS_A1D{&O?!7&tm#*|=dkOHlW?q6 zh6I%I>Wq5`m=A(*W2i)#Agilea%`ET7gJi5*4%lFzD&K3M{A8|Z~P|kGiW`K)C0x! zSx2m)z@_`O6Dk&p&%!qF7`fo$=lR(`Fp!B?_KHXox+uu^VGyvA1Wfb zvTbIEv>iF|*zV+DPuD!(;~0ykhBF_6%Adx>)1m(GM(oBTfAEnFqO^oF9;4I>RJs#lvtCLb?RHXfxpSv0}ZAS=Y(l_==O4!u7k68(J zzZ1v=Oe(p9@mPaIkhf1xj4IX84P#)wVWjLC$+7h*M{{PLE`iSMV=*vbYbs+(<2;t`LWA#`(Bo_A_aU}STeM%UJ<^_XHhm1 zhiT$;K1(qm%1|<*l(E29UaX$onL?=IT`O5axDUy|BypbJ%OICz)(``8CSYf_Z>aS1 z2F7wF`Si-ER~~=#W3JeFmay9PXagi!4_xyR<^H$#z`%XAi}gy5&ozE{-*{txe3RUdZVQ<;&35(@o8(Ixl7C4R4%tEn0im`-e4BE5Wwv$_#^~ z`2E_u`}l?d9%PvM{9UWx@Nn3io6*{P1_TE--8}uNIn|;PVli_J#j2h!w%7HlKLz*H zz`MG8_?X5kgVW?grB*LFW+N{K#4rS=j7yZEgjqxFksMdd?#~IP9x{aHUJ9jR*cq4A z;HRHa=y+K6cdzG9boiLa^gj60%lVmM@GY^OhEgnpIcvrEImsJR!!6pKm}!tg%tG9q zvs8y=4hd3?%d>lQ$)UAVtuvaYsQ(P9S1bo)Ufi}_uaZ1ziuAcq4e^? z#^yd2!E8UI9m7?s%(UT(RlDlm?ilXlk$YAPc!Zvw3&USEOJFyzXT#Ov&%W_-eb-b} zY`z@6VaIF+-Nvqe12ST=GKGH`YUomw$&ecgTC!i$Bd0YkZ3BaXWoA;ip#^q5XXE;*cp7UVx(*cG^~P_^VdHqvREu zpPeNe_>*kBHLk!JJNGW@JQqDZ;?Y6H3yn#iL*~c7OwwDm6OJ?1oq7YBqVRgdQ{14d zOR#bAT}CX8sgWCp*%U(|=dot0zk=z(%)$Bf)ncvGRRfdTzH=!vb-wZDDdx6dKD)i@ zzvmXakXb$VW7A>TgB220mrCNjTt<%39Mtw4SB1pAxfetH<@H$@oqDMHK2eYWd|S28S<}7Hh4MjwT71 zYpbut+c}+uF5>y{fG51ANV-g=O))FBB!>z))GBG$AMw2oKh>%GYM_;zz#^6CV(=>( z^*GYbG>g_Qs)ib*lzH)}Jqgdx_Q4E=D^4L>KuKz{or8WP@*4PC5hX_AbNfr&R;GZl z_@UX_SN9*?e{^!*AK{NGSu6YS&wH5P{nndqXVX7?*(+x-t3Ghgsu548E|zW&3zGUu z#km%>Jk%x2!Rq%-@1>P^V*M{CtinKR6+V=I=h43NEmfVrsQTm8Z~#b;gS`QN~P4LbWe|9^`6J9t=O2x zNdi8lxR{0I&w5C$@3A5T4{r0Qft>7|G4lNo6qW_W)f|!U_(WNy2~&rLi2YSO{H+|! zQ~_UR+ew!AuqAdrDfpWvQxK3c$nOoO+}_Q?*-~)o^KS=>f1#Mog@<^Lv|z6FpO_8J zR4w~Z2p>%(uc~C>Zg=T?g?G+M_>9Yt@>slW;kyQ&emi;HI`)2lIcMCBTH7A3#^Jkd zp<<>HL2k9Kdu&2*vzizh^Dz$G{`wT^H4p)r_9-bu(0}l>RE0RR)lkC~qyCCq1D|B} zfH%9;;IMesa!TvkHveAkX%_)6^Fm|h=HrHuU#iuoeq=~Em9gYAS{$o(b8eI}Rz(e# zVs9rxQ8cA^=xxQ`?i(2gFj?IXB&|H)K zwHC9kDrH~!0{dt2Rmm@Tw*;7O-3&SH?r9kcb*m0JHa^|ASo2Tpw?;w|6amE~UMS;q7l;aY`dD%nW*! zOgQhQ^?e0#sCxJtMb?DJ8pgemAyBEaAdiJ^&I|OsJ@e)}xCb4toac9<*2%RFGuvlv zfnXqd8$6XnwTh5sMCv9ro=B3~+Zr*4>@|ls6JK*!^-5+&x25iEwRCD#@EnDT%=QS&;T2?^H0?Len=MPCWbYq+ zP%GQk-Tnbe-@8(3V*WTh{S zRwh_9v6_Y$642_(6U{oYMH)MO=VLQwGE?M@kCn2m80XU)>_ancN6Kv1w?WyriJHtb zVwaP3%qt1E*L)s`;UzMT117SHJ0UIX!dI&Rmw{Bd?(Y!>=^B*cnRqCO5HcLiYA}LOTMp zdcK^nz38^>pytwhWO{BEw8Vuya5t`uDU}&!Xm*d)g6m}wiRKP!=k;+n4&C#!NM;4t zWlAr?e)D_W%g2~q#e8AVZ{Nh$1b39}q|5a_c-+%wshQJa@t$(~wWP+#;&-p{{gkkm z7A}WVJlz7`)oBFMH4hg?@&aN9j61VBU+Wt8Jmk?eZ}Nh6x9hyS_wnO}Td@YON}2ft z(&9#jNuB(FR&0!Tf2)&^h6Ew^-TrTlmt0=qza|_Wxl{NUyJqvZ&onVagCNLU$*rx@Jc1uO>z8c7IJTcqG)4VH-*)gm^8!{k7ZbTEb?i-}EGV`F=fka|wAjyVF9gBv6sr;-O6FX51{X zA?-{)H|#E!nA0MmJQTJ5$h^>KV&5(;jF@5nofCVOi+|<*VZ;p$ll) z_{Xt$hgiZv|A$uPDO-}vFYHZr#=sc1!tPm)z5Jo=rx*9mOF6Tskg)r4M{kUsZ^q*C zO2Ox-o7vat`cE=5-3=8anRq7$?yGQ14KiU-$BP%|)8=iyXGJH@`aQgVgZi=+e|j9` z@VWGcmYjJx>#LT9R~ zz2VQ(R$3kW_|){uQP8(GtW?=CFQf9@>lp9LOG~_s!+Td(J<&_b|4oZ>h1u|AgWILc zxiiigy;t1ottWVIx8h{l+NGB+-hRxwe>U-tCDB_Nt8bnCv$NvjXFn+q9K7a1)BGEk z3T1CbuJ*kaF|~KMxAM~mP=IUS)7Ox9&XkU+?Y5TN`-)qj&Z>mMN9vXmpUznZjqtDa zl#cL9VsKIRfn+}RPMY?L<<>5z4>KptI#RhjJZQ}tuid6!+SgtRTVQW%X?Ef&{lt)w zuc1KUk2Tx*R&Vy~68ay6-u?}N(^y;K*Mo?+|AdwxkCF)ct&QXsoNH-Swsa0+C7dlO z93yy^;DI7V*jyay38Y??G;l|xk$y(t-v<;*`OJ++A>oL#n1 zwx^`H;7p~1ZvU2*WfwcZmKb&=ZOKRP+wPO5%zQ%JZ8t-@bWfd|#SG~v%Vkxi>_W}o z*#%9z75WupTtfQJo#553zrRDUx&MCLp4VGySDKQSN#19>M;Y3fZwOLwJ`}y3=&P?} z@7{q+4s)Q(*y@}+1HO#?lUdVvBTcHKzkf^lyW}Cp2vsZjV4T(M;1hB9fg(jr=k!gH(?Kd6`b$<^mh8eU10+ zYF_V!j>&zl0hQ@*r=Hy6?W=}6;wy{&v&^AOkcsz|C6M%M_ROA|#cXak9B?PFvvcy{ z8vR&T5_evcDx(-)yH{mWI$=dau2z$!rp+{`8M7a{D$PySZn#cG{6Kib4-~kCRVa4< zCRm#wuE6jA;o;olnf~AZ|9Wj>bKIOVrmQ_5(Vvq~sRNlXYGoFa4FoGCG>C}N4C z6CKHMLyi@pD5p7-Fy}MB_5OZtzdzjkzw3HEuhZjk@A!=_ep9H(R45&3;ZDyVyZ*LW z$tON(0xF05zb%kErbE9|9*A=v0=s#38Zk^)<00A>xg&O}@3Bw^Wy%O)79-P~6vCvy z4G}<~Y>J<$Tn#L0RIcJ=BjdGdz{AHP6Af{Sk8Cj4a2y}Rt)Qtnk*@{^lNsy|TlIfy zmTTLR`vh1-yoc>UFnYA+7Tw4K!LN$dyZg{%m=a_~t-GT*dO5bR{?_r^(_?hJ1Lrq# z<+v}E`-$R^Z63rr46O)HrDO~t7TSmCOOK_bZ0UOa_4`(Tu!a3v2majsYOYYSaH%V>#k zgWCp{e{%n6Xm8?0HO`8H!-7XFfGjfXP_J*}4Vp070rCS@FCz>}b%=*(J?eNG4k6~Y zx6*~APz$Vs9IHpF;tmVUKVHIxVF8h;ry)_jD8Jd5WkGH9Xs^`_i-18u>pG-`kn=Dzs<<)YE(K1#}H?^7Qof`*oCkKow5F6RpGX%E05D(8`I+$&w{6y>EJHPN~m}4RG`PW;*sELyt6?1`GF~BgG;Ku zDLE3}&SZ{htkZKbsLgD>h4D&h`+IAAyCXb4SWazMfq#tYtm@S8%5v z@5ORr_K1l1jh-xRNWCSrPR}nadtbVHL&#ukc<+u& z>+u;Ya*p96ro>l5tb9OY-2)a(MV=r?LI}+&-k9N-h>QqmvH>F)d1e3?U8RWQdyiwF z$|bS{NU44Gq|ntTb#G3l;)xQ{IxHu`+s_(u-28a<&0ceb+7(@ijg!I-Z${puAFMDn zyyrD7jrMKtb()g(W3D3F)jelI8$S+=)$jV(Ghx>-Y3=72BWb&rRPAzWTsLc@MmnYrH#yF z8r(%bge+l&IPMr-<+v?pZL9FB`n^H3HN2Mr?`+b+sMe97HjO46~Aq4gFSLt*43YAr@QoFQev zOwD_H(`_C9F2>ZT09=i(re^d@)6gHZiS?ZE9%a3e;{Rz9w;u1=&%O@nXq_@A4? z&G@Gnlq*8*MXTGv2jo2v^#aoSKIQ^j6Kli)T$(;C7HY$3FBT+Gk06kpj2BTH2aT)d zpLc~ zU&=^@x|e7qz(oIOi7(b?+RJ3v%Ofo6LsNR%ASL_nHtTm^HjB0!4ARWTEAyIqzR7Ct zD^adGd>$=v@&681WcEYuea+4Nn#UoEI^_4_zl)Vzejy7*JP=8Ox`CV#ETLMZaNKeKNt^nxm<~4 zo@4nr`Bs1bKJ)nMq6x0hElhA){x5TQbHt(7KH;22y2w}iWT(%ttE=w+Raaehbya)9 zqhAJeBWv3HFqLhA?aQb}G zVz4HMr-7lWdAE+*UX)cSa82@+{tiXpq0lW+aj{?1qk&`VrF*i-absRQ6=Hg4eRYdu zYe0q?W?3e4_1wBgF=l=}d8*)-ODRX$t&jWuJSm$*d~ajYD|W1f4mMIkaYpZC4u_-x zu}A&_x3eBa0J3Rx=)OpCYn-jT1&|K>l+U}Be z;`x@hLZXM>tTyBg^&4^9T@axTgu~ZK59A6cJSqJ9;iHX7td0twr;qx5x*{G}-O~N! zS0h*w)a5e4@oN~185D$<)e(5BbNIeK%u4Z+Yd11;AM{Xo*!t`sSKdQtkswzASx`*3 z>I$S^Q`^)&M6V}bO0p?6iCyt!vhBTecxnt`Jy2Jz369R;=5pT@cWD^=E8o_!O}V#t zaMyxdA8+dTNx%thWB}!^LXee^+`(qgY5AgEHO4 zq_dNP#6i8eL>0@t_MxQ*S}SOrt$xw-L#JG_Y2~eq+n$NKQL;D7uL`}0Nfl8P`D=3h zdmB(9s<`IonE$y}2nq=im9cmvdTC{OahMMEO4ZQq2yc zNMxoN?AtqJ z`)K6#oNZ@b!oN)VPY6e1W1p^5Qot7Ef4Ni`pAX7VivO_UXx#iLTxrM11Rq+~?M)|h z^e^P9G)|_=`|}efg*m7dr;lx~Xq`PW`~B;8^inHFZvdYgy(-uGUn1!m#qwk_VsBTW zPqK*J=MW`0N{9FbY!^90{z20aJN4lGxTIU^7FK0ilKuGb_P41bO7dGlfhXG%uP%-r zCixS2Y6WgSaO-(h@k#a4jKxOuIcH=F*Hr!)j~{1n3gM;aH;B-Rn9YhG$9vX~mDpb9 z%(*+eLiKChzu@0>!2R}%JVu)L;!i+LxAq?uF0tE809#W6-$=zd{Z z$_jwvB~N!X^%jgTPj3}fh4v1QjPwk|meEZwY*s9l@jkmxbV{juuU^Z=ZvRaOhUM8W zbuwp6aeKixPYU@DM+}!$s(p0I;I+fc*(p@af8y1xY;P0JrOY%S;e@O-KH0g?Lf~I~ z{_FewJSa0@v;V)~bQBUNit}Y#Sl*O)h>XV=h%%#<&O*?RT+juN9L5aoNB7oY!D2wiS!1IR`! z$iHUNF)XY@M$)N#M-uG(vk>s}!;Qtpe#f=}Gv2u2AFT=3JDXDHSYOaz8#1m}X)(vW z*ENSST1*WqPBU~lzPen*+S-Vdm{0LJ?2r*`50Z6d67Qw{{+UB-PyYMyMMcKz3%X(? z+KKjI>?(`OYhU4;Ze`>W%Dq)jt`&A`hwrc0Mn7`lwaN2QPs{OCGK_4&U|4%uS>cQ} zBYAZV`O6g^oG+fAKiy*(VZ1mM>lb$Z?K-J9@UwfJQ^VdD&PFc2_rL4r_sMk$<*6rF z;56KSbYOJz075cz$`M){W7Z z!O`4QBU5rxW}3Re!g%)EkzAD1=R)72<7r8ki^^T<{I~^ND0tW=Yz~qA^3GmM&yA{q z5TE)ey~a9QEQTaeOt0(uxaFn(kS=5+bEhrC*cTLQ9RHyt-|x@cmGtZ`NiqlDhBe$P5!3vhoI7NEx`)Li=VO6q$fio)S0gfH_9zIg}IJy$&nRlpk zO$I6>`9RO^Ti68Y?;P)cga45VyyUygSiXwwyL?iIZpHZWh*vcba&rzXFswuMP!VWK z?xkH{Q>3cY+uJ1LJfYreqSw46_1HpELUvxA@$9h7cbAqKN}g1BY%S_v(AU9XDT1pft5~A`}#r z)UO6F(d)oA^=R_3Cu)!HTQIoFO-cm(5fxnWoyY#(>69-&DpTipRF!)7u7W0)b*G)> z$=r%R_T43~#fw(XUvBs@TEw~O{3!5MyQA4q%ytOj=A)7Psh1V1{76e^Cu`NT3$@&A za1eO{+Jl>NI--}_A=l{5@*1p}XULE+?{t?Tjp60 z6TEtI#h(dHj(ZE0@f_ROh)wMj?QNuL`-pUcS3{srT!z>@7_n zYc+cw;remS4Qo65MXZs$M4P%;U;?qxS3WzZ%8;LP*p-A6ie=EBLRdtpBx+0OnfEOV zN(-kvt?uE9C7*(Q(xRa2{U_=wvV4*bW69w+sRBvQU&dsUKRzezYq!N{usp6Wp zU!!?+h>dOm)Z`eIXd>$n%75pO#a}NxO5gEG8(ww@}0ebwuUOU}S+Khnb=RKAUo-dFJfV}-Qg!X1a zMv8xg0LEOsTqHbJ)1fujlsWDnE1_9Vdufe{Lh zQbnccjuyC;z#+LVY9|iTM@*BAVe+wSALWe>0FzPy_HkYLPhzqSswhyn!|_2ifP>Yc zE@mc1?_8#;&)Y%S`WNMUIA!rAERq*ABjL!Bp2NfTkZ`t}?}M5*2O5O z%OzzF>YO&K9c4&n#cVGC=Re<)x!1TkHqwY45|kI&Ek(arp$r=Ga-`MClbPtU7Ru+m zbp$SG-Aa1zwl&`x(5cPd4ZV|FJn)Nwm@=ujD4c6$c~PWKdpm5;2Z$AF7ZH=D7%OMg zbSHVP7MNwOUq-qq7^{#Q8}!a=Rvdh57fd4Y$+Y&dSO@g5<${jyzmKsEOW6gZe3dHu ztl5ip{3Mf!%`jj6y9mH2hh6ZTFl$m0>{(d?+xJZ5&voF0Ez(50X}o{)Xq2zFnY07# z9N(Hly z^f@5qCk!qZkNL#p`_rKsMUXKT5hd;p{JodsKkm&yESgmPv{kTI?LlK)4LR0e$aDV5 zlH0>!`|i2ena&j8a~sT&8dw9vPmd&t>Rz_#w=1erz#e7stt-VDlfAO0-d&hop`${K zvpP#Cd$Q&4Hxc@W>(c7o_)Xt^d>rL_Rm{bPXW?b;Tj(6cq+N_>F&?Q6lM1CO_k4+y za#s8?ZD3azobA}Khlj1(X&m8t0QCxKp=4ZiUur<|!LVjuhiRj@^$!q%+3~w0@^Zu6 z8)K=M=u`YAN2srNtsZRmgX%>i1+_mpRtv%}3X+$V;a*hAe#{rB1@_FrUjnfeihlP^ z7KrGqZ8d3TFV=i6m95GJH~6qy$$0}Zh5W%|#u0o65(j@nRGU0J=?w5n zwH>+_Y#e}P**_`Kkna-(TdU`wd{6ke3&xt+9F$ z1-!44FK-`L{}gh4NvGnEgu|7eN#3oGbw0cFvzSf3)!!~$zMXq1S50v$NUehDKTFVg zy*D`+{vfQQI+Rk8d%dISwVmy1!{LJa?SelO98#@PgHo+t@g9{dspnT1Aq!eLru6=6 zs~CVpHa-H)&35nmYH+fjQPqm7B8Z#@51UV*%*#buZu;n9XF>}a+K%RYI z7!VwBahqa`PWWjX2Pk>j##7=B2kpn56dW`0FSyWa^TlAIV3=y;7@}+aDL5qPG0yg^ zZSI!?li;p_v!Ol&M($}PL|B0$(f6&+MX~P^VF95nFiMTTarQ5*VS0lrKP1sb3(g2n zjij!1N^=9Z`aTo#T=qeK5qw4N9?{F6iC$tW2x~B4c1Q~lt&^BYk=FVGzr?vzAkRTl zBuFYv6J<6Iz;idx}Gy(^~sK3N^P zH#mqketfh4sN{R?E19}BpD@+T_~kIdVdNRkVSP~#LE2lwAO7)Q#@(Oxr%=wfbM=c4 z=!K&+Y5{ckJ081QJJBpkz~qq0V8naP3FzZFvK64oqJlkflqeEH?<^tFQc~tuA4-f> zh-?7qR^&-En)aem5hqxgf4y_W94F3<&yKFg53;Xkml`o~=?pd$zy zX-Vd8eGS|EOBE8F$Qnt|@%c63q*#2u_KSaQnWpAMlk9 z)e`&|OxJBu^u-V*@Wp%&LSgXppUf~z_4^AE+UxpBT~lGkUtiOxO;By3Qx!o6jZiTMjo5|0cek*(Gc zfwF|t6XiU;0BO4v7CJ?g>Ne=f9Hp3V^M5n?N}OfU1ti5ia0fXTkc581ia(MMu&0g) z#P+3P+i`49Fqscn$MV!J&7a|a+;Jq1GxE)-sS)v#j9EC1$MJ{W<$WuFfZy{{!%z2Z zUwb2)yv35by7{0{d=Hv1E98Cp@IOjl?^a+`bs-A6Bb{e?W~ zO*eec4etJJEah5l@L-O-*z#i4SC$fm|Dpqp{SCf|9dcikLiQS?6_U>P8H-5nB|zKg z&t!JIrCUowmjBE>UL_pk?|?=qJ)Y_AFbbxaKc1{3)E_a3kc27tYLMaJ{F^lfosjez z$TbXuNH2A$Fq3`^@O^#*&jB9-DF(%_h*NcQh&dJzu=k4z&_ExlyWLnP&b9IXKDQZ_Dg`)DYra5=8yr3Fh2=3y%Y= z1k+plBlk;I{{?n%0_JbTc8(%mKl&iF-HW&>EYXMxOSwumU$aBRzhXekGdGAvK0M-- zb)kzx<|d|l-SE%YkQWH*l-~rg z@Gu`@am>NY(=Z_L+E5J1;slkD(9EDX|kU&33nYnQV9I)pfXW#2Ur$0vG!XP8FP-Slej^#iv-m(J{q7Hoq{Fz2b~ApaXZC8N1st;9;)!qu zAci>c1Jl5%S*h{bpZueKj9{WCV6Y5BjuPEm$D#0W>cPH#is-&m208~k=6Xd=<-3o> zVoypo#KjGzH!TvMr(Wnh=n+`HEzI$q4YbJP06ODKuuvWO3qyY)e7(Ug?S|OGf{0z3 z(M5n~3x%?528?qFVAmKRC>x+2#hVhpOv9cF2#A=5Cjn&YHbJAE4u&2{0BZAqX@?ut z9(9uE>*$6A_~&M+rXu#U6Jq?u^t7>Qy{N`{C-D!%4Jk`BWyz-2;E)!$u34q&Zo|E!-MJH5DRyW&xVXYknwy-`)*>XN(ydy*rt0p;`XR{ z+M|vo%8yLl9ANzGB!Pdpz_?VJy1jhnf-aLP#Lr5NIt#pL#Lm?yUp^5Bnj|et3wP|% z#LfIKEyMUlafH(_LoL+b@D3?B^_xt&;zE+G`+zaoMG$@iT>qwcl95f`2Oc1m0uqS<(Z|MWkAn(-BRgDw9!n^wB||XQ zT)%PA-kt(wRI0Jf!K4adY!e!pq&2)($Cnt)cOiYoTE++sB3N@M2)$HiZ50{ILGh0z z3yAWh5T)r{8KY~>((n>N5FuL%k#yw}ZSs~%S0{6UWl0nrR{^F~4X(&|t5oi=vws*$ z?$;VZ?HcoVQve)_LBseI%bSZ8=Z5dR+92A;rhLzy(a#TxcFvoHbJ z?)~d)H1N=6VE-lne+Mm(AfvgXNKzBPS;$)!Q+;;30~XL{lUFXoADr>H*yx*8%t7WX zXZurwyabbq=!Ix@vz{KR2^h6RGcq9Fyo-m`w-O2&{_iW)1*w9}Y0#IOD$eu)w|$_6 z3VH7};}45C?4R=1(V@!17Ym>h2Cv&sLln55*u0H9q`X;#(rqCF;rjSE?gN|W1nEU0 z5xpaYmF4h#+6KfeVY9RWlAd`wmf&lWG{4hq5$;a=#{2lCd5sZt*~6&D6gByZ5<}ya zFjF12BTe!3p0m@aro4~*%d?ZDR}JGBANB^OL=Y~)(5~iXZ37>_dxZA*bhLY0SH*lzHS2Y6yWE0JFZ82sxC^k+Dm>>-heh@xPb!Hg`ICQh zUKBPa`FnmXJ7I!9DwEkRw;Zr9!Nn;qx-G2-g=;r|iAu)k7(bS4vT-?REBoZ$N1sU( zLp^Dg6C2LtO%R6NTA}MTpF|Evly5twHBmH;H(1&{?PSXATxry}34DhDmHQEJ-0fS| zx&?>MdiOWXp~Ksky`-h@p&sOD9zxR6hPMEryL<(>9NrA72JE?IDi5P3ZWX#)Eja1m z{_GFoUX%s=Gh0*uEfg_T+fa)7BKRqwql+=l#MBV9R^B3;+!hJkZ+zue`+>bDKwMP= zaG(uGRyVXx5*uM+226pX!5!&M@Jm9#l1$lzDK3=SRaQnH9irI+&S*LETcT&=IiU9W z4l2=s-<^~|a+U+i5~zDYD3wSlnm2#ggVPf`EWoG~1&)r=-%TZHv=fYT!yvFf3q)yo zXos_vndg`12AW4^zBicsA^NjX1E$3kGJL%bvgYu}wZjw%hw`>c4;$A_qM;rfkMDhN zo|;Wsiv@?qku{i0feqgYSJDs6U76S)1;yS*a+eAUcnsg4{ z^!DQI_{Q_EVLgpt&iU_AZT7Xqe)X^w*;trl3ItqlFlZn{YHd;c%>D=4KV1;ds|9+B z(F!c;NflLSn{@GM2qlvz3-klth` zD^6b6M#I&I*bfX{(=iq(O0%1FQOi!fH1dQ&a2`Z}zUsM*YI^9w?WuESH5FGs@nOu#AHSfkc2MahoXakB? z@zs8_P}(a9ja{*}Fb%47o^BB*$jl7IKvSB{F8*_vI$N|TjM=z@;$6R-7(+Emm*)x$ zO+w7b!jEjtP)lT-T0@r`jukn7)K9*U^DzDep>~oDSLPR?dDR2Kd}pF-xj^zZOT$8X ztXt0s9*GtR5_&m2Vk`s`+`6oi$==0jaje` zA>RRQj~IRa9&&90`nSq@sd5~m(y^3;CM%{uG8skKvCFBz;%XhyvG(>tPn9?R;D#k$KaC%l6R!T z&x#5s3|d1Dt&~e)zhlfCAuihokDf@c*ZzscY~)tS^Q1vj*!puMCXE|hrXYQQHIxPn zh|UPAdqGd~J#;`(Q)l71zzMO|!l#|?P_?bxb2lEiMz-U_NxseARfV0c8NV;Z{@nLq z>id^~y!#Y?twr6X^8_*0hlwI-6=-xpwDe10oGb~{43I zeP+C@WvJivWQkgF#m1Ih&SPjQ0)k`RGET4vGk6jiI!psqI+TWKntp*z8Fq!g?FL=EJqN3_HhP=<>~hM_bzZ12wDQ z1b`O1@aS8kC4Zx%-}SPA4xs9Ym3q>Gzi7$zpV)rVb{0Qk*Oh`b1Ss9G|3m++6JNby zrT;EQ7nXKRc<1*6VA0FB&A3%gtN29-Y%0&mP2s?3Q>g5xd3nka414|rh>+ljr4gR0 zXP7^&9pfP;sq5@nwj&hty!p-^pJ~BSTK)ZwCylTM;(csbi$l^&TCbqDc$$>Qe%M)| zwmxqBHVJ-jn%jVl(CMPo)g*{?aU1OLy4XFL?4ak_J(=(L9NwSUt~%!N&^;S zU^=jME8gDz{pyl~WcEhak8Mi2_0N>V6aAfEVIs#qZ6DtJb$QGSwc7^2VSegn7HfCp zqAJ^R-x}CPE*J*cz-4S=aDwqpaGHnkbJ}I>^j*@6i#_oG<~`@q+Yg~nvDU)l__LS} z<7t}A3y7agk5VDU)5ruOsMpBpojV^F@>&{z-Y1FMMm!*Y{Awf#%i37bD!#0&I=qNQ zyEopsFORH|*^1GqRz0PEFsPoEJVTWKwz$upMHP_C!J^s*C=e+V%kuil{l)r`Onzkz z1eCo>$N1%OLNcqNJ1isv#ML06U+HN0Z3u~qlN!Q8`1%Tuc157u$$tQ&Akq~7D%p`A z?v+ZWXjR+@|F%Dj*<#;I&a-_?S#(4wedYqvba|A*LCd4+!q75b$rC$5eQ_UzpZ$&A zpdv1>2g;TtLc6}xQE9K6*!dJ1jdX+ylWK9$uW8%84}L7u6*LYjF8RBzi2X0`m8A=! zaR%}*q4{Q&5;$ROhpJN@M}7)2$X%lpnOZ?m%Jnn5TTy|oQ9juo{JRuznSUbk2CgOm zT;8AQp0@uj=`Z(0BuNtRq2;Kj+OVOQ3#OzcR*` zOr6|g{#EBU;sZudU1`g9#6N=GT;p@74*1rT>vC+*SM?Ly$`V1xAp>X0YyJU2Cj>RS z`9ED1!WqD#BYG9hL^lh_S>OoF`RWUpYJGZB!ZyBNNkb6uyyELCResuq8#?D9wU+6s zud+DkSrol32?~taNj{#kGvWswmnoqy;Nk*|-r-eWGYSjvJ(SrY7P)z!l^h{&yEGr7-7NxtAVm1W=i$0XlyL+o`?v$uDJycfbj39D z&03=*!89YYjdONS)Y)htRzLyg_RHpz*~7F8Eue!{a9y1>sq%1hSI=5Q4Gw&ED%;ES z@pprd?cUViIC;r~CO1~`BYTst#cR6Qc)vbl;m+A^r52ZNvZ*DXvCr+W(8^G~nZCOl zm8Nz$Zj_{fP?}>dA#@tPkrp0%KBFHU`BI2MI3k(L4H>5;VDL~YHuoi%V&tN1=LCgs9PblirKX`_9&u`FZXGBK& zo#s-UCzY{LD;YaYVC4wF#1lHiz(j>M)vx{twDm~%%D2|@Y85jPA5Pn{SUc8=oZ z_^~~llP3ug+SZizGu|yi@_>71kv>Gl@IP?!7vO%y&U=lh+Tp}idSttc8giBxx%(5X zxmt=Ec`m_*`}UYz&FUv@6y%lK7kOJyN5pqXE11>0EOHBHoS)L z^8VwX;*tKxLq05p8?xC{L~TC1f}y6tR6i3O&aSBJGcYblvp2o<86Xij;I_#ScQTvA z@L82DC&%N_+)rJ2x%SvL6qDu_QYowmaU4TS#Iu>kNF7MaG>LPY2}$x~TS^FsX}J+% zcw`)6rXD~GzrK5UtH6Ky=AjFng+X$stCfGuTV3QYxV%?*Y&!OpIDPt5rNHyud#WGA zOQ-FGA|=5W-{~@v2uJSsmeSYBkXHgEoPug1BN}I@xi1fmNFK-Holo&Fq60{p8izR6 zh-{|82Z)lL&>f?4E~oYt%GG%}RI%@dl}y=PiYC<9OyJ%dHM2xPsY;x7omf+LK*(Wc zhn>>3CpYgeK2AK{nU2C}{mGgz#1# z$q7yyBUm{HUm57Y$t6ilnH?HZg=3hYbQ`ZGCiuQ29=gA?QhWy`Izma-d}_CfGBZLz zSxtnpWB%h$GkRn#g2BWv-!{=sb z*nS=yn3F$3VuxWpVE$uJ_w3cO9^cK$_Pf)s_vMA~u|D|h=i)-kAMtbc`QTsYob%9! z{JKgzW7l@WXlRdS;jBG;KZz@x$-l?;__O?_?hn~W?4YEA*^UBdgM{kE_A&AoKI{3IS(xYGOvYdLQjG1 zmq7Qb`hH#J=+p00ETT~aU|tfmpIn;pgh5#+fx2li4JX+bZ4v*W&-9CGBcp5zqp1H- z4&A1>B&=!)K_#(ir`)hGnn zgluOQXVW@B15f^njEA^hv983@XP5m&Su{1Q8)2jOT5p>gGn04aV%22R z{*q7A=SmIY;xE;W)K9b=Ea)|a$+_6J686Zo<1Y&^HxDb9*BN5DkI}nNKQ3P{5fQ#` z1E=&9TQN_SI~+}WP2W7rZU3x%ML9PY&7mK4(@j6}*5i(;AZ7XHv*qH*_w*5d_NE=u zss+XNlScsbo%jwW3x!R8+Y09<77vel(h7@y4}wq*UOwcjPTn$IK`_mbL-g|U+I@*2 z<&1MjNt-G%gsu`-O}Y)cy|Gb}XQ?YBXBbQH z0UNzrDl>VrItuV8Bn(0wpFjJ)3X8ck6ia6Bu<2uayCznTH#k`W?2*y7qoN;Zv|U)rUV||CL(<~%UHzn zk1T(ms69B65xs1uv7JAV{#wKD6t`gkT)G%8eTpZoJ0`6d+Vsb`i4ik8JO1ZvQ=ZjN zWgEjiUihSO7bB*RQNQ4>%OZDtM_1E-n;o)RTh~0(*AmU=g(^!-;Absp{`JrV?uUh* zg+4cvD=^0J15esarMytz(zBmB+^qiv32MW=#M70sLd`dguRqmnxc80v_o4DQ#~JD% z_1y;%7SXoJST9ONE=>=DRQ4JH+(&57OE*1qf3~-hqG2T{gu)2$o=l_uW#LG;uwvj@ zGMvKYHj*fRxc)PI=`lVlE&BL3%FOWHuMQNYddB_;3PupsR%gydvZ^@@`%m=sKjn1* z1r2E_{wK(cXKV5%0>@=>Zb8MbtUa%HO#fk1@O*sZ@>}{Rr>;utJFglebyB{_guh5 z9ti&}Wy6I#W5dtzL+yhelb5_`>8g3WsipgGM%mexo=<`h%aB`ALrRIaz=074Y5mRM zsnGROjG-D*Q0c<9vq9(lyHsDpZ!TDqjEcyev*cp~6b)V_$xlas2!*7->+Pdit@x6U!Uz!_;{z2MZ-tyL>_fiInHhBRiAJF3npW-*fH^-5e#m(pPYV7^T2}&jrszfNGZi5na4{Hd)3qa`C*+b z5|4KGF`{B6c^kK~uPI z-OUgjZ+oPbjQ(Ojtl~WrT=}S7H0hsullPxxk95uLdQhOJCq)=+w)>BkNcJqg_{z;Y zD1Q{f&BKSrxq1b*c8ow#;uskf^AlI4_1*k=-OFNNz?(E@8Av}*Y2Ulrw_41M>_^Aj z)7c5325tnBa54u?K4CqgHA{Fn|C7YsXR-ndBG7@dp=i5>dKJqAXKiNYRsB&B^{ct5 zxle6k`HIdpn~xd8Q^*RYlkqi4zw0ssCvI!i@k(>UuMuap7^i(qBv~Kaem@@{FB45j z%Z#Zg4U&5SSB&`TcYw?OR_o|Z?p8+h_pi@NjAcFydu-O}QzLeOy{%0#P-C;6H zj}6Og3WYs&*dG!?AYIqVeQG##mPA-@b~|W`LDL5>CE#q(i?(D2VTfNZ`&mnbSIwKD zv;PTQx&QrC*ZnrP()pTsZzsKOT|B4a54{o5?U1 zkp{^^M0EHsnS~XVXu8}pw-zWoaqs2}o={vvF2B6v&Bf7M3$AjPwmSrRJ)8AqZ#+uI zQ!#aoG9Gfnv}k11oQt0T63hN%OfU$9sk%E-H=wFF z;O^}fOrpqgw3TtP^M}alP(T-Yw$Sp6e~*m$FiYRaTT#;8Jjj9Z&5Ahhe-_y(pz#1< zf36I0*S!xklQIz{#(#3IJoTuU9e^LtuDbi^J#q}bbUDs3$E;fkc|P8=KIvmj1O*?V zN9lWY?2CNsli_pTY$xoO(_=Ipe8^E!@9UhFtfmLa%`<3_2^R>^{gkN}v@m1wHX~sU zZ3uI=9`v*%Cq-wArvF3{$E5(h_Fl>>YHDiPF|h%v#_htatp)=1hcM4%-!Q=vN0~U( zphPeq7|Yx{Uvs<0lEf&1)GCQydDB`9&u%?v5KPJ_-dQXF*Vz=Eiq^dvzyi)>p*0mJd~fQmT6A$y?J+BMM05eF3@eh%iCms%->8 z)>{1hQO|dlp?V*8u>6PacP`}*#UbaSr46Tegw{Ip-)b$*Y&BmB*kh0n*Hl3cnfFCt zymJKxW_wZBe3dTp4mahG5&l4)yLdhCY)t@_D(hE&eh4IfNo z6`g9@LWv6mc8JJB;24=H9&_ZliLSbZ5!QH1K72bXrt?!gUJd?GafwL$=9(qvN0k|B ze_73ytjM*wIPldM0(V)vzTE-WNTTG)i-^RkRPQuNg|4j8*fLFl$B;pcZ0CH8Qbv-{ zIFnH00^PA)KSEvh zDmm5w6ITb=p$TTxd$fYx&0Ra*=WP3Fdnj~Dx#}t>Huh2pNIxF??P! z#(8sziSg&`WX0rlSb%@_!0hsE^uEE%eM?DO41Q^nT>U(ZL^cqvXSw~964@k3drSP{ zB0FIsy~$jworVNx#hE+Li6t_Sn>%)=)-Mij^Yl<;@vr!#4a)`gel?9w;jz+&-4W|{ z2ev=MDocl6eGSoQqh8-eYgEWJmBh!v*3a6VE{7aTMzvZP7FS(bP|4ZkT_*%Xr1!&~ zpG`FGW(DDMG^4NHreCdtJSOhKqo7_#)1JI2m?ZPZx|I%w)E89nahRYIV*r+als7sU zOlrQQBTlz7qc_p7O&uo8`Tdy|rk^EYsYxDI+Hh8CD|Ym{B` z7sQ`JE&uWh;^}fOXDJ}`s?UGUR6h294ffx~t5-ZcZ%`!u(Ir+gxWCHcELEa7JVa5=E#9bVg zaJJ|XsE(U=JKlR{bzhwnc}p!jXZBkYy{FGa_ zoSKxX+j#IBeoWc{VA%=qs8EJGj}tn4-fymSz*4jHE}tsdr3m_U9)7mVmVg6;59%ew z97aR>y^f7WS z>=(l9uk0j;@ELhqK`>$|J+xWv1-^zi<-%yg#a731%U1qjQ9F^F*xv`9IsQMg-ZQF+ zy$$=FG!h^Xs`LhbOjV4sED12D4hvC2tsHAiV*4807U_5p@Xog7L*n` zs7OF5Qcw0i&%4%p)|n5pvhpEWnaupleP7q_azD21XeR~}evYV`$N!+L6=Dx04g};nI%?xDDLL*oMQpA_?H}2jGE&P00>Z0c&(E)T`Cvo(B#Xnbl?i;f^-=P1|H@6O{<*{+;RMB&?q z@;r_s*Ki*Gka^mnn|`4+qImYnSu$1_P+S>tWZR-5ESJb3Bf|aTKnIw+!Kb=e0V&e+ zi$nE2k(*r|j}Bn_;Z&(R)R$O4QR#lq6&#(@+0!x^7i5o=%mDObou@<`(mK6! z)lcv|6XA6hm7DH~b}{E49j9vxgjs?AM6}+BQQ%IwX>=-@zuZfpi@-wm$>g_D#`%Yu z-?@nOlg_AX&MQAom$bpge%iJdZlvsZ5mkt!^v7BXjKl^7*FFot|3N= zcAzdYjeF2dpC0OVs_~NNx)s$ep4E9QgN|}X9ZHG#iwc+h_m!Wi* z-|23o9Jk6Gx1k)j-#KSV(T@hBAFV|{){K5^pZNH8;^WlB$8QoJ52Qa{P0x9qUb_G) z=}zhDUDPF6``zvHyJyOOuY*6pnm?eAKgd)ws6#W@S~Iv$GsM&>q{AungHxETQ&`KL zaEm+PAMSv*cN#@qk}2#z@vtPfG&XAi4X#vj~>y9a{u@yA!KG@liAm@Me=TaC~`GLU)ngF zpt@V9ijIhdzlXo9Ea2ys7Y|Vx>4wQl>^ic+f=tqmkFl!@l4g%nn(wo??AmL zY4zwM>dUhK^CfpW!WsEiX3C@Wj4(c`p5vG_-L_b8Q#x?5{+)EFWeu2o?+3F2vEU-f zWhF*0*&`yX(;4>Sy4HFz$DtRTtbnX`jdregWoD_dJEuDN_s{FzN3wCd=Fz6(+~at(RKFu-ZSE(f|D;jg2L=fx1F735zWH-@|B?Rl zCvE#qrjJGRS@vC;xE*L3kO$o3|4=xMdw3o`U5T`flru#0_W+iT)T1U0QXs0(;J9jl zMfK!fdDTFLRHq;GSo%SYgy;&m(Vepb78KbkIr^SkYi?#0qb1=$%nmU&|F2mxg*g*(RBaq>ar#)>DDjVkd?%s4f+YZeX1AL44Bzq)Bz@4RE+t=D5O=qqyEE10 zedn2eZGN^>gxh%=AA^Y;0=J>WcgY=j7XR6ygAVo&nQDsLkE81A>f~rwVDb42el%wEV zc5t$aPQPnD*X6zR{qag%*j5mEg4L8WKXVs1_&l$oLXc&ZptURhpvTXB6r)q; zxEv-Qc{yJhZNXC^<7AVUarTPRL6;Fb58-UyC0`yu#)5t^1bI`1jpFd(m^}IQY0cl8z9DeLBnAff##i zAf6{ZFk7kT*{w=5fskWQtY0{yw~xEEy`-)N&Cul@R-73Creuc?& zdp4sw^hS}mv%oJ@^jVpShqzE#I8f`HG3QToaS)-)8@dKE5*E#6#GY%}v?u?_lB=rQ95IJtd} z$}dc3?7@k(ZqO=oCJJj|JbQ8f|96^^eodAH+I0YS00u#D;S^dAqNOmnp#HByZw;?2 z_H(otgWLa=+|d79?qBo^Vu5CEA-+W-al8NyKLCaC(8?g}Xkj88!NJ9ivEkIoXG5Yg zq~%R-NUF(jJH-EpWL05!t73;1Qofz|dWT}^!2>at8i+YAC4%ae)*4AnmoTj4%y&Y88fJH*ZT!MQ3yK+%MwJt}rjA znf%AlP#l>biGFdJS#I=U?5VBy4KM2-Z{)9X%xK;8Qtca)u3WKMZKn3V02SAXrx>E` z5LQ*!4b5JN-MV(o>YsD2M}s~eN;!CE_v@=(NEi=N|6Rpq1t+KG>&VO|r<=r>6)S}t zma6GGT_n{=M|&f7bRHNQy0D9a`v@G;l;evh6gmExRY=8~R%Vr?wX}c?A*{y7su$By zb@$o%G=BJ=7u#>KFSKKWgXZfWkNDn)S~&)kg?&8Ou}*m6IQz;OfU!_8 z^T%OI!bO&{ttd+`{us1JM&29o3tNN|9E3tI3it{j9=B}FiNqVl10_Xi!`3r`&N0)* zRQ${7C|NH{qfW4I$=~hzhZEq2kcdmjmMd?WSw-a(u_wy0Bmr?4aWa04@u7|dHe53a zZM0Hpk4!gMr1Pv;u89oh;3ofnp?(s zKV7pjTHx+;F_m8NtcCogX$8VFu<8)MdA=t>A-ZndV6=lmutA2$7l_|`J|^?oG56Kh z4niOuv8HHUq;S8kHYC2G?bmdOa~F&E)EwEM8#erh9{32OYU=lE zl^CuHKA4(E#h#xarggLjr3z6q&J`j|e==03$aRmP%eW5()n6jkc7uH_9jJ--eF)FK zzS{hK+doTRkjS1s~Jn*fAq&awpBH< zTKQ7!VGnIEFbF5ZQVm0vJg~V2Zy3|nptg(jrM1W-isx^p1)QOBvOW$DVS%JM^*lK?TjN-HoC5eoU92$&m;h3-bNc+AhXwhsa(0)Y)8A zW zNpO8Qxw8J-y(WG+68||(r&zLdT4c^f>c?3=8&mt=->&OcaLDCv`jsWU^Uu7cc3q$) z+M4G6;ZUp8#_*lm>{q;;=Spw2nynu5NE�C4s(ldwR>EZt}sS$GwZM92~Xl{kB1x zN2h@C)fl~-!%sedd(wKDA8g!~r#;%ulyk8Mp8n(M1xEe&hwnm<{^V&r-d`04D(NRMQ|Ds*j(3e+L>tE3zmM;5|7?A^a66TG;#Oat#$r3I^;UTh7s7C zbJfIuXO|~(7&fS47iTqA4qk35mC?0D)x^eH$*3oJa06`piCLVyN3Sy&+>p~CJN2W} zU>h6d`a4yK)+uOKY};&~!}D4}bgS*GySBMW>cO}W^5g+oXorsG%%>%pw|WWzF4Fsl zp=y1>r|nbT*`PH;S^5#P>IwS&2{ha#zQUZ&K^62Xh$OtA%!UST-5}Rwq$%qJ4j>(G zNu0OO=UB=zzb3shli+HO3kK&j;ScKlVQ)NVfv-zH;5a*1sn4c)Bf#RVYK1(7WwPNV z!96^H;gLxDr%gp(4{;Q;#l)Nou@eVEy0j~VTJb`nci$P{5sNRBE&X0x$XafGZrVOf zDmk|O6t_!SrruKQ`{pI2X2e+@4KTQ0yzDZds))%usdq!%D8A+C-|bH+{(#w^Y0Bi&dQ4qIdhoNevwx(7e}vb`Ipy#Q$4%#xG`RJ2Rd zsl57bZngE%9Lf8s3nv1)>9L^^fA3tpqI)v?qK{HQz08C6CrgtNfU45rq9ac^B;+J@ zpx4ScI2@B>c!tW<5x{w$p!ZjaGLlIVS@q(a zm#V9A*WZ=>0+&VH8k-b(Dj9V1JYA*aE04*BbBYk(vr_QjLCIpOo3t~*FE9ilWaQku zjz(DJwAI6R%ba@>Y)T<4D;pYR!6o(aSY+i`3Qg-%snGU0-e&`MkhP1fsUYMi63 z#0k68CjRk(kQ9!1&_3!jUa=;&@hV33SWo`d^t=j?YB+7k_v>dK&p{hqB3GWBK`3gP zgH=aGJP370UtJ!8w|c7W0Ecwm3&g}I`P?wji8!4#NH%87?U0e-55JWro`k0D$ewTG zxxxe`$*T>2Rsc=K233D5lt`^0p?6^sjRg}q%` zeschn)!wa$8FbtuaIL^Iih66C66u%dY?n8FesXnBnj6`=j)|^7v`z4}Dcn>#X?!1A zbeLuJBkPRz(^#3l8ar)PE8IX>K*V${|LZqCyDG>WD0@&y*j~(|z9t=*q`mP#C2u9*oerEqO_~GkiA)=sAT2`~W6Eyu2F` zX@j2`C~cm6=;r+k&mHjP0dnUUkFLhZRoF8FE95j?J^3Lyu$Rw5k`8P#2ROE_K+lNi zi32thl}F+mO`|$SOmcs)O9{0C-m7FAkPS)} zoG@T9D*n~cvgVV4GAm*}C>&GSef%X*RKa}Eg|caYA;`QMj!^hf5~O7Ol%9z#cR31B zURtIlB-A@Zq(6yQayXS(xO*aCDydHuI>a&>%H5Xjx!$z&+M@nOSz{X8*_obM8oN%~ z-Q39BuL-m05``pSjpr!RK7m1p2ES*#x`#hzv|y_Hm0Q7`?-&7;t|tO#qcLoNP{E$e zIX}-_lZngoxyK>tJR#ezN44Hp%b5&uuj0j0$Bk&v$0sr8fRr+7%_Y?-+}&n3=MU~} zwVezGXH8}}9@gl3%U$c|iFI}Hkjzk7@yNd}>RQ{<=7N7Zjml!eoKg2p{U3z6a!ARz zQewhzp>)j!iX!N;g2x-VLh@A@h|Vl0I$QiDN5z(wBuIW`12^wVY4%T_|;=)3%m2hQil~NUcP*g)~+01(-mL56sJm6RD~}$*5e{U zL+%b%DqigoPu*}Q5L_#Xh5X}s`Ryhn47&@#ajxRo!Eep=uF);~Mr_z!L5Y%Qu%$C+ zcYmBM@f&1em9O;={!6@8r^;{!L%$2vAY$>K^jHN~^UT~ns53;m)*P~Cm-baEkBm4iiiul1=;Ho< z*UCPrF~{0aI`R;SOYZfYWmKhIg#pE0RNcQx2z6EpT$&!~}#cWe8 z)uk4QZ4b(=edZXFj7M7l(5q^1e&NFufe@;KYP-WK z7uLzwJx1gnDf-Rglnlx5GGvNlp}$3(4#$t(aEW{&l z5mNp%vcDF`_Xp5qb9yWD73UcRX_*M+?7~CWehO?b>%C*o=iHRM1hM~2T-q3(Al?&I z*NV*$xp%hFUi4zQ1N-E65O)V*gBD#Q9oHgMPUE-bIZ9kwff5C!%qDehjJbZj4oa<+ zOz1kofNfQ2Kh59K4z-W7EJ?L<+RgqZm0OE3?nO$v_@GR>EP5(GSTeqw1>(_Gd$_Fk zY~td7z`at;bykSC>h7Oui_gv)ik(K=?VA%X`XqG{Pq}6sKfw!%x7n5B&1W8$^*RI` zefnHkvb4EA$J@*=p(Kqj`Q#~)996yeXwTcTwvk(pLKbRK&9gLNNs%ljLSoYWkTR1qOfFA_s4G)|u(5aP|oP2X?TWnr0+Y%aH4CgOF@j`4Ox6XY)j1egQen3aOs^TPI3ZVr$*Unm|S1@k;bJ>ZdZ+Id|)P3F_L{ zsPrdoUt?dE>Yms=Ri4t!th&#NUMOJDiPY9q8GG`#|0?`CG~58OOcn*l-9zE$*Z&%%~=w3KZLZz5Ci!lda7>&d%?8HOn8yZ&(R?6&Mm| zje0OC{;()yj{uMA#P!!el#?k-1i>kQ!&DoBwM#KpH+lpQRp)mwNE=Vp-#Ah7^}+?T z14{?)DF*GVw!f5sRfpQ#;JQBf9e{a&I+4td1o@fl+MJrLztWsjo$chftcz{D9mIG& zHqk#W&oUZRs!WcEUzYfdA~^4WELn-h`LQeIkhu4}h>=?Q3_V*v_ng z5`>x47VB^sk&0hFCe79A36@{TJlDj`Eyh?q2!0QVe<*m(O^aLUk`y*Wcwcw=Aq7-mhr zg?h)a`|(@NK~fYmJ`fl(CLaiMGS~Y$WSIUVL(=`^$gA(4 zr*=3hs3QhH5X^YPzec>>_$d$iMC_b7x{!bil7XB){hfrbkA9IGA0;jk#B1b?gggU+ zX8-Uo=^VfJ6;bbL##lU_eN5sGTZkaa(z5!oIu4ssl|JJd8!7-<|A|xj-U@c$^E^Xa z$FG6!krv4-yg>fjw}^|E`4P{GQNPy|&n6;@Z{;T0-l}=2GC2E3bxEpyRanJsBC)yc z<>f7P#7*3nTSa|PKWZMWZjQp9$6-<*AJ!Du3~E562mw1?sN^fenazioD_-j~RKnJs z^%lUlfwsB1o2C#;<_MR7fvxyuS>b~7`ARPj+9T`Q9C zJ~8A3L~CEzyVU_ZSvZqXE+(|5aUdi4ztjG!e~)rB2!r1wp`>^2j=|*)04L=cZyfod1 z^KtA}pOMtryp&(+_t59g_h{rHg^>JjlB-S7|Vi8?ac#<*IYtjUo9lR7DHb-)5BB$O=4pGe4Dhc>1Gg zq8agl86%0&JQm%mtXUt3yigs*EmWOE{*d-#Vpq+M6Nv64N51aB`SOC0`;bvP0c1E- zLXdJpur!n>+7_xrO}r#C82qaRcLf5sjfQ=`@2DbLrd(!~i$ITb8nDOc4Ckw0qA|#iOW4BZ|Y9B2LLgc(Bo~@Fw50&pt zHsan{9mjzQkT=S9q9AGWFA3gZ;iv%NmHIMd-mUH}L*lJhgh(m}tg(*fEh#My6Glpu ztUq;LD?mkqGYe|%^tEApGu`Kn^6cg5)OqTfX7{yh8HSXN$_Ba0j_ z6R3{mtvX!)-Hd0O`h|54rj87{evEwk9OczsW=-mwSOaa6zh83jyu~qrX7k$9AiyO_ z0Tc$0hpFii!s-I~T!O3JFsG~-AYsvFrA#@%?tQWZLePeR`n_qkEZ z;OcpyMFtm0#&aWjQEisfL zbR#sf0WPw)$YvGpp34n21<_FZFOQE#K5wOib5jgICR4<&e8ft+s&f&b8Eqklqxy!C zSChIQXcSwxpXP96FaDTiJWT)2afB@cON6KguhOxJSIl=6Tt98oCh&2KT`qA2-FQcg z>X9I$z(l$p%V&0X>H87gKz6Xo>>=@{+P0$ZW#rIo8!5A-8*oxS{Hz<^Ri@6p9tR4S zIC{Q_I1)tSICysPb1x*iwgj_?U%z@r+VRV?`vNoat3Sf`*E5KR1pa&+Tm*;*i)*vG zBe(4eA$QM^Ry)vTcY`?)j;lxFdWty1-w0?p52Q9FzzA3U33>kC3)je@C)KN`BZj`e ztj9^nv!cqbB=fNEl52PI+6gg#8BB2UpsbM1XGsSUfl7e9rPx*k z;2A?+U{(;V^ayVjnD#h{UrH!~v0EoXl zvS&tvg^h8rM3V_s{yvkdp=FHd<0EfpiO6#|rx^}s-PfC1Fpo) zxWnpg(8BRFapTJ#)XxoqQH9dL(#FO^%(Fg6)Av z;5bj89Hw;Ft)mU8CZOiWY`lQNM_)KS&tuW7z`^$|G@4MmwWtQ7UOy{f#Xqkyp$|`U z$v*2AKGB`on*V!N(g-%*RVckit~XOx$fo8QZ91D}c0e{PUn5g67y;x24u#X7LI%1@ zdYb*VQEQ%Dj@DtYqIeX2}!QtnYK)uv~7C>eLcb{n{--oSFNrRGr4LhY# zM}S{CuTy3Vh-l=&^q1{!`O10yW`xcn^I<=ejWGdF0rK^N=zu9ePg*d~Cp}>e@X|Q+ z6olYX$v^+w7|?L0Osj#EvPxm%*gT*^?ox^=vQ|G~OS#Y5CZO~neZXN&u5(6-n!n!N zbs~Uut;NAU#X*M)pBV||zwVQEKo-v)C)6G@0yUp7`uB&{+rVbYXFNdyP}<^A1r6S&qkvsk&fmak>~Yvt7M)3Q4x;hq zgb%L^##NyOA350q*`{MwEwX1aA4PQWHx%*2v8&g$?$Z@eTQzVe10yY13s_Zek3a}& zJ+{6ecD)yW;cSZ&YPf7k!rjXH_MIR+Lf1q->I)3^@YN|gi`=4CsKNCIjD6@yMob!c zo`9e@QViGmp&@&Put5sM^`tN?$;cGX$>Q4vb$&#>f4gswif(iHB58m?|7Gx;;-6Fp zwR0iskX^;(Zaj&4ZK&KQiYE}y<~pTd+qA(73JJm=S&5!-giPUMO;bOlVQM*?(2I^y z2T>ds)n#PGTwW73frYabxwAi?ir<2eqg8>LU42`o~?({Q%8oeu}7-(u}5dg{6qK+vSce6-EaX#7`?S2s zDnchh<&vab117%#M$Vk8bREOPY`}^g8n(mKZb?C07@cyv+-=<3)t&6Bkv3{HZ*}I< z$sh0RH}g^Gvq!Virmf1Dfcw4x5WLuubEw3OKezn!!)vx7_F4Rg!dHb-0J}HuhgJa$ zHz3Snz=28@PRoA)H38Tfj^}*=hyU68Wf3|$+QC6Om~8TscF>PJI`uudCjxk%5N1@- za+HVGznlE^bZD>{zf#5&!+00A{2*xki7^b1qQ} zIxV7kIu)pNmviLk^Cu;w2Tq|&LdcPvt1v=@+@rQ@2-YTk{2WMFIKKRHH zumvlNHeSW)VCvO@z(Lk^k%us$P{jH%`vP2?SHU<%q~*6|KAD@8iMMd#HqUNdCUUZ3 zI*x}mVadHyYXAyek3;-9vPC>TP&??s{OEq+S0g9_c#?i$R*5L|9)J#51dconNCpV8 zYSRm5{8JwWGwqP2RA^xLMy4ewY5W_vnr>Jcak{y~LFWT3?+~!GMN-?tZPG;IKG~0c z2E>7{5mG%hYNK7cpc1SPFsxxSvWHC*Cq5&&YCd4GkPe4GBsGw&@OWF#49-yAQxwn& z$`X1D-8IG7^X*8MIhXj}qVA?vPqE8Z3`fJagCuLuO5$DGJ~obcE*E;{vwH7r$S@LQR1F6)J4o z$Um-gfXAo~pE|p3%Dw;c_R+Q5{CYNpjnck_5I-AGqS>XVz|C%M>pF*s4K&Kt&7U4?_+v|(_CQG@Y!@OqSHVj=wurD-54NX|Y9ti1bF*`i?n?;Vhm}>s{@y z1xdSpa~)F9PPuGc*?r?eZtHSgU_syoDqNHkHDSh|JV?IMj+3Ewwnf~X?MC#Tnqh>P zwU$Q!8duuA#%IW(S}3HL#*5Uq{Lp}74S{UT?=!0v+PddHLy?1yg9Rdydkh{>=++}l zv{7HoX$xG8;OL~fT5RM*IuunWzuhFFp{_6)wT`FCYVFw@S0T8Zl@vBi(4^#O=MEb?}v`CKnnNr0Ryug4?idcqadTrOk({5n0y+h>uRF?+l_SWJ7{6%wsljw z+8Xdv@iz~S9H%;_Se^%&2ThNc$c4H?F1FKuDhUL-a;tH|w&;x!n6&7YEh zD>bXOkQ1j}jh|~#O|t%{Qgvl5Vn+%9ld30y^dKb%i(6tMG|N56 z>>H~LBi|~MeXvVsR{#Tgs-;RZx7ab53`PprB2*~4kd)nvG487L(Tf>N^Idc7FvgH^*V^R>-n@8 zfBedz>9G}e`Lr(4pHE4hV&T#{@S+Cdl2nNB<2&Hgmu3LHF7ZHXm1)EQ%lgWF(KA$+ zwf}nFOvkdU&}@x5{Nq6Kx)%Qb-eHrxu9Hu}zI{dDWNV>i_UC6W-(04^usqaqCX3{E`Weafr(%9G9NIfh!yCef-uwpz&)*uow zWXdEGjM@IJToT+b=YC-SSvM{aGSilO>1#&c_A^J`la?Bn&O6*+G~*%0Kbgk0hD*cL ztIR5TWl9bGx?dA0l!eecN0;TjtLxK0%Qi8Ggk_(~8OKCewZd}{@m6;p%w^=e#fCLn zz*>O&24rM3BryZa19ILy^TvIB%N2KBD#NnGQ&nQ%S(4afwgkHjBYJiT_eq7L=&5tP z#?Ng$cO24Cl6zSSuTm?+4bcjQ>$Yg~UY3}Dp;vu$&429*bR_CiZS@TODwo*44eagP znMGaccHYZdqp6986ocmn9w>_?ne`^-sg}2~1h3Z2w8D%O3Gjo-lHB~M`5`{5X7<6M z6Q4u)%Dz^rkrk>fqXAha^s~VOzGJ|PSm1ur8RVgeVs9_nX^};|E|S~vIQz&Y6TcLu zooQLk4U7DO3&v`sT5Zl5T{$m0rjz2YCqcSB)7hHGuafa6>3gi^XTOuJQE{G!5Pg)n zuoFixGCbLl8sIVZyJm55anawhBi{Lb0Zuh-!c_z%wHL|UU_+`&MH`y#Y!+xFZ zmwjq1W~}ZvvLKDQN*BJ)pzAa3n+GjQ-w5A}F3gMcdG(UuWQ`tXy5@ms+S}+;Dfo8QGs}Pf#{tqBMh{OW&G_3#pfoyX^e;Mn} zI-!r@guaQ zX%ie)XK?S20qgw(WKqqe`tU#q??-DnzKrvxRGqsB#I&dc=SOEj4_jbxhNyb@SKO6D z);fNPV0G=)h|cD(EnRb=*qUH1*va=myEyy!z<}_rUvVkq4IS(fFqn5i`oYdRtH2W= z+hEOMD-MxO;k+OSoZ}hqt#gVW-(iN5Isc|j(ItDId*;2RFG3?~wswHAP-FJw>B=o) zvXH{8&u-#DO5T65d0qW~MGj<)|9cDkzu&{@s{i|x{U806x4+ko>*RXqw^wl1b0!P8 z(#rCAo>UG%i7@g5;g|TXZb-_D1|~vETF2&wqR`d4#`s`Vo5t?K}jEF-Vmnrc3{iYr$0)^R4F_71s5gLk-A&z1MaMT_Q zp3_hb4t7Qbe zn#9;n^nK@k^K-gpYZqX6P2vD2K5k-kUN3gnCh#tlKQZw9vPew>3CR0Eo-U6oguF0x z=3^5cZ37zg5WLd@9Y?U^f5Q@uv*F;bJ@%61REw`rVn|OzUKWHX;pPOHGjVe`nw2R7 zLr;7f`p6O#RxOlpSC1AM9=0X@wwHijS!Lg&aq-sTD5i|@cK&~-BC(0=J3`C zcl_b9kGzea;C~rx4>V!n16T>?swpi-Oum_=hWC@f!=vFhln&^nnTR5i3;2XAImNM* zq5tGrvbBlj%|a^soSg(fVG=pwl@yB(KjpM?oGhVu`+O)oqI5DmmE)?~JID{IJp#`a zr(&;bOXC?529s5=X1ZN&0-*2^^2h7cDThQ9N!`mKqd3vaEFzBkZ|H6%*iWC0+r~9{ zo;)g?Iu)NJ{B-I**k!NZ05X8r2Bwp!hy~P{!bDf>VaRHG51S@S6<2YxnY_w=k-;cp z`L7@D6^ce)MuJU~`%y`Lrf2Q~#ghtPiU=>++wZ&MHdZOeIk3Evm+l5XZ$X`l;6p58aX;fc_4xCWpFHmyTkyM6r#q1|18!$3CzNA+ zjjvX}mHGB|*?XfV#AA;(B=a0=JA3;FUV$Vi9W=dpXkzW=#SZ=W#hU{3b)r^+?3oIo_5s5*Um-HLf!R&p zLyEtod8aG7MSQW$BX?k@T`wmluCB0uQ7=0G&kNFH{Q)v?JDjT`SL};&p}u%q?brRT zrE;-ljW5&qky|!h*;9DeFoW)4e_r%U?C+0U z%XF^i(EMj}6*A3u&}@POD$ca$`4UN zCuSl40>f%qFq=cIS+w;PSvaZPpB0iU zoi8z;#o{Z1wbb>HY&M$s0=we%>{xzQ_20zfsGuHXOJ5PTe^g*87RwF45T%q+@cvq> z_FM91RznS2pjaplXor)z#;0i9GqO7LTgy|%fxryp%>#8GQ7L`sB8>69!iXIHqyY_M zQeN=yLAjdn51*Wvu@Q0iEgQ9c^8PQ^z#fCEmJ4J)D;>DD0T3LjI+q#OZv9e!P+oo- z%Uc&uWHSqplFBsL^MabMr~@7lMy;BO4BR3)CNqw#hG+hm5HVXDFrWhB1_=}^8(6Y5 z$COim0Y@$l@^Z+2`d7j55ZzE5;f*Bs3QY<~^tfAU!eb+o!w&EMv7YPxy=bfSx z%aIV+pHB?7)6#m^=^tCdj?uS0;+9gJcg8WFr6^zwQM%+(uKh(8T=5@M4>Ytvp|hDD zKf#S4RM(L0>Q!2^&c%K1a#7pd2JaqQYE!#9pw*z-tQWA(hpZ{we`cg46a6V}(dvNB z`)SwHI7=U6wwwuBR8E|9KpQz0W`5xs->GKjUL!N=&NPvD>-Q{g=ZFgbKq{-5rz6!B z@tdKp4Z?Z5guRSvpJS*g8|bz_y8Qc9oYVNLrGD~zR|Iw06~f@a9=F*6_!62&OEPYD z^9Av%RJedHPk0qaWTYA{ECoht|L;&uXgUO4>1s|7=a$`tReNlK;Y z2B&Qj#pCx`R;QFT;aj%-o8)SR`LJket~5M4`t>T0_)}Se-dN$!L7r53ZpZK|S+atB zjJR{_N0!KZ_~z}*KXiLAF9Vq?RAzS%Fb=8UaQQ_J8xh|7N%M3!|4Bn`o#2|rSzS4S znZ_vwo)BCjTa}&=S|Tgf$RbirLMosa$I14j@Zzu$$q!L=#*6G{6cSK&ZSK%a4|t#S z0((G`xOr!^11^p%)Irt}U(79MhxxL^Q_&H}LIse?l)72G4Jc&{6Y3(@%R+)?@g97@ z8>YQrz$@U}{w@3~qn0Bn#&dv5GBcU@6y?rpAE8vJMyl}0$Xety!~E+OFZ3EK$dxdF zzG4H#E5|?5`^!I}4EeEmk-LztP(giIdueS$ETY*9KL8vq4akyZ9IrU#SIp_) z&w7KeP|h9_wjXDCZ9o1z3gHWk1a3Lg6&f_cJxXpFDFaVe?WM@P>g-TuwXygZULMwT z8Rz!cjyilFRd97f6z4UT5X0-CjtY;Zs0jnctpst^7e=OWBbxjJ_e%EsF=cM;95`a{ zDU)xH*J&C@EA}`f8ND6Y5fqP<48S@v*$5ol5FoR;1*wsy&ffQM$>LZhl)%xG*`%W= zpNYGM#bgT5j`x5ZDm?c2+~~GhBO4wfv~SNPKJUS$u{+vY>aET61m=ClUDlALG$AU| zgaX>xz0C|HigiwUk!v_JA$fHVx&N6mvyo`1SnPY;+J@&HZMAiEdMDA5B~$11w3vTo z`sdU85*=4$672{6;Sio0^NG~yFEWY`}b`*5sNRLEIpVvy-g5o=_5pe*Zp5(DmkUuexY{ zE})MwcfE81?0LggFATh!|H**rCitSqI}}O4c!%*rNPksB@qQq(jZq;U6sM3GaVIE{ zTLfANI>(A}6rMiqw@rs9pvvz8hj(y731t7@%C#nrD$+5ID%)KOXAmi5PiRM2(bYrh zhc_~2-8N`EnQwvHuuyk+8S9R5I}|pn5wU_Zw&#sgs3-S(2EltK@b@;U+;(VSmBjs| zVb;<(h+_li8;m}v@C-sS=Yk>|J|@XGNrN!mARdwaX8OuJgwd}KBv>Z1nAc& zz+jYGB?Zxt$c6Tx>?C8?lRaHdgqR74aAK=%-wW^JkZt&YRUX?(6l9OYuN_T(bay=+ z!wa8VzVj>74oy2O6-w#!WZ7wvkVD(V-WlR=eDGYisaUNu{ zXEfco7_v#1x+O^5){^W{)9}OxNk~h-d8LKhBJr4r$4c8^^2I5lgS-qfDpl^^WVPEG z44NjerOvO}On%EGN^(FJCiKeHmT60BrKWF6V%XjIb_s`$NcrFoLaRr^PyNkbJY;|{ z)-xZw6_ute#wcC-ii3k@m!iMLVTN%BRUG18qX!gDWu{j8L;B;st)y2f+Z44q`2WMy zdq6eSL|wx-J+vf%K)?VAy-8O>54}heMNkn!lcLgvfJj0IK?vATDWOQQQEVU}q4y$U zM+jB05t;@?`Q!7x-~WBXTKAHyyONo?H*?OMv(MhY`jH!bZ@H27L%JCS+MB#GbF*cG zxYbpCV9P}~Y^XjAn&R{E14^N^HihyYw)UDV70czchz8TInWhKET$V5=j5Hja1a+o zeqwmN&*DFqOL3EZ1Gk1g$~*SunWgQ;o+6f!`DxDr zmU*FsEFpS}?SfV#{G#J}t~gDK+<5d6X1R6X)~sOQr6dXwdLF8(8s`GXi{z!yhGDo= zql1-K!=QZ`w8+Z4OH{eoZlea7s5TP@WQ7*{WMS(3HZ%WC-V`&0EpB6W0pW70u*_^` z*rgIudYCjKX|Ymc6K)G>qkt=bn*~kOLR{L8!#y>a0y}ow4qXj&;|^?Ls0M_uTVzn! zT_A28bIj3Qz6qk@dxCz8Dg0f_OyJ#=Uo!TI-JI>~{bHL`zBWr(=~t*E&IDziI(WiY z(<5KLzn|@bIzt-Td;SY7?~r&-JP?Bt(AWm1m-xN|;F$pk{C6RumX^`x0Gp9gH!>^w z^Vb?&Blg11dzio%{x+kFdL0C#(7`0A-Cr4bQhP7Sn4WA9(X+oVQ_#;l3aBe!ygRDe zRj1<=Nqqnnc50NLc|}s3@nK|OgnFBAIk>FTg@bbu4*=u}CPydXl)#!;R8rd{L@ zGK~TaY4T!fWgf@{e@<1kt+N%1e`cS2y)T(9WM?dYe8}&>k-Ce3NZU8sHM!WObm);bKN#zCr+xeHsQSGX%||kqzt>0dYQ1WDW>{&% zW(mn2A*esszGPmoGXFK%!J;W~YFZuO>dploT6o6N75&ban!Y#b@g|F3a3=&ojfzDz zd!Nb)QOx&ch>KE&hSa}8qU>TLp@gQc@T+`#4XoGI!iIa*nzKiJe?l_U622k^lj_hZ zT$(sHaSEBr3$D`8aIR<5RbPHeNnT_8wUtWag0?+2eZVtZVlAj;oLZC>#QCqUVWAE;ss|Wi$=rHz`)Yt z=P2i^qf7E^;yWYHRyRm^)Db=qBONk}hFIAiFAKvO!0+x8I?Q}WU8Fr))M(CXg|({z zxOzmzL2yeTTTa(a+ei~od=ixEe&$OLQ)rE8qJ%`xB>~}IFx#1U=}g+O;5m|ht1Vi@ zNDU&BI{l9McmYuDn&r~;g+gOI)~+`V76o`|KNa_Coq2n3mg$W8;d}rf?zeV1FF?_( zv5-|h-$j2}Cred!JsGWZ!@iL{ZWSiL>D#@Sgjz)L&-PLE7XfNsXN#NtB)Mpdplw ztYPH6`2eZ16P?VfJ8FPRu|6)!EzTB_8``&dvW~M3`O3RIGW3a=fI~0<*FbYrv0ohr zbbw?{iAV?mZ|e8nS&8Z*_%?kMBOE@Q;Cb7NWU#lBO6tE04u1oT89kP#n%@0e;xTdQHI!F{~Kk3-=OQ(MV z^tV&|CN)b)wGSl9EsH+=;|4U{u;CF(U_Zf1m|yJNT+@U}xq0k+14#Ni-}3mxXXsZJ zf6DHln&UN(XR_vmLah#&w7)}c-lo|((9g@eyI7s!;) zreM!CxesPi8Z_O%Cqby0V%I!6II=T$kZV;eW2CPGxp^HUT|yH8vGYjv1(4YXwcG1a zLf&i@HtPkrd^G#X37)XuN?qwI$x2J`j&nsvDjw}lvH9cF)>Df5Nwwu%jO>gW!DL!`Rl%5@h0i~MMX3jkA0c4xE z&gTEo6m!3qEc+YK)}y8*ACiCm7#-grC~!#ATC^f^Dq@|Dg(q}l4Er8$2dOo%8)bT3 z^=-B`sc%hM!d`LCU@O`Vi2vc^GUt>&1Tk?3)+n(4kdql~&7Do2vIR-Mkp_2_`IHsh zor_!U%L!ze5Bcw$5-u6rUlepS5kVx5nemzJ<|Ii_`n}9e7pSC9duZV>k7J15DVniV z1yu+(l5rtjN)E~gdfP&io})F@L*53=(e!5PP&tR*H@k_ttEjGYoV}DLiTf)A##t1C zV-|p4N$3dBHA(YPQGi4f`p?4H;xFB|v$Q9ZY8lvuaW+827^LNZz`Pgp%kNDE)gvzq zr6qCe#I!CNW_o7S+v~8tgM0e*NxcTtuB+e z{)q?00~Jjn&~Bbyuc6Z3q&z~6H}~jMv)|t9Engn>0njb5KnC4(*KsaMUe{D8HHIya zw|8>T6(B?$*3=q6uWKgeK*p15559j+QK#PS(s7P2vp3WIo=S+IuTLkq1krB@OLoke z+wzGEOHslJs~I4)wk|=3QpRFRRy4^G6Nt!-t|T;>zBIZcHt}4B_qhysTfkTj0qJer zz)0PMH%fABL7%dc*IlG4;f?Dwo{ma|_ue{I^>5)0Cv#7Ikp1fF7bDlAHOnSbb>z7W z3nw}{&dFD_WH$xo>9&r8c#(944rhXxSomr$kaYmjP1LhR$T;t<1g~icOn{WXGiQZ% zYC(2?Ehv8N4B%Ldehcv%ixn7)_5c~PPVVz-erUS9x zxK>SsucXtUOfdHkQD*;zj{(%=8cu6o3Mhc0sn8t>T6s6Q`AbuZj8H#oC?etW4S2?- zi%q`UnY1a@mMO5Ki_QgaVEXFz0sY9?lGauUa(ufB8h?DU>>F9!QzN@<-zEcWaO_Ct z#QXKeriVkV^Fh(ts000be*%W_XX(y;sT&-&3pEeY{s8n+pgGU|0#(D%w@tTqR|!&4 zjn0J4lDP@I4DdGQ+`P=pBCRZY=12-CV-=Ilwwd~s$+7u?)MQ0ouk_u*s~0c$v1ukU z&;gzp4#PrCE|^`ff8*eU4#ig3X4hP_azBkXW4wo*Wv#d!R zXeG3^bP0rz;CX&4hoF_CFHOJv14pl{b+WuIceMg?A&!!d%L=m7;K?ad+*HWAM=h9S zGjXUHUQmdgq@7-^;(odBRi`Gc{O2l*k6a!saIm;{Tl~s=ze#1r=E8Diesq>a_Ptv1 ztA4Zi#HP|z+FE48@G+fe9o=+)R%OwZUCn7tv76S*4nC_Sf$_`b1u=)e0rAfej&k3s zbAYif;OtzIlx`;WJe32g_rj*MQ#Vc1K;L#r$7b%k!{L&z`zZA`#@$C>ny}W;@Rnh))Aohxe!v8#kzl+^6fj8I6yuTLgjO%$A@IP z&c5_qoz+yk;}1RiH1V26+&3tsrH^!?kMzM*EJ@^(Xso*m7vxm{(G zOxrhZ_~K~#%KTts>gR4ZcV zJDHnnxve-?^ABGgvfp% zMRGM*CMrdm<3oz5dKx2#pX@wzR~qo%rP^9{MZ4)eLA^3pS|e)&$Bh=|ey)pcHGgOl?s zU0cobo zEmpg8QjAsUkMoEP$BX5`m#S92hpw{~wqJV30^jr;d0o~uQ7;n)tbLRBR5(7D1YKb$ zC&bsFv&;jOb|^gM%{wf_ML@@4{H)$sl+j$p*CX>n)q$(DEwbRu!}r~nMSR=M&8;$j zKU{S2!Nw$9qzbg+kVD^S79%81IV|ML)n&X%KA_s&u`7YL0ZBJv?(Vo8zQ{gjw*v*c zcXr6%6iBV1(2-n)C^G$;M7O#{zX&cvRX~O!`ABMN=aJ;vhsAM)=O@J$Wt0+K4gR`{ zC%W?Tm|Os*C&YMFRsUQzDnhgaj9Wbd1`p!+dJ(WuRRvKt`PXS4goQ;%fwj@F-OK> zVxyakltj6N8zw@$I3pr^8$VrADu-BLuCp0lwu;r&6VTs5(g@H3OlO3+{e{GFrE?wi3!fJ{5tp-4lYNLK2_Cu!K1-V;Hkxx%SWF`i;_tg*7!VTU5E%7W z`btU_&f6EI-w7t{!LP5TdY#93u$(}-Xay&5w2 zYp_HK($bRg;G_GeYxSv*+l`+!#s6-(UFy78`2po*^D|aaVVVIN)u%?}1V}4^#IQZ1 z`q#*%9x(3iHi5&G;E)6o@Su$jnmKA)Yf(7?D{7hsY>=e*K{FctTw>$5VoUA&IS+Hd z-VDel?bm0iWi-o_%|s4pspX{F<90hn{{Ea}u`a5=B%4 zL$7G2hQsNHpT-ppQ!-MM58N89!1AC2Wa5l!F6b4ZikG5lt6PV|^dwOiKIse$v-Q>* z=cB}^9e_fjwJERJ8(@-WsR=WzFfV@P9XS8Wa-#sg4rSX4BK zFCbELSA3tknZ@CAT*fjnZC4-Pz%yqM7n+wYCNtZ4t(!(o{ALcQOpA)_mM&7KOpUpVijRG!-mF5W z%otjrW1fMSjzJ_}xZGP3gG^c>H$BVR-}SU~92D*@HR5yDhq^DZC~D)m))?{l_9yZ` zlnUSfp==926-8V94|h^@%%*8i^1z0eLh3$o19XOAUfr zfjwp|f`TsO@HIT}oFAlp>jsxhA%Tbv>!KyGLMSAjKFja;#4Hxxks&A=(;eO`+`>MG0i%Tm~}ugWQW)CUuya!}Jrv zq3C3yekzwRAENrnjA~#KEnEG`qSY4h$uiy~8mhlh4yG0Z;GJc~_d9_Ypk?(_bdDd8|}`<>^zal$yyI_A5v zG(Y|5`mYe_8O+NApVA>5SHx~oxXmsz6aBAalCUdDC(bC7n;ts?e zLLMt>)r530F{!cy0j=%;)*DnLPu1~)<${ipG@6s|iNZ7%6Kde6(!M$NH2j2|H+j#{ zEuVhcN2X^zqWz3fIOv(omnoa?P>s{G=~%EefBpms8Vr#=AOAZIzl(w^ZIvgH9@zDr z(e>Io_bvSoRs9kMeO#H($VLjC0u;64;90p{>Jlva&w6f+J0ZlJ%|KH@$6~$53YK#7wLj4hf2%p z#ciibIivvDk0L9>UbO96;sL}=<$ZgqI@;d~zkBgr>W=e>V> zV0W}0sDcLN$p!=hyK`EnV zTr3KgIg9X*U45D{Qna>C;UAX&(S;Dg_xckYg+_` z965BIQD`x{t4rbXS_q%lfeIJtuof{Lur7EYnqL1UBLyV%R~rs%%}R5^igIG1+vBEB z)8vd>`Nh7m(Cr+qJO>hfp~*$;8#AGGx=PtBQ0Y5a?mBMKMSUv%Y+uzBHDOVD2mFnr zLVf3ZEKXSzU-uqw3t~MIjawH>qj#vNZP)WAMa^$r0S5+7(<7Dz=j#uCAq}-3hYLS^ z1<0)7buzLbqIVEud6(Nw3}!;1?&I}Rt)P@!TU;vzvAfvXmi@EyPZQq{64BHj6Xv4# zG%3WR-nC+?f2w#^=)fFRt^XAykqlN1HT5SDdA7|WE#9!+!a)tVy2S9mE#X%8xo%qSvwo%?KPs~7a0c^fBs0Ip6-WBUeIAZPnz_Fa8xi zb}u45!7m4shDy#kAK%a`>vWIYb}IYy>;7mW{6Kv7?N{oKidUSAJ$DRyv|dR`Rd%Pj zeG#y#dzFWKIuTUjgz;589qIQ>@o5K5|B&loTLkutFr9kUr&%-O!LbefDuaisrO4Z< zcOj1)fA#3!VSd8FvLWaRT7<1zHQeD6QNE44cix)YBB@VH-4I)=z68jKA5ECIJKReX zml6d%S>w$Pa8&5MX`jdsGn!>FP4r>U6+(`O=F2By}ch0^zuA5wAh2F3x*o@ zdsjp7vK^ssukIf%B)%OXn6!B9l6O)~9VK#+cNb!QW5hsJS-U`w}U}>@q%_(MbAY+IokA3zewBbE86sDNZSf!G$UiIfKGkr z_2{rnTUgzFfUQk>lb;Vstd#EVH=!eXv(u+_ZFT6?+cYbK@Dta!X$K&GYr++`NWZ8a zHq|_lf0;sqp}}`2dT#mHY4dF&@-<`45>rDK~Hv14wSz<9F^ zY)3jz0?B_F!nXzv858%QSD55os*~VmJ=%+W%$ZTfAsfTQw9Kb+eJ}Pi2E^X2EF?)y zi(iXg2h+k@!EqN+AAXM`@>1seSZti}gA5>j?9A9(*=w_dZ})j={4#Sp&}IM;tG-N2R6F-R5I+5m9JetSC;qJ^b z4V`0zLj1)W3Bk6~^h=%lzU(U;qZXqPw%8Vdam}KUdM&I|xFOcbg%~4+Kc#nz5w3ZY z6=hU3d(RK$IM1|HqMBpG+6Vn71cy3F1TdN_XY9eH{4||e{j{5!1R?Z0saO^|W_HdC zUI9HAG0&USOGx5En0eNt+8lSCy3HXN4o=t`c5o6=B!e*N4^C07c zGSX63XYs9xnTT1yc>1FB7G6q47Kvh^+2K;lnr%?N9%_eeNe#~&BZXpKR3gFio3Xiu zF!CHcz=b+Af)so~7M0I7%3M6vgWM?tC4l3|}VANbX0QE3h4bb!fz;hwh!C|41 zmJWVr&cTtq<`%Apk3_{%04Rcok6!?VS;>u(dmXX-rqc#~WFh?)#YNHLdiGv-uR1D! zZ2#C(@3dAq-}I9udx`8~pN~sAdGS%P9RDtEeOs3zA|0r%9NEY`F{a3$bD81C;t{)v zO>ccPjmv+GCX5(qhJSMTnakxoEJsVqQ7p?sa8NHSrBy4-SdAW$Gdht2{rEif_AL9* z=)caR|JS?zAN>>cFe>dj(6{(+0Fh_He1Z@AV}$mInp;@9`ud%Y0ifKm@S_BUv05+F z6DSt+JmeL5$AO>k8`|<*md1vqs!uqhb*KIw(-RjCmN*!)w?f6a;^~kElz7)Le6S(I z$>jbvH_;vbd1yc*cW-C-T%LE}71zq9{@%AgFXd8x#jN{KJ3glj!o9Ov86t~8U4!X~ z)pp&*(Obrg7A;r)-^u2}d%2Sx3p~l-_BA*xI?~+HC-6*Y_`gX;@NgyOQ2#oPmB2~K zDaIYU^8EPZ@y*&FASU-d7cWzrC^Zs8*o*MbA=lk%CL*!<7vl>0#;xPZP4D zh3j)`r}jS<%EjX5I>p=*9p2JK*Y z4t4^W&*hPMa|d%ObEr~15+->F5v-AMd5M+zBKvv2X`?>+;iStWqE?tkfF25$~32&Yb7B4rP!M=HD^rT&8A!QB{ zOji_GQXYFowS=DaHWnTPC^MJu1Q(#MKo&?cQQs%h_>N#G?vbN6zP0}B>#{;of=YN{ z`c_w{JKa(ejtN(wazwvGlbZ06d=>+_vj==|H`R_soy|%}-Gj(C3+eCK!N+dzcS!{o zn8o_l+6d1KK<7vB41c7{;AEFe{&c@0s z2HgkADmP;^FP#yFj3=vC<>9*zr0wm5!g&Oi0rfUTl;>k+9{q;X*e=1cgUPajlj}sx*t~!O;|Y*+IL@Vv8-u)*?I;9@KxJ3+*UUVa#_a<#JAS+^}BSK zm?sfq3>S*Kvgl06u`hG13nuFDKWpJe37&iS=2&5+V*ChB(_ZSEo-T-&I>?-h8KN}7 zwAS!g3Y|x|tD~(D(LpjY0{9YFHv4YXj(p^bIVPnh;K0R(y6yL9kLzMfQo5l$jh<6em9CeQ4fjrzQFfvc2 z8MC~?W+XmLxtO|uXc7qi|jKyLT(+Ot(T1Ce5sdO-qyBG zFsnL8y>k&~5O?+1yNP?eHmRx$%w;89xNJ(4IkB8`k$JyUI9t4_AT&I+6^9M$=tytH zi2z>w2y?}oNu`;|@|>plXo{u^M^k}|+T<==onpCJs~SK(Ru;SU`D-0Aa1ylfYfV6? z#1)FFia6AhJztmfZ{14!_}Ve%+!k8Fks7i-&(?nEL#>@~GFxaRU zpw8J{9$5I#l;mgw9PUZX;p=@M;WirB;#o#gA4sz^l(3dR=(~PHx5@&SW9ygy$Tma$ z@>B8tlV}O)@lgF@eub_;@d7#FBHNy77)&*0|86e{fzoO^&CT_F7`4qLXE zu$ZvG3j=d~e9#0;?(B4ao=vEE7z%>yBEmQ%4k2+VL-{vWDt9`OzW?c`^=@&V z3k+!okk&>$b+5%|AXyDII(F7QaVlkx*hbQCO(^;y=xb2q4>^7057;uSX(>1mI|V9g zHG(tpU?5wLl6XQUdI4$|DV(0 z9~2n*-)|B4ui8KhpC)r3P!71njVLMA-cz(?FtK(T+QcOgR5SQ?L+cq~MPamK$Xl)~ z)0<8Js-cAp^%pN$I(=i>XFB8!IWcjrQ|~lCnXej4Wpt;)OcI% zKW~NXcD8wl5TCH?QAJ`#UO2GZoWh&CCS|WdiT<&$oC`6$w!+~qtMJ=xuqqik|GPUm zoVZs*%IVS@P>Sf#}+K{SY3TV%Sm4dV$qxn>XRInBg-&9GCsN zJk?NcKGYylGWK)#z_&Hc4^$+?D%4oyXpL>ZMJM<>HK&RTwgyR~-J>HRjik5~4yC6E zzkg_*N4y-2&NVt_te-+8eWBOsWr9NppL*QtY#X-udmo*tYy3R4b;0=WbhrmO@WPQNl+A#-GnhQ7ETNe0jTaTR>y;hu-L zU)WLky5NmZqdahtdC(#Ai(B1uK}3Tt)9TW-iGSwVy59j0YURl_%)ScGUQbHv40YA~ zLtY*EmfO|uavoW2+3&N6pwAy*TcmUY0@PNzao~i3rFC+MP#NJ5LesKO-7#yt%tSmn z5ddkH$6G&;!#A3L%oz6^x^~zzKYl^hU-ZhypmKK}H^M}w!<#IZMp3!IakXLC_`XQ~ zk36W9mssj8=Wk3c%T#UoUqLE9mOGma^fIvdVtS=At>mWUtDX&-C}QzRcfZT64QkSH z`zd_lH(_}V2SS-Wu2`+4I3$Gr`>92+uaHR0+xN#((=I%V0n3+@U@y*Qj+aUC&K1cN z32ytK3O?zEdrjb~)K$3ba}l2Dn=%&3%sA8~=$x7Wm-3HA!|V@_m?`mG0i;Qva$ZC{ zN}nzS^vaI&PaF{ijCfoQqjikpsXRzo{5t*Yd%bO%)QicCkkCh(Kja&<3*(FTSuo-k z#Fy4xqkiNjP&9c>)&ZC|9ke)KDR_#fxNBJ_%cbAqGgnOa#Z&P|)#!7OZ=cvq_2^^^ zMkLMpyd9cy9BHOUi9|ZSx!*#5CB#AsYj_^*=#}rvaa_jxnO+fa7A;$);&GzXJBH7r z0fXZioK)5AVhd;hm94sK#eB8n_K@D<;8&-WalGk4G zYfz|$Q&!rgz>{E=6n~d?y^z<`c4T}(d_l}3avN!LiAHG>GJCVs;pcn+BC%bMGPvRb z>@z3s`N@3uTxjNPa$7jE!qgMOe-D;;Kx`g=<5@z#=IMDn@fX$hSP0-@PW8m%JOuvw zE5#qrc#Dazk+vy?3m9?4zR{KqGBR9Fj1ZpS%~P?~G#c%H%mDzx%Ro$d5lC4u3@xps zOr}ENSP97sH9UM6K{0}`s!cfMRPEehe&IV!`tZ=ylvxjxB&|Y)qj?18&dSj|AKm2} z$4MD()Ofc_tmBDAmR`H^V?Rr!=HrcJRZ55A3&|H*b@tsSmw`MD5>(RcvO-dvhfzj3 zu<37a{*L5kYlpd!4e2N8yHyk#DX-83PyvH`yaF0UvkR0u_zDJ7-n4`5b2|5k^B_!l ztBX8p)hmt~Zexy}`?EmWwFjJ}n6Fqg_=Bs|@L#a1$vzyq;!SRn5hoD|63!-ZGrXMN z{XC1j8k_ZwlY$<0^cyOgCYp$rc_4xVIEh>(5}W@p5S_HPe!dS7cVqC~&3H2J&zFF^ zlHkejch)-9udY&RXZtUy)GN?f(b`73@Q2H+sKZ^rj=$<-i6dl`O?lFcaH0v? z))Z!@2@7KKk2T-ODn$T?_PaT{xu?<8roS(JUhQIHvr5H*HBI=P)ozlJCusO1n~vTK zoL`HGh=>Q!$$-7<^<*HhB#wiJoj%UNBc!=483?YrNx>r&6{(7f$$(EfmV$>9=kt<* zbKFM3!&c98uk_}&WUj&*jTy*2nK8H_bpVpYWW^=}ryo4yUJ%G_$w17HA3r#Fz*bI( zhk^$j6oh%WuUwS&aD|qDBPt@i+*clIqFeGqtexb@-KO=Oq?YaI~$9yd*X!nq7XF-B7Er|R=zJN-KX~N z&9(7+@vG_FXW{Px-{?h0HN-FV#Srob{MT=IKJnJNF>-3-#?;Rq+>d;kEX8aVQ+PyOTp+_@i8fwGymEZMRKDG9*Oe>p&n+(?U@4tG;cpYH6-Bap z{UYA}NpJhW(=&fwa9}ZVyJ$LgF3+FZ3k7HJbe?Qu-Aod+<}0H$G&25qG+D9E+6*Z(S1^v4^~an!YQ66iei`gzQs_*-j;al zty%k{#AE3W`s1m-4=i=0v0ORwaeFK6b)L-LGth-76T<=lNl)ly#~#ZHcaMA5PQ&?l z(wRYzZk|Q(W%YiuTG*hHnT+snNtSa$;(Kz!JIkB?oE%`;cR zJ&fQs@|VXnmmkFo?kB4;4t^GbN#wjP|BeX?q6Z{>Kt*K{_oC1R^ zJ^6D59jVM06g?N~sskvfE3(XCayW3p)CjP$`G0HE+M_8RnuP zm;0AD4UO~ADbq=7zorEb?g4`U8k+<~WWp)5KssXe`EL#${>RwZ*aQiBIR`4ii_d|K z@w8w6A#{Y(fJ@^tc-nn+azG6dt3ORXLOd4cZTR<8tsiP#%BWNlxBxxV&Jn_LVN~RrSGJH^y17i$dlX(8&)D{Zye|HD%GH z_`wZ}$0G0RyJaeoQ<9sV`A|Nig&z!h+r&3)Zb3E$4aU>bX2)J5+@gi+Anw#;uF@S; zz{?&skC$?SUdX_@Lw4I~YIls?&E!3=xuLlHvivQxfc+?voSy~^E|ze4(F7uVpL;k0W#4`;eFYoEn6~vmCWEi#@KS^LP-%1U;(-=}t~q1s~mL;Y^Ol zEQ;vyd$MsV{H(QkP=B}~_2kR6k9+R{{+->L)@CP(s)FMsbejk>?49HYca*waZCOB` zYX?k+Ve{H-xH#Qu#tGE-l2)$O@bZqVygVh2UfAv3^}!!&lj=(xd&=yW{V>iYM|v{W zXng(Dn(3zw=$ti^IDI9Fn$ z_>p+_e8XdB(&jP z)ycL~ofj_HhtAl5X1`{3r3Q?xkZ|*_Hg!GQXFi){+yLM&V$NcE!g?%+J(teL|?%vaZo42_5BA_cHB!pXT$I}mf{6R}McJ7)l zWovZ_kg^9o@pVf4Yn8j5<@e@=knJy>4gy(U&=vOUjGbSZqc%4%1cx=|VO8F%KdhvjX3wa9vpQRV^-cYn7nX2Y48A*oK2J%CH z&u;b){Ex93DicJGLd$*hrz>B0)E`$D%Yn-hZdm`X(JTtH>Dr+4^1xHg%jl~Ou5{bV zf?&%#$J7g>bZ~Ww@C?6R-XVKM@+!NC5RsU~owYu{zw8`_q_0v6{w-blF zPTv1#sXl#I3m6YKIw{C3Tzx@qB5NzX4L2ap;Aq^H+Tyhqj&3I}G>|$GVZ=D3yk{^Y z%eR@_czoY=zT0!#E3k9$J1XL+Ow028pzaWTssGy%hbrpj;O@_HCNy#rd^^og>mC2K z!}|(H0(IUCh+cNAd3AdK2Z3uZ&o+Gw)0=8Oqlu684{t}rcO=;$r16&KTK{a;9$qW+ z2J$0M4XKhlIhj_nf&w`d#+mxgCj^4fc`JZX&_WgfM?bm-cW+Ae<=zGS&+SgxWT8dXy zG1~3tK^^@Be4&eqC|^cZrK}K-WoHDioq0-E;!^rNm)?n}G42;o{X zf9)GNOOUMorD%iV+)r;36H~2ooH9s?_qexZP5UIi?0;=6so|K~>uk5ikK_&?&9^y6 zbtGvof^|O_i9Z;Rk(-ay_Z*Fd^ek+|8+(n#_9w+42rzKR3hfsF`~A zJhra`h#h(o=TNG(IdC|~t#HUC=Yh(V_?%omO_v9I-_!(~T!%zQ9mv?LDppE5Wq&#ajeFvxc zCMAt5mI6uKwS-H_hFrW6U$2}EtXrln{qHziu6V25#vT%9$Pa7fUWw6%|d zuL?!952woR9j)Th$r+soZ+j<9VeRgFAGq9M_8(UG2|7*xX5i2(?%(89Hf?{Y=lj1H zsBdb4Xf!B#fDg_s!jQckAMoy>;$sH4(7v(w?o}fpO-sL?jU}mloMNE8F!46Bmi3@gto1}}BshE-!6_t+2}>m@%Q2K#oA{g(GCaVkeJ{eX<@P%j ztMj*AHT^ZB=h__f|syLrhuE*(W>8HF^#Cnlcop)0#z(u-PQyq+z< z}9jufFKVSAG5z@0?YI`E%c^7t+v$WNueHfBI8w%`9C2_vO zIS2m%FYtW-o~fuPzyF@7R>=F?|56lkvNGiH+WR0jb_q&jl=EW(7iYX3q=Y4pQ2=!yC_< zjbsCF(&E)T9Vb4j!2%+TehB=3?cMo5)a~0p@Y$HLWS3Ecv6Qq}N@b6%ZAe{FR~Q#c zo1G|`v1BQQC@Kl9O9%^t0jSQGJ!aPUCzH5EL9apoU@uG1w83G zAYD(YKToZHnG!t1;|6K=KoR{*e^WT0Bd@rgy4EY}KQW^C=F(h4qfPMRp;U#j;xVLVl^CuI|rxu8y5T z>0o15I*aB!$e%9od)IjTXit`A+?D*p!ek+7txx03T7@I|61|H&ZW7fyz8{~v)k8OF zX#2HZj;G*fHhqY++1=4w!RDyzESAbclY047nlN}#nBCbmniZ~V%<@d{w5{ptHqKp@ zshJ!782o0U#^U0K{)i%ssTb7+VDa{^=Z`vj)ScUk%|?enS5{&k_P zcWLe1ivGiAQFD`4op(oCkNHmFSNFdE% z*qJi6&lq;~Yl~JowuUw3QTghW>O7~p(E+kjRm7rw({R8e?v#Y?@TwcFUctpC?kQXb zDevUmuU+$Uig=fAz3^r6z89ENKi8KDUD>BIi?mY*Peg~@lKDO-yCp^ZU%_@cH>LKB z`x|2qjgFKljdi|`arAzYkp3lOm+O*+q`{WGzIHW%UR$ZPe65h$KeOq|A1$KK(}sJw zNzZy>4Ma<73&*%+UJu+S4pPQN1*d1#J=ieiT&HGGN?4^JGGEIxGh?OSr)TS4+UVO1 zEFh&G*n2+zU3_djdB!TeURT|MV{f)J-`Ib86~|EJ9y{IX_#QVSzwpmGzC8s7%`H2B zwhKoZybdWYSthPzH|RIIeof&}*+_=WD&1S&xs34=hErkG=MP+uN+}0ov^p8j(k3C(bbwqW*F|GWO6wF*H20?Fm6TCUcqzZ8if-oNFTTu2Mg}h&*D2eN(@T_nn1w7Z z>yz@P>m?2wB+n?fW>zkeHBWPsU90ac)kh2e;HBJmEoAYEhyvxqm+EgDf128Q4I+m^;F2TY_1F#b z4F}~`XsF1}FHWG83*w}{GbKyrap#D277-aW5z>u$QU20%RYyrN>ZP`J=d$Bk-6l*_ zZz~$?9sSo?GF0S!Hn{f>+cWMmhy8SJbUnJ9xyfR_cUXkDUv1Z?$eKTXb!)HVw<5|l zhDhU|44(2?-SKlilSG4I50|S47Hv9lprJTDk?q`Cu{eFNZPd7C;L%&QR$ME^V|(6P z(bmo$BwkiZ&Us^JK)!=kD{L&)O@t-~zuUG;?K5DAB7AX%Yt^Hk?u~-$BwDYIJpvUZz~f z7V~H}*+%E|>P)3~K1S1}&)PWMCC(6k_wjl;vX(@hovq%hXTI~Rxe>Eif>I;h=|6b# zzt2`1_e+_im1&U;1f=Puauf{#X$JA0(2XzbsY?#g*A1qyWts03nSC;89^_e%Y^tm7 zs&AFfbJ5CLzUNd(Nz-5Rbrq!4H&81Fi2*{j^o`Me&iDd;PO`#qYIH^E@^Ndib*vb_ z2UZV^KA+@e7e40RedH1M+L9Q@f~Bl1DP|JWKKG3a@9CNm71Z_DPOzu%61#kmch16o zY#?rN5p$^C=%v`tM*8Bf?2&@lt>pH{71u8MyAT&SiJ4(RRdlv_Aj2ZmA-d;l_C`

    O2Hd5{rrr%(d(#=Eu7B<0UVq5r}0s*R`|D)x^u&x zk}0wZGW_b9^CgBpaCTI!8cWiy+#I8N@-Aua9d223vuK(7)*ltpixgMiFFASV?@w&A z88*q*+Hxn$c!S*5=}{uOLiQ?aLnW7#)yrpgG;7aXn(drp?%IsI5+W z8pN%=gC#9T*}k<}!l(92SaNdt8=kyy9=Q_{r8F z?x&E%mQC#$6^=4+7^$@JE%fM*cssQU$Jv&-^q$rfCCd+) z(q0Uzw|0ZUV49{=MQQw3rghrm3gKiFA~zX@Y!3Aa4&Ltn`-Jur`L)aM3_xj41KFQ@ z;R>^WCg~FzR#e(Wp~~r-_-)^NO(aVUSq<-pvOc+GoBHbqq-rfmjn(Nna!OBIBGV!3 zugl#@Hp~#oLVc_Ae+fRMoV)LOC&u8id|Oxhp7MXDy!=i?B4I>nf^#8}7MX69hkivO zNjBaKW#t`Lt$nbSthsuB-28FxX^Y-+cVrg5?odpaOq}pj5CxaV$`C`=!>SxXT$@(3 zXu+Ud>Vz~=sdMJe1ThV9Mj7#_3#FmjKt|_xs4JQ2aM(9va}yDYWV9`hc2cIq3Br5p zT9w|k@S z^WV9Ez0R-CI!(CBW|Fw6Ws$eD&9)urZS3T{GZhLS=1We|t=9RcG~P>iy(T8>mI3>K zSsceK>-*RGKm1ovx}5rE4~@%alP2?K_(fXU{s&H6xgSs5tuu?)jO2i;;CnK^DPsnb(->dnCo76H!-uR1)Q?*BqN=Ac5F>p>Yu z$r}%*CHjP{)hfMH&Xc(l6ZtYfq){bEjI{5c*RK!xp4ag6Kg#|j_uRDC&fWIh{k18V zd_0u6Nrm2v=|+x@(@c)*Xzzb2_#A!P;>ojNj%w#DdQVAE-|wcem1r71WpwdrqTn^3 zM4ZK1yF65*QnUy5Cm;0|#H|s;S(Z-DW>c1m?h=#PTyaK~=Yq&Ju0)L5J=&&1Gz>Yj zqm_%NrXPI2Fe|=rk7x7gmzx9kxXrxB{T8;k`q;;hPg?b37tTq3Lb^bt0y4~dc;)#i zGUoK}I#UG$bXrfiiRr};Cl?>2^p24vWP0;WYVPmu2<@%C>}9JrBI7`%NHdbPm%Y`_ zxN9}vB&#W`pIBBMRb|_l@>4U3alVFnUc#Bvuy&caVrIrCA5(vsEbd0eqv7J!+zd~7 z{q{or_FuU)ZL}X1vC>ld_a6;z*U(ryP<}M}NvX(Mok5<%TchALx0IVnGu7kMJjxSg z9+R8QV?+G;F>1BUO~!&uAz%FVj_30<2FBcXx12nXRq1vp`1y>GMQhr=pVoG%aUAav zn?K(+#XL9OE->Axw5Npr^P+H^H2D0`bHAR% zu?8t-K=QR&87U$jW83cFvU7B9<49|!#l|_@T-(P(J<&$DRd_1bu6pKbS^3Z(N=VHO zZX|EA-+d=URKOr=2G-Ud*yt@oedV)rf~H^0Kd3P1%3xOQW4AUq1*{vtBR|>E{x=Jk z=xFnQ^|U3_H$lIae?&(s;Ar{pExSUNmYWq&L}POGtbNWr;ER4zsPxGcweElAtca%! zY#)+V>#gh=J9Q*|nsJY3<}Uj$UK@vumdh8;zo=r6xiTDQVXU1IUXJXkd2SDI~D zURrW&*bySas>}S(5{lv_-;k3SK`a4vSX`5zAsPvkir$Bd6FB2v&yH^|eN7x_5;u_- zNwUmJ>=><#{hfge({_D(ZTW)!He-EYXT9+u6RpKDp`KY+B1`@VT|Y>=QP4KrwEn67 z1Ji7}%D!Y-(%y+4!IDq$4I6X(PJDQp*(84HWhIdhxRLg))Rn%UcTyvjoSaJ5ii+C7 zjj@n_bDUq~vQRCL;$4qXKiJQ?)OCj~xAMIfhyT(RbC0MG;{b7s- znfeIXhM65zyvzpOs+$j}Go6$rr|FCLk*ZyOa6%O~XlzjSO|I4a+GrI>?_bHS zD(COv$nLNWY&k(rZY0aMG_?ke87dmf!m- zedO1!zk?YfmXW@2#fJ!G(9&Dvco}yETtq)`3l=+nX^9tQxb>l1kchfG}C4u_H zZdkavO*16QkVrZ^8Y`_7!_+2P-DN3Tu3V{U7Lo4EcTSsYKW+U%&6BROTrb1%X0-Kd@>f^pY}!~_npe`lSk2U2`Ze0x8!rb_ZKtB zCsiyw8>7E|mwOZ4+bkn8*P*+{?%uzEd^Kuj<}vNfk4F67+^Xx(*N~gOJU&F2d$xJ_ zeXd=I%ddM4-LbuAqhbm)w8)BqKMdPgt2gQ&ico@TWB zKUV0CIN!IV826ERyoiA=P9azGE$i#&6$AsuhnrMz_G_l(MfxAIPwzhm02 z`V*gJdKP<&N|X;b4O@$!sC+eIzhdeNt*4b7H&wBiCJTGDaJMh#X?q>?X|=5}0jEgkUk88D--OKK+di^?Chhb5o#fdb|74iC}4JAYo&48cb9yhtV43)YsDI-fG zUP=&g?#a+?(vEZK@r=StMB-xt(ksh75^x4S6XT2`&U*|_>!4S9$yzj#1cQTTFY zkY~*0>B$x>=4N3*x7EQp!QtE9Pg(C$6xrJ&f6i5!MlD`GhkN^MV)FK#y`Pe|zgCwd zr31{M$U`O~L^?fkTHZIN)pYKE|}yz;3;qEFN8y(&p7FA5r~*uRDlJMAW_m*tp8NAsSK za&zV!?XH`)Q}fKj_U5WslUpY78M*FHt^2vfGj4?tIVMAGTO3b$JRINXcyu4DXnSqR z0!G-}mA?m*+!X>ou`ZenerNMane_9iJ$%*uee(wmi5jiv6$QL&B95ka0y$#bP*!e( zqEz_>%PzL|TAwke=ObrTN7)v8c(xaa0fqgz@CItbUaZ4fj&Ga$ z@_y$NVcWloITzx}Qyg=N$k{fZGgE9Jde~&%AY1v(bB~%f=0}UpNSe*_^(#otUv5YZ zT})5t@jh9>6DU$5Rh^6Y*>j=vVwB~n_Ab#2!!j?~{HyW&sXHEM25Jw4@A zA)C?~J}6sg^fM(h%1I?9bKFDTNaFpzj+jdwPTq4m2l#2{@1I;Z=sLsASFwisVEO(~ z)qIb)(|40K^5e!mRPu>yr$5`0d2I&s7M-2p`u$R0GqtxALPi4mVm}6C14T>=bTj@3yuakWb!G zf9>M}i|DtT98+hia4U-r^yj$vWVU-Xw)b)q1|Hi8-Y+Nh4Y?}SZ@m5G9am)u=O0l4 z^Y2Tyx(zkwHPdvtc&Sy+7+`&8iHH@wSQ+2ws7>3U7~JM#N*a&6Qm&9(Zw#fh4e zJf&|PBy}d^dUcjeutKeY0;#ozt*X(&gqOQNeVF?-mF1cbM!9yGx|tvBfB&$|VQxC@{x<7*6s2YIZZ? zhc#2~(9h=yeMCup>Z!AN7V?zf5ubfk`b$R0T6YhxXw2a6>u+qjuPPnRjTpYYkT?g7 zQ1I?#ok~~#R3<^8w+AVbojTjt%UD+o2Rh@DEv}~D5b%h?#$M85`31>3!eRK-*~Ar;g3^-gx9`; zFNUOdq!RXyIwjsE{~X$%!3I0(--d41v5q)vdHR5;Pj$Bk`P83tZyU4HI^molSUHz)>TrJkfivSr#`WWL22!|S+V3cU6#(JP83`X?x=`m z)0^8LsynY9OtX@#{J>GQ|Lhu6E9aggu$D`Ak^QT;a9i7Y>z@38_}Ce|#?RG`XVOOs z2Cu1S2F3299}(Xh`y%1%D|N?W7RfSZ8~wpGlb8+$v3HtaYV?7bP2#bBkI@FCh70=s z1wT1^A8!$9%^+9L<1Pzi26Zt4!b_;MS$?yW*mkA?k=JTyfxg)cU25F*2EFX+h)i4J z>4YybdmI#ft~ZM&qnvARZPg0QlDZ88X4`!V(j^bOQj2x>_~}??r*;e#jx{@&KeFqbDE^(r!cE8u11XV7Ru4?tn&|}#zl->B7;K-a$oM4TC%CH`Ia#hyN9Yv zY5l5S?%p&U-SYHt8^^+5R!Med81u=@>X2;B!n*07U&ekNH&i*tQ@XsPtMArz5K;60=@% z+@4b@G>Ve;qE+&^mEFNNZ2n?;j9oq>b~EVBwWNZWZ!=}fW?lcW|46o*xfYREzcEah zJHPdh+TsfGy-h0XZWieYn>bfCRZOc(`?f_;dtio;N0EPIbwr|zFFSqjI`f&HA;TP5 zLz10n+uNnQ_USbzB^D^HOa0g*RC{;3P%|Tmtge5Zf9;ff zT$lL8(Gy{utt-~9YLUM5^6X!#!{bgerkR_F%uT`~s2_!abX?88HvtM4872mTg zWsuXByT_Um8sfa5{r#M8B(eV9*wnq^FX?_BF^{RlOU-{UEV?PLuYYf|G6Q zZnP71FqV=|wy~m2=&E01SfvM;Uxi|{ALVJwXho}M9Tsy}aXPIo(f>3&gB&2f@`-Hu zzQxjNwFmXa%yTT9yS5WW&`zj?=`WDDcQkX|4ehE%Ll?f>oG9O+)65HYd3UMBZ!+UF zS3Ee>>ck)YT;ZoQ^EHE8>;Az>Apb0LuOK`pgZ^tq*uff_R8+h&R0QnXgL0bzlb zix_F;pWFX&iVREn64I^cax2f}{E`<=wvXrC89RO}&w&>{9wobvOer{0?4gqOqnIv` zi}E0I7mU20m`_;*Bzf1e7%)Q*xUs1Q&KJV$i zTi^IfqZ7em_MWdF`M8J8o^i%^_FUN>vcLWMa;3kD&RyN;$b1&?GDYK!t4qV_pc!@L z3@zV>e8#H&Hf!&KrE)KZKQY|NbA8%%RCheQmUO7vQ7EJ)JDE3S(DIc?y*Y`zpkB5b zJ)LGdV~zB^>q=h@l?~&#ZN?u&uBya~OUVLj(~5j0n+F9ij=Y~nz1ch=XWj4iIiyuY zl3eYQ5Em^}`H&^Q`><3dF?;CM-U*%0?9l6d^6k9Kb2;*t^2@U>ss~G(S&mR|vnAT& zw31s)NOp&;M2hF?8Sw+2si>rmV>qp5tpvM^(ovBEUv(lolX z;X}6!-a-m#KINYb+I-5d)RbSrZdh=*Pw?;Dl=bA-SIKNmHt7Jd$|>UbhlXkS_yvWZ zx!l0iHniKaJ#w;avIMczX?*#QTc^lci$=U6y(BJ{;iT*1wA?e9v9eu;ow!y0K;`N~ zL$iBMlfTF2cezG) zqMBs_f(3`T)$JSHY}4ecZm;|yx!kAP!SF&KGyIXA{E;t5wAL)@&mr5!+}2v#)S4DO z)YDg`7sav5ij0{yGSYt2$+YUE`qcz=BQ?7fxyH&`krP^a)59*@^9etr|CVe$c=$|^ zG_{;q1eMO^%FO9tiv%}>U0oTZ|L@C@Q@0cm&(XM^t#*+7TOhxLe9Q2%RRjJIfB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;| zfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U< z00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV= z5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;| zfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U< z00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV= z5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;| zfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U< z00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV= z5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_ z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz z00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_< z0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$## zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;| WfB*y_009U<00RGqK$f9|{C@##CV=?> diff --git a/BIN/CC.S.CORE.txt b/BIN/CC.S.CORE.txt index d5eef598..ba9dccd9 100644 --- a/BIN/CC.S.CORE.txt +++ b/BIN/CC.S.CORE.txt @@ -128,7 +128,10 @@ CORE.CompileLine jmp DIR -.1 cmp #'/' +.1 bit DirState FALSE state + bmi .8 + + cmp #'/' bne .2 comments ... jmp CORE.Comments @@ -211,7 +214,7 @@ CORE.CompileStmt *-------------------------------------- CORE.Comments jsr CC.GetNextChar TODO : /* ... */ cmp #'/' - beq CORE.SkipLine // skip line..... + beq .8 // skip line..... cmp #'*' bne .9 /* .... @@ -230,6 +233,9 @@ CORE.Comments jsr CC.GetNextChar TODO : /* ... */ jmp CC.GetNextChar +.8 clc + rts + .9 lda #E.CSYN sec rts diff --git a/BIN/CC.S.DIR.txt b/BIN/CC.S.DIR.txt index 306e5461..f7ef203b 100644 --- a/BIN/CC.S.DIR.txt +++ b/BIN/CC.S.DIR.txt @@ -19,7 +19,7 @@ DIR.DEFINE clc bmi .8 jsr CC.CheckSpace - bcs .99 + bcs .90 jsr CC.IsLetter bcs .90 @@ -120,8 +120,56 @@ DIR.INCLUDE lda #0 >STZ.G PathBuf >LDYA pData jmp FIO.FOpen *-------------------------------------- -DIR.IFDEF -DIR.IFNDEF +DIR.IFDEF sec + .HS 90 BCC +DIR.IFNDEF clc + + ror ZPPtr1 + + jsr CC.CheckSpace + bcs DIR.ESYN + + jsr CC.IsLetter + bcs DIR.ESYN + + >PUSHB.G CC.hDefines + >PUSHW ZPLineBufPtr + >PUSHWI 0 ScopeID + >SYSCALL SListLookup + + ror + eor ZPPtr1 + asl + + lda DirState + rol + ora #1 + sta DirState + clc + rts +*-------------------------------------- +DIR.ELSE lda DirState + beq DIR.ESYN + + eor #$80 + sta DirState + clc + rts +*-------------------------------------- +DIR.ENDIF lda DirState + beq DIR.ESYN + + and #$FE + sec + asl + sta DirState + + clc + rts + +DIR.ESYN lda #E.ESYN + sec + rts *-------------------------------------- MAN SAVE usr/src/bin/cc.s.dir diff --git a/BIN/CC.S.txt b/BIN/CC.S.txt index e36d0d12..c7180223 100644 --- a/BIN/CC.S.txt +++ b/BIN/CC.S.txt @@ -117,7 +117,7 @@ ExpState .BS 1 ExpState.AonStack .EQ $20 ExpState.AinPTR .EQ $40 ExpState.VonStack .EQ $80 -ZPState .BS 1 +DirState .BS 1 ZS.END .ED *-------------------------------------- * File Header (16 Bytes) @@ -192,6 +192,8 @@ J.CC.DIRS .DA DIR.DEFINE .DA DIR.INCLUDE .DA DIR.IFDEF .DA DIR.IFNDEF + .DA DIR.ELSE + .DA DIR.ENDIF *-------------------------------------- J.CC.PREOPS .DA EXP.Ref .DA EXP.Deref @@ -768,6 +770,10 @@ CC.BOPS .PS "*" *-------------------------------------- CC.DIRS .PS "define" .PS "include" + .PS "ifdef" + .PS "ifndef" + .PS "else" + .PS "endif" .HS 00 *-------------------------------------- * Reserved Keywords: diff --git a/INCLUDE/SYS/types.h.txt b/INCLUDE/SYS/types.h.txt index 9b0cf612..c81df9fa 100644 --- a/INCLUDE/SYS/types.h.txt +++ b/INCLUDE/SYS/types.h.txt @@ -1,12 +1,14 @@ NEW AUTO 3,1 - +#ifndef _SYS_TYPES_H_ typedef int mode_t; typedef short int dev_t; typedef short int uid_t; typedef short int gid_t; -typedef short int ino_t[8]; +//typedef short int ino_t[8]; typedef int nlink_t; -typedef unsigned long int off_t; +typedef unsigned long off_t; +#define _SYS_TYPES_H_ 1 +#endif MAN TEXT include/sys/types.h diff --git a/INCLUDE/stdio.h.txt b/INCLUDE/stdio.h.txt index 0d9d280e..45b4dcfc 100644 --- a/INCLUDE/stdio.h.txt +++ b/INCLUDE/stdio.h.txt @@ -1,6 +1,10 @@ NEW AUTO 3,1 +#ifndef _SYS_TYPES_H_ +#include +#endif + #define NULL ((void *)0) #define O_RDONLY 1 @@ -22,7 +26,7 @@ int fwrite(short int,const void*,int); int fastcall fflush(short int); int fseek(short int,long,short int); -int fastcall feof(short int); +short int fastcall feof(short int); long fastcall ftell(short int); int fastcall remove(const char*); int rename(const char*,const char*); diff --git a/ROOT/asmtest/TESTTUI.S.txt b/ROOT/asmtest/TESTTUI.S.txt new file mode 100644 index 00000000..faeff060 --- /dev/null +++ b/ROOT/asmtest/TESTTUI.S.txt @@ -0,0 +1,382 @@ +NEW + AUTO 3,1 + .LIST OFF + .OP 65C02 + .OR $2000 + .TF root/asmtest/testtui +*-------------------------------------- + .INB inc/macros.i + .INB inc/a2osx.i + .INB inc/libtui.i +*-------------------------------------- +EV.SAVE .EQ $C0 +EV.OK .EQ $CE +EV.CANCEL .EQ $CF +*-------------------------------------- + .DUMMY + .OR ZPBIN +ZS.START +ZPPtr1 .BS 2 +hCTX .BS 1 +hSCRN .BS 1 +hTBOX1 .BS 1 +hDLGS .BS 1 +ZS.END .ED +*-------------------------------------- +* File Header (16 Bytes) +*-------------------------------------- +CS.START cld + jmp (.1,x) + .DA #$61 6502,Level 1 (65c02) + .DA #1 BIN Layout Version 1 + .DA #0 S.PS.F.EVENT + .DA #0 + .DA CS.END-CS.START Code Size (without Constants) + .DA DS.END-DS.START Data Segment Size + .DA #64 Stack Size + .DA #ZS.END-ZS.START Zero Page Size + .DA 0 +*-------------------------------------- +.1 .DA CS.INIT + .DA CS.RUN + .DA CS.DOEVENT + .DA CS.QUIT +L.LIBTUI .DA LIBTUI +*-------------------------------------- +L.SCRN.Title .DA SCRN.Title +L.SCRN.Status .DA SCRN.Status +L.TBOX1.Title .DA TBOX1.Title +L.TBOX1.Status .DA TBOX1.Status +L.LBOX1.List .DA LBOX1.List +L.LABEL.Label .DA LABEL.Label +L.RADIO1.List .DA RADIO1.List +L.CBOX1.Label .DA CBOX1.Label +L.BUTQ.Label .DA BUTQ.Label +L.BUTS.Label .DA BUTS.Label +L.DLGS.Title .DA DLGS.Title +L.BUT.OK .DA BUT.OK +L.BUT.Cancel .DA BUT.Cancel + .DA 0 +*-------------------------------------- +CS.INIT >LDYA L.LIBTUI + >SYSCALL LoadLib + bcs CS.INIT.RTS + sta hLIBTUI +* clc +CS.INIT.RTS rts +*-------------------------------------- +CS.RUN >LIBCALL hLIBTUI,LIBTUI.Init + bcs CS.RUN.RTS + + sta hCTX + jsr CS.SCRN.Init + bcs CS.RUN.RTS + jsr CS.TBOX1.Init + bcs CS.RUN.RTS + jsr CS.LBOX1.Init + bcs CS.RUN.RTS + jsr CS.TLINE.Init + bcs CS.RUN.RTS + jsr CS.RADIO.Init + bcs CS.RUN.RTS + jsr CS.CBOX.Init + bcs CS.RUN.RTS + jsr CS.BUT.Init + bcs CS.RUN.RTS + jsr CS.SCRN.Draw Will Call SCRN.Draw + lda hTBOX1 + >LIBCALL hLIBTUI,LIBTUI.Activate +*-------------------------------------- +CS.RUN.LOOP lda hSCRN + >LIBCALL hLIBTUI,LIBTUI.Exec + bcs .9 + cmp #EV.RESIZE + bne .1 + jsr CS.SCRN.Draw + bcs .9 + bra CS.RUN.LOOP +.1 cmp #EV.SAVE + bne .2 + + jsr CS.RUN.DLGSAVE + bcc CS.RUN.LOOP +.2 cmp #EV.QUIT + bne CS.RUN.LOOP + lda hSCRN + >LIBCALL hLIBTUI,LIBTUI.Destroy + + lda #0 + sec +.9 +CS.RUN.RTS rts +*-------------------------------------- +CS.SCRN.Init >PUSHB hCTX hParent + >PUSHBI S.OBJ.F.bTitle+S.OBJ.F.bStatus + >LIBCALL hLIBTUI,LIBTUI.NewScrn + bcs .9 + sta hSCRN + >PUSHA + >PUSHBI S.OBJ.pTITLE + >PUSHEA.G SCRN.TitleBuf + >LIBCALL hLIBTUI,LIBTUI.SetProp + >PUSHB hSCRN + >PUSHBI S.OBJ.pSTATUS + >PUSHW L.SCRN.Status + >LIBCALL hLIBTUI,LIBTUI.SetProp +.9 rts +*-------------------------------------- +CS.TBOX1.Init >LDYAI 1024 + >SYSCALL GetMem + bcs CS.RUN.RTS + + >STYA ZPPtr1 + + txa + >STA.G TBOX1.hBuf + lda #0 + sta (ZPPtr1) + >PUSHB hSCRN hParent + >PUSHBI 1 X1 + >PUSHBI 1 Y1 + >PUSHBI 44 W + >PUSHBI 20 H + >PUSHBI S.OBJ.F.bHBorder+S.OBJ.F.bVBorder+S.OBJ.F.bTitle+S.OBJ.F.bStatus + >LDA.G TBOX1.hBuf + >SYSCALL GetMemPtr + >PUSHYA + >PUSHWI 1024 + >LIBCALL hLIBTUI,LIBTUI.NewTBox + bcs .9 + sta hTBOX1 + >PUSHA + >PUSHBI S.OBJ.pTITLE + >PUSHW L.TBOX1.Title + >LIBCALL hLIBTUI,LIBTUI.SetProp + >PUSHB hTBOX1 + >PUSHBI S.OBJ.pSTATUS + >PUSHW L.TBOX1.Status + >LIBCALL hLIBTUI,LIBTUI.SetProp +.9 rts +*-------------------------------------- +CS.LBOX1.Init >PUSHB hSCRN hParent + >PUSHBI 50 X1 + >PUSHBI 1 Y1 + >PUSHBI 20 W + >PUSHBI 9 H + >PUSHBI S.OBJ.F.bHBorder+S.OBJ.F.bVBorder + >PUSHW L.LBOX1.List + >PUSHEA.G LBOX1.Var + >LIBCALL hLIBTUI,LIBTUI.NewLBox + rts +*-------------------------------------- +CS.TLINE.Init >PUSHB hSCRN hParent + >PUSHBI 50 X1 + >PUSHBI 11 Y1 + >PUSHW L.LABEL.Label + >LIBCALL hLIBTUI,LIBTUI.NewLabel + bcs .9 + + >PUSHB hSCRN hParent + >PUSHBI 50 X1 + >PUSHBI 12 Y1 + >PUSHBI 25 W + >PUSHEA.G TLINE.Buf + >PUSHWI 65 Len + >LIBCALL hLIBTUI,LIBTUI.NewTLine + +.9 rts +*-------------------------------------- +CS.RADIO.Init >PUSHB hSCRN hParent + >PUSHBI 50 X1 + >PUSHBI 14 Y1 + >PUSHBI 0 + >PUSHBI 0 + >PUSHW L.RADIO1.List + >PUSHEA.G RADIO1.Var + >LIBCALL hLIBTUI,LIBTUI.NewRadio + rts +*-------------------------------------- +CS.CBOX.Init >PUSHB hSCRN hParent + >PUSHBI 50 X1 + >PUSHBI 18 Y1 + >PUSHBI 0 + >PUSHBI 0 + >PUSHW L.CBOX1.Label + >PUSHEA.G CBOX1.Var + >LIBCALL hLIBTUI,LIBTUI.NewCBox + rts +*-------------------------------------- +CS.BUT.Init >PUSHB hSCRN hParent + >PUSHBI 50 X1 + >PUSHBI 20 Y1 + >PUSHBI 19 Ctrl-S + >PUSHBI EV.SAVE + >PUSHW L.BUTS.Label + >LIBCALL hLIBTUI,LIBTUI.NewBut + bcs .9 + >PUSHB hSCRN hParent + >PUSHBI 65 X1 + >PUSHBI 20 Y1 + >PUSHBI 17 Ctrl-Q + >PUSHBI EV.QUIT + >PUSHW L.BUTQ.Label + >LIBCALL hLIBTUI,LIBTUI.NewBut +.9 +CS.BUT.Init.RTS rts +*-------------------------------------- +CS.RUN.DLGSAVE >PUSHB hCTX hParent + >PUSHBI 70 W + >PUSHBI 11 H + >PUSHW L.DLGS.Title + >LIBCALL hLIBTUI,LIBTUI.NewDlg + bcs CS.BUT.Init.RTS + + sta hDLGS + + >PUSHB hDLGS hParent + >PUSHBI 50 X1 + >PUSHBI 7 Y1 + >PUSHBI C.CR + >PUSHBI EV.OK + >PUSHW L.BUT.OK + >LIBCALL hLIBTUI,LIBTUI.NewBut + bcs .9 + sta ZPPtr1 + >PUSHB hDLGS hParent + >PUSHBI 57 X1 + >PUSHBI 7 Y1 + >PUSHBI 3 Ctrl-C + >PUSHBI EV.CANCEL + >PUSHW L.BUT.Cancel + >LIBCALL hLIBTUI,LIBTUI.NewBut + bcs .9 + lda hDLGS + >LIBCALL hLIBTUI,LIBTUI.Draw + bcs .9 + + lda ZPPtr1 + >LIBCALL hLIBTUI,LIBTUI.Activate +.1 lda hDLGS + >LIBCALL hLIBTUI,LIBTUI.Exec + +.9 php + pha + lda hDLGS + >LIBCALL hLIBTUI,LIBTUI.Destroy + + lda hSCRN + >LIBCALL hLIBTUI,LIBTUI.Draw + pla + plp + rts +*-------------------------------------- +CS.DOEVENT sec do not discard TIMER event + rts +*-------------------------------------- +CS.QUIT >LDA.G TBOX1.hBuf + beq .1 + >SYSCALL FreeMem +.1 lda hCTX + beq .2 + + >LIBCALL hLIBTUI,LIBTUI.Close + +.2 lda hLIBTUI + beq .8 + >SYSCALL UnloadLib +.8 clc + rts +*-------------------------------------- +CS.SCRN.Draw lda hSCRN + >SYSCALL GetMemPtr + >STYA ZPPtr1 + >PUSHEA.G SCRN.TitleBuf + >PUSHW L.SCRN.Title + ldy #S.OBJ.X1 + +.1 lda (ZPPtr1),y + >PUSHA + iny + cpy #S.OBJ.InnerH+1 + bne .1 + + >PUSHBI 10 + >SYSCALL sprintf + lda hSCRN + >LIBCALL hLIBTUI,LIBTUI.Draw + rts +*-------------------------------------- +CS.END +*-------------------------------------- +LIBTUI .AZ "libtui" +hLIBTUI .BS 1 +*-------------------------------------- +SCRN.Title .AZ "Window: X1=%d,Y1=%d, W=%d,H=%d, X2=%d,Y2=%d, iX=%d,iY=%d, iW=%d,iH=%d" +SCRN.Status .AZ "Status bar..." +*-------------------------------------- +TBOX1.Title .AZ "Text Box Title" +TBOX1.Status .AZ "Text Box Status" +*-------------------------------------- +LBOX1.List .AS "Item 1" + .DA #C.CR + .AS "Item 2" + .DA #C.CR + .AS "Item 3" + .DA #C.CR + .AS "Item 4" + .DA #C.CR + .AS "Item 5" + .DA #C.CR + .AS "Item 6 very long line" + .DA #C.CR + .AS "Item 7" + .DA #C.CR + .AS "Item 8" + .DA #C.CR + .AS "Item 9" + .DA #C.CR + .AS "Item 10" + .DA #C.CR + .AS "Item 11" + .DA #C.CR + .AS "Item 12" + .DA #C.CR + .AS "Item 13" + .DA #C.CR + .AS "Item 14 last one" + .DA #0 +*-------------------------------------- +LABEL.Label .AZ "This is a label." +*-------------------------------------- +RADIO1.List .DA #C.ESC + .AS "[91mRed" + .DA #C.CR + .DA #C.ESC + .AS "[92mGreen" + .DA #C.CR + .DA #C.ESC + .AS "[96mBlue" + .DA #0 +*-------------------------------------- +CBOX1.Label .AZ "Check Me" +*-------------------------------------- +BUTS.Label .AZ "(^S)ave..." +BUTQ.Label .AZ "(^Q)uit" +DLGS.Title .AZ "Save As..." +BUT.OK .AZ " OK " +BUT.Cancel .AZ "Cancel" +*-------------------------------------- + .DUMMY + .OR 0 +DS.START +SCRN.TitleBuf .BS 80 +CBOX1.Var .BS 1 +TLINE.Buf .BS 65 +RADIO1.Var .BS 1 +LBOX1.Var .BS 1 +TBOX1.hBuf .BS 1 +DS.END .ED +*-------------------------------------- +MAN +SAVE root/asmtest/testtui.s +ASM diff --git a/ROOT/ctest/testif.c.txt b/ROOT/ctest/testif.c.txt index 2632f4a8..774cfbd4 100644 --- a/ROOT/ctest/testif.c.txt +++ b/ROOT/ctest/testif.c.txt @@ -5,6 +5,16 @@ NEW /* C-style comments.... ...multi-lines */ +#ifndef _TESTDEF_ + +int testdef; +#define _TESTDEF_ 1 + +#else + +int testdef2; +#endif + int main(int argc, char *argv[]) { puts("Press a key"); diff --git a/ROOT/ctest/testtdef.c.txt b/ROOT/ctest/testtdef.c.txt index 9dd08fd9..1c150ef0 100644 --- a/ROOT/ctest/testtdef.c.txt +++ b/ROOT/ctest/testtdef.c.txt @@ -1,6 +1,5 @@ NEW AUTO 3,1 -#include #include #include diff --git a/SYS/KERNEL.S.STDIO.txt b/SYS/KERNEL.S.STDIO.txt index a087ef50..0e7fb4f1 100644 --- a/SYS/KERNEL.S.STDIO.txt +++ b/SYS/KERNEL.S.STDIO.txt @@ -605,7 +605,7 @@ K.FSeek.RTS rts * # FEOF * Test the end-of-file indicator for hFILE * ## C -* `int feof( short int stream );` +* `short int feof( short int stream );` * ## ASM * **In:** * `lda stream`