From fe9f2700ec77041598ec53675c63e6f9019e53d6 Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9my=20GIBERT?= Date: Wed, 23 Oct 2019 17:12:58 +0200 Subject: [PATCH] Kernel 0.93+ --- .Floppies/A2OSX.BUILD.po | Bin 33553920 -> 33553920 bytes .Floppies/A2OSX.TEST.po | Bin 819200 -> 819200 bytes DRV/LANCEGS.DRV.S.txt | 13 +++-- DRV/UTHERNET.DRV.S.txt | 15 +++-- DRV/X.U2.DRV.S.txt | 118 ++++++++++++++++++++++----------------- LIB/LIBTCPIP.S.FRM.txt | 12 +++- SBIN/KCONFIG.S.txt | 29 ++++++++-- SYS/KM.APPLETALK.S.txt | 7 +++ 8 files changed, 124 insertions(+), 70 deletions(-) diff --git a/.Floppies/A2OSX.BUILD.po b/.Floppies/A2OSX.BUILD.po index 0820a7bbd0768126e196dc907a9ee688d8fad580..da30637c623f2c6033563c5533ec0d2ac1b9c0df 100644 GIT binary patch delta 23633 zcmbV!30PIt8ur>-(0w=@rZY0A95^@t9+^~BED=yi6a)-W8&KIwq99;t6Q0tgl{jR% zqSVZrmZg@Jn&m+ER=2th+GuK-T58=&8|`*0|99=R&jjB8f1dx|UN>jW>s#OY*81MH z_FnwQ<;carv(ce)rfID?G&!WIZ<1;4u+V1N7`^tH&=R?O#M(bYQ~9s{-OnOcF+FeY zF)&nGvQ`RTwzV!)dOj*VPwLV8@}x)Gj0??Cs6=U@Bs9tncD zyX@@Vva?dz?NavM$EM4(`uER~cy|aH>@0J(I`=rYICnYMIx}3Et}Iu!E5|j+MaYUA zXWJl_xkBzKy}(LW$gxrkt6L$vGe*6B;{FrcWbNN++P_Zi-#z@_?Y6`n_QcH_6UqK( z`>lA|*|y!uPOX`H9=#d*Nu+Hm>uTTz!B zH@+zy&R_3#?Tm44T-kBpu8sqDck~~5(XwrExZ8DLamN8qNB_kaL${5@&rLEi4^84l z3`^Q0b)fmQP4ungClq~&Pu z&X~Qwu9Cy#wNc?C_uMZliT&s5!_wefjzRg(dBS<#`Id8*YqqP-b(3q3tDXsZr&0NR9oys$_jVj;>u8(qIwWW*SdBVyS7QZTREaz z=o7}T7lyM|k8p^H{fHQ;4Q#C#SdUibU3_3?_)66W_8QmWMTt?#qU4Yb?DH37d%`T& zj@d2@-$NM3O;fbEhfwzRI@gYySoCh$5;DiNqn`EOE%y$)2Hn*LxtNvjmNUcoZ)M?< z#HG%i#cb7Xd7@OzPVJTl;1Rh;E(x3E9q;13wR_}8ByGH3loh#uvp(JnoNdmRoIg0f zaDMB2*IDhF;+pE3=DN;xJsym9ZuG;LhhF_Ct$d*(f$^b^oOp)eb(7_E^xS;ojY1O5BD@}xUbWO z`}+UOaBrUbi_;h|(pM*KN_sVEX)+;PK8XWen?iOaUhMH^x5JT}9O;|7?aSlBiTX{O zyWyLx`zCpR>!X$Dx){QRowzA!Tel5=9D<(zc?i?(uS1*2zG}868k8PJB6n#jBv+x7*kg@}p4=wGtDJ1u6c}?Qd)Z)uaU2kmZ|24snH2g?;JatRj z<}VV->1QV`L358y+0<=K;_}&R5;qZ)eAab15an|&n7QsZ3pCma=%>m z@&P$sM&)-rZn9esCGjpAH-{dm_6|CtIvzJA?sXlMPw=51vZq5<*CXw^7&AGZMCPaTQ;;iVB45<^me~|Z ziu%PDY(#LfiPhMZW|Xt{W4n?kv$(LVvk zprx6ZvPgUV%!Qt0*N_Zi%;rB9d6I{avO%m@baiR`VA4K)UaiNSMIwjr$QsYUvNCsZ zA&JXnBVVd=#6%7i!O0@nF^sUNY{e?a=doy~VqsCemG1E)Nc)Vrjh=ypMb{#$@hV@T zu~+j{_JqihB1N*EA|EMg=X>1Q#4(C>tet8fT0q)c7S<4@khC|~&LE~DJg3hLXtI+MZ8p%DV1|k#M&$Tk|;+6!c(5vj^=V@l#o& zQ;Cb5hUS+|su(+}*po%#uH*f3q*|^I>{L_TycU&MZqQR!6??Eha~Dl0B(59T7fvNv zs$mm9F*u~@Ec!py4rvDSyrr1KXL>6m&RVwVEhSM>+5GzyN8v0KR8m--?4aVc8SSkLE4&t+`j+e$+J z<=#O+t_sY!38Q&tV!p>KQ_+W(CRQEYJ$~#Ak2{w{uFzGe$X!uAfhcW4F~e38cdii8 zDj}k<)uf<88`y|7B)@!2#cKDc3aG_^`&nZ9)L2%ZqL`(%>=j$Jg-tzFl_5RAGRvw{ zr3abkxFN-`PLvYQ*y?aYT71pwYMa`LPmtJA>H%v9#{w`*nI~#^y`3GsQo41Hq zUe)4^uleG#Snsy#0<-d#J`esS=0Vy!gdJI@^pANrs9i8fhuMYxN^IYlBSEtrCer-A z&|khrl+?|ut*9h%A86}@&HSOR+WsiVM_$|biEph4m1B=;1~L9q(q1!friZU9qA32D zQ#y_qkFk#T6(=iuUkPE4uBz^BvwZHawz9UlrJ%Be#GTaYwOhXM*XxaQobpzDI7JrsyPO7%C#XYM-q;qV|8`ZIL+<7K_pu|dF3(0@u zlP)CxZ(Z`=YH>N=`QoyO^?TkttiKrHf>G`X#RVAUs0-ZY@WmEkur|Ff682cS($n>W zZf$-!*@V_Vl3Iwf6 z({#&SL5zQhadr2t7wkr$!fikz!UFo4GW)}u1C$VgBN|sf;sFt(Sf6KTsYF&>Lt+QF;{jKvxIub++C-!2uW+-J+Z_#;d zhC&S!6ZQ1Wlc9{2W1U*>Wk(V_@|D5fnk==qG*1s28O0@u6)Hv2Rw!E^2}>r|kL2+s z#qJ`vW}cM3k{I)TB9+o#(w0+us-%tMs(_UlbJddO?^8u>y{h#o-P@-D{yupl9d4~p zhW-QfjBHhwk|@V#`1>aJ&tl87lzvjS)+d`eC%6u74+e=UtmeVNRUpnWM5`g%n9HNE z56vJwhe|NoY;mgMkcUa^uNSLqBNK*8KGVP(S5fIMo>$jW*f6h_81p2tVa+AV2uU+| z#;f!o>;|uvu#ht8)MRVxNXWUVlbrK)IgipMSRf=AUMO)Zzq)aDBT$80d+< zJ1H*Z!iy>9t@dqxxQMUucfWjL(ap8z$7GEl{ z)>I`nu1pA^tY*fX+Lp4KS+#}vmHDs)*Gyzba+G3gIl6?!gSSdsaxiCIm2BW3B{^o2 zsI$CM&ysj8s~e>B#y<1NamCh(mB2DtGy%IPt>yjG7ZIgeYHys4{q+=NZ*C&WRPj4{ zTBqWa>m+TDZMpsmh1>v{)}XK&$giP^7^h>PW@3|`K}<8G_S%LfkDBaKRT4E*WG-k} zFt4Q+wW+ABzJ?fQ`7_KG8MO2?+}gRsI+t~vP%N%_ z{vjH*KrL%QMGd@L*ta$E=OPj}pS|*pA-1*Y3Y}>d9aUOHO_l{+)5P4&r4u;h#)UjY zQ{9+bC0Oygc{8w&5#2Q2rfXt0iMgFCr#H&ggf1EH5QB<+p!rS-?gyXXzG1Ysvcp3Z zOTuEI)MiiMxTIl&L#%gcDQfQ4)qaUySr#!b6_rgX6Jp?%QN%XYXpY@7J}Dr6I(s~X zr`*H)3q`+IpA7fulVOFJ3_aUe!{w03qRS4lNLEJRd-I-4Y z=x)?Dk;kh9%F{xhjNAPgb_Ar4*%_1`V`Y9;w1n?SMlEQrsJx5B?ebdD=R_P|cl9{O z^SUwFts9d)Jjo(Y7h{sJmmM0W#9Ln!dKYL+9Q*jZuudnIm%2(J`eo5VuR0NBzfd{L zfv$AlZS{Y_bIp;Z~an_78}M&zF8$PUm=i}xwK-z^p-`9wIt@O2+3M{ zZEaI?UBf)0oD;P<&P&+OirU0>SCkR;wYQAXCHZ42NaQ!(-zCKp#*u`7<0rf}w&jw+ z971Bhm6$2lXixl3PnET_xNP9~{EBe{$5avJdr_D1g0y$QZ-xQVo~sloOIpurZc&TD zhV7OVCLIa8yr)TdrMfu0QQv(-u|nsQc4BL~qPGv)LG$*(HSni#fp7mEdNXqMyhU^Q zTNnNhmw!=bm!DW}MUa<>Yi^!VGfySP+feEZ&iRliP!cn1XLu%66qWN0Zz!k<64uID z0F9|wEOzzL;3o8aASVoRz!-p+&@3=`35`-&D)#+h)W;Vr3*-yj%h_LVD+8o^I8`u$ zt!|&HNcRe=;66bWtPlmnw+X6XrSNkDse)C$Ae|~$?F-PUf;A$*PZivcfFP=1tv3Y} zM578G@CJCPf(HYr0-e5yW}T^mheTto?Sd+JSfdJTmPbeh6nV9~XdMUw?p@PzoGQw1O*xCV0zOCq+3 zA39a=l%NWp7L9Oh*QtV?8dV@Y!=#F8b2x|xFITWja0TESI4>K>6};g0Bn4Nn8x?ip z3ibqY1uqJ&V4v_khkVXyvF4nO>;E2|U2Kkx}B{zLAU$4MV?mprcf$7C6?f5JLeRokSa?3tCw|0!FK1mVa0 zSxyAF@}KFh{7K=;e<1{OO7DCQRt)8|E|@b~++c78nqYDu80%U80Qg<`^PEWL>x%Bm ze@)yu%y~qKcYz|%lUFuTzzk^e0x7^}Z?B8OnTOl2O=`IP+NAzTC`-c6x-$>o zzl$^fE5bN&4`&`6K!7t327o*B@}xgl{fA1W^e21eL&etpFW&eX_SmFqGrRbqk|$ke z13rS&MI<)nBPHJc);7RqRQ|P_Y3>&Lr0E zK^aC>@Z<>!OZZrcw;O`uGTmBP31MskA`6XOBDLW*ntaQAR0I}7uoqtQXpF?~xpjOq z8yY1oBeBtJ$H!=UjKrcpHQ0u7|HjOhRBYEQ`f_W<(%Fbj!(d{x`Ic6r1Ap>eaW?4{ z$2SBcPb>D2MZ_M@GCxsl!xQvEdg_Jr@)y!uFC>dtoMP<{bPKYR*+oq%XV|A}|rIC6+Suu9H7Ls+sb5=B`&JI!83hPORZ-HcRh*YdbaDhVmI6%T%6b%?j||1 z;GXl&2f9dJKmCesdaB9`@81e9T|0Zj$s4?MZK0^ULQyvbdNp%I0{`aQR38v+p2wY{ zMYK9SAD!c?HL*5c$+MpiL9>6HP@-LM&%OMM*SUv>t~vL&@F$%6MZ&qiwQJiHc;ft| zP`RDgTSF}Hy*rB{=1#5#fwR~M=UewQLg9atn7g==2e}(?zBRK84}S?44Ltk|;r(-e zW2sORH`qov-C|wvX_L;^SeI))P}V)Vje#4kpTL?|h!VXX1uwA;vBHgau43IkR}za? zi=Oz39Y21OW?m!j4|en6hHE}M+;DBpS*P0(ChSPhhcq|8_2DbH`H!FqZhF@1SvH6) zrj3Da{-Zn*+XevuJuO3wdQKW>&0l8_68Il1Gk#T1!(-ffN0~(n7e`-OFY26)&>lt-?V6>=FVr0 zUnt#Mbr-tJkQzI9lQhpa_U~L3xmPxY5G-e`x_RzN&W^TaVYpgfPOr z*454OrqHeO>HwB879Msdz0J?(2F?Dq=&rB&vI*LX|BgPi{_x6jcmAZx(qdwNmrdZt z?>%k`Es6+?J*?Y zW$~vKTR0qRos8&poQ*h*GlCP^1ayC;r z$eX#2%|D}9?A9K#ca;5Xi9=x%zfx@Zih-AdYaL;F*@K8VTomoq0B#CRh~=hm5Q&JC z(Uo;v;-H=#QCcywBU*dL7-RH=xg_3#gnAp~bSq2wN=cJ!Jegf`u)435-mS5+raeCO z^_o*9UKaKt$xv9so0fbDdsK}8fUzw0e z_m-g(G6TH7LCA!Fk#Vy}FBx#|7CVrI=NcWoGxYdiDkf9ZV$9kbeNMT+Z*!*X`9|qB zBKlxIqTgp4HI83gGO~#!%C~#^8RqR89kIseqVn4pYp=-1fF02ImY0?4Upyj{jM&02YV=*#KGkaE#6eLBt`wv{ z!2{F6I9)KhcmgThCT?)lwND)=Jtb(Frv)vuT~rspL(noidEwB6fEyh8%>@MMw2Xdx z0RcKKvrA<1(=s3xf@zuOy(zr33^)c}56>cQaO@7CW%h_RMeprQ%e*KetosBl^OAmp z<7H04SX*uTNvWzf*S4(m6EuTK>;Y0fxe|AUu(aOikH{sl2MH?I!dLjip(~Mxc;kE$ zwsoKYcX8ROfJ9lu@Cp)f*(!e*jj{~-#}#;=SA%(<*MfN;VtZX&68Wciyulxqv`Ekz z0S$=4eF`qDg3<|<<)tMg4EHL;INRRVGAIVn9lS9tF~VTaHN7X&3j*n|o>|;AIU;@u z0_lD6Lnn|v5CqbPqC1X{Gy=(L{zN$aV~Q%>-m&^ra7xDnr*s_J%}p`xpMdwk{gV{j zqTow^Ag82Vp1_)-Sr~r*A`l>Ke%=&I$~itOVXn{nW~BnI zL)&D6>);GV+INBo8Umstpvs}ddXY~wQK6qh`B9hz!J(j@pR{^hKkM~y`a^h~3a&k9 z_2}0gdi|~uD6Rk12^8FL&}8^l)&0RcRx`*C&Y%PcGgQX@Kdzg; z6C+p?xk|Z}Ujc1VEc`xmw~Rk$PnS-;}@(o-!m# zz1Yc92It`3qS;l0GBYyVVF`Q`44qLQM5N>9bu{BmMU(j}2Wdb#-KnDa0< zE+XcX!0d%QyV6JBsBqRqCv^Iu<(&J%QFm%k;pO8i%85Nq?@9L3+&SqP`hO%no$WYn zNRtNeM{oAqX+wC*z@Xd}yu~CkgC9ru%uGfmd+aNNndO}^BpAV`h#8)lEkbWTW9XTl zqf6a9s7vc3hXfU7%Vmeo7_8t`v~8r2lGaW8Fe&w+Krv;wEBT#+;d)=j!P03V=DaSI zn8CdSWF5l=e6>%&J@dT^23_KpfeJ>A;JW7?Qw7a3kLpsKQlLw`P?vZPF&60(&mw7K z*y=A0sgYxS74*J_y9^jXEBpLQL-*EkT}v#{OC0Yn5gd#*hS?gq643mL;xe?q+*f?h zN(qcAU!8sJ*P35k#+yH>OJ!Fn*Xp%Z`D>f3*Oo&J;9ayiVx1Z!H4J`1Iq5l#k86VE zx-P{!ZqTmp6yMnO`c7yKrlK+(weee~GjykUrq0*ZUXib}&0?8n4OYwSz>2i_l68~D zym8<4O;PY4^)h=w`lYYoq8>Utk06dViT_aC%| zFVGu)vrZ7)!U=--Das<9NZ>RJHwL%q>24S4!tV%R=|HJyqyUJNU=s@}1%^M6Y>8dM z9zBPv%1fC9N07a9&R|QUQag8({qtg3Nhz@`Lx*6f0?)7G?qLz<4Y4@O(lU=YZ?MMP zCq&tx?KR*qq^)2RzedT3jBZ6ak!?J|B9BNw%&UasJW$()@bg6yy;{_dAor+zY}m}W z=)iqT(ICwaR_=!!7u68!+TbXS%8Yz4Xu2EMd9_%@#uc(oeF%dp92b8d?}ozXR}C9e z+v2HcSkN@1)>B+YqS{6A+7^ee01xX*{fJMg!$HpoGl;{@4JbW-BbP?+NuGZ58d^Ny zrs@~WteZE>GgzYvn>}M|Yi8Cq^(Pii-Jn;#{TKH*LE~^864!Aa6AAp(M$%?pbkDgE zW6a+=9$`Ye7}c8Mtw*rkZ)MEL`?^3Bf&mybTj?Cz9_D0@WH()a338@#kt zjE*ON=1iX;TN*r-4J|eG!r%82LB6_umnwWAs4RdFvhMFB!L-aJB=i8f5e6nmEHK%J zMBA#E@{PgK&(m*oQ_b93PcwGQo-|Ju1`*s)=Rr(*MH?kMAEm!{iYBqIa(UWYmDdo1 zd7Sk+p8O4qtfe@D<{H@C(p1;z$qLdIkJ{8QSL>&TSpF%>3>=E+H$<3k*i2xRe2NtH zmWcH#gYvf2D){7gI*Fc3=v}=*xLEgI*P6_SgKOeSi@O@Wy3Fqf)x~9M_&~Sy{9cCe z2uB%p--1{_me?za)6D}v!88)b^5#$dX3NVtALC>Wwjn3@6P)vBf^!CG#HAl73gx6u zD19L)9?Pk&X(G=A8wl`88aahk@GJhOwll^`Ur}C=hKncXU@kH>oL0E#3MgC%lH-LEJ{9FRAApc^p$055lQV!f+=^KS8HvEDip*332 zM*-b5nY9x1%XU_sMY8EEl3lP!p$-|dJyyo`4vnObLos}91?i+YFbRTMG4+%KF4pzp ziNLt`Mtk&|sfwW7V-wk-3s_5?Y~n?OSxo|6Ml?In`H-G%KcnJ?3wT*AnafnDuJ?D=fv4+dLnfoO;(R(Bz;Iopen-Fw%} zPj{L}i#`VEiZVtQ=2+2eUm3W;Vk|}@g_q+P*I5J0%1bLtiG4h(X30Mq%sCTyBQ$#y zP+@783d73K2aStzOqAJtkmOOua-LPYt=FrPHyk_pIC+xHjto)ErPs>B#8mMoZbnT; zqr@&WW{Sw?w;G12`fxj@b#5<+o+`W#bR9GOgxk2+^PGW0cQ@bsVED{8U}EA3HgHdN zqpa--2cigVPnJ*<*ekv#ySu8X4o9J5i%Il!5hqLpMp~I6YrC~xGXpZQEk7AzT5AK7 zl1Mdha7n~0(L^y9cXl$QtvshUBgAW2+$jsf50 zuE140dX=ccD@CHL*5~A!u8Ga}2PftlwpOqI0a1U%gF(jv>jIAjdg4wTaxgvRL;hm` zFyZa|6u{d0Fl1C#QCaRun$$A8wrN6bOL6f)O*Toy`UqFj&IbbP5y%Vn9SGPqh-Bm3 z*A|s8@!itgD5`ZldgVib$W1(#(MNn-^%#q~WEhdU8Nr&xi2j=>76h|6HZVMn0KS9_ z*rLU-Q>luX-FwMkk+$*!gaqjcw*QjB;ds)UQCvIaH&3zScWz_fUNR&mKGijrU-W!h zG}pMDpDv7()`iHi%VK{w?2Y|B?A(afA>`=o-IEgZ7w*gOoRburJR;eJ4+m&3+<(*M zh5Jk17wqwo1^&W)REqurK7ZjpAP=Iy+hpC9)Ea*(%ZJ|R2s!-ACbF-W?}vDMKT^ECAIF9rF~;|}#NXckReyV* z{|J10|5yI@epc%yf>-a`(}`9hf0e&Ke`%lp(T~5%Uwqk7m$Wo>Q^=Y`ywzVvmZoj# z=cwzxw4e5)zyIa_n&6lFH+S3Ab9cJ-HICmlC7}^lc+vmcZ6O=N@X3LGX!Mpojy=PS z(mJ;OfSkx*{-26B`(?D9zx*GXo1FNKYj6AGrrFwi{#)NSx*uE+K4RqEf*~+2;hWw` zI*Y&F5;uqS{?HUF&1FMBH1+N` zPj56{A~!dJ8Ry({=8&ZYElu?UCKBU(MDr7XT(%Uchg({L`dxwDF$rJ5i@+Hec0$IR z^%m!oh+F)P&m^%6*^TSwIC|nvvnGR~B>FbUAY+|Z2srG$o%Q<26xWJ-&3Y2!oxYdt zt=bFMTI;ehMC&Sv(SxLXdxM1xmzwp>i|8lb`o{fcZF2}G2(Mo2u9i2}PDM2ZVg$>J z%4+apJcyz+eqf6@`<9MdV5~ zu4iSRn5^?6Hxk?|(5mR0*%wR^3Ws{=f-Y%jXarNFYg*r2BzTM`Xbw)WS+CQTq2g^D zabjb{@u)`j(#mpV%_1L zA$W1WVvKv@q~c0q+sW3n&#|N!aX`wo3O59lXHh73uW%x&xmVAL^C9Q+?7j9miTKLX zMdV1@jnce#_A6kINcbMnJN}i1M4Wm;s0o#}){5dOcs(44o)2j%nmL%5_Th&%3P@>q z2|xIK2J_4KLsRU=!kU&Eene#0?~OZvKRDOf&mVWt8+WKnoT&prdIjBx^A&b@vMrH) z_wbzF32`{f6sO?D`sWwMag$O!hS*e7F7fCOG;5%lvtkb3dLP;*748ASUKezR)5^&lK#zQZIixbKdhgV()!&M zglooOsISYTOB-wF5#t4~oQe4&@sk#stcm$YWZ+)}!FN6~2QR@G5z9~BAdn@xu=y+E zoU%}GPRj2cS$-vB0xHs+BVxqKsW9|J`H%iJmEZMzI6dVQmj$P%{`M8rH#FC>J74b} z+ZskdL-7{~iACZBnLcWxwIl>5r4Nv>Zu}0jHn&2=qHhhAbgNOgU~XeU!#uS*pYS75 zuc}neZG;2S0|DAcPdJsvgZh&Q#T$lh6Ei>56w9EP8`{R$X5&ayx8-IN|5I~* zwGuGT91()tPwr&a!y|Rl3F}>Kr-BQMEAmH`6cv*2Xe8o`eP$Mkk74h8W^&|^NHc_x zC6+w&Bm$?W-Z_OU&(`2JibaGyRx!rLYCkjeOtQyyYLBq~;ql()WV0=wnOsT^iSLDa zve}W(OqRhUvNwL@_$y5etkmWVu2d9hNn$^|(ZigZtcwQ{bafGrF-0#39l`mkwA?uV*ME8s z@wU`cshN9TGCo>UQ6OFy$^Bo^+Ug9n;cbBI>qHz7UY)~5`KIdl&7$`m{_NE3LJ%RH1fmLpp+G5#CknzJZ-W}zM)gp zWGqZKb_(OKAzEuX1s0&-SRAC!wlnLirX(yvTT zsgXVLm8q9BpS_NU+`oyP#nY&NLM(|SH8bN`Q?HOD;%Z^;v!-Ne0V_Xi%9d_s^sFfb zA1~T|*3=IlFZzfFE@FmrrvCVHQT90m-o~b#Gxf!ni2eRr^3=S+zs@09q~M(BER z#d3H46i-rKVO_KMQdtt|)v6@`BRIaS@?I@^TJ6-VoZ-0{W0Qz&@q^LfR>|{V-|#$~ z!FqQ|?xON?UKBlVqUR&%`N%!zqm*w0KFhb!`7fuO^n>$H=Sim}#hPMEv8OmvVpD=X z%SV#_*Jt^D?etl`BzEY-=Qt&uqv~~3y`HK!Q1wQt)=+giRcBCjCRJ;xs#0|pRcBMRj;c3Nbq-bQsXCXc^QhWD z)kdn$r)m>bo2lAD)df_&nX0!?bs<$3QT0};-bU5isd@)h@1$xgRTop$L)E*edN);< zP<1I)DOHzIbvaeO)j* zr|QF0eT1s(sk(ux8>#vzRX0)fF{*B+>ffmPI90b$bt_e$pz4!U-A2`?sQNTjw^MZo zRd-VL8LB=@)m>D5j;ha7^#!W#rs^K5?xpIBRNY6_m#F$ORrgc%096lC^$=A%sQL<3 z|4!9^Q1w-+zDCv8srpZMvCNm8zGh`WsdML)G7@`d_O4LDfI0 z`WIC%->XV1RSA%RZa@eS3Umj00199L!T=)>4w!%lAQFfIqJbE|3|IgwU<2%c1BeCU zfOsGQ=n3=!dIO1o6G#G*0T+-0^a1(;{eb>JDv$=G0|NjzFc8Q9GJz~08^{3$0fT`d zKrS#87zPXn@_-S*RlwE2NFW~=1rz{0%gENpd6?G zDuGGBwLldx8K?%P08@c!z;(d&zzx8SKn*Y*m;uZLY5_hjvw+z^9dHvc2dD?;0`q_d zpb?l4Gy%;(3$OsV8Mp;l2rL3_1#Saw2krpw1X_W`fCsn>xEojkECndA3|J1_1KbPT z2dn_vfR(^1U^TD?xF1*xJODfhtOFSE5YP@h3_Jp?2Q~m3fk%N&z+=E>;BUa=z!qRD z@C5KAunl+$cpBIa>;QHG&j8N?yMX6_=Ybc1-M}7TFYqF;4|oZ98Q2dT01g6&fDYgl z;P1dcfLDRnfY*V40&f6s0&fBT0^SDR0p11P0}ca6fcJq9fDeI>fRBMsfTO^tz%k%B za02)Y_#8M1d;y#SP6KCvFM+Rsv%opvJn%K}4e)Q^Ti`q3d*A|a5%>Z45%>xC8TbYG c6}SZa2K)#39r!Qs2kq1u8ZALZC zz=V{5y-Uw*76rczJ z28>Av58V6axn%-6Vo}M3{UsNKlDp}dCe{gRZgP9<+So&}zs8=A zy%zgv>|Dofjv7a;qs}qULCDIw*j4kWYo*vt_?Z^36eERFTD4Mi3ir`Nd&CIquWx;| z{;M6~ww)2St&iBq%g^>&`F-rFOR@CKCNYwpUMU(f>SA}!i(P!W;mn@XS8v~$Xk$5c z_TnE2{G%uTi02;;8!gx@rqW}tizaGYB?by^nzBk+RoFFtHp#2w<9g+ z;Ns@SxsHp6o4XwOd12szxsJ_?oB1~sw_U$^W%G8jc~!G@%J!11DZ5JP6RX7}^xP+_ z#WH*(tPy+Tqil^hK47k6oqZ|lyQ_5nzBOWixNp5E*%EhZL-S1RVGK-)J;vV49$H1^q3^tP2^&(s5K0Je+jzmwE|?drcN?BDi7X}7P6qo+5CQR+zExHER=E^Q=_ zMC`o$%E(Kvta{2Z^2#f#o_37<;gwZ89M&oSmjTw(TlR`e!(H}aKEwOIy(Df)TvrGE zaFrNUKT{o?S@wg=TZabYTe@kRW7jgrc7ZiHlQ$Xd#kh9IFmKZ$tUTgnL<~^}d>bF| zS5=v>u>t>2t5j{kmphIuu$9Dd$^M(^BhQHuF;6&lKIy=)K8W#cnxMu#2-!QfJ9a)r zKYmU$`#5!{AD!kdC?WfzDx5L+ZtkbEu+QHi=%~Qbj$N%3O+u1 zUMvcD!m9_%_Q&rN9~Sn#AWCB5UQG|4kNqI_&sdk;X76nevafZlbF6o4aNO^Bfa$^B z*j3L_*NfuNo~icbS>J^`we(2y4zq2i#kMsGQ*wK+tSPH&m}Z=9{QVW1HI~jUdac8wlHJ z`*y$0e;>m9_~#I&=)Z@W$bq$V%FAMQms-?#R}GEsZ-@+Ke{XL=pJ}62L*IW{?04hK zEr%BO+IreX&OW`xy-a=VTYIvFJmsCxs|(&S9qDQNik{lx_v6O*a$AJN{a@|!dqAZ0!`xr?SMBkm z!iJE*pvRx{oAr3Czwo%tU)X2&kH>~Zdpi7k$M?oYl~YnuR8W>vG=gnZA)+5S=1186 zq-|7@flKai`0u~Nq5F3Ob}M?v3%ZA}nJ+ON&;eccn+|kMo*ZT-MGkUXd@l*Po-0mB zut@t$!X-fniHh?EUnpVwF|CM@Y9VL;@dznfq(Y|O<&Du&7!~f4F7JzxT7>;??H?xu z(nrh6C713S(n!M2k;Ylm=X>%C#=47&%jTyM-FXC?F0kMVPijetv#6@N(p^TPzNMpW zlGS3m$fM%gqO9N1-APh}@IC#ZyA&x#UZVfJFfKxj`hf-~Ns*zKN#oSY+dNJe(OrS; z=&RHhU+r_YbQ_I9=GS++X-t ztxP}*!lQ`bbWys_U2egNN$rMS6G{q;QK`h<3y4t^8f)t+JkE?{7m@sg#;WQC#O!~a zgu2#*=|tB>Xe5@dEY*BZ98Ohvxz16Ac`4`<2{9ph!I@53k0SzA?hzn3GiWV6wt1q7 zUhO4yNiqnH(<|o_L!i(&b?z*WGo465LgTbq(})x-G}hGB5?u%cbq^Kjl~ekd=r9ys zIgRK|YUE-fnT4e0o+%{8BG8kcN>Q2N5L8v?$uG=JMs*5voo-@?Kv;F9$Jw9gtPmCy zDKy?%TjR+qDs~r;*l2-n=`Hmzb>nSix=}h`9jfkhNCs3RtOt)_s=;HdHi3F)+t2_X)I}!e>#bF z@wDk3(`J&W44yWVrR|300YW3Ab$!tcbHBE_vB{x!K^CiDWO)C!>UpEA1GFlR&Zdv0 zN+rUew&shY2h)%=gx#PvyQ^*p5z^%$Udq=7zCauJp$H5g zCOG@68WcCOsL)y9EG{V+MWo?e4WvSzRJxICLBt5^`dqR^>xx)=Mz*!bT{%lu+cS!{ zr?{;>-Y9DcZ%>eJG%_caYME)1OR|a4ZlA^_j-ib%sh2QTZCsRY9MYS{w=ayXEamFh zhMOj|FAP!EiM*@`-6Z5SOlAodc;c{1-GuMmZl;6Nr5JIFK>vMVT=G&>H^66`kwQx+wTM!;KgYHU~BI~O#0yP~* z;8vDzrZxhzv~HcvyEU*{C@sjzUpsfkTq4b3U0+4QZi8~U%gS>++9E>2YFRVdt+{m& zYM$5DGo|wjZm+ENuOm^n^KrPNt!sE(9kI?=`zBnxQ=pf-OI9)RF1iKNP`I1Em?0Hf z?m?Td?6L}tD=jQ5z^r!EQ){La7qO5_D=pJjNSz1e+wP+yGo>EFB6{tl6kdvM-j5<@RS?4iS_Y)w=#w6s zp1MqH>DgM{$aCl(LM1H^vr#E`=j9X?rF(9vteagqn^-oX%cj+;U6!Tw8J5D$NN;+i zZ4`6o$t88D!K19v^NFqr@zNIdWdVuWN}KvXbN+Lkras2ILE6UaVSc=G8r>6+74{_S zKwteZJjFs(#Sh!Tc8aR%X&!G+7>b1dESzN47z!lq=|$J5FCwOR9mR&Y8o9pD8|DB+#M3Vso> zHP|Py`Mm^z!7mHWEQ%phW{gB%ORunAz%)6eO{HdSD!t05Qn%Nr&`*k~e?y&7-oaR^ zW_c4GhrLaDOUv>$&tg0*sOi?I)9)RYsEX*`Wj*>{+vuqqISKoqV;?7f#2d`EwX*qn zBlDd_h55;p_LIU*NBBso!D?3|e@vVENlD?K_(Y(Gbf2QI=%eZc8j3zJALBB8=Z@vL zH&0Pz^(}QXRC&^8NXRDA=S+<-u})}H=1Y2}zhtWas(l_5C4H?;n3HY#f67PyLr(K7 zUM-Q%a;-Cb)0Y06Px`R)?b4(2rf*w2B*2+Fuhw05ABnoCE!E%gIJVMiQP%%z%h4t8 za`XdRjv~aE%XC(MDLVQJS47|SNOu+eWxWQqnmIn)`D5qK3jK-K%BxpI`kCvV`4_cg z9KW`YN40goL8jq%CJQI6KE(P5J#$8~NJRH1B6|EqlLtuM#lN{K*Z-r<$$#1Bd=l7# zO0wgXD~*H+V#jId$3plt)QLDGwws1sM1FWmCt+PhJ`LMup@c|w2F5JZi;W~AKvd6r zqbfYm4L)bs;WE@9s;gG(+>`>Ds0GAe)TCz+QxKQ#&Bxjt%w&d$Gw42Tfb8H-7375Py!QdEzcQk1A3CWE3y(1XrAx3geWJ~73J z%#0YQ+7T<9xo!^@-ELaS?jp{Z8=d(%Z1)cDAvW?qd&i~WzeTlGcUF>aHj#cmP>L2} zY3v2bTyIArCZxeVZ))9wxs@a=j)$Z-jIFGxt(sF!BnKC7jTdon=HmI`x{MvKNk~tx zjG;w2!`vh|!TY_aaMTDA(+gi&m0Azv!w>JgoV9NFcB^f`e#2ntSC@G46ME)s;6I|I zqryLifA`f$ua?(`%_WV4OUAf|kMk6EN?L(j`b?rl3By3*$ zkTlZmb}b~g(Bn#9+}AVTL+^cMoI$vQe*A?#qJBQ2*+CcQi=3KU*v@2LfFNyi^VHT( ztEiTV;Z9gT*xPUl2)Ua;*{6BNxC=_z8CrJ_te${-2?krWC+dkh$e|wMJy8}o-Q_q; z-beVlyND-{787VeObQ8TAessUDI}+Kn0qZ7w{+rMLJt;~rwB{wtKsE?=-S4K5(pnx zNqLg6oK7k!kJqnY5>iO?O1d^sA637KXll_gJZDsXQ9&sQUELa#JH}m@PeRwU20+hWyrD)0j&H6=%yO5-kSnCq6Td62IP(z$^xS9Cqwg{`7~~Hx!L{zuT$to zlC&Yert0=e7(jETR}zWx2+M;s_N(z`p^-kDHam}WO62nc$j*= z(wm0#CDJCI$-J3pGsRt&Q(Crk+N|j$_z}{0>+HFnUQX<;i6rzS zx~`?%B5YAVX!nn#oGjxuUUl_!AM`l?!tFET6Z{)nU`f!E{ENCFl9=t(6ImXue~MSe z`ZWFcBiQRZ>F!MvBZXa5aF?3`pJ_FZW1po$FUeN08zC?Ud3Bh{N<#NC8=G6Og}Ja^ zP0yj6;pptV24+oGOsyrx=UF?{R2js&pN7mFA3@I~NTvwm0Vq(b>HH$$rt?emHdwjc zUv7;{Cn2v8uQ^<(n#0ZX$mWSw)e??>m1!?5;nz?*%@Tft@a5@Eu9(Sh6Z-K+DKYGD zy9V?p(eKjLW#v((_jxPYNDs@0bX|10S@?(^DJ!=MN7#qCtGae}`pGZ-{7c?#0(W{02Fnw^PdTsot5$yqfn2n3DVU74_5E$8WwPbIVYTcRD`G@{@lac0s}QRUH& z@3emTuhuUaM7pH)%MZL?x?iEOy`^rES0R_3pRQ^1_(!f5F+b6-Kb4}LKSMxAW&k9N z#ta03bMYYs{-90Z1jI3a(P2lWvTh(&Se1+VyYl+?b*4GX@=9@78S{_I;m|E(%1!j< zqtd{b$bUO0RJ%K`g;4u3DaT6q&fY4iRF#w`(6yg~J6Ll}atb1S<(Oo3`)g+r7qRHt zWy*E4!ehE>@tP=?Gq2P+s(4&ZVHp97=38GD#d7Fr;&CZ@azLj@wTg^`(T)KjMw}PW zSKg)608VD=>?-xp8!Rj#ks*vrVyEra!V7cMB0}jF6pJuDWDJhd5%`lGr87wPNa{ML4==~MjpIT@REHXJ;n+gC)EG@_w>GKWHL2;uY~z-I zuc47)r;|UE(!tQI{S4~tpiki2`1lT`rI_j?+BKK=NW@4Uujx^`v(Q}zRgD^j<2<80 zTEn{|0v$A#n2XW64g^5%Xc~3`ldH5{3F%I3f)F;uO^2MpmUBVSizVKj|iJ`9w}(){`|IR1RB6d@Uyxcrg(<&5F2OuM4M(a%V~j9-a7})Q!8tk zb3OK8F8aFf#!_u00R1fwc@K z#{Jra0-wX!Y-yv$15nNbG+u`XcnC$Xh2>!_%O;Hn*zC&#Ji-!T4nEp84jKgj%BI5{ z1Z%_A>Nw27$J$jtH&ES5blZgcN#x_IhL%0S6SvdQCwVSaNsQZllqlpW9^+M4ONaVZp>(i3e`d){^OGc?1 z>HE}pMvAtA&ta`>tr}m^Vm@RmS~Sjelg_}1J)$uUA9wD;&`-DkuTm2UT#nk`M^&bQ zQ8}ytZ#??3_h*b*NDc;Pqn>vAknSf0oW`ylmm+ka%f93@$O-a>HIp$m>cRCS+Q<&B zFcCr0v{%8@vvmGh$vNU1R$A+(2tOKrm1P7=!}^vlnHSjlQ9+E~ddD*jXUL04!I&g4 zG;GC)|DIkuD>>>fb?6^WVDRNOflM{7XxiXNOCwgWGwu3R%VYSF<>55Q&w{g0I~pYL z7i}>6z?KC&qpdUbjI>1ofnaA;BBUSW|AEY|jjTQGND%2yZOQpd6V;cP{^_*jgtZ9H zzU_-98h_CjMT?x?(B@lmJ&Fcl8ZBMg*Gpf$o9?6&kC}rvtLBm?j`J@1M@Z6x`yYC6;unI68Q`pE7~8?Ai|1(f z(dCi*n;x1NELu#*xN$bDpv>t_@EN!AKj&8d7p-P&?3b#QKjtfL+WA`fCt3NJ;OfON zv+_@Mw(`$#D<7#cNXR$7_WA;1IY)2Ho?woLD}lPe!<#@|@zSw8X(lPGRkqD1f3KP9 zFjd(E(rVG4ST56br}XB~tGpO~F%HSsRLPDXHOW6|lCki?L{;glUo~U*ch%UfhgX1l zNcdATcK_nWZZIrWXMV9~OnOe?AQy@JhvXHHDl086THpS%&IFeLX72j*s1Bwni4wF$ z(Ct)@6HXXKMR~>f1;i}!C6ylxg1Of~tRJ>`a6NJKm1c)>zaSJe|B zgnI%^4n@Ka_I_{}!eBdSnawau)dj=%YT1HXu+vvKKYf|40#Ci#haHGgT?V@Q*<%Fy z%u&6qZ#Q1`aeZ8AY0i;s12^};!7QiL=`JWL$Sd=>hv$?MgH7v=G}7J94kg%9BQs2K zzM1n`X33##6);h~c5_cuo!iakUi7xJdXqI#t9?d8*38~%+JB^X65VuGpDZM^j~=eF z@gAJdWf056lG46PtfnN`i4JU2n6y-C{YIZ^NJD5r5!@qOE?WAHKCM1o>t|C&r`iVh zX(uAQZ^vTFirvK2kLA15!@EdrWki;c^q_AafmeZtOc*R%byP+;3vp+K5Yqr5OmaV$Af*QS%?0$P7gpA!NU(wL^YRfyr0)OJB{G0VP^Rc%k7p^_9gR&^jLV1lZX*F}P6@=@jNMaZlU zlm(1Y?<}uIO{{a&nnu9(?BuBc#{>F|GaypzHjmp-cU;GYnvW{7Sq$S-ZONS)k8zip zmU}YX&Csc z8wp;)5-jlW1jMuoXIfAssiot~#^bWaJp#81ynF;Xb8|3xO`5gJE2J`X(pq%sf(l|; zN1HF|&GoQTeWt$w=BjoE4u3$k&DczAYYz$8$ZLrbRCSf8rkfA8>Skc0rkk+y?675- zyfz7o;FRD&Zg$l73@?lzW|+CGS!y#hOV7TE^-H(OXm!|TZ-Hnfw+~?YGA#{)>8qM} z*s4m1)Wod@3Fa?rU(i+_o62MV!_0;SBmnj=U)Sv0SP?y9h}U1CUHPgJynw#S#Esm7k`%RIQ6%7; zw|fn$4X>Kd`U_f(!kE?GZXwlu2dXLTznxgT@3l1pEZz@7qpJgrcNwwF%^;Ilu5xz2 z-J)b+t`_k0sfPuxZp1%n(m?9{!h+hHt-rR$M*Yq<-_8qrJ_&}g&XlECU5$zK7hiM2 z{^r`&s$nGLA2xj}l-i2hE0aA~utHmWb7FkVu-}=6w&p(1*fb3l2+1wpgZ+m=ycglUefn%5DGbCqp`TZOuT!>vNy_JX*f zW(*d6T!@$v&h|YR-R<|i$S{$P{;xiQw)D`OZV84fg^xB#%3RVVRy!AG%409|O z(pm<3(g=5o)>4=!g-A z#T8a-*~Fa0E7=-?;sRlBtL_Nc`^+h#dJpbP`oW%Ngl7lKE7;}R`rf!0>R82mc4`dE z(EM~*&pY$sM;g(G4*5|ZKCmxuwvT#9{j~ng;?;)D%k$tG-_T!UbzHe$yTJR# z)l}gs2jd@H=9`TKkMSKc5;WGQ14+O*Z|@k!YvE}`U(VY!n1oGaUQ#|w95GDNMg+^> zO`K=*GJMz_Zbl?`vWlqSzEA~IeXGh%#%X-O4bwGISo>ry%9pac1+nbP6<*_2)b@)$ z^5$E4*LoManS2Eao7G{_A|bP}#%K$jy3SSmW<)=l=XA(|u$Z}gox4q2=W5#4xjMei z&ExCb0JrLDb$jP_&DWN;1=`Yfr?#}+#g{hw-E`2e`q=t=cx`<5&Cq+fG;jNfRIg2n zg`E?dJna)R#kfx^Y7s9gXt6I3Xln4K0W(0$A%~)+T+)vATgr3=?pVwxtj#TK84dYO z9|M;m<`p)11=27XtzKc_D|xOF&anlhi(3i5RlEf2>gyAJ!E0EqR{m%3T2%-g?HU?G zUqo^7>kyz$+|c!0eq5Ty4eB=_fGy=I_tU?A)AttfBnaf7wObmA+=wX74`$`(`S61g zl-~5aK3sT^&ih?&vo(4}@`kduGP>{~UT4F@j4nJXZ1fi+mqh-l-yivBz_)|)obHl> zf_&zh7>PCZ`ZOe#TD5oD(~!xU+}=#?L1EtjgRqgldMxyY`hMi|yNB8*X;049;`3>H zdE7m5lkl`oeR5`x@A%{_HNO3mv*F(F?8%u=9=uTep~-s!1^GTlE5h54m&JIxC(95QKOuf|%b~-~{zrakA_vB{e&O%eg8BQkQu=3>A-Zd7 zJl?N4<7rHPgEfqO@qNIC@DE-VU4=~qkI)*Eh$@mjXG_HQhF;bx`|t;=$esLc+vd)1 z+xWw_!WL^)mxf+=-&XkeCkAIi&IFHrQsu<-%z;^H!|enn;7Io{=jbtoWhi<` z?de|DclOrTd*4BZ`hE}nz2%^Y`>!~gJ$`@15yK2&|DMaET7<`+G$zq&SH?xq@K21D zbkC(xW?Rvmis{5q3Jw?7=5TZga<{oUrje*JdSt^)bF8y?1Tl<3BEBAnjAN9r>U{~1 zNF*DtChOuHQB1-o&_6yg7IoF#1eeCho9RdQ&$QB;KQ%@LSG1Enm4-YpGm^d@6=o7+ zD(T<{W=2QIUO!5BbYd`vf)5@_%(qZaw#5`V1M*B&-V_r`@?_Q1GU`@3+08#S64GDWi&CsYgF5ybZ{4?bCIR14lba0`$5+oHJWS`EF$e9>a!WkGirr`jn8VW zbPOFzEW6dJ4BNxqOSOVa3rZ@gs_TdjH%KJpIp*!_)s-}2dETqqT>05bMs)j-GJHQ> z*ErLX_yX$*_;0e)B`z){cyFq_;Y-@J%FFy(CH5fwsBxxEc!geVoM}!t1hHO6WVVWt zz-Hc9s36h7latt9!{ruzHKr`Q-d#AMfEZuLcU28seTnf6d{M`OC5Lw>(Z7WdX1bc* z#viOQz2@du)KxI&dHrE;+&lP#@ryoj?|S3j>l9~vA3;;AjnI8o%m*kY_CtDPe7LRG zM_RYKvEMt(;05g_fk!m;U}--_+9-I2(otU;BZN<>=L=(a^3k^0TI?*%FCJA?PAtdU zI(TSdQNgI3kp(3DGwRyyZ%zpOyscA0Pq5iF-!o%q)vQV^`b7E?Lp{HOM0|xeui=(@ z)7Pv|`Fk>L71EzX15|VN6dw&8yh=$ZZs;)4wMUTXP4iiw(STXNp5d7A{8Gb-pxVfUk!(Sp@(G=yX zrYP6AqQw75bG|e>gr8WSS;U?{(`%b%#@FK#k2|v$p~tmuGX3gP@1ezWE31hCPN?dh zfid_UrI>Kb*LvnwyN;Of+*eibaac6_E`}^(#+@Gz!tK1)cRIMH5((a@j9!rHTU0rq zBH9Q`&rsF2AqD|-h`Knd&wlGFaQ)=Zc}7XcxDDK8b@9!XH)~F9C6T&nX(aGbjA_?% zrd<~R`aZw_YKc9HB4*s#4R+Uaj?^=h=hYcO0tEyyuS%7rz||eQxwC`_+N92(H+yd0 zoNBo|hlJu9&pVQ3v*+Sb2=4HR*@RGa>tIY73r{3LW^WkVPD~bjQ{N9@>g%xa4WB@_ zxQB()t6v+t#aW}k<1qii4vTVNw8jm&(rD^QqXUm=W4hDTUmLC1M|3?=H2!6%L3^}W0`$iR8H zy}0~BcTR3mK|TpgLLzo-aAlI1WK<}F&jZvNC-qfawB)!LA$NkdokX%mb+r7yjD65CrpRZ_n! zNa7cJUM7Bh$rIb}3Yy@An%Z0BWf`Q5l5H4H)f znMs^MbU8>U<#L|V=O#~=kMMv3#uhSmT^fpO0W3GtFbq8N@*IxuP<34)lJ&TeK5@#} zy?%tZ0iH6oA6aqE`~r6#id3HzOCvRvT{&s-0iVlA#l8QyMpD;Q0`R_qMLxq z2Tg1%AdN&!YF_}joRK9cVlpp+y$kist-*#V(}CgoNWYY+0&QyXOX{nirp{jvk*4$6 zXsu+kk?ol4*?!czaI24sIUQlSh3355)l?ragZVzHD|MB2-*zh(5-^hqVWvH9{M6A~ z!!2QAnavCY7{z=Bx}6iUU;tKk2=x9Sl66jph@7&#oYH(^!3Cjny04i0!a`!XtwZWu z{7{9trbD2+gji}jgyj{FB<8vff#b2y&7-krjpmZuJ4EF{aO52w0<~#MBIola<8p5N z3iggBDMjr9b}OkacnNpXhO@?=!d-O7S>p&iaQ^+Qajh`>?N$CQ!XHIHNF3WF;Q4U zUtxi3>9-e*3Bo!W{4EMwPqV)@+Jp^sGCqRtS8YJ9WXqk(MWb>tNVyoi?_!8_$>(=& zPR1JSxptR*kiCb!D1Ib#O^4od4)n>rQWQVYt4yX?f0A*fC_YZ%u7!j}Cu2Z_w2 zAH8FW7$EiGN@M8D)Qwx#{qQHZth3rI>!AMZZ_K&XPHYv@0Aastuz0(mWLuT&NF_T; z$&OaCW0dS}N_KZ8yN8l(Q?g@~Y`c;jr(`>n?06-+r;?qZWcO0C6P4^FB|BNk?yY2} zDA`UWJ9S@nnh+Bt@?RMn!af!@({&%^)U%&ss}UZbkQPv1^5Ma}&G*W}VZ-Jj9~TY& zySLwk=2hMHEi=5bx?rv|B)IRmE#Zgb$!2Na-H&xme3EPr>(cj|ch3x;6lb`i%YEtB zA*A~B6RQ`#S6B8@=p!ph%eA%ot{ceO^ey>at}X2H{fPc`EyVBRuR@n3T<}zVIsI+- zB2&@yLvMZDBYSGx{9oRvZ@QK_Z}z#z{J*QcHU8JDAv^y1V@u6SXTlQOgnQ18pR~>J zYhKOD_)p({{q+wf1*Cno?aJvG`-^Wr^w$%27p8_6JDUbaRMyvtt1hm6+Eh6zC@OpI zr6sz_cZBEK_dhdQ92LF%^tOcBtBHkwpF5N!WFA;nJ$2&1J%N`G4_y509r_B}h!^Td z2Kpay{LuVic<#hE4^HyGdTNj5^!oKHKZ+u!n@9MSZkhJkheK<_CJd_a-&30mcs#?|7_ZE;Xb

(%$@rG*h?)a;Z6&|(PpNg!kE4;9+=YeG{D@DJ*r*w5?E+6r9!`zaH z1>>b#whpOGUAl01*9!}!#eO5Fy_RAb^6)Xe>qSSvPeX2-cKeeb&WP!Id6@pR^k!OR zLhXj2P^UoiabP-a}+sOk@FNeUy%zGd8i@}Q{>@_T&T!5D)Ih%?iabh@ixs&< zkw+_XsUo`-xlEDADDqfE9;e9T6}eoICn)knMV_R{lNI?UMZQ^)rzmoTB2QK1X^K2u zkt-EhR^(e0d4?iaDe|p~JX4WpDe`PZu2$qZiab}5Z&TzNMXpukIz^tR$hRx<9f~|( zkrycPor-*yBHyjZ_bBqcid?VA3l-U;$oDDoB1K-T$PJ3DDDo0TUaH8;6nVKKuTbQb zio8mZS1a-wMP94O>lAstB5zRS`xW^CMc$~$RFNN4WIuk0L*&$lDb8aYcSYk)KrL?TY-AB0sIjI}~}RBJWbMSf9{UsB|k75ShdzoN*86uDWEUsdGS6!~>UenXMpROGi5 z`E5l$tjO;u^1F)so+7`m$R8;3hl>1>A|FxYj}`e7MgCNgk1FypMLw>`pDFU^iu{El zpHSp475OVg{#ubwD)K2sKCQ@S6#1+of1}9f6#2X&Ur^+475Snff2YX*Rpjp#`H~|4 zpvadM`HCW6Rpe`m{G%fOq{u%j@-K?~t0MoV$iFM{ABy~^BLAhxe=G7oiu|u4w=9>1 z)v^GHfFIxw=zuOjS3m;vKmcF>0s$is1Ox*iKqwFfm;f_i0m6X@zzReHQ9v{h19Str z13ds65DVCWIKTnK13iHRpcjw`Bmv1lZy*J50;xb6-~!SC?7?y-&5d4io}60waJTU?eaKC_Cg5gZ3Qz$|1*QShfl7dl%PqhRpbEGZm<3-|4gfC#F99zD2Z2|BLqId|D)1WcI`9VY zCh!*UHgFht2Y45F4|pH=0QeC22si?K415B73LFKF0mp&QfX{(1fD^!%z*oT6z)9c~ za2hxRoCUrC&H?9v3&6L)Mc_N&zrgpvCEy3(GH?aB3S0wz1bza327Up41%3m52mS#5 T1pWg42L1v56?Vxj!h`=0hv7s9 diff --git a/.Floppies/A2OSX.TEST.po b/.Floppies/A2OSX.TEST.po index bf29ce444fdcaa2b58e82214a1ecedd532a291f8..202961b917c9d50a7064c3507d05faeada91c203 100644 GIT binary patch delta 26475 zcmbWg30xFM_Bh@>GYmHjmkfwnz;Fz5iHfb}08to_OTjbAW|HUz*BGQ(hbuTRkH6Rbfp*@g(Y2ZLmx?l=)joFkA}k}C^bG_6EJL?tKWH`NMCq2(O)s?Y4ru%7GN1Y_r~CSPT)2Ue$4+w&EQ#D&%(t4hGn;28lJ!ja zY-P+JZ7y`IpuWGh={_5M`gGI%HoE6Di|9C^%mH0IZT0tv#i@uhR(FqB=I7b-G7^PS z;+F;uzp*{pnokD`BY{F&gT`6k{sAYW6NNT*w2JtJP7q2L3Eu?@*2N7PwXUzNJ&sRm zf22&Jei&*;5(Lg+B!RglX=7c8hyMX-Ncl zi?N0Oj}~YubEzYK;WE*&QKc4jpD&ZOCvo>#PT163I>#-n16V1*9;Ai?h4$96eYNPs zsO2XcJzKC0+2|m_GHj#g2#v%qfV{15E_Yq2Wmi*LrHO>`$;N|}Q<*QYNpzlozGrR) z-4o&=94zP}I=SRV*G^P&yMBYfN9>J}Yy3j$3|UpVf=q z5FIbXy8sA!s*jB=3(}yRvjuJC+3g!RkGA>+LX)DaJi9VpU^h_OCZY?ZU$;nrM0s{! z+ff*gtB?hHyt2!)q1Q{y19N9DF1=!PwfGCXW2VzNEH2BJHutY=oQzGUwAHDa>NE|y z)qiVm+O55**0Z#vyF}WJVwm^m&eg>XT0aBm`mb!5?yqfZw;`RCDLZ)Q9pBi>ZuF*G zuNX8Yp9amI$8F`BwteYJ?h1lN(#!|WYR$xcqI*AavX8rAa&1Ve7_=38CDj(SI&!}j zbFOA-Q&~&Z6^W3HrwklaS z^pze@%eu{uO*&hZZ+Da4R)wJSvRP7k+1Au)tBSxdmLoPgsvBgYvo4{BY$d}sLuz3f z<|}gKdmAVLXG7BS*4ddy2kZi=KW-Cca>Q14(=HROrL2V?sQ>(Em+Z2Cu-q9)hy^UqtAGCbIE+TSZuR z5up6eMu)&i4O;UpvTik>&hw90)ZO%PnP+8Z;nsAcZBg=$T&Y!`xCkn=sV#>~*dF z-1Ww1Z5XW8le@-pFk}`6m=l})ha75b857vVU1`n2fqXoU?W>1uR(VaGnw43h29UQmO z{sQ`>m*S=DN-dkJMIZF?kDCZ^T6{al^_jeYwbVBHXJE*XMuPws3|i+|!n&b5OL#Z! zCs=&D%>)%yM)tXIw|X3Oyo}8N^S^i@Gwy=fr75Vp>RWN_#-xkKGoridOI)V49JNuy zvxIE~&{3TVbXBJTSxQ_^&l1^TWd@CPA*P3O$81C*$KDZmBemyld_u*W_F} zK-~&fQCi5KOc8ho$j4s-^!rl;>^l)|O?2@N7{zz2#7tnl{tCJGS(^d7wGlULN@!8@ zRVhM;;u_?DGt+1-5P1apaR7Ri*kN+Awo-SdIdt{|?!tbeYrmpP$*u!e1>ylCYVRp? zRC@xuoCCO5SvThTy4|r}R%*v-0M}f$&NL62_Z2CAL+$6SY3Lt))?{pjo(DDV?#v=p z3UU)T*MshELT#h0`y|mtaSq_ct|;r>1fZ-IJ;fMRb9C`S=>h?`2JZa2=JDThA>OGsmAllNrpL1iC|NwJ3hJ^* zg(|-;i$tjM?P~JvqJ|Y1`>>Z#CV}zRP+AkwNObd3v`N?BNSwrp{x)tn+Fww3Lo0Ww zz`Fn$)UZ;3{woTwm9Smgo4@e1NNt9J_)MwVlO?!mM6X0TYm7iuC(5UBKVF0hTeIf8_dd5}B7 zx#8SA0lhd-c2Zk1SFp?zXsNKzB~~i9#Om-vD%4UI1Ud)cRw-bJvP%27TN!1xQi)KH zKo_7_UJ4|LUDvq_jlNy|WQA{+)v?j9YgvU~mlDKQCj}#eI-f2qldhmHeraP+S71d@ z7cht`Kodg*-UG8c*Pf?!AwpfS5buCFcEx2uT`u%I%oU81(h@8vt|9fHm1hY$SkTe{ zEyB=Yf^tt_7ab}z&h7#aq!L(Iae_T*Kzd*_mQZYdDlk9Gxqh@{u(kjS%gAD_A$2dd zNG&VjzK4Gj9d5^VJ3VVywPj_cXA3A?3|>AMQc%|^t29!njRfWN5KNLL@6Ph1zP45e z?ix^+1v(^y^1eO_CSnzg(Mi9~GAY;FQM50e@ zkwTYmCnwGP&rqYIu9J6cmzB9?+J;OA##UdAj-9l((CE~uPU0O9H*DR8vH6Z+ixjby z%>`6}_1;1U_ZR3O!#z^q9%^*!G^94VcV>Pugj?&O^|@i|e1Pha@?p?#h7;=;$^8Lc z9_rxR(R0IA&p<$3om%11S)Eql*;y8?g*seu!xG7)Mb3$RXxMrTH-tX^bg)6woBm%7 zmsHE$%aY%wL(>4K&I+f_j10sMl`Ta^=Jm+rqjzVOv(OYSRCsq5 zbtrgcb%r4mu&K$ds|{J&IuGV@q;Hr+$bdNmBz7c1DDyB8Xu+^mH8KlUbMXgF5}ccL zbQTk(o|kAEh8bFeS*9G;0u4cuZpkMoALQk{Z^{-GkAoqjVrdam711#xUSh zh8gry|DHL6Rk*{N85XL0hpoYEi{2N?%^KEYvecE;K~GCX-X|9Ej_Be@nG>5!i3@V5 zw|EM$!dyXV&GW>C_13ly#c!;<7UO9;W`n^l>VVbT2^+SS+6u=`SRtvUJ?@-DO;$K} zswKRG#Yf=kdt_xYD6KWiL7P2E_wpE3a89GX-3m0I9 zL`8$JdWL#f`15k~)@?|NgcN|kfI&JB?nao~1@noszRIf$0}`Dkhw>y4908+2!xZf) z9IOX6q%agv$+;JEktKj-6e_s6`<&$m&2lI|dCbNsfSIc>t2YAWjB36@mvkA0UDlwr zmkZP+$RV3km4)Fx{5prj1=vc^YN2sf=Wv8T=L>X{;0~J$1)G@}&Rg;X_k8FxGls`I zjbXIx^xHDk6)!BxO>KwyrRHPfU zZtGp$yZUI90RN3d;Qu)1kw^iwIi1Wn8Y^(_sE4DlVlhE;amDccvR0ZauN6vKmPO>zo27}lwU@}xFPzAzjG ziOeGG5vb~H;N6gIm3*7iIImO5yHIqr(CE@h&ll>#1#B7hYC&7fIRR_@8lbzQA+tQ& z8ehx@bhL3-s~SYW~b;xv>~DppBDPLjfm+Hbwd$8!}_&MGIN;1@7B6 z&SkPu^l82%TzHD?D^)XGv_DuxX7U*<)i}FUb7Akom!bAdiVH&j83L;|5#Z#S6rJT6 zEK#NC1pg=Dc%>GG$s~h)h&YURLn4kL9$x28rPd^w*o@*L$4yyk>?fb0D9yKAc?u=tXJOz7dW9iz3H&{ zs4drgn+`K#IX1rvMQ1ViDJQ95-x1bHe{DcdUH_mZ;@IQbj6y2jY$eDKza$% z0S^h7@`FGt&@9r9Nyk)s0@WU!=sz$?WEzKXI}*IebHiorTFm?Xcx2r=HMnJkTPMsq z8eqJ_y>qN#Z+gM&fC(NLg%`BnMuLxPbn0|=!qjPDcR9}*h^bXTzERn!1OR1cV?gIN zq9OnT#4sd9oQPKzG}@xzh1#n3SI)sPtY+~ zum56MBJc@Xly}t{i>ZXT$6L3pp|H*ewunyqBmisbdUwVnK0r5t8c;f5lzwA)29y{P z3FDr8rpz^S?zPG#0*)nJ!#+;JpJ@#0jCaI3@f80PevQr6KjZY++}knc?}6N^V>ayX z@#17+Gy6X5Uv#h&uIsWL`Ps%feD{lucNDkU3kG3VjLw|L^ea1IQx9^XFWJllR({^r z2NSw8h`$N~0o+idL#GS;ffWYc?t8k!V&jCkLC3Q@P^s2c1a-o;8&+SO173Z>D%eD7 z8j?7zg%7n_G}Y?Xi;`r91< zl$wbqammmIoN`xDTOVjMeLr4H(f7gHflk7HJcGVhc=A5Ma=+l7Bye*q_X$)#3H7YR z>VEWILE!{Cb1!ZH;Fv$RPMQ)xQ|YBb<#M6&9syPU=A_e5_WmdV>#?%awp;)`Z0jqA zd27J3Ou*AKK!Eb%wNcP-kRD&ygbV3<`?}^bo^2g#3nGgtCk@hF13o9R1(9PbNWUp7 zNbDoQwN}m3b%Ot_a={7@;lQN!B#PU>@-Tpv3Zc>q?+Ji=ytnY{0`CO785%Y-K3()} zn+A3u^exzggWnpl6~OieG-PZ&0qsp*jg>aFt`;Icj_0hItGkR=hOR=X|F0Ji2tg>|O{|D$x@+tv(RIY=fOM zr^8fvbtz`|;yNCW1^*GSijd$>{LGK1KlR#M^WFuqK zC~bQxcNyZVn8kirim|!Yvv@(3#EIO1Sa3{)cWO^6gBS-lj4Aj6I%w7rzwFyX{DOPH zBCs#X)O>A#7>4WNI3+}0>xaeQQ@NcY=N`;vA;`u|Kv2z2@Ab@>K^&oLGk{?k(ldWO zZ6YA55HGDWwwNJ~1az$gx-77yTV@|xm}wyVtJ82Xm{2{0xU(Tf4x0}I@yY-k@1?|e z?^4mMd7xab-;QNXFB8lTFdr|2)&DJsHYEuo8?i1Q-6&W+>H(Y*(DVIPDVVwjOyGp= z2oBmEw?W3tP+E|v}K<_3`v7` zmMef3*iJzdP>g0Qga{Huw8Oi3JH-%B#4)%a2vW6^5VztaWnba`U7=tsjCEeiW&m%R zed2mFsMA>w9;x79f!4B2C$yt7_xAWQUtmzlxJv_ZfC+I)%IG(mn!oBD}13N2=*7dKSnhN`+Gf!E24 zxS+cG#ijYlHtrUban6vhAi5z3N~MM2gh9=O`14YsSOYl?NVmX{T3|@C&an{PG^h!2 z5}X?Bn<8#g;IjG^pdvsDSfxF@T@nD61f$bToP^PF#iQd=7XY)_?KThYoU#^B0m5Rf zWg`=@Bwp8gd+Ej&F7o_p?n)p0=|HWw12(o)Y;1v&U$G}8I$bJUJ8P>~SM6+p?ZSFW zTif(x3%y=w+Sx*H5VUa6aFCz7e`m}7CtK<_z%JR2Bz+X{0-B)>0(VKNEw)EVG{xXy zK^LlX+9hR&>hpzFuw3gWtz?ICYj*M0oZ6liYn4a@wC0%eIS@pGHKt{~xWe85PsmEq z{kN^ivOzH9K!qV2)iATE%_~2;!?~D-3RjwbE?l)GwOz_d%w*|}JJl)JtJgMN`kB6Y zr>XM}{o$Rai+AWlcg(VnQ>t#>>ELc6I9t1M$2zb6Bc_phDdK^%FW*eP;d#Sy{naz2 zJ-t2T$lKQ!UuwL3=t{`d?StzEscWxXce!)>&|^#Yk#M{wESo!j?40qd2zMErCOSlf zuU@q_dsXJdnVozw5l{F&IB{Z!@VVqd;)m5)6CgO@x#jKyL=kM(>a5u8yp#!0`I7)P zN>0HxUxbSWxa8d2%vJfxnX3U>{uCi4ev(ds!(fL<$ujcw0)=jcx~hQyv!R_@O{J_F zg~pm*W8(@518LH-zJ(Hl6y8@+a)>FGU0!&P;a<~zR#SMN;eHdrDhgK^QYhs0l~{(G z5bR;G$tZgVH`&jw7Mr{SZ+nx&<4tJi*65d7qjfK}nqFWZ5c?xoPHe1Xd1xH{YAgI_ z!cFa%?UnPyN>c&5eXO`gY?H@c!flFMfsc*op%4q-tT8p(`B}k60b9|Jv?`pUiB`pJ zTG5Jo7x6P5+h*&GA*K~9f!;k=_=Dlc(jFgFeF1_D|1}M>(!y@TNyBM2=G*SqyV()^ zG@WH*%-M)Ii-=GwSTQaWYh~h7D#9!Un0SD(3MSX`AKJwK?4YKdY?OH;ZeA6NW~tCA z74q61hW;9E6_8&fS`Z2ELyUEs@4{>Bd=YT0-9OkEeb^WwABkN_#I6$A=t;0Y#gdP~ z(EgY72SPsrB21VFh)0N^o7iZ^;|U~9h4+FdRMdG(`#Q!NYH5$5yJF}wF;LLa z83W52qUF1un1V>FlSv|qP-}7&-wgf4PMs}=7GlsJL&r!O6??ccCL3#V{pIH4BiCa_ zTw*aytVpoqG?-h~pbqXtoh`;9#Ly0{B{C=4!Kp;zL`$7$Su30?yvNhGW9Yr1%56#X zd%Wc!Pv425Uk=?y&n`Yevy}2R$q0q8Nz#5 zwY_2Zux@Hi^Vt|?&yz8db!z6|lTo@lP+CmAWkC!5e3*GuJa}+%`V)4l8EQw`Bi1>d z4v1}1w9ty!Ca)ISE7m}6AtG5~8%cZO%Gt5iWcw&=M;ycTD`27Fh&;pDR{a8NSbMA0 z0r`jPqs@}Tb7C8alPH!$ct7FTKyYp-BAop)Q161~h3j46!G2Ni5epO_eLgH+n)zH9 zvwdgO8bz$x3GC9p#5AiADZGsREkhip-UbhfGCTt#t3@6tN=Y-deVZO`W~GLoU>dfL)q&?HQO!B)a3S-?pJP{Lf+Bqm zY*`cSHp2FZ`n6`fc%ErJBtOFtzNX#dNoP~xSW;_hg+XxI6%=5Gk^v0oTpB-IvT&GQ zG;E3iXI;bGyDL!Qr#`f4*B(;#;I0RsWPW@)#GbhJ^fH%AQNKlz^X2n>=QB%pr$!|| z_0$tvQF{90PowoKGEw^4H7NP9-P``}k=ITsrQeLT6t`MNzps2buCgc&eGtp1wTE#r zIB{QlJm>J_%W?W&%Us)+S@F?aQJnsQS$481Ry-oqcNDwS{`Je)_6IpvXuvG^IZkJd zg$Q9otai)F0!`j`vERq8k6Rn}P@I|IZWYik#+AMlS6LWWX^5-b8)sJB^ms8Y_N6!{ z6A{^17`LY|uA(r`sjXGY@sSd z{Y+c|{X`tSJI*pX%6rzr@&4$jvmRQsK|mRRn7=>kPZlw6KKmp*9ripRk&Q5q?x}%{ z^K*~DbNh2)?hzLXUO~JgY`>17h(lG+{U{-|hyMIGHA&uJ-v0X<_Zxk16e=qZDJl=i z3}Jrz`-{$518C<(t3O)C6zaOYy!)z`w385W4(J1CFU<@&^k+RH$q?rC7w#ch=KB}o zedPVmwdvtx+9YoXsYS%R4#YCvFRJI{_giPF_30+P1A?S0O6~_g6_PF~578nsv+>14 z^OB(@H^8FJPzO@T<*sW@P7NV&7C-!Ag=fjQZuL$sZ0?y}U&_D}SJH zmcbvvOKAweuRz0Wl+XCTS{nO9e{Uey)jM1N6T1UWDG2mK|AD~j+2&EDmd*&ouv1$y zKfan7($=^j_V96y3SpXIvs2_oyz!R`Loq=m#0J;%S%g-?pn<4QWYU#&g zTMTd17*LlNXvqiM?9Q>KOlyfBxrh0=BtbpKMPh)}@xZKV|N7N|T9Zj!nOWx)1{vm* z&I+(Q8fF3Qmr!(}BqD&041m}XsFEB-T2sM z3x?^0VeoL7#j^pAJ!|rU)~j9%i3G2=aJWo5vS_$&!LTJ^xKM6ztXnv2Su~6hBupS+ zUVClMtaywDWPyGS0wF^bTWrI8`C5L|!eOd^6i`{EM;8r4xW$ePx<4SPmKJ_yXau4I z>_jJ(CF@oX^y#mF^x@s(#a(ZZwY4t;F;6W{;I1P$I#@kmf0`Hl9?&zt-}V!GvHLWX zun<&gx5zP?*UKr7GyN!c4!aC(nPXZA!bmNj?+(KK4f6VHPRGIE{$75NEIJhNdMHUI zGOL+6$_2VGl z0_}l<>TT%VLqF|PkO5amxZDoz8m#W6=;p~XSj#ls=flqdEfFf>7QQ|F_Hglup5dNh zVPp(@nV;%;#UIU*kN;X#FU5C3NW_d-*TFRppd%Z))V}p_YT9txa4q~TK5>5d{IK&S zwB_dyoofHO{O7GuR0sd?-+|$8#w+rFE`Y)h#wvz~BQF{BK!U^DH^@}R_V(OAWDR^M z;SiND zZUfcbhEtW*$14|iqB#S6N_!YTs~z#qKS;Q_(2;%s9zRI<-?%eq4ios#P3}7{>K&Wv zk81!s;MV^$m|Vt~|CvihFjxNB>bZQR_{4VjhyPw2euMea^b6_6y!Fl;B{1e@mVUe+ zFF9amyHAUamO?w3@87{T{@Xjd0L2sLmlws2z}{ABCZ2F1(Cz;E_xYZs{G+B(vs+tV zgM_4x+(&V@M%N&5ccMl|jpE-VDEqR-Xsm4!zbuB#S z*93wFr`G(R?BqrCFw)DiBAVC{8*5j0}2_?|7vzesf@#WI+(c(@2SE= z2G|{$Ap_un?)}m1c=(ea8X#P6idUkVUW=5378V%^^WpdJee4H5#{U?tJcd5Lz&V>G zXmqq7GlHo&c+dTy*^zL5HzarB7xfjRH!ggrQ2Yurt%4uIp6SJ7^h?KZ@Ykzrbd>9A zg9|cO_EupyWVxWse3kKKDPTW~4fDoLon@~picQjTMNJj1EM%9E3g$4og`Cd>*G7{u zOm=NINMio`Dj1X8_qRp9d(L{gaJS)^;#*bXM!b5bTK4*G%a6@~WH(EycJfXZs3>C2 zyzlQ^bO9~ntU0KfA?wucMHe=k0?Kz66_oEr7G_D^Mxdjtu6g;vbJoPoraAVSVv`)I zt1p<`8sw(A<+~5^5_UAu?1cbIVVYOI+Z0^ByV&GdzB{w}f|haL<C%y0U(SZ2mwd#T3`4!?MKeaF{vXK=4u&!SvL}0#BYFqyc{$ zK8S~O(Fe=PYUb+?mXULq(GTY3uO85!z`k?N0N33IiPt5^*-_E8^umy9YfXxVAMmUK z>I;_X(-H3i!_VEu#&QX}Z1C^9Deaap90}PHvL$?r3RG*CHI7si;9Oa!x&z=VF4&*tYm-@>f-Z@Ym%D<%lxjIZF_?opBi0p0%^`Jn9STBrLFGaT* z_YYS?#ikE;l4hp;!v*9^%RVKQ1&^2KTT%hSiPgq<<< zO!PCRIhvxp&VMU5L0)bFS$EybtAl{7(`*_LWbbakHeh zs-5^JE7Mx_QB1+7A>>l#)lbvi-a5z6qD#&-Rh*+=WqLjhUii*AgWtYaJb7m|5BHDZ z?9^R6=p&GG}G= zI|>=PeUbWOm07m?(GJD!n`VTLT{OuWA0_rYN>n^b6e+HDa6_H-eazB-A)U)by;F}f zFJnh}g{n&7tYKr2>f)BF0(jyp|7~zsD-I&Z7Ecozh#~=Abc~sM_!00(`on&X>-y;YR z^tbQl(WX8$Yu<5M+G@_G*R`4=P-UMv2jFmu89cm>96prXbd+>|d2q`UTXtYmm1brz zK`jCQKd0TQ!T-yR?=C!-jj5I~EQb?t|s2;ocpKh7I@ISE%M5 zteXj?(M;eMC~Gl0EXGC495rbEFsLLQhL^E;rnW4!zoLi1<>7*TVrkg0IT0}61}fZu z9W^IF9xwBmm%oUUM_j1ZL-Oz!lvCV@*{xcKLYO;WL_r46?U0H6GB^n4Ey)Ni9Rbi$ zxFJjU@Ust(dgI9%#WCBz{DX4u$YFYfCE!{aOatz?Vy_;eTxHCeFQdtWjK^1t!2z!P zDk`vZ1Ps-QcQB6t5xD0IaIov7kY;|&6nzx}bNBmSZF1Vnx1qoAnVT55uNOekeP8cz z_zM*6WvpLkN$dDJ^EV`;kX+TBy({wnOeg_|2gF#Y9+DDbAt8;3f{6b5yp?Oy*RK*i zr~HqEj5y>lJ)*ybm=9oUle0GDtX-Xl;pBgU4~$k#eh-E4al;l7gZ**HP4YL={DVZg z_3;7-8rw+o&ocA364|!xPwWu$?~)x(hsf?xQnK^UP#};W_5?}BzR)@wq}vT-gx^KR zE@FOWa(2q9w7l4{udL+H0@w=KbZU1IKc=lqpF#`a`8+Z6tEXK=L|$IXI3^4(e-%zM zOyX&VZu0Z6U4$CLrB6c2OQF}U8NEiY%h%vTnfx(G`Rf3-X9ifzI9P%mPW~ok=S-`bH{O>RuNoh;3s|wGuXDerJfG9><%vMF`W6Zhx50LG-nMp)Ba&u zmzrs1Sk`be9S{Z%(4}b}!uXozimwj1UB#4Nm@AdA&oYNDWEn51NiXK>;dtrO&-|aL z6uKou=U?R^K_PC3@!wo{Zx0Cwy*E^)B8Wm{2u1@cm>eNI13^m^Sd8MP zQVT&BHJC5{g@jd&wzRd%VE;ul&Yj4>p)f#t+93m(hx(PNEa`Sb1^3#ot03%s zrv*amID(Et?BbgxgK#xF3ZvolEG7&?$}pO6K+`nZGH0}f?_nDH!*tQGi-aDb%%Dym zHH6_rBqUVnqsna|hA4H|^L^X*RYk-`u|=<&_4VnDEF zMP{jK&d1Rp7}!*qLZIVb6({Qr77WcHKVou*0!VLW+fZ!$ib;iJ z9~9HU;`0;yoUWqp2h(+vDjK{f*s^#`Mft@4p^I~5R)?cL%#Pt#{XP%og6(RDpDRPE zLpnk-8Fzm6?5n}R6n!nY$yZf{&xLM?x|Uq|mp#vkTALNCs`pmyFKumlv9;-?R#?IL z!rk^*Rg=E8>4jE&eTz+kES3+w6bp!67Gm~mik`rRH5Js+SH-P|?FP+9Ec8*udo&fc zR>Z6NaIEvH-mNiSRaMw(&p~gXq$RDOgfxUSunO0EGZ*}7jO?oNLHmcR;zY2EkVUAoa@OUon&RaC2F{S1{BTwD@Xr&G zD>Z3rC!q+ro^U0e_MYy6p9irNk>=|X$zT5tNr5!qlDX(!c=4q|!`_#RUV)p1|s| zBba{DxB!u{lEGl(BZySaOUFKrsCu=Pl~fhCHqov0qpGHoR{9Y*2MxBKX8t@B9>3>* z=##iWn66J}gXwdV`b6yrwmf;a%lnfGyS(ix?DC!f>;4~V7GwN0m`rEdhvR%M2Rn{= zH>_qQA&PRwNQOI(!nlmeLeoP3ja5!JUsRLmkfw|YB?14nwsNbgK3&C-GWex@{`UpNw8%q_1qd%JPI!9Y)S`$-u4+>tVWaZ5X-04d=(aT)OeK zFmerozO9#B1~ zdPwyLJn|FM-KF6FHQgXmg>*UzKVcZAWZzCT$e1C{36otbM1>WLjEq{L3jjAcY_r(7oygQh3F=6g()_uAi|;7 z+~n0`$Y3S|OFEaZi4BPoDtk!>MJ)Y3u`@AuVeY~Q7rwdh zr-h>n4=k!#^vR-Qi$)gRSu{Fd8tojN5Pc#x`b6|8_`5Iuz4))-M zzfA-}&<54WK?*+I#1C39e!PN=%{50+TJUIc^i2r*C>*U)qf6nLn--7MQe5E3`;?`Y zY9F<{52p}TSJ-W}(zR6S`|x>oEmi+MV6m_itQhrB1D9*gTD?nIdG z{Jo0e8mujo;99#69M~_hz!#@Zl>%k%1#md$n};E*ge7<$HqAEU8?v2Cs&3-^)w+CS zS?7aLN~pe_-AMn-e4x7Ky@PD+`$lrT6i*8`-%KTCR&^}VRb7RW z%N9OSP4W-aZ9$f;$c~#O=^qm34-zZkgl2=i!}a!89fkf9ZJlRY z419i?NPm`S*<`QSI9{Lm?`Syc%0-qn2!=tsKg+mTL(Y>B;l}4RWUvl;%}2Rm)*J{y zRY<5dmK2{;(k1y+2XG^Fdp~4{WU7WZsKS!@n5f zlbbCT>h;YgNuvc!r>)fu|FT5ggUIp_!iEEJzpF+vgABj_9}yi~Cn)CCFi|nX;zMDL zy!prnV9;&wwo;ros|Q4~sfZA6^4A@!*hIY>oRG@cD7}mg z(G#?%kzY;vrH)dC8bi8?Fpt8~zXmwFwQsA&kjWBT(+yeJq9j}#+&glDIt*a)U{}G@ z$KtlM-hF8xC+jP9UrM_fS7(xeE_V{)#}a^k3vb++N#^Sm^Yy6Ri}S0_p!!2h5#^fd z)%`?KxdshK=^gRi2J};uXtbOIs+ z|26(Iiwqzy85^_6_2eF-BAblUfe()^!bhwjAgd(g9SRPd9;FT>RVQO9!|pj4T&!J4 z%1mvf=-=(+3ZRLN5vFj^iSdC&)eEdAO)d><+erXKa}uoIYfb)mc7UL5OcY4g3qf2L zpcM9cH>;DjT@GShun-icBb!9Ni{>j$5e=GcUWSK?;6)f7M0<@wHcVK1jS)HIoAGxN z?vUs&{vz+T3&HJwPEdrG^^KMcpK96&d7U#RmzUS_yam+!&>tYb{Dxj1}Tv0l>`k6 zTUa+h>H0W_fjSN5*tB)zNP3QzBvkwMBbNVPk0rz!`j>NWjNhBf*hO9m+O zGPEtud=L6-9AXmCw!{Oe)oEZLBNTNZwbpWpx{y|uQ#wM?m#C?o4v%$OH$=fT2Ya7U znh#f&KbAY*=OEmCg>Hu_%P{V?t8#d}+oKRGm$jA*QPAzSIFW74oHsC5f0H_&YWWR% zg{cR4H3dvEdIS2byH24i##wowTQ?}n4Ljl+6NoX|>y&vVa0Bsg;42j#z?YpVWz$F0 z*3_zxs6L!XgM^lX)P?b)3u4jKF0VnC)!FHzwbeQ4qoRbbQey%(ew;^oM_i*U*X%;Q zHcrHkC08lRa*Mi&qEQl@}9QDo|SjdM5$d60pBu zn<%Yb5bJg&TCYMWm8muUm=8M^Z==&X^7*ji6#Tl#4J~|U#Zl#Ji(1{RaI?$5@dq*E z4H;}Q#)Ip~`E$TJ?h~y8zN%WQmhdj7IPfhJUd2UN&Kvo4q`&JA6z9^`s^qSgwi~_I zlkxc=;+_odCO*9^5p~Bb9_xh{o0R2i#nPJ;{TpR3g+uj#^0nYbhMKF+VmG3NiEnMi zN3itFz!%OUp-EKZN5(hTgF8BJY*|l+p?<2qk20xXwka;x!0#a8AxcXh)mE?4RA*^G zMPwVuP@O5UA|FogZfM8+pFbvDE#sWAIl|q;KO*o8uucQFIKFz;ipJj7uom5!Z@q|} z=~?j4)wzbX)oZ}Jyt)9{t=|g?i&$^F?aRP;*%qyc+`HYIivUFiA0DHln$A+&2w-YW zlIS{l+(=<>#uL8fQrmrF``@*92#pq*0`6lw|55DJIvx%U@+hTFeeIrttrMV zg`aWI*m|iq9xSNp#S=PT{cz16IO#zhzeuCp@he6DN)_+8Lc!hUD^!7U2Z;VA6#Rxq zz;Xxd{9*bIWwB8g{y^I(>;&d4u178h&^%aPg+nwKH))|-(0rd*p`V|F_dB!E8 zY<#gdG!AVfXM3E&>|E1=TQW<9Q%1i{WE$CFd}tFHse=YTF4o@YgSf|?^DXSEU(te@*4?5w*ILfWq;6Qt0sXBXY5E-s%4G2A zfdc|78bPncnc~4Ag3f_=oLjD8m1tR_^_S}G13BhckVqzoZhInVH-UB;LpGBt<*Bhb z9F#!~s$iecVXtTW!)9`p`d9>fz6CbhmH^+Qs_GM}%t`o| z;-tbypH^)q|0u;#)Ws7~R1$vg3_i<&jr`QZC;5l)D|$EFr^f}Xuo3@&tkIGZ$A&{6 zef-I%pFzQIWX%@RL)!SS!}dMrFO zHtN10B37s5WT&R5jngMT180(-+;t`iB7q-qocbe*@?Hp4y%5BA{~;U++g-op*hYklU@IozzWV{d0$eBbjMyN91KBnp=Ml1| zjFibczB_XCdk*rq$eK4vH~D{mJoeMizu5B!NDs2hxaPW3${~g1Fj?~vu+n`B>Lij+ zWuKFG9ArIb&z*<-9kS+2(n)&ZVjpB|WX*S=qy2-B7RXPI0r*@MdG4-XqMUl{Y(zhU z;*Nm${q7R~5aFGfHNgx{$S#9;ATDILuXFaq5TQy=UOOIh!(j3&SikQYr6Iz1b$aIH zw{ZY=4X}CNt++!(XiD;Upbujs zUFHHJS+z2KDid-K(uJ^kP49Jx2v5yfn+4q3kNGh=C7?SrgV)IP+-YVdpAG1W6?dWg zRQLtPTRMD+w`)Q-=_L8}s;CJyy`UA>Z`#u%q)hhP?K?Kef%061(4#<*5+iv*ZhO-x z8LbgW7YCW#(aD)1CAVvwC9V<|H%JOKei8>~cTa_vw~w#iEGW5AGh5;#@edFyNgGUQ*vC$d=9!nQvxZPAZ`rM+TyegI)utem)5UKScOstz0|_1Yq$1cKvt2R!y@7 z`2qm@cm|kX*6Nk%YbWvPX5jBH}kgO?;Vz4EoBe7}H z@r+@M03Vr`KWR1c`vBWhSSfW=eo_~d7d|Ilm!Cc{9P(s9XbbK=xM64yd{JezE3xiGw6*g_wLn;vh{~l?rKF&C3!;Nm{yC{F+3nQ;}ve zWbL#uBIKE*1Cc+&C4}2&m5EW3uK~jQX9zz+lb@dpQ};NWJRh(*&A=9#lBXf2DcU9& zB=e-(9~-0)3^wJDTuUm5H|M}0O&g=gRr%9R{VC}6E62ORLSZ1MAR~10XMl+v)1?pU ztx8+FYN`X2KMP>VGr&T@`%OhBe;$at9CUZDQR7ZBK0$<*zY3c1Z#-{|DVo?|iZe~I z1Ik|mxc4THPR790c66JmczfS+hIA*+`%m;b1{RUEDr@DstO+*cCg9=Y>Ek6T8UvG~ zY;{(44rs-l8l%KnlDk$^kar{wvb=TcH;Co$Nu1>yH$U*;Lw|tmt(pdjJNdBjg=PmG ztmyPLEs}ZCoqyhCB+tujHKzf^?*9`}^n(`uWsjJ=BysS3?yrCQyG}oGdJg56YpzM= z!Rfh?R62sIlsZaF%gUkLRx?NH==S#NkPs|vriJ5Bcm~h_%4N0BUkTI!+PCdH<`O53Sorc~u@qtfRk(HZ} zt4rTFKK$|z0o~deY90X>5!NQJoLq{13Sf(7fcb3DOw5fKqx@gs|9+d{|3WsTjQ1zL zb@C=a_w6JT2%7~s`xH%9&P1Pp?n?lhJ)>8DOx()JFYo2wLa*P?@XpHQ{1t0+HspsQgua<909QJ zrh|#+HHCj{RgyeW8B z@Uw8|hae#84qLMFkk!7B}M{7oPk8+!X;cWF8 zh|8X@K8FdcJ}Z(bYv{r6CHU(*ge<{hEdr{~QoGI?daBPsi!&JGvhw5HF_wUUmsadR zJcBrFRMXP0YD%RtN&A05m_iLBb&z~_R7_S#rKH(NR!V(+{|hee=v_-_Bl)v3#y`0(Uw-4~U6lq@N}yFMrAeEBhJ19-aZ_i+6)l zOiA_&fPFrx`QzBq^YYe>t380rIst5!h|Ruf9D@rG&(C9XGRJIA)&+27GkWzO+gpxz zEISTh&NIM#vvMZ)Zn)PI0QSILV3EhjS-Hu1c^f9pT-FV6Id?N*^sj9&Kb0Sp zz9l0Ue)>+G^buDbPoBT)m-46XZ^Rq6YWR5$*N6ZttJoD2zp5`g1>L};JWcW^N$>oL z1(L|0>@GXOTlH;LUtuep;q4RE)zvj7G~ zcM<0U*Iq@c#vZbBy5H^97vR#T0Lsn-7&x@M(D~w(<5aK<0QSLMl0Qj?=1*U|$S#5# ze#Gvrb@>0;FvdhA=5>lwlnsHayFKaZ#=yL$uY?HM2=scl34lm6_Ep9>eX>gc7I8NZ zp9+mqiMO=3uir@i0DkX?)VZe9k*xVe>ZpVNV}isld1cnrP=o9;U{T$Th4jN@PZ5Re zR{#r}0fq%Osi-haR{%^oLtr6kcr+(e1K_TKB+@6>EMt=J&t037nw~jfnX(&zu3$#5 z-czojU}?y10@#8XV5)p^EjlhQLMOWgy*@mnS0&~Z|9>>bAZ2#|o!bm_KKYpoCvf3j zZ2&fB2AD4>$JBw62>^B+gH2r&o+JU?qG{+x0WN3;Iu)Rs+N}&O%@9#DbjUY(QS6lA zB4kntNjx}xAS50qKNU_(B~Fs7*F|^TFLjpuc3VtdkxJc?SEQ_TcUhI1rg3*U1dB4V zX0D?XN#Osd#;B2Wm5qKnIw~b);9>kE-pNym0%15nf8H~xPhL2kEJulq`0wPjFgEVq z$QH3Q5$W$FY4l7kzi1eyWnHLi_g7-e4LVAIveYhoW@2b6gO z+)Q45u`8NNoDYD_G<%U83j!RWsFlbl=+}qc*)xOx)s@)gcq%E<^%h2Go zjkI9XRH#D<4O*?VB6PE0)lSt`u(%7dJML?S|J(_i(C>eq|NFJha__n4o^$Rw=bn4+ zom8ib*XiP|0TM}8sKSXRBth=zAqcyK5kg72#BbswB_b>$F+MGGS&TYuej0}U{2q%r zU@9rr2PQnwJ0yPEM@mH4iMbg|gciLQkBu0PVWLMH0+>2^_9I~1{}t?H=IrFuL2w?m zL%|||6F~PU80OIdt|I5*f{^=v)JX;4|HaK|J1qacWXEYV(mGDSH)B3T3gS%u+UvKklE9RBkKW?XX35 zH8^X*@qIrV@(R|K2dPEd@ z3cg@<9}z`2_>WsKkzJNm>=YHp=g0F$ z(CuXCkBkw#_=)}a;_s^&X-x@_=dgePWH$(#OcchN&PH#hNaOK&L*?$gmQot z1MDucOU~EV8n%}a|MXZ+*eGA#(r%;tcuR+k^5?6tQ-Hj#bu4>Zu3;9E8o3FF0ZT=r zmR6L(Gqb2gJaLqM7%*Mo#_!5*#2VPdD%S=g_d&&Co(tW)u(fq_auwb{#no7{=oMp> zT2~%0VTD)&Gl$d=Gp-da;sFst8_od~=g7zaCoAsG0K^Zhu?$weh~H9>%08gtc}rXk zJC@jX<#cr-55Ppz1xq|HUn_&E`-f$137<8c#SfgaD)f>h|Wi+*CP|D?PdR#(d?o^w=7FClSRYHjX6 z+E@vbOlnG!R3-CO#ND?0o9Ex(oMgR7iJJ1nO++MZ89P=R*LrZKAYxchn=zn(pbs9q^cnf>mB(9uA-Lb(SQ*G#4c*9mJWp7*Fu~D~d7OjoCZDVk%(`Gqoqj;O;FB{ck zLz;D-n`9na-DoS8G(lg{$J_t=PM%`W;&_0j1Z>qWr72>c4Hpp$NwEyN!xy z0-0#7lc{!FUWZMelrtak71n*!21;!r-PYfby`7Qou-cShFmWrf@EqRl~$^LozLy9RO#mvu^onT4U<9tM)+vE zE?eD!z)BU21JK7{8seoE>ne7Nop%`jarU~}(d_N2S8WKa)`PvpurQ7mQIos4iFIK_ z)K&ZNFtap8qj<8&lPAWs7&MlVe3vJ0aAsvky?8E|bw1_=PK8-SYN(Ms>Ol)AScjwH zCa$9b^m1H>;a3ePGwa`9?n(V^vxu9hQ>cRm8#Rh2zHK2nF*8@gBxwjs3-@0W2A+(o zXW3ShCoq^)N1X(Q^z$i@YHWvfoF%vky0e5dQC_^oyUC1^5eB@~g}r~?LCZ;)1>h5! zo7K_HW|!)Krs7|O0qqqz8syNX;#yRuu^h3HUEcg!4Cp9H0=i1(16guZPI(LLFcQ7W zIswtcx+6Bi2#1z#xD(+X-Jak*crbx8;38$M;F!^a))CB_y)M@r^X5tJ9_Xfgjmq#t zOS0Usk2um|&15js?7~_Yn)_h))Cn6^Sf9nYVM2Pkt;0!v?gjIFT;0f^ClptrUFZBWL?WA@Y(XU zgn_fB0IiKcUsr1#)F9V$?S8&1@=pN0dOjo4Cs`)&yTAu>GV%@Vl;NhukB2gUUeGTq z%urVhN}v-QVVp030wnlQAcFO%7+(Xflyx}_>XtN*)WG|hM4oei_qeNoen%pY94diY zV~v~xLUDudzY-!##jI)&X3sqzN&3+wNSi$u)glf_pYBHjRVkty^UGh~F+- z=M&pnt%=AIJ$9+wUFihn9KwZXU3a;=@MTq!rZZS0$vS{1yCJV|;X%Y@#0zwxa*Q^H z&yVGSNnmDF;~4KoHjVgi8|A|nVSEwJGd)P5kF;A;5P)B!KB?Nj(JsPiL`2&$A3n~h zC5gS-GGB*g7SO3UqBx+@g7d|r8Z8)K?A=)H-AHzgK-jxHc!LOrS4C<}SQXa9iHW7! zwkqrldT6U-yP`(%vXRir9p^b0AcO4k;h}$xi@~WIL-QZbJ7erMMC<{tM$j-8-?DuH z{L$q5@G3;1SL0D1KHKnIS-9h_s(fUPxv4(e>xSOO@Pw}2a7L3Cz+1-f6wYsViNSf77%jTsd>LsO z3n~WSRxx0Ru!>vR`wI-Ve2lLc3%UTka$+Ds=(^mMukvne!wbC|t&UZr8mAVHYLtW6 z%5ktMsPk$>GHDEGwH2M_=Gy;RDLe_Yc;JFcq-Ldv}N=xwNl;oCiyzCYc+F|7wj2g#lD1a7Vs32bchF>EU$XEF{g2Pep41}RzJ}QtN*oY+% zS(^f^&2piQnAB004TT0gSEEnbj2yg%5wU;3Kam!-W15^^*Uwu$x5%Rg6fP2l5c)a9 zsk2sbm|PPE%IU_NMAai33TCy|)jF`ZfVvdWAqkYXwvsRzD`1SyjA}55*_OjwwkP9k zN4Xowp&^{7Fy0c(lU*2kGI-RBAQ%bZ8@(G?vAVvq%2C_EIo3-IZt4e}rk%YJSe0V~ zCF84{8p3CB4#cr8>so}(yBAxCfXy%#Q2ABJ_`~dL(7^)t5T1Ra%B?}4ROQ~FuIWUr zbxkppDK1%8T%N6A4-O%zD^B=P7Mnd5MOl~rVt;~ABDwb z&+f8@0T!sOt22wLMm7{X^3_VdaAX6_yPUjafnE*R!tpf=^(h*}8IXDxuxRStIR|C| ztQtU<7Ql=F0z4Yb8%CHgBDTw_?DmELFK(Afgx-9PdDBthyDHhrk)4)toMCAXB6BhH|rt z88J}m%4ngdDFXBTk-Q_(+--1T(n%pIhkA=U4`JqPQez$`MAvKT4$JN_(lUgn`nU~7 zd&^-6;TmnoT*?X^8z4*y7oT@$Md5g%b3?d@bFg^wY{hwrK?0>^W+|w%8$ad~+pg+% z)cmllTc3nF^5)@~I|9kjg3z!KjZ>4P8ne))Q1HU**%# z70go^JQc>f!wN&jsMSi&vWR!jfIiic99nL45y{uUM8xV&4mh%&C1!KP^W26D)@zn9 zegW4(Me#)Bt?*gw1u)%`RQ-aIH2p$iv$n&!wq;?dN|pRjvXy}mfH$+;>GhI@`gwNU zF>DW00j6vf5y^pQO;Qp#=+cJr1+(fb8GKh5Jg7G#mq3=J0`K}%tLRW#)wl*Z=R#5u ze3eTB70#C{d1M+Dp}Z!SbpqD7ML_rAN_9c1H7=L)JzU4$C`oBaLu`nFjh2*o3%LvX z(_;{*ZyhTwfdWZ?^Vkh3KlR}v8(}HqKM_> z8W=c}1ePblz`_beYadoL;?50njY-tMNJT}C4JhPrijtpEn9x;0ux zBv_iJR||$%C_^^*l45?QR(1fU zM?`x7(IXm&dYCuVA9tc=IQW&j3*f`b!5)Cy_{QT-{)B%X`c5Q}bFV-P2hGTHvX8U(8WU>$3)cM6erg)1$K^VRmF z29yp6<)~gf?Q(<&2i=%?!QiS6xK%WXM`@^A$TNz#3snIPagK^%Puf_ABY)XAM`4v7+X0JR;@o9Kzq|qR z0U$f7%Vx%qfpptiAr5ecAu5I(0^3>T(BJ}pCtaC?Q$L$`8wK^oCVXJ*wgGmRgbZmU_!QG$=bth0Imyg3ZW+ zw7tOvYB<{NB%sucRSS_u9dOFtBo4KL!c&i-5R94*AqO=BdH)5}H2#I@yyY?8eFo2t zu}tU5HXQ01k=6a!G+yQeIx`J50B|G;w+1SK2W6%v^F>qmqNzOb={+Z{inRBaz!$n9 zUA0Z&L09Wqb78h?w~#!V)BytY8--MU_u#oN9#ZnjI(s;D4P1`Fu`D|*mvmAQZ{Gqw z`(LxedIQfb}7Tb02Ynli#*U$0=P#@l2MJ| z!C(Qh^|whWR+1+%u)ime># z!5VD&xF(s1h6n|vSWWKQgP+>s9DoFr@DN+Ch08gZ<6-uLWEUq^dtO%Kmx->+hQ}bs zDJP!2YxRQMXI&Lq(<7=p8)Xs{DxrngDQAvYdDprYCKd?pA(`q}j5Wd>a1(fY{Vo&~ zV@+{rQn-om%(jL_+a@}~ad+1MAfpUaRXq^ws z_$DB87APwub|lPvQd6JAUW3#uVzCVZI40eC5rtv1Sb-Z53(A~uPW7`4kO^VC5CuO% z2M4v-sqJrIr%(?_1ol8KoGbGcGI-}%Rt`zqiVh)fRbZ#c`8;AX2V`T$ARlL^_qIB+ z110zB^k7)}WHoorghB2hPF!xRF+;Wq=$Z?3Ss)OcY9CsdjUaQZRk6{CP#q-9Q&G$e zsaHsK`l3{Ef{-enESNP1luLEbAz4!-Z+3t=n}kKfeaK18;JcR~T|Tyiw~nX)@C2TC zqs=M?Q&)irG}?}$eBZHSwqr+apumvzE6av8R6UMXd9ju${2Shlum-eD<=+_92x~xy zEdv@ghJBD}QlSOuY@h{JVUR$CB^RAK$p>Xr10Zu%k3%wx6&Zd;-MK1g?M-lGoE3ee~$)Tp|AI=wN$OAFaXnFevtf?&fDtg?It z&q=qi0p*Vg0sEOc_C6G`&hTD{HNhJwjRuAb22BmA>B)Sq3f@#8&TM^Bwtl{Kj0J0= zKn<`n;Iv@<6#Re$8Metl1)y)RI(z0jZ!{kQWY^;du`@6pu4p`5%16U=^`MTuBrgM0 zfG}5MSwe?Tj?*4|FlAW{8+Lgid!rTp93~Dv@Lg6@xU2?Bt|L#0HMkVIHk6etEM8v& zi-;wprmXsf8Y+vgUSC5k<~6Vfv5OnKV|~qz7iuaN!{XVFB)JFh0-DanJbP8H$+ag> zRJq`gVDL-Q>P3b<6&d_Ih}hb2D}GqMCN+0WTG{y;Yq3BCv}WncX^>ljFw>GH#NCVG z3a?_~u?JSdvY6MWL4`h**h!BeSIpi0kaaP2=FBzyoilHBQoWcJneqHv4@>4CCs6C-!|J~uQr3sn%@3){hi1v%ImNdh9%k^pqaliBR z?X4H`&R;&?(^YtT!qxAtRo)i!)*=_D)`ldSCD-y_g2<^(KqVMhspFM}}*7P>BLg)`;SfR0;;h=HU|7zhs6KZP5Y_A+ARGL;Z&-NCd7uu|1uA(-% zwZO+RB1>W64ysHpOgQ3)7H&~b*2+<{7x2>~*7|Gn6{gt?Mi?*U9M_-7 zKkr2pHba`}Pg55o&S}!0(Vt_Y4mE9SV%pKwbe4%SrxMsj;*P=!=8npQT8VHK3ow%a zCJtb%yveoTc%AT{sg?;hN1%ou1rc+V#GgvyVz8Abo>>-3ycY@&k}wO9rvUQmx3T_q z%qBl3(!2~B^}ZUIC|z(bIaZ-VkP!?A96lKZAr4k^q$w&p%<5#y6d*OGWx}2Q z+B}I9<=~Vja-zgel%y7RB0l4&J5kizLGrb;sLwb{5l7vPqTUHwi%~_KSvzw_ zPtRf4Nw^n9w&^GVOPeE*1nCfg6jQHI2(6N8IT-HYQg*-^SzQ?^jDlr*O?X}X7D!vn zRrbQ4=!GxpK3H`1pwsJ7zO^z8E^!ZFGZZt4+qbX}ySopvF5OoTLb02yE6%CCy&ZvF zqD?PM@^9-!&_}@iJ_5c}(%cI^?jt}pXfQRVP$r7@S|2Sc4WnnTkI>%kRSnDd8tQ`5 z+#~D*xHvtFyxW3sN6IDIIgT0?T`jAj+@h;JYbf_MDW8DZUnEA<1=R)X% zb*^w>eynhbF1~y4*ac;rSbbheJ8XzpI!Jwg(6k*eA(Mf~@-GDRHv;k*0`&F(P--Y_*u+PZO+c1EaaB;!oX z2<`PsUX>U%`jW{TZc&$r)X-x`586}DDkxEhW>=(ymNy(!_w<hbf^t4>F%oT?ZMl{x6sW|LUy+cZw6AUb1%4b6$*HpaSLj z9%NxnU<8|I&I?MO(pNmrlmUzAjxOo^Biu`nV%;y)4e)t8eTQr5rn z(waT1UJ1r&%d3t~`y&rV{u+5Ca?gQRopI5jDEhb8o_MM}nzBS^Q@h|_NwmeYmii>x zGP0K17H!F`we0;i{#T4pdoa$=DEEjf|z0_dFOb*q$ z7!^9bQmBvFl8pik;SqMuS}Zv+oRpE0T{$tks`N4SR!&O3@C=aaUhBX)Gp4BbV`Pqu zbp_F7d$SJq`{Y$;HveQ2BZJ?F%B;^`~a(L@ZrMc3ph zZ7rExkApLGZ><->&1cUm?-DrfLx1w#bi9~8@m`$Q{nj_@bg;E+l2$6p2yEP8qL}u1 zKYWa;&FT}bOE&2o2zYcQCbfZQQ6!5B6dK|a`tkSojGNTIX?Q)1l;6$Uv%@+`x7eKKQBM# zP+N;1+t}i-`-@ozX@873)V9;F#NXT_*H8=m5bWTV^r<|x;y@eW=x5*%u%q91^_*f3K(RIodVn*qBR%qzn9#I@cfZCA^wEp>?uB zu@Zb$XqQ3U9oAJI++_*r%8}|F%R{>?VO5Dn--M#S|17z)S?3%KY*hOCItF0!o$y0AiWz6;V5_D+DTouU$P&VGFrFym`y zW^O^Ec4m94?gpp_+|PTmw<{%e^;1FogNx(Y+XSTJXSUm~=869X^vb`t-DfX$e*`52 zOLEO>DMCX_wvi+LY9rFeFjI-uV@wl3N(;6{x`Wj3!MpC3(`hin_qOfAH|>d}gK#{8 zPWxyKo=LC!Xsx8-u5Whh4e~zgTa`4eYg*S1_?!DrLsvtWt(#c=_im@MP1F8f4n-#T zhyI@Js=C@+k@ffEQ21qU#f7ebt9l)D=3I0KpGxD!W5*}9?-p?h!~x7INB(eS$qv1_ zqztl2guaZ3L6yE{w==6DXAI{#bd!lhI=$H2cWOJQFgu;u*uZ%~asBJ;Rzh*b>H*I5 z?c!_r4to8LkMUI6;qx{4O!~Rc6>!b}{OM?{y`)Khwy5NE(WC~#vz?n$AI$mG6P)vL z5gf@&Y}d8J<+zBu$6g>j>9MBe?oVIQIaXJkRsnXvons2bW9U*-I_^*3G1Yp+cIW=H z3jU$L|8#Ao4}Eb8$LJmAF>>I<%`D!#14Rh1xZAElhDs7o(gmk3t}0>&f;1nNNewCk>$-HEBR^DZsSU|V-7Lwwqqb!wk>a7K@v zFgqUDcf1lZ+NL-;@o9@ij6(~H1c!O?#IC)gz}<{KNy$$VOf&0j77;x?*=m2fn3+Bk zbU6%m!8)Z*+{D&gVr%nmnd~~uxH7I2IjQ$fQtzKc`BBfto*uTb4vwDO*j$X@h%cJu zYAr1mZ1uqj{(9uBjj`9{nxs%&*lcpEl$ypCyu6DO zF+F}}PXeH1rg4Bcu;ArflVicl>O%T|6{Vxcwd*=_O&$d=?|iZ3r8*XeQ!Kb2gpaCk zW=qDktE1@rZ;~M{F*_WfPgi1`nDD1Be-i`DcvT#E z?$j$-rxHnWk6xnlswY@Cr6kS?f){X}x_^)d^=xOGTH#@v=rq$K_?H&Y0!^7oR(TxF zIiToZv|fkfTtFk(2Vk%(EB$a!`qj!{dDd$5~VXDiH_U51chu{_9o!V=$gG+-))_}^Qi38OU6r^n*EzU zy;Ru@_dQGZeU5`KzVqEH^y~W-cr^Xl{$w{WH6KcMshYY(X=!eM;DnDa=|^qf>cKgO zb8v7Mrn6CcZy?txN2d2I`s9+iXY>}&`SJ#dABSs#_};ew<;p#lS`nx?5~zj zE^*Q_&`X`t^6{l`8Ru;gIg!oYoEx(CcP?93FtTm3o!%v*&`S!yIP12_VBaeeHjHJ| z%FA_deVqQG%FqAt%et%g(bBj;=4ZkSWMB=)O`-xB(rB(qf&WZ{(cBo}uf1NSgZR6?uMBOCH}+ zB*G2O@{%uP65{F=@|j|@#WH6V6HUmktS-)mD>^=32V0dQyjz49ig69-YzXm5n>CR5^9t-` zSBkh%=vAgNOP~+f0LXUOq2Hlvk!ygZa1Ua|l`{L#BPHE3c`LEZ%L5_NP;^^@Ri4Ar9?lUu2*S|XV) zuZ{?&;Y6p?+kcFsK58X=#+|0bwdPc6ajhwoFtnP}01iFT52_dA7xpYVcno)ct7G-^ ztJfiu6RT&?QMJDR`Tsn#w!_knDCjVh>SCE-T?j1k6v(OnA1B&my0g}A+(#W19d#Xl zbo||Mv*UJ$u5)AOp^l>+Cp(m#p`FCL9dzi92p^CQJX$Xn7Gqo3TczfmWg*4H4tmXx zQ%285K-QTM&BBe;W4keKZ29a?x@O1txlrP-B6OYit=_q?x`9_WBJ~RKT%%QztA4Ig zV+rokPnWL??b6SHFjW88y09+&OnW41K996BKA)f?ej+l$nJyt6XmC^! zqS@IJYQGU(;Ji@5cA?a_%bWlhPy;z?z(6Je-i2@;IS=}a*SzR&ei|+HZ!Xcn{B-0e z(kZCh>{g;Bd}z0yBj5#1?C>(<=fD7%oo;tgce((yrw2CGyg2^@JtNT+Oa#$y{rn&K zo81TA?Pf5u3VC~XRIynHS*RTP+RssV5k2;oN#Opneu?lq(hWB2#5tI|fg03vGwg6W z$;4`g-u{aMX6PS&S?=^ES4TX@sZ;2HU&ljH>aXh@o`a${>DpgY#3s)4rR)%HJ}#0x zz2=$!LW0tUe~JI?v}MB1y`nA=&I#f-p$KW4%dlc*L;@m^3sB za^s2V>FRk|iRy(Q9_e~ajQu5kG&jBuFn@!a&x%AZY=B1|-+eJa)cY0F|M#Dm^Wf4<}Xg}b?U;+<4tV%mq8n`(9Fy^eV7oO zG#BVJ33O@)KB3x(g(JA+0Z1tYy*3W(HEMB|3T;JX^+HMu0IX^lSacs)yd6&ZF@RZz zfYJE*L)%)M!oLN&(Vu;R=K|dna}@E4845!2bnxonHNnpW|2H^07{l_u4W#zc<6DwK z5*4{*o2+aLTU+i;SVj>jTX%p7BQ^Q+f^#l17YpwmB;aS%2Nlgx+4}=Mn07y=NJS0H=h9G--idO{# z9{3I`DHvu9*!?A53u45@4{Ko4(^kVc7xOg`TRsgKYwC<^V!&nZZV%FK6y9qku%g1M z*7d*G+j!X9tQ{zpAXGRM!=xf)bFSH4PHq|{f zV|r>}`??2)*IsOFj=_DkCP+Bc6h^>m5$HJ3Q&Vj zV9pH?7bxmjt|f@=w3854Y*LKImy(?(6Jw>}xcn%bZtFIezPSgXAw8!19$vKKXPLZ!uR_ki3->pR_o|swiDroQ< z0*W`020z!21nD~Hai)@8LNwDU*Fz^{DC0&{=hPNTFgScDWpC=-z(*D5)Y{*mH&E}q zT2NXFvKmPDD;CjTU5@~H9>1Oi*U%eLaLu~0)MYP9VS-XrEZVd0hKGoj+?GFX|6~-V z0uizH^_Bv0vF2;d@@QP_&2ezxvKdY15lV$i@9PijeOLvzX={;3vRwE z620}dFk_e>N}S)(z5Cw#Ir_~X81WH~NVs=PMYy+U>;D9ZQaLUW=jDGW_>z5NaVJT* zrB{`{39JJ}idFZATF=oNyF+5D2I<=4!n56<3L7tv>Y7491r7L9OQ5A< zs6Q=JB7gd|68Y0|<^R1uT`o3kqXqtrwLy5S&v?cBUPp?Q@Q5Nk=z}0fyCZ#0P@v}( z)w%uZ1MV@Ss6<(@RS8ZCn4f589ykZx8w;vf(pw?7R~&!}IFbiSSz=ep9|fF0A*KAX zA8W5t<|^4Yi1Z*kW=XMeM+iPT;47tNmlAYuJ1C)Pg;2L0aF;VmOPTS{5ZqhxwbD{< zYzx81x#WUe1P$G492ts_cSG;JzH+NEEfimbZ#8}qibuokk5F9g@|99h*gF+lzY7k- zKf@oLVUGnq2#i+*Dxwu0iXF<&l_upEO0)7yq!0ZwY~25GhSebj8JJH9?^sq!opV zTMCb$Bgio=*&qzyMn(0z{lnKkyXFgZAELqiw7<4@aNkw-&YZUt!)MMNMokPpWjf4x zI_3ZSoCo#)KIa+F#o)>XXo3r~&uK@8&uL_v$Ud#XeAb2ukHK(GPN)2r8O)d&i^uv8 zOkDl5R_~mpGn!&?A7a>)WpXt;RPX3hES>R4EIzS!3i}SGupHbdiO1zaU~44e@c`|o z5bmuX67Frs!r-I%IT_2-`@U3{?f|d}LjqtsSk|2MRTtxsSc0?L-B!Bq&w6hqyun2F?w9I`Ff=B}$F*?wc#Tp zZb!ZvbtuXw`myMZ(bu9|V(_?}xNEWR#hr}177z%j8p&cO_U8a580bJ=sGZ zOE{H~HX&`o$_XD&I5~lz@a4pkiF+rWnAkP(!9+e%6y+2(KI(K#)aj@*@Yfhu8uwG& z!8i=7_=;rRsP7Y~UlV{3Vu`Z*D-u4KL>J0t+&dSKN&g~()PPfy62B^lJt4&0aH2az zy=+o9L$ZF|@a-2v*7sP-V3)$`3X8T{iXrpMNDUNMlmQmYE|T?tZC}iM5iLST=I=62 zn1_eqKN%mNhx==PBH_c>qVLI~A4ujsYClP66b3QzuEOL|wVy0l0hIy@^JUanlCwg; zQe%RMta8?w2MOq_5!o%%DEJ5(=e+7g++>T5s0vX+JlH|jcE)K8Dw%LCI_MZNjOvqLeQym0tKo81`ulmqCraNIgd@C z{!DoGd zP+XQ!Tt8V`d;?0ZS*|98*xXHM=4# z7ZQM+5?n7C0_uc(dmpHErR8f9)MjIJ(buHKaWV&=dtAwe7IC4L!8KBA}u5z4QxEsWMhZqwKZ?2QM1oS>$c54X5 zS)?GBO#5x6osAX!+`MN@S%~}LBaGP#@v)90KsPStyBn#6cu3l}f_V(4={>5PBzl4k zUc~BQoN7@Z3V&lQ$(a@z`%sHz++r>@ow{l-K2{#T7p^G2^ncczyt zLb!>uAp-h+YJBXxvmy5d;3hCYymAGkXOJ~QT6Kj6Da3sOkF(yQz(|oz=fJOpn4;jf zB6Qr_rU>`~sDgx}>C`ty-xPegpOvfwMT3*?7+CJNBpl3#MI8|nVzC+XQgB~&s{njO zxSkiT=Sb5S!F(K9fo?G?C6pA5Ct|0V19+Pimv z?hUR`R{US`>}w=D8dWdt>pp+6-J9ylQL0u|%oI`Ovhuj;ESW+ z6#Ffc0%SFd7#RePnBvKuvq}mM4^XyoM~of{PalJz7$4@FQid%c_0NmDNcvBpgR&G0lfZg`U%f6UiK^J{aCPWc3B;4( z4K$A!t+0+TJHRQNpN!p$a65keb}WdKgf08^5fylRo?`w17vISjc%EEnHD;7KuB)ysJCl^CYyLS z-V_GIC$G#fcOrj~zOJ1Fsa}tV&*UbQw~^Xb)*;)E3sDhS*tWSXb6HW# zJUGA8vZ%YAw6v4;PVCvDcCutqo0EQ#?nGW2X`V`C$C{@TZ^aVZ;)(2pok=D0!9co5 zvN@^D(oHtcH>BlvkyJN1xYN$wPRlz;IL=`2GeWg{Zh`UJEL@h^Nm@ElUyVhbeSIB+ z#JQw4uY-iXvSS5a5l16|n~FQ+3m0|#c3-~!CpIgwZIA=e}o?;%^!!+dzqknq&pSJW&N4es(LbXk&` z+*4MPmfRzV^#<81RpY+J_{h+kq~)evk~jN^IFWaQBrW&I=2Yq)X}M1}r&0HfR~O@p z@$JTWOYm`SRSC#X?@wSHtE|QiOK`b*{`fEm-TJgEpFkE|hG-^Egc>|FX3ySdtBm+9 zo`4fK595(9V5&)tju+}SCRlGmDXCs%Jh=px5uQfprTAOHjU@c;#vKiOTl5E6kg=uK z%?d}hMpykQyo`hwWDZ7VDIPfnG=GMm`S2xC27E8)Vu}U7A>x#5sO6IJ+ERS9>z^d+ zQdcWyZ|2t-y_Vr|Ss=;t3)s78&oWQY3$w797m{m|7i0*fcS!0kX)lFca^Hdsa1osc zi!UG-p@CT|qi_#|hYMIBD-4=MRP8Yumw~IfWUO6=2TNMXiYvypWw<|n-RQ6!57K^~ zP?!bVb9XeoZfrE7GF-wsBddZFh0hRR-Vir|ck2sgHG<97Rb~(mBCS`DPrL|jwj^Dj zQL+e}MDBRPZp5C1Z6Y($Zo(3vNX>acg9<+-=t-u7pkP#;`F2fXU7Q>GlsBF#T z$B$=a>m-~gzD{P#*MXGpK*3!kY|8^M*HsjJ zc=C{J{txw#M7A6-sU*o^J{N3X06cMqWa9zrE#l1>EBp+>&iRn;-<$w|0xJR`I5z^k zF^mZ@ViI_&XA{tdrQBGu0w0-Rr*$jd=ffs3&cK8KsFR`ATV)*L8I=gK@kUO~*tG)p zA8`h;b4vr>#VqE}7=2da^YMD)e^%mQT4->5l5QrmSW76$uX=-Zk; zP-!FdI(Im6bCQ!X`(O}pO#tROj5wd3R65XWx*IdO#%bTZe;xYf#z@`HP`jZh$Roo*LNbCr{c2#O)i#Ltkgcw?^Xg zOLK6M=*OR7e0aQc3oa4;a!7dImyZXC20m31W3`YC_ZqAdi2FKV>);T!e#3$@muD`U z+}90;9XX6SVzwc_c=#I@B7n{Ahdc5ofcd)iD;bt0hUEHpcpm-~Ub+pJNb7$;a`f0A zK;C`4bUW@QWsjdY`Pbj}_fmX>sNuAojeWqzKWE@sB>GNr0DtHpId|dWC3t^`m;Qn~ zi7&TYffpNIdJLC}THA$2`~C*-u|fEyA-~o*c#GIbG=SE2f$T>O$sKiIBh@MWjGzYz z2cm)ejNPz$)v*IpCMIU|<-QP@^g2@RAw$%Gc`r;>4}1{@U^fBV$e~)>fd$P;>`S;I zY?$;mpo<-bE_jYAYx$sVrT0M1o*trR9hhRyAQ*&=$E4UX=YAdt#EiBBi%iT+iOGsd zm_&|G5PFs1Qp{t>FH&}3p>tC+lT(w@5D5Asb%BrIP7bjZ5Xro`$%C1Yy5laG)$`F_ zJFt+Xw2TzscAgy>pc@V7_6*}SEIIuVo09qgx?I^1bl>rfFy7+M?yGi9IEy=puH6tc zp|lmW;?^DeGlxqgcke%V2ydV~w;=^+>%nmJYn%KhTSfd%k&A=aLF(v4~u;BN+D+&eI@l(~}zfM5V(0qpj_fX#cv6r^zgws#oVsFa0slQRbJX{F-< zjvU~%mkDJ`T1Nkv1GsboFcC3~2}Q~vMiE#7?uacP@HxHMLcu>~W(^pP^fAD;0qLZ6 z(67#d?!q^ei?fpZheJ9G;D$~Ipu6eGsr}9y^*RTBoAr%h&OwnrWW44BHkU^&LFCl& z9iJ=`NgRSh!@?s#EgqDnfkH(_3p%q>CZ|lD2Cw%^*F(+p8A8q5 zA_vjTMB(v$k%M^l+D>5D#BTTvwhphQ9j}T&?QTj(o znhu1w4HJH-Dl01;rtLmBX(nKE8ip-sPNoWbMA4SPAejd|e(xYD5ZItU(p9(&`(z9Z z(j#LOHZSW@OJ5JY{_HpuEC>d25Hd_FeHoZo_o(ziy_NGb<_-3L($@eiaTr(-IKRQ@ zq;CRo*8+z28qwz?GBmTAAbT~@0acoxx4*G^W;-cuZE*m*=wJr@sl@8e-w?Ays%;8 zi$a47fas-H1VkO6i?6;eJYEwyjCkX%O>e)W9lY6nz4VS~9BgzOaUUEUrr5DG0LM$m zi5*=E=#M`B#JIii)6a}}0)D$RRO~C-VG>X!ipAPw{1_gZkv``UM!y6`{~0z|{WDcY zuXIa6MUn<9AR}?^ zKpeIYz$Oj@^IEFvpBoWI>G$9O?+tT+iluY<`V;P2=>b4@Xn+X<*#&s}IjWSj{yqWS zj{xRBtk=8p5=*Ivoq3`X7;&W=^+677=bJY zZvgkY~Cs8M6%dHQUV)4 z@ba)UP3-JY1{*-%z}th;91g;L$24Wbb#bGU0;Kzs{XYWTys|_Bi zayen~CD3=O)o{MjG@{b)P{6hTSn~__E%sZvA7Syg1DQMo3^J3fz^^=ju#6#AK(@jU z4m0^N5|h8Sz|Rx_|1d_f!bI51QU0RZx^sm|VSm>L<`B825NIGX1q*aoKY%o>AGf4@ zEMXaE@5j2JgpjXw&?`y;A&06g2|{F*CCGcT1 zoG}B8Qw#jqlMDuVDvi*A1KJ9#Ha_;D_-8n<;$3PGOGI^tL6Usw$6^Q3??;8l&%|Q< z3nRWm?Cs5hPdhebR{Rb>iJ!ttO=6Mwk3Ww?3){Xg#Q_ez8_m1K0os$GN#H#DyuR>o z0w1MH%gh=yol5=!uvNprywSREkljfB2C(l3)VvQ{a%Se@J{<>e$teKy5wO{phrMvV z!lrdhn!4A(Bn<#(7}o3P-c@8@$C5?>a~=lfosu@NN<+P#2C$Vwz`{=8KIw^>nM((3 zTJjITr441m==lx4eDJD4&V1pcz&^`2;KCsP?x|Li2Fen;AoEMyR6{zaHNG@vswO4O|OCAI$xddR~ z#fG5sM)Bfcu*(4U&5#jj!h^CN4Ot{D;Cr96yJ&5HHlhg+L%a_1gpv;MZx06iTQ9Gk zkH$Zkqzif-Y6>6{l|8oTqfgQeV4*{K_>TWUEE*|kzS7zzIJ-Z@&Z72C!9*Lyj@l+% zEE<(KH)U{sLBatd11>lG}hTdswd{2OR@JI3;%gZ2S-~RV-~k{QGYo-)qnGv})SMnt(}V+piH{(#0a(B=FeT{9;Ep74P#udHrUTxI6JrL+ z8k6869D7`lV*EgY3zQ&nFft%p1aKpV_WB)vK`e3--MA%;dxzM$^tvNndP^)Wy)Smc zc_{5Et#-gmZ#qiBUwDg@i4z{}-9aS5{v2@Oy<;;n@ez(OiPOJzj(aX1EEk~B8Ni+& zN+S{=DD=1iScwb34OKAXlU*7G72!jP!I8rBj*g6348!7b|~0a_*xMTfx@!+dE5Jp?|LJPorz!bXQQ-chHYzP=KIGR^>Z{3*pW#oe6T>6?jnm0e2y5{_kuc}{e z-(R&YxMuZF$0|mDQhQD&nSN&J?Q@kM$u@1+|G4jiGI8nWu1|WqEKW)J=V$RHuYHxt z^FQ=*mi#hXS)_Fhr2~o5*AnR0gN;6~c6N1jbiHu<&Z{|}KH0MU=vS9pv%cDsTFAY( zDa!i;{k!W(Lu03h>K#o$RYc5)8bt?i4jk2JkWJ9@Fh>T(wWXK>z=tn zELrpa1O);5{@%Vof-(Hl*9Mv^XwM8e3OlE9Bzb%xe!Y15=T|HNJ6py;=OzZ+y!fLB zJ$jD1=Gks?3Owu)8ZeXBsVlE+JN~KH`1( diff --git a/DRV/LANCEGS.DRV.S.txt b/DRV/LANCEGS.DRV.S.txt index 838160dd..b324ea3c 100644 --- a/DRV/LANCEGS.DRV.S.txt +++ b/DRV/LANCEGS.DRV.S.txt @@ -109,12 +109,13 @@ Dev.ParseArgs >LDYA ARGS beq .8 No user MAC specified - >PUSHW L.MAC5 - >PUSHW L.MAC4 - >PUSHW L.MAC3 - >PUSHW L.MAC2 - >PUSHW L.MAC1 - >PUSHW L.MAC0 + ldx #10 + +.2 >PUSHW L.MAC0,x + dex + dex + bpl .2 + >PUSHBI 12 6 x byte PTRs >PUSHW L.SSCANF.MAC >LDYA ZPArgPtr diff --git a/DRV/UTHERNET.DRV.S.txt b/DRV/UTHERNET.DRV.S.txt index 7501c3af..694b7d0f 100644 --- a/DRV/UTHERNET.DRV.S.txt +++ b/DRV/UTHERNET.DRV.S.txt @@ -114,6 +114,7 @@ Dev.ParseArgs >LDYA ARGS >STYA ZPArgPTR lda (ZPArgPTR) bne .1 + lda A2osX.RANDOM16 eor A2osX.TIMER16 sta DCB+S.DCB.NIC.MAC+3 @@ -123,12 +124,14 @@ Dev.ParseArgs >LDYA ARGS sta DCB+S.DCB.NIC.MAC+5 clc rts -.1 >PUSHW L.MAC5 - >PUSHW L.MAC4 - >PUSHW L.MAC3 - >PUSHW L.MAC2 - >PUSHW L.MAC1 - >PUSHW L.MAC0 + +.1 ldx #10 + +.2 >PUSHW L.MAC0,x + dex + dex + bpl .2 + >PUSHBI 12 6 x byte PTRs >PUSHW L.SSCANF.MAC >LDYA ZPArgPtr diff --git a/DRV/X.U2.DRV.S.txt b/DRV/X.U2.DRV.S.txt index 4dbae7e3..9c512fd3 100644 --- a/DRV/X.U2.DRV.S.txt +++ b/DRV/X.U2.DRV.S.txt @@ -142,12 +142,13 @@ Dev.ParseArgs >LDYA ARGS clc rts -.1 >PUSHW L.MAC5 - >PUSHW L.MAC4 - >PUSHW L.MAC3 - >PUSHW L.MAC2 - >PUSHW L.MAC1 - >PUSHW L.MAC0 +.1 ldx #10 + +.2 >PUSHW L.MAC0,x + dex + dex + bpl .2 + >PUSHBI 12 6 x byte PTRs >PUSHW L.SSCANF.MAC >LDYA ZPArgPtr @@ -186,8 +187,9 @@ ZPBufPtr .EQ ZPDRV+2 Counter .EQ ZPDRV+4 Offset .EQ ZPDRV+6 RXTX.Size .EQ ZPDRV+8 +BUF.Size .EQ ZPDRV+10 .DO U2AI=1 -RX.IP .EQ ZPDRV+10 +RX.IP .EQ ZPDRV+12 .FIN *-------------------------------------- DRV.CS.START cld @@ -372,7 +374,7 @@ CLOSE ldx DEVSLOTx0 *-------------------------------------- READWRITE.9 lda #E.NODATA -READWRITE.99 plp + plp sec rts *-------------------------------------- @@ -403,32 +405,70 @@ READ php .DO U2AI=1 ldy #0 -.10 lda W5100.DR,x +.10 lda W5100.DR,x IPRAW:Get Source IP sta RX.IP,y iny cpy #4 bne .10 - ldy W5100.DR,x get RX.Size HI - sty RXTX.Size+1 + lda W5100.DR,x get RX.Size HI (not including 6 bytes Header) + sta RXTX.Size+1 + eor #$ff + sta Counter+1 lda W5100.DR,x get RX.Size LO sta RXTX.Size + eor #$ff + sta Counter + + eor #$ff clc adc #S.IP - pha - tya + sta BUF.Size + ldy #S.IOCTL.BYTECNT + sta (ZPIOCTL),y + + iny + + lda RXTX.Size+1 adc /S.IP - ply + sta BUF.Size+1 + + lda RXTX.Size + clc + adc #6 IPRAW: Add 6 bytes to Total Size + sta RXTX.Size + + lda RXTX.Size+1 + adc #0 + sta RXTX.Size+1 + .ELSE - lda W5100.DR,x get RX.Size HI + lda W5100.DR,x get RX.Size HI (Frame size + 2) sta RXTX.Size+1 - ldy W5100.DR,x get RX.Size LO - sty RXTX.Size + lda W5100.DR,x get RX.Size LO + sta RXTX.Size + + ldy #S.IOCTL.BYTECNT + sec + sbc #2 MACRAW:strip 2 bytes Header from Size + sta (ZPIOCTL),y + sta BUF.Size + eor #$ff + sta Counter + + iny + lda RXTX.Size+1 + sbc #0 + sta (ZPIOCTL),y + sta BUF.Size+1 + eor #$ff + sta Counter+1 .FIN + >LDYA BUF.Size >SYSCALL2 getmem - bcs READWRITE.99 + bcs .9 >STYA ZPBufPtr phx @@ -440,39 +480,6 @@ READ php pla sta (ZPIOCTL),y - ldy #S.IOCTL.BYTECNT - .DO U2AI=1 - lda RXTX.Size - sta (ZPIOCTL),y - eor #$ff - sta Counter - eor #$ff - clc - adc #6 Total Size : Add 6 bytes for IPRAW - sta RXTX.Size - iny - - lda RXTX.Size+1 - eor #$ff - sta Counter+1 - eor #$ff - adc #0 - sta RXTX.Size+1 - .ELSE - lda RXTX.Size - sec - sbc #2 Header Size : strip 2 bytes for MACRAW - sta (ZPIOCTL),y - eor #$ff - sta Counter - iny - - lda RXTX.Size+1 - sbc #0 - sta (ZPIOCTL),y - eor #$ff - sta Counter+1 - .FIN .DO U2AI=1 ldx #3 ldy #S.IP.SRC+3 @@ -502,7 +509,7 @@ READ php bne .2 inc ZPBufPtr+1 - bne .2 + bra .2 .4 >AR.SELECT S0.RX.RD @@ -526,6 +533,10 @@ READ php plp clc rts + +.9 plp + sec + rts *-------------------------------------- WRITE php sei @@ -548,6 +559,7 @@ WRITE php sta RXTX.Size+1 eor #$ff sta Counter+1 + ldx DEVSLOTx0 >AR.SELECT S0.TX.FSR @@ -563,6 +575,7 @@ WRITE php .DO U2AI=1 >AR.SELECT S0.DIPR + ldy #S.IP.DST .1 lda (ZPBufPtr),y @@ -572,6 +585,7 @@ WRITE php bne .1 >AR.SELECT S0.PROTO + ldy #S.IP.PROTOCOL lda (ZPBufPtr),y sta W5100.DR,x diff --git a/LIB/LIBTCPIP.S.FRM.txt b/LIB/LIBTCPIP.S.FRM.txt index d6541501..e45e00ab 100644 --- a/LIB/LIBTCPIP.S.FRM.txt +++ b/LIB/LIBTCPIP.S.FRM.txt @@ -45,14 +45,18 @@ FRM.NewIP stx .8+1 >STYA ZPDataOutLen cpx #S.IP.PROTOCOL.TCP bne .1 + lda #S.TCP bra .4 + .1 cpx #S.IP.PROTOCOL.UDP bne .2 + lda #S.UDP bra .4 + .2 lda #S.IP - bra .4 + .4 sta .5+1 save Header len for reset later clc adc ZPDataOutLen @@ -63,6 +67,7 @@ FRM.NewIP stx .8+1 sta ZPFrameOutLen+1 >SYSCALL2 getmem bcs .9 + stx hFrameOut >STYA ZPFrameOutPtr @@ -74,6 +79,7 @@ FRM.NewIP stx .8+1 iny dex bne .6 + tya * clc adc ZPFrameOutPtr @@ -84,6 +90,7 @@ FRM.NewIP stx .8+1 lda IPCFG+S.NETCFG.DevFlags and #S.DCB.NIC.FLAGS.IPOFFLOAD bne .7 + ldy #S.ETH.EII.TYPE lda /S.ETH.EII.TYPE.IP sta (ZPFrameOutPtr),y @@ -107,8 +114,11 @@ FRM.NewIP stx .8+1 ldx IP.ID+1 inc bne .61 + inx + stx IP.ID+1 + .61 sta IP.ID sta (ZPFrameOutPtr),y dey diff --git a/SBIN/KCONFIG.S.txt b/SBIN/KCONFIG.S.txt index 523f25e4..1aa541d1 100644 --- a/SBIN/KCONFIG.S.txt +++ b/SBIN/KCONFIG.S.txt @@ -87,11 +87,14 @@ CS.RUN >PUSHBI 0 >LDYA L.MSG.TOPBAR.KO .2 >SYSCALL printf + >PUSHBI 0 >LDYA L.MSG.KCONF >SYSCALL printf + >LDYAI A2osX.KCONFIG jsr CS.RUN.DumpConfYA + >PUSHBI 0 >LDYA L.MSG.STATUSBAR >SYSCALL printf @@ -99,6 +102,7 @@ CS.RUN >PUSHBI 0 CS.RUN.REPaint >PUSHBI 0 >LDYA L.MSG.FCONF >SYSCALL printf + >LDYA ZPCfgPtr jsr CS.RUN.DumpConfYA @@ -150,6 +154,7 @@ CS.RUN.Loop >SYSCALL GetChar inc sta (ZPCfgPtr),y jmp CS.RUN.REPaint + .5 cmp #'1' bcc CS.RUN.Loop cmp #'8' @@ -158,10 +163,12 @@ CS.RUN.Loop >SYSCALL GetChar clc adc #8 tay + lda (ZPCfgPtr),y eor #$FF sta (ZPCfgPtr),y jmp CS.RUN.REPaint + .8 >PUSHBI 0 >LDYA L.MSG.QUIT .9 >SYSCALL printf @@ -200,17 +207,27 @@ CS.RUN.Load >PUSHEA.G StatBuf >STYA ZPCfgPtr * clc rts + .99 >LDYAI 16 >SYSCALL GetMem >STYA ZPCfgPtr stx hFileBuf - ldy #15 + ldy #0 .1 lda A2osX.KCONFIG,y sta (ZPCfgPtr),y - dey - bpl .1 + iny + cpy #9 + bne .1 + + lda #0 + +.2 sta (ZPCfgPtr),y + iny + cpy #16 + bne .2 + sec rts *-------------------------------------- @@ -277,6 +294,7 @@ CS.RUN.DumpConfYA >PUSHBI 1 >LDYA L.MSG.TTYS >SYSCALL printf + ldy #9 Slot 1 .1 lda (ZPTmp1),y ldx #SLOTS.MAX-1 @@ -285,6 +303,7 @@ CS.RUN.DumpConfYA beq .3 dex bne .2 + .3 phy txa asl @@ -348,8 +367,8 @@ MSG.PMODE .AZ " Preemptive Mode : %s\r\n" MSG.TTYS .AZ " #TTYs : %d\r\n" MSG.ENABLED .AZ "Enabled " MSG.DISABLED .AZ "Disabled" -MSG.SLOT .AZ " Slot #%d : %s\r\n" -MSG.SLOT.NODEV .AZ " " +MSG.SLOT .AZ " Slot #%d : %s\e[K\r\n" +MSG.SLOT.NODEV .AZ "" MSG.SLOT.Z80 .AZ "Z80 CPU Board" MSG.SLOT.VSD .AZ "SSC Shared Driver for VSDRIVE" MSG.SLOT.ATK .AZ "AppleTalk Board" diff --git a/SYS/KM.APPLETALK.S.txt b/SYS/KM.APPLETALK.S.txt index be1be7c6..8328bbc7 100644 --- a/SYS/KM.APPLETALK.S.txt +++ b/SYS/KM.APPLETALK.S.txt @@ -21,16 +21,21 @@ AT.INIT >LDYAI MSG.INIT sta TmpPtr1 lda #$C7 sta TmpPtr1+1 + .1 ldy #3 .2 lda (TmpPtr1),y cmp SIG.ATLK,y bne .3 + dey bpl .2 + ldy #4 lda (TmpPtr1),y + ldx #0 + cmp #1 beq .4 ldx #$80 @@ -41,6 +46,7 @@ AT.INIT >LDYAI MSG.INIT >LDYAI MSG.FAILED jsr PrintFYA rts + .3 dec TmpPtr1+1 lda TmpPtr1+1 cmp #$C0 @@ -48,6 +54,7 @@ AT.INIT >LDYAI MSG.INIT >LDYAI MSG.NOHW jsr PrintFYA rts + .4 stx WC.Flag tay lda TmpPtr1+1