From 18eb40eda824255fd3747ea1d1cb63b356ba20e4 Mon Sep 17 00:00:00 2001 From: Martin Haye Date: Sat, 20 Jul 2013 08:42:23 -0700 Subject: [PATCH] Re-initializing repo leaving out game assets. --- .gitignore | 4 + a2copy/.classpath | 7 + a2copy/.project | 17 + a2copy/a2copy.jar | Bin 0 -> 4676 bytes a2copy/a2copy.jardesc | 16 + a2copy/lib/VERSIONS | 1 + a2copy/lib/ac.jar | Bin 0 -> 226520 bytes a2copy/src/A2copy.java | 321 ++++++++++++ bin/a2copy.py | 30 ++ bin/filesToImg.py | 32 ++ bin/imgToFiles.py | 32 ++ files/BASIC.SYSTEM | Bin 0 -> 10240 bytes files/COPYIIPL.SYSTEM | Bin 0 -> 26368 bytes files/ED | Bin 0 -> 3145 bytes files/GAME/RENDER | Bin 0 -> 1511 bytes files/GAME/RENDER.S | 1092 ++++++++++++++++++++++++++++++++++++++++ files/GAME/SHELL | Bin 0 -> 239 bytes files/GAME/SHELL.S | 202 ++++++++ files/MERLIN.SYSTEM | Bin 0 -> 18679 bytes files/PARMS | Bin 0 -> 44 bytes files/PARMS.S | 93 ++++ files/PRODOS | Bin 0 -> 16509 bytes files/STARTUP | Bin 0 -> 1215 bytes platform/empty.2mg.gz | Bin 0 -> 5751 bytes 24 files changed, 1847 insertions(+) create mode 100644 .gitignore create mode 100644 a2copy/.classpath create mode 100644 a2copy/.project create mode 100644 a2copy/a2copy.jar create mode 100644 a2copy/a2copy.jardesc create mode 100644 a2copy/lib/VERSIONS create mode 100644 a2copy/lib/ac.jar create mode 100644 a2copy/src/A2copy.java create mode 100755 bin/a2copy.py create mode 100755 bin/filesToImg.py create mode 100755 bin/imgToFiles.py create mode 100644 files/BASIC.SYSTEM create mode 100644 files/COPYIIPL.SYSTEM create mode 100644 files/ED create mode 100644 files/GAME/RENDER create mode 100644 files/GAME/RENDER.S create mode 100644 files/GAME/SHELL create mode 100644 files/GAME/SHELL.S create mode 100644 files/MERLIN.SYSTEM create mode 100644 files/PARMS create mode 100644 files/PARMS.S create mode 100644 files/PRODOS create mode 100644 files/STARTUP create mode 100644 platform/empty.2mg.gz diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..c4e6948 --- /dev/null +++ b/.gitignore @@ -0,0 +1,4 @@ +*.class +*.2mg +*.swp +ASSETS diff --git a/a2copy/.classpath b/a2copy/.classpath new file mode 100644 index 0000000..75b1101 --- /dev/null +++ b/a2copy/.classpath @@ -0,0 +1,7 @@ + + + + + + + diff --git a/a2copy/.project b/a2copy/.project new file mode 100644 index 0000000..132becd --- /dev/null +++ b/a2copy/.project @@ -0,0 +1,17 @@ + + + a2copy + + + + + + org.eclipse.jdt.core.javabuilder + + + + + + org.eclipse.jdt.core.javanature + + diff --git a/a2copy/a2copy.jar b/a2copy/a2copy.jar new file mode 100644 index 0000000000000000000000000000000000000000..1381962bdd53fa77f932dcd309562e0ecf20cd81 GIT binary patch literal 4676 zcmZ{ocQhLS*T!wNx1vT%jTjLWwMUE+v8hp;wurr|sM=yQsJ%ywQbJLsq-H58Qllkm zug2bLe(gWs*Y7>&eeSvE-h1vj&-4G!5JF7COmO2*75MF_6Z|7)0ulnKrm32kj=q*S zR83z;OVh*@2-Uh8Bp^6|Vuth}Vn8enL`--XGty`b8kd@1*@t2{*J-Cp9+?TX*I?}=2r1TRmA@J-YIJ3A)jBz*a{qHihqe6k{rH_#k5shL2 zR>XkID|-t=tI3H>xn@3Yn%HxWah3VIl zqx@(-2(sVde+54PWo6daK~BM@rO3A))dd`bL2bA1&-Ie-8Xn&po4iu)T6{OglCSR~ z2xMk6i>O*bSSR4rnG%^>+L_^){d%?)vOxhVIkrUWOM&*P;;gq%(;43<-*T7A=?{)x z+41r&)g5h<)^Z;YF@CY@pS-a{aePus6)S2m!?V@6=pKo!QIz$T4><0HiKfMqiArzy zmXKw!eYig)ZCRO9)yK$DE6ccbBK68#&nc$Pb@P7hG7#T^(cl8Q%vhX9Ek6-=7n^%L zFR5l3t7CEx&jTyRowNupZgqT3)%YMNv*7Jn>gjL4ckhm1HkRRp{1|pfhXl*C^ix{; z&{7TwL>tQ#*F3UFeoPD{k_!2(K{a_}KT3ilep;h6O5Q85`e;M%ZU4&QVMEuay%T0rOI$EmxB=X)+m#RTps_GaO~o=EC3oxJ%9?!%d$AtVbyL-rKjJ=vIj0 zUc6v=R%O=C5%>Jy9mRzMFZGQ(Gu3nbUa6|feoHLOeSF+A!^ zO4K%f9XaJv>9sJOyw3apWxwi-OJM`i-(Jms+%&Onim+u08zgQ`O0`g|_{RU#u|+@W z0q?d({DS(D(T=9X2-;p~`PX~J)DClhyL)U1>jgIh$X!mlSf)u~M8ZxzJYHQ++e?T6 z=Q1x(GWQ4z8{UE@uYC-8^YHXj~~=F&cY1Q>h!j^BE2Wzm2FJ2~ zi*YHJu2$X&w9)rGECDU3Hh9Dc)UO`l)&0nSXuB-o3Kw(CbLJ zpcz`>?BtN7?DsQDJIP&5qWkh}HEvB0bHrX_(S?D^CggmtRaC5hwafzR4u6xd<*J25AZpH%KaSL3;Q8(df zWA31`PwTqr1#6f{vJ)$;c|p~7StYdd!*;rJ??7t;6c&^rR#g9l9PYNEoWZGX-Mo= zBp~MUPGwnSgE=fp&9hf_=qTII$QPp~nlHm9!pO(lS~47z+zthqcAh$sUO1TP<)4bH z1t+R-zUozCm<*#k$3&#Kkxxwo-{X^sRh(;lW&~?+#FjhG+f1BaqUPVxP3%Lr2`RCz z^Xc>LZzg1Fm^u6{+r+<-DbRCPS$=8^I6(5tErl6PGg-a{GPJLDvsqlu_7~rAz8{1S ze!XbutNAmex6imp=cCTB%iRQk6irTQn9CPVw?R>WeN|Ok{;QwnSLl}B<0(gklBv3t4x;3>sSq`8fPpe)T`%(Z5}^Qytd#XsI{tC&Q+`_$ zVPqQA5x<%?0r$$T%aBvZm{6Oj7{B4AQa7#!XwFP80QBn(GTN3;rt?>mjv!nNX8}Vu zfO#!VDAyftN|drzKpd*fZ%eCkciL&mVhaXx(L5f$kL?At*WcnQfLhD9cm@u-)p>gI z(k-Ud`e|31ObY342sDQm05;4f^BjioaOtDN`jz-MaB~xxDypo+q%r5pCTzXfR$f?b zZ5~blxp36m5C}cu50IH}a4+!Xm+;==I7;)U3<=imUvbAxr}MSsyt4^36mk1e85z}5 zMuP$8{7MHt#!o*@A1L*W8pg_|-)oA0$V#yg--ct0A;g21B0j zjBnOR3VUvvgYHpjOSc(OMT-FfIHJA@KE&_Zit##fMsZ$rVup4)05m^nHPMPjKs_JD@nB7QR~c zSylxWkqRSV)}_O|9+v zm47$4Hcji5i<9VaM;*z#8Q9PIXy&8fQvGnMS1n4(i3!3UBi)%AM}g-QqDpm^nAn>` zMv=aku46>OM~(SJ!E$z8Q^L;-p}I7HW~AuKw2MVVn`p_yQf``yM_qP;F#flRLlaN= zImU#4wALAlq#zS$U(_84G~hwN<$f!>j!WkO_%t2X`qZXoRvek~nfl2(LjMJ+cntU0pG-ST0`6$+P{EY*ZqS0CBN zxqFMLjFg+cc%?BEro8E7`rV;klU`z!W*Gr!Wr=9iKWd&b^*}}p`=t492$`P?$6^~B zBq=sP<|@fHnjxD_ts~~xl1+Ve#>+CcVs4|kv3NSCYfzoRVg=MpXU57O1XnD0iD zX$=P)ds<#_!>2S|&#skqRS|i)kHolnuu9-QdS^+g9dfHG6>rEM%7MV&MzbJ%df#@w zP}DbBNrBu^2InT9i+D5l-^Z_AJlT`W)ntE1eSCt|tzpkT0K%L$-{*7UuaR8eCNHUq z<71a>GR~z0E+GZ%;OV9yBUb*e3ki1%e{6Gg*-^;QEF4!eaNblzEF@>}u`%oqaH*^G zk5uicZ{0vEiL^5)+IgWuxy6&_H6wZWu1&yC7iMHh^GDqiZ1oov;uee>=W1FIi{$7p zoUwal&*N|ct5%PnZn zT>Ad(bs4S%t#U?VaJ{9WCCq)DJ3OFu|8qQlAhE$43z=tYFDZ}ib#>MYWPFNiC7b0a zJA9q_4Voyxt3s9FOL>an+v0Ko?Ho4jC?)=|+u|#lW4SyBT~$?FgDb;JQ0potqB>_g zub57Y9NJH2gk}YYB<8B(QEnEn9!DNl@}EMj$}5Aq&%G@2k6x)O6#7iAwQX(BAeXVD@u{e3Ee0Ud3o-d zfcU0C2b$w{Mu#O;gvb9r_F2XCU|O`Z9pWg2wb_V@RxxFmtp44*e!oO8rA(MIqt($w z|I?OiPSpItsd8cGM(?@oF7s8iIG%ugbRlFJXx3HXY3

b zoWLfvQ=&TSvfOG~RKx=41Ee>JEsr_t^3;sm7lphVcBpBj`x3T2!x&QQD=E@7lTeKm zeK4rWXIvQdyk|qE`sw-|3e%(qEK-vXM#gT&;^cUX-|i>Dn+#hneM~N4NAU(E_sNU!=w%i7$L^@x3!w8{~Rz!7T5h0et9w&T^SR zaRx*FvStZ$S{*pP7gk>CH9Xpa{FTVu;S`DR$ft7w93pK$FMU)-$ozON3!YjT_WPK& zSTiL4Di4$ z!Ch*|st%^duj=k1unxXMS%`umJW1^bZ?pQyi?;SH0&5@|iA8Ga{i z@AN5A)y=-636c`rO+~)3U^|tpSXeMfag+b)q+BX$X-<8C&1o96n-X#J9Y?eTuT$*c zwd>hAh9;)k596nMuv*kp)NW$oQZ?0rv`=dFmPc_4;A-@q{}dnO!jEJro^=^bEgckc zW?sEFg42gCwcDv^HzZ!BJr^4{wS zVWth?Bd;sVmJ_Ck9Yi~@hrVJSF=ECjbpIp`){z56w+}K3k~3}fEjfpPHH|$l_BCF@ z>-z>$92j=5D?c7U&J&+Rytjpwa4wEGvn{)1e;f`qkj+Wkhe2ZUw{`PHEf zKc5YyD3PJ4r`x;$1{sfE^Fv=C93c*SY=l0!P=9#aNV$!#Al>_elq^YLL#=7WRa($& zS|q!6LwzaziQvk1@UeS`ph_ZrD?q>GUa75c4x`yqmv@0WGGSe>i`@;pPf!M#X-CC_T6bwigpZAA;X4!jgB{^yf5FMPnIG*nJplp#?Z4oJkcgS!A71khRQbzm r{=fbkz4_PWFM# + + + + + + + + + + + + + + + diff --git a/a2copy/lib/VERSIONS b/a2copy/lib/VERSIONS new file mode 100644 index 0000000..084b131 --- /dev/null +++ b/a2copy/lib/VERSIONS @@ -0,0 +1 @@ +ac.jar = AppleCommander-1.3.5.13-ac.jar with Martn's patch for proper sub-directory creation diff --git a/a2copy/lib/ac.jar b/a2copy/lib/ac.jar new file mode 100644 index 0000000000000000000000000000000000000000..c5748fb26a527ba6a3cf3c489a5082de4b41cc0e GIT binary patch literal 226520 zcmb5VV~j9en=Rb7ZQI><+qP}nwvD@O+qP}nwr!v1eP{AbGG~%AUv^TdKNogYt(DZ; z>ynoO20;M;0Du60VNB zafb!~1bG1j0Qh%cv6hY#Hd}+wXf6LcsUm9O(9Cvc=37JpMW!36Bq1f2Cr&~h`@MZA z7rx@#?JdW4A53Ubm)oW4wW>6d`IB3&E&pS5V=h_jOX8$Xy75cChVl8rPKi{i+Dwj* zXB!Wjp0-A@h%Fz?)h}~<nD@X&eF@(1&-eL;cCE_zMbUhRh9Z`t;G42 z%=}Sh(a1{x?&&1-W2@fvmW^9_BfLg~GccFc!p6Fu@4JUSeN@HCKxh8<`{3v6t)KVr z*<7z=Blm9{rW9BHi@6ClUdek2_AaOOk<7v3sv+H?j*W(IwrFzoQek!s!lq| zPSDmCsKAF`YPI6o_6HU6-MeF}hStvl%4;l54OFck!-{BYfLTXo;|lt#W4x^smvm+Q z%AX#MzG8{Tjbmz_%XWzgklgKg4KcC%)|7L6KN2o2M@<&>Q6sGY`*50)vN`ayDr=>F zRJyCI3GTgVkduUMx}XJ*4s-FO+a2d9{0i}~_Hd&FZ#!sfs_Pn>oJnIVJvLAYw&xNG zY6?qe@V$i+#X+65MvZzG-_$G0+a&as`pE5KD1Wf1#9%i5+;Sf`b_9YTZS8@Y4MML9ez8=u zo(A32Ezs(G2vW(klU$=pD@6|E=+Fk7unpMUJLt=Frbcx&zI-8m?)#!?(?0VhEig77DJR(VkmDJeVh_hRnnA)d@Urq07cXr zhT$)YWE8txBqB<9WoIO+M!Rqj$oW3Es25v#GB`T~)o$xSHa-%3`6j3@)3C8ZQiV0J z$^yaXUMQ2WCa_*@1SH@r5}|U*Z zI#G&iVti7SD&_dFx0Z=QxZIK{D0prc$y2E)kH@Bai55v!R~CJJ7_yyVVkrA1Xp*S@ zl>=EFtP1oshfJN*PvDKW@1`J;tRaZw>=HJzf7`Pyussy~wmEB4iS|*kf}Ae(00bh1 zp6{7N={9yW7_}1h?45wPEhjsGlE^A;t6FfZld6E?Lqr7u(+HP763}1EgxTr>JDm$Y zz2u0c(NAKQYY4!?np8K1aSnKZdo0XL8LrTvB32YP*KZ@IB;Ye^;=M*dgeE-)cWkn< zEN5~~!~V&*26q(C${kbe2CU$JA5kcKS2m3%B0BJDzIyx92!u1s&?yP!LAj8O(`)ES zqXLyww+B}h9X?a!mp2B`RVS&ppdwUbU5~JMK}lPI$&kzGeyTVi6FFZ}D5R2)(T;_R zbROw}tSb;3kUz{_@L%P*i9_<>MH|QlN?9xNJ`K(j zfPV!jP!%v$RmBx}h?eQhVWMPAgQL>$8twue4C&%ZX;4g9;ZX7c`S!}}9k*b#%R>=# z3lNE`%p@<^9rstOJX3tf+R|78#G}3$TnmW0WGtd=)sbkLT0-~1 zSW{}e(atlIM<~OIE0FpK>%~W0;>d2_e}MFlz(SvM(>+f5ic#9i;zVd(#t;?La!QK* zN`?K@(=BQ-1Kclsx4FMzPPj8)pweIeqoRv_vksdKsU++86KsB3VSaCcUlCMqblT>oIWBXv`Wju6d0x3U}jYqnEY7-&xc z0Q-(wD3J(^FdK&Mic8q${=)}jg?60NI$eRT7}iw?`Kyk;vbD^fpFJ2NnKAoo0S!Q4 zYVJ$bLCxy&rb5Kvi+QMo8&f?(;fB3!q=kHXDeezRO$tb+h|!?o88fWN?SecAv-*Y~ zRL?tr=>FNT887ZoWKSw(j|~gK1MV@q@P5(AUDb7;KayZQ`gmNGM{hyiXG-02lidYO zj|1IdEQsAIh(WckA(m&}Wt9l?eom2q)^ zc-l3Fy2~^uhJwu19Ad3uFii!mUZsmFbpr?CfcFxS+X;V{K$73m9(e2~uH=ow4Ovw| zR86*3Tzu6m(hHfx+UeC3y+EYVF9VdfF-S>4z&%9;`-6ZRnIr&8jwQwCbl_x6;0Az^ z=w~B_y)5CHdBVUUB|*e>&g(?xc*$i=1{wy79wyqsn9lj9amr(QD0&BINH5LCTA#e` z>%tTGdGz3;XQC!hBQ3|12ypSh2{?L7KmnqdU5-8G34H7$Po=8aeRd{&N*gtic)PZH zvg(6O;F%!AD_wwZ51K;td~7#8f~H1E(ukFBnmECpTSm@B0_fxECIwB1XsM$u4fGUW zCC8koRsqBR{%CSa@b}i+zFb)9v|CrZ7cFv>=7b(gT{v6WsWiR3_@KfwQ{$SUI4M8= zE*BNY$Ruq67DqV&-etv{EmX?_8vi|!61t3c)IEJ);4PuKe&f(!*rGZmCoMpE@oN|! z%xo2$A?L4aiHnd@MCCXe2T9Q{MVVU#gsiE-DTv}7My9%L&@z7wiB^*FW2@JAf-%I1 z=m!*&W751KITmk3C-D3 z?%~C-phiea1PK3(+J3zp+ym!qYt=y+>S~53>Ge12W{)1OG3eW3Zy^^sSpwq?AF zcK%DHah{Xt7=lB8YLx0@vb`L?g2M$qZ=CyN;;h>`<*6bOK)4oh;tIq^t#>Gcp@7=s zM(qI^@8#MYFgg&6maN|Vkn8j-Yb}+?szuXkKh{N| z!0N%G3t7RS+9q+n0OpS8P9w2*+_Y<9PD~z>+uX&sfxOE>2i5 zBr8puwdFVuh~_S!2&#NMOaUJSJ15{4C>9~D{ob*W)F`5SKtaJi(z2VFGXT7;P5&(u z`Em7Li?d@v0(!>*2~z=Q4Y5Cw zbMLQdk@d(x3tySxD3rjV_q@YsSQ!**zI%VH& zM4C_m)3npjtwMfpRxffd&y#-=^$NtOr<}{ud#E{R5P6m3TSquOprM?SGg6!dchuz? zJfmOe#ux+L1Tp~e!I4il25B9b>&bLLKk zh5|@B;OeFBe-r^PgNgWx8vfAD3j~Dd0#rr;;U028u61y`070AT=)CU*CeRnrON^Gg zc76#BSaZ!P=t!d9-$e^bXm8wrrUbo%V)>Sj$e@So;I}sb+%8XKPLXjsE%1sp$MqcK zOW}Efs1O0h4OBuD!teLHoDWz$)E_;gHa9>1q;+orBlbZdu#E~Q=iG7lrNT*~Hz))Z zyIDsV1XpYwWdo$EdmLKO-OR=N%QYIrVCS_2`wT{Ik@jPy*Qw5_n9<-y=n+}ViW3wF z%h<5B+$5oTDqRq34@d`QO2%8Vt`n7hS4BYEIm$?Dg~V@2@xtKfx2M6sI|@zlWw$NQ zDiL52NQSubnW;(tCa|TnK<)M{kf>0ML(&q9(>BT%!XVvQ{T1AGaNMQsZF*a?xblNr zi9h%(mD1HBM<&SSP7^mLkWT4t)dk@sz_bHA z8>aO7hfmBCJLOV_n;s~&dKbfl;J$DzC)rA%v`a9hKEe<9=_EOm!{I$o+6@V(Od&H3 zB4qI6*T>)(tVp(XhB)9B@I4VJQ}&3{*H-_N@n}0&g-X~sb7cctw~+6g8V>gOhk~KQ z3qFf*h!l7E+6g&(@VR+KPLUES7@xZ)b78i-u$4fd$rj^BXjT3L<+9QLjkT#h9=c-C z{GnEioj1Nyt)QPYdJ#0g7d|q<5#!hmcXgK|=AI?T30c^JYtD?6-|WY%(K7`y8z`mh z`>C}bfXoUF4_&poTVil4HxbjTQr{4nqk!LFzK9+8`;?|jR^y6C zk3SGdZVs`PJd@QVH!N~`)jd3UgH7ieQ%ni2o#qh`7NhP+I+46}=IO;W-Qs;!f~g_W zQC7uWSodB9R=Y~FRVGy3#dEok4W5E*7SAkaY{?UMsk_vPp|mf~JXZ`*EM-e<$6_a3 zz>nGvJ{OJ@JQf4OFar*Oqv5ehEu%E5AsC_jqLvH6WR;H|cE|%JE6$3@8ObWnI$#KKFYC<2&I&DJL zT=Ivl{%#OkNLhSb;Tg0t1h2D@+Tj?Wcq#<8wPSMiZ zPvTfkb~7r5v(R!9V_#JPi{EK(Tqyh6I6{tOquT*|&7RTO&H2852-?l6FPKGW!0bl}#2K{`gv*;3uPrdoV&)u(2K$A=PeP_h~L z0rD4BkA6{A4;b*z2aNM&wm4|>f$p=3kj1EET|lKd`DL;7jWj>);HbvI`(e4CkPCqu z$;vZQkZT0I6--VyPq8EwtY%&Ju6m4s^|V4zzuk3zB3B9tzfo^X z7noq<5}e8+`!8G1^qAsA^c7-m>I{zeOw)UI7tn97ZPg ziESXL*$^^E;HV!=JMB%dp|3*%q`g)aM)0e6Ea{nzL}!n@*L}#F{pD&W_z$-8enY!` zZ0BL83Oz0a>DVrR0e;Fkl<<{fhPoYq2Ktf z3^qS<>x`y8bG7&}b)!WAiOhe_SR%OX7HMc)W`a|neqL(Ohr#8wx@By`F->ap$O$TV zy~(R?JFG>bY#7a{&9bh4va7&PyHT6*kLBnitBs0Oe(ZEMB#f7PlzQsE9-+|wD0qkS zwS89@g~E5|Mv*LHq(ac>1zu7L^B-6|!O}rE0|UKNeObu<-s&VN*VAgg_4lsNkHS!s zVCgAr@XThYHta9)AFW)(^HayM}e=>CcGUM*7-%stt)QTpq zpKa_&ixGSI8P)Q_m*$EB*JQ@D5fvE2>UE2v)LrTF#{Q(mk~w`cWb8zrI>mK*Hw=b> z%j4_r#9Uq9QER^P)ri77eLg>$8&30_`{4%VuX~Td9!7j~;?9mH$_d8FS(P5j<(|Gg zeqTSEQ{CG64V*X3^TL!q-kXQo`g;DFcyFU$Zrg*6?5@`yzMp=MdW`Z8Vaw)R@r@>4 z2H-}AES$xLotuloV#wp~2Am@L;>y+7;@2KK+>(k*-<-;$8V`27cbk(}!5)pn!7;cM zQaZDz!_$wp8sSWw_OTtlgM^J0?&mZ4J-c%SdMps)H`^dm>pfBH=o$ZS`L*Qry{^(AwaNL};3wLdO@XT_`Z_lj_zsjf5 zQh?_BM_lLtT5dRlP+AhnS=5dtl<38v9iDyYX zLzJ72DT*#V8-57&>TP5Oua?&fdqek)cYU^Q^f-K7-~HQU3IT>ZV77jBvYUKAS%RHu zK7?HN82hnT{{IuCm72xbYgRg0h(G}VdLjRjoBt7{mF0xx{;fvqN>z*f4{EfJ>bxyB z8-h36p5NLVDJ#J^MI!(+r-}GRN~N`g>5^m8f$qAof@q|r2yK}f~kdDaZ5Fpji= z%1gtug5%{!6`04*=i#F>VP+pi?4-mDhPalAkPOYlgbKtxoZzcnu_{%nAhbNS8HKfU z@%a^449G#yR1J>8uX9L+T?N!8LQrYU^cr)tjTpe7iOX|OMq*`Uv1KyduKVbRWWwuH9cE8 zzM9)x3O0cALl_A7TJvC~6{iUr7j4b-=4LQ6HgQS!yFkZkaRvum1uEZ5IyD#VVlHIw zuNn=wk<<%-0DbnB_?`}9TP3HNsc^*-n_Zzvj{RVz#?9*((VXab>zeuS{GO%sgq+^` z$UzDORYBg809U=JnL`XDmsW^fqC^{_zyhvN73E2%FjYFU=Gc|Z{lu=a`rbI`UB`R# zq5%2r_jm6|%pc#MLyA*`w_L=+Y3Rc;J^VMAMAR5cLkYwbNmxw5k&ien&}k@Z?NmA^ zNiNf(NS`{k+5p$0jq$Z`tbYFA=HaYPb&@WYM%mM!;|4x09oj#ZI$|oZ0z>M9OLlDh z-dxl(TJYXKHx>ev@@7B`@qs~Ygl01>Y33h)xjneY!o=>2G?g#EczXs_u!{Bb24JGrWA3v^{)x1zf}Cb9fmNnN;3u^Z|QCk*!`C+YMlB19iN z#7AYQnQ`ie%|fcF3s{)X8KTu``8;2OW(xm2{?6P1gK0TG_>H}-C5X9M=$n3pq{u*| ze*)i0QE1gX<1LSeYzn1%1#?=VGK@A3Ee7SpuToKm>V(V~jzu^#aPF96 zyV2UpW^4~Mwvi3d95a>Z*!xV@_o?=HvJmS+G^Fj`CEHP->!WsoD=S;t;|RE^&M$s+ zNP9#~TJST@2fdeqGs-LT=i>ZY>%SA={&ti@k2=OGt`ET6$CQNvsi}C!kJ~fMwiV4I4kWclb+8jz9`GQgk0aevj|bk#;jJRZ<^m*geOhn%3U|x- zp!BE8HURyA{ujS~So*QLrSB-)5d6chGXFXP!~swhQB;zUlU4dR$Epv)iT)29Tdl2; zxG9?8n?n4BZ|R9+v>?<#?;&cmo|E@cw6)4gIbKhXEs`fb01Kp!GH3l^;P-iwnhxrp z)P>wx50z<*>|%O+f2_cbmmQ; z-{ZPtonUFQgM<5Rn84EfOl-t!JpTT@M3PQvPAZ{X+QRH`OE zIt~pR8TROD!Ah+bTCx^lZJcA=vXvo{z^R)*{s3ji`s zYm!A#+n5HP!F8z1fXR9)W5LErXj9{+s@YorZdB04HMwe)Ie#GL9ULnog}0E|yuA>P z{g-00G)CxAOQsaPTKj3}a`;UU{Kqz@*I)5EMc_u}p_A5VXHe+;%p1p!ew<3p)ccFI zm~0BXd;C7Llee119_6|pOD?E*oQMG$R|AA-`f`GVdpN-rZ@oc!&dBJUWE-02c|^@a zV%JdR%~Upc;HE+)eRWbr7kayp+tvF^)-_fDH$F+@n(u6v>xK=emEDlRl(R{9fdLek zk2djzrFc9X16XK?);!BmpO*w!$autGeL)MEe8_JpW`saiI^t2C%Dieobm}v-!%QW8 z?#H~7>4DQswIO}Ijd@~qYHWvtfn?s?FvnhXHYSE%rXte)U?SPMCoMDlshZ6tg-mYf zcz`$2ZgGhw;&Mf6;|R!V4-xvRLvrOw#NvMm`02j3H6;R&`Y>RFMt5V++v)1+ zv(0ETUfj`%-jn{1u@X}yvaXRaG}oNDlh)7do}Y`frWH!7>ea!*Uf9^(CzW1^;rF+Q z_GdXwf|XWfkFYV-P?QO#x-q6wkBC|JVHX>0A{Zex1lHcL=aVLQ0vQ@eGmfWL_olI1>}Cds`FN)t5u?{LGh* zW)c9M5b7at@S1yw3mYXCoN(ERNiL~kp`u2OO-r-nqIxL9^P}}<6lGfbxX++FQZOP? zl+&LlX8^(yIbdYe%K*xEqkiy>RZ=}NN=k@*-@BHWwz=9)DO{vRvzj8I`-ZbnvW{*n z&l-<4F1fG8KJ5qD@Pvob%7Pzpj=wD(5OT1hnzIu2<|4AH!)RflPpA>8Y^^oY7HH>& zO9}OO`^VcHS&lm!xR2J8B(*+5Q~Bx9J=kftqDs1>;-78kQjxO}9Ag%*q@mE+p06gx z;HF=9<&ExD^W5Yy?CcO2;irX6a!N#|D=Z!(H4QqiO?_2ZM|i&}#~?x_nAiCb|<CwJmX*b%Xo5u!33&!gQW4rq0xG9!MWnvQ_TmTD!^OK#h zMqBb)Lvd+@GhGF5*Ys)$R;pK1;9BKMmApQV)ZZ6Mnrd$`3dck%{Q-jE?~51wV?d2I z^86&o^xam3ug&H`geAf?6vrt761^A#XN{RvZ%hE9HsHJPS|&)^$Xu*%B8b-kc*z;H zSPa0#nZH+;qjte=3?1jnasKK9z%O;cGxn#TS3QU@51C4;mpW6QE*vMDi+GX)vG9!J zQHwI6QeTAB68ts+DMpSn4RW|JL=mS@shkI~6w}lGq{H}0lP#fDk~~0}^n@!&*KVNA z<*BEe=x7~tq?J{D0Jg@H0%}G){(Up21{WgBHP_4Bp9M=|Hj0f^<1D6Wpd-{ASyAa3 zkv(-u7%2(6w%N*>6FdGOa}~+3bBLi?G~$#K6*IpKn)=N_0X}nk^!;M@ml(VVE5got zpGLxXo95kQkHtXLw}z3a{3Xeq%Lc`99{aOlDN1t$+LpjnmiFUNc~;-SM1Dv~YaAi$ ziKTEn-Q8AJlU9vfFRze}f(kqy31}3*GHK!_eHonfyD z?5<5NG=O%JgeH_E%UF1}yEAwM1LOB`!orhb2U!kd>@p<8{ljK9>J8N5ONb0L7DaxN zSy)(@=nK9-L}FnpV4W#469uN1NSQYdT3lo?GlkP4xJHfsc` z+vndsW%Kd%Ec_Mt5*39mF#V)Jc$6w(pI)adO|&Pp^4@JXGm>}Me{^+|C)M5xgG-aE=f&U?`VfyU&p?rKp{a^&1Q_on-$N_d?Q~WWh(u4J%p+IR@5!g&BtAx zhR}=^IeD}|os1SH6|M@4M~k}ak2xHeF4;Yx=fhNE7e`)p1h{9V@%n+J)pz7;d&jJSy@`%NlAQC^fwM2Yfi#3kw6*{$ygZ)t7;1W+_ zz-}REb#x0@hrlH_uBWNJt^fYX(3zZk*J1W?l_P~v4x0O)MM|a4kU|y|8$&|YhBZ=s zEu{N!t-bgLl3L`%ENR=?COvt7*P9@);8-&>lOEfXy9S428JMvimh2Yx4Am>-a%00b z^gdGx{*M;Z%!RuK9ApUkynxx4Lab^W#*mB|S8-Xnry;$0R<4I$3Gs827Y>a;6_rw? zNoHEtk;0td!a71#Ia+ok;-8sCJ>J|_fe*~(T8y1 z(b`yFk5yPx{jZxfXBv5M1R#B6$6xb&$}Dfg!);2jT=1-#vnP_0yoMa(9w0uY)6L+# z+FA5u5A|c_We!0!ave~M=>WkX;C?93vUp=I0HFQESNY7b6s z-uzz@QLri|5-aPRt(8pFzx_CoRfWLIne8BEx7pnUXZS-AM3)R+UGJCO?-4REjrwXn z%(OFQA$dlYLaHaR8`0(4?y>`{ST3u5)g~u%-YKV){G3Ac8_}zz4!Ou!rck*M)-4b< z;-&qRENw<@pTncI=lAWEH1D-~o?kqnVd)o<(RSE(s$@&z*A@Ia`ybt^N-!c~b-&Ng zM~*ApBq+EYVP-GTFnV&`Ht%dks&;DSfDjt3T}K1keSU7Zl(vRTK`Z7N#}wufCD{_2A45p=*|H$p@zy0<(#D5lcx3kBWk*Q^~_ zdG{iR>+?zMCG#0;7enW^OnpdWAx@2xzeu9m%oR2`^RDOI(G__2fAlC;Z^jXxEvZ%5 zA-?Ikm=AGJ>nho=`6HXq^ZR!I?;t4z0YJx+JyaL~Z^Zx2n|Cvm$3p`kWA{wEJo@Z4 zn7WOX+w0;K9o(K5K?)gg=6Olg*kR#qS=4k^BGf0AiS&T$|3uHmD?|Ql ziB60nmgYPq46EJG6YWzsxwGDC*=*YU`^M`ivJ6PKg$U+DFSwxahsPCWC^Mu*N}1GU z<4KDkV%r;2%91nmP<+XYT)E%h!+kLhoq0_sB)BAnWeLy14koHE5(|QcsJVzi^=fLH*uPOCkKiSgOxNYO z@hniMR0puhykD(0G~Dkucrcsb&9NQS{map$)pjf~}l0ID|MN6dgEH7hhjCY<1gczHV_SI6s(1`T0-u?g+K#E8ce(c#{v)I zUemjomp;zEZuqmvvs?oOeMDjP8!P?T*Iu4m62k)`ocP{yMMWe&96Vd*wE1An*Vyp$ zzTdZKwV0KSk9pyIg=Q(n&p?Xtjie#*WjgDpI^9B*3$lFeWWti&L@15SN(7$XSa|uw ztCjj50D;Khrs{k|$U^;L!1@VGqo}ypsUR*yp^2wtPu~D;NHB48Uq8|cp++9mbN>)FI!1b*h(C^*)x;SUyHKFd)R0{*Y7vj?j3D{-M-6j z$lJ8XD__ntIA`9RXz-J-10?#TXKzO-`q)D`V5j>_;OiW)Zto&oZJjCp(DN`i$*}S3 z;cNH=M3~hCg z8WTIEIO7ld7OtrzG_M%AU(w3k89KZ>Px@N*0q0O;W4w&$exg+Z9CvxhIozwkB(Qgg zk&iL(I}2_?q%W${H}n~n(_|}DX@oO^U>ba0^43YUss-1>kc78_&^xDwk>&%|p+D%2 zQMSVgT0*YNRD=ZpHaSTO-J+V@2T18VpIQrdEazkng%F3q80!(L##wN&y6~}bq@pV* zY*$BA7)0Qsj^xmMmmogTdKyV-s z8d3#|Oo9UgF-dlO$j@ktZ3zu^C9k7X7~_kbtO1YGBpi%Vl$Z|o`DF(J;&|Z8d02xD zXGx{FgX6a%w75{H?;R2olTr=$kdK`7)PX}rHe@TTn9^Kv>CiF>Nsaza+mx7?19KAm zb?75}mlA{lC(NA^NNguVOtUycjoA9FN<5?7j^V^Ut4VZE<6wUxuGF#O7`d@!za2oe zPT5Xuu2khfrYrdlSE=|@)r*M*8s0=sNQRzVuVXhDPXQgip~wpRU58I)P`vk#Z($-M z0qr38$>iKTjI?x|Q^VEJv(_VbE^Vb=7mC%foCs5}+6Yr=_l}3xpH~S!gC6a~`Ks zgJk(p%A~QVj=YdfX1x{_Rm6h75bO4LQqd473KJhnQjwhR$wc%}xH+NJ7KHDbCiF#W z2%el`&Jx<_E8B#$BNo)g9%vK+CMiPHbfJ*#UbMMKV*^jtOctP4a@khKfv=OAHG);~ z>h|7j&@8ao#Zov4xJI;w)(d>mlH9f`2k}dsyAIQaAc7eS_eSvnUeI=+zv%3&2g1u8j}hl}QL!dgb0^W0WEG_Q*7e-8oXNM*uR&{pqK z+DSwBD~YU#=5DP%SK?Zq;u-ZN^k;8A0li{IkHOJ?(_viw(*&> z9Lv_E5vgyQP;J^}nS!_19*r*BjLwTxCCtm@FW!!(>76MOT)@|jFDU?ajBzMoPv_w? zoK!iBwb=+QrkBtVN_=1W&jgS$l0DE_1^Rb@1{S!Hs6G`+6?xd}tjjpL;uU;PTwdYo z(5k;LtWYb7*lK*D6ETt>sH3P(Te1e#v}X{7&_s}3FONg+NsOyCV<!XsHR0DN%PM!7HH98qVSGlgi2eG zNx3wY09t|6hNB`SFGh^qV6JG|=EoRFstbRwcQe}a#E}|%PwqtBbmRLzCF@q&Y#AcCK87F2kL{>kr zy_mxW7t4*gI8ux=f;~JY6DYd*=uZ4dP|r#dY~f)H|7%Rv_P5`F?y|MW)E|RkBGC!- z25W8yLR>@~KYq;?k~=&FF(np~mePcc#!xgDjR@%LAmAhk46F z5k1fc&`*pI53shl1kSIV&_5?V=gdvI@vn4{M2nS86Hxh9!xEOq)cx<8diPoC^-{)# zVT^GYPUQuvl%SZ-yx7eu>&RVZp#W1`*5_$jT<(fr%Cys)_Q%EZB_ z`oSYAnNa8Gu%QGfns`pPnId_r=SN4}Dp~54aYyS*Xs#_vLfQj-SDcO(a#Q=-K zq~dzcBp^df&Ok!f*0Xr*gys!N4Pw1iX1_9xjAXIA14v{6KfIx#hett@t_>i7Nmtra zI@H2BN|R`yUy+0Wti{Aq$U;o1?zIA*x-|Lb$OhW5Q7aw&DRxhL8-O<2(Ok%ckfKU> zJ-OHyH9b+EggaOv{SeK@ZN89}dVATDaom-^j?gzRyCr}?Bl7umA0$^_T5ci|Ze+!w zkZgcU*OytZR3)LxL0Pp6z@J5KUo1D^4tOuL*HF3zW?q9EFH%rLzTP^yLR8h-Yl$d( zmi^_ZBLc_E>>!R$(3bjWe-diLLE}S-$;V49i-jh@vfFz&1Y%^No7_Qq(VpZX>P(i5 z%YJ4F12Vw(DG}nJC$%|Z60^6f{2DjWO=z0K@h!Desud<6`Otgvv-T`qL4! z?b6cT7I~SKg6~~B`DqAP!hcy<&aoT8dS1O{9?A##%yC<1y!n{Fh?TkzJc^5UtnEhE z4Ozu@mCJjqz5r!rQ?ITWiuB1dzi3ob;ie-VR1``6Inm#6coyDGE$kMbuI6QPk+qgA z)g}VYnd58_^fA$E$J*X*U81B}(BvF?fGgxspJJT#djx&+Styxt^yx~&{FxP^*8$(}pybk6^%1h~STaOnuxb$Oc zt0MXZGrYE1tnzQvc09$J(4&8g(9QhO&$hSn5Pia%9*1GXAQUk{h?{xh5H8Zn;OE&{ zQ#mmEuXnj7!lpK3VMNdG=KSP&=4wgaqttVa(*U^%^Wu^&@$qMGXrgTb>>@S2%?L?a z$KKjBvp^9-8B2zt)O{3mbn|EMnE>9zLB|Xle$c`;3A@OB+~6iPzxD}5R^reRGpOnH}$c|pBd_Z(F+NDb_v5@FgFDIw z_9(t>YrDVpaltYj9VZqpJum|&RPS%SpIX4Y9q?b*ahkp}ve{e^C-XX%G}(G|qRTQg zg`}A^po}QvVoE18tx0wvUuHnT;z~2%ZB{Z#LG(&XYXq?ek@YFCS=Yk5${-L0>#LZ#Yasg9R>HVxMSfSw+Mv_TuT%w?wRKBCgQc31b&dGt* z5@eXay1QTh45fKhAKkXZ?=X0zDz~-(0KXy)J)L;SlE;r@eAwhZ=92!6K_zf=k)@S_J|r+C>0VR{BImsr|nlNb?WptM|bS%II&uhAo{@p zAw>7K9shO;WSDPT4YR%?V4@J6Dx@3yV6loutbC&c$Dgj{OQlBNSRM<)Nr zqJFj?6R7q9dP|lCtp07T$OEctaL|6bZRZd9Q(ls>#EpT@Rs+Uh!A$ylF(=HqRzeF7 zDtlb$?fEl&a@=w+T;_ZUqCLSa=#lMynhjrpjkV1XRD|!}6=wq0$SzQnGRs&eiw#^w zis&tj3Eej_eUkc2^LC5q3(F(M-2;R+3UcFS&}0IrvrKpDo8SFcT0w0R(r*d;K{=It z3VwKg#cM1EK?#nwg7#2W)a8*+;7aW{=+cwlHz}_ZzUsJ?8r#%pxYrGIj?H z2;HA*>XvYwNLMF?CsxFH_vT9{Zu9 zF3V^p%X5J@lO@H^DL>^GtC0Itz!q+t$O+0S9P;RHOqg5lzA#@tFy1f+Jj;PwTMLqUX? z*fov-%N`?m06Xh&8a{h~~cI!z24KlvkWIumgf#nStz(jVbUajL<# z;>I1~@`!#ET-L0_sp7mE2j87sz)nFv80cZ=;RX~iLw5|0;aT*8!Pe$^kbf{hn{!S?X5f%$Mh8nIBfK9?a7yvQmg!ag&hhq{Z zG8kSVw(FYV5@K#;I-}o{#!J@AV00K$8HT9a+vi{w@9og0%Nbc1-&uqI1>8N2;%#|~ z$9sn3m9G=ha{DNt?}I1Fp;;%6Jd=FoE*#}`%Al8EQlMdb>Tb-U@A=dNa$RM)>0Avh zu_=f`-cA3P3anQGx`BVV3W|>{9;%X#Wq90mVOXN}vS*;QjxJA16CgXQBUu zES-_HfzyBB=3mV9Kj0>d1JYf2dHJ*}-Iy*hH3S?`kbl53Egb;?#CS0-MA(#!5fh0u zmCz$Neq1^$9iZM7)yfLJv!Z{cy4j-IM!*EY;Tovg=AYI4!8S@C0`eJ8h3YhcXw~x-Q67;Z(ItiMjCf_8ZX@4 z-Q5~*pt+oxH}A$hZ(f`;_m3SFQBkogB6F|Iwe$OBt_>70h15EN7Sm<|oc$E|8_e8Y zP_dJX8J9}Bt9uDzMu!+~5*D+;X(WWX8#Yg6o9-ou%ZZk)*WR#g3K?+Ykej_#cu15&sjN)v@Ap1psUNu@M5zHFE^N& zbc&SnUFa{wP26)T>)nFrgu;LTY?MMh)@S-dU3u;b^KhDq@MIvop+05YZlT zwC@Huxb9|b&J4b5Z`5JvLj|QlcScHerDvVD;koRB;}}hAef&V|xr#K}a)nd~ricx~ zNOZ#XiS2cUdn@VH*Nt?BC&YYq4B1BW$?CmE=rr7Uf(kO;L0M6S1@^qc(cTG!xIUglfeT1_jl%tif(WL(8CDc#zM<(n zZ0p5<2&TWWh9JRyb`R-6eO3rbgnPrf_Se{{h5j2E)pK~n7Se<9+}68|7!V2`jQU*E z`+@QN0r5e5Ll>fg7$Cj#6Y8(-jxp5VwAFW|oyNF#LX}*c=g?jp=Hk|nC%z6F+7c{3% zBOM--a@#FgY6MU`6A~zRhns)HiFyjJEZo)c_SC0Gi}tL&UT;@t_atchD;vkjvPKkp z9lz+|N#(JYB}vQ8Ezcd|!m^9=NcB*|7?;9`6if*;v%i3+A>SoZGh$}9L_R4uyk&;K zl-|GHdPD*ZRJ}WvyBs@_s}e>R-#ZD-62?Blg31ly+OWuil_;_0swH%^UWB#eO7@~% zT8%bQqt71dH41_sKwePROr`*)OnFK|szPVNlIp^gTLkY2K!}fc#u8`VK%jUWA+s2q zwHEW6Xb^Cw^1MR{pIQ7W-ldI?0mn&SC82D4x%{bw-1VsZ@+4NWgBzLa!NW|Tf`n$A zDBX1ZUb#fFWZ@~iFmN~3$bLjx+~b_Cp8#(1+IrN$&jK-d#v9$QVvSVR3^Tmr7rU#s z)*)Pob|NguNtJ1oAPe$AcV6}V2z4-x%dr~8(GD5i98r~|YWxS6lg%V`bN&NTLlf5_ z*Se@u-{YU9mOqSheMd{8@joFz5S-8UYxtvl+?9b{7X-E^#n%KFCH)wS=>RS3yR-Av zzEuf|>l7*#Y6@rNXrk7KOA(j!o#4*DQUf$y>BJ+fcT|e>uP*XIjW^i{)BWxP zlz@1G{!zo!J53*OBgxEE-7+~!(0lf|rVqT4)-INlk!8`IX}dwh@{VWB7>Wj(Zdh=| zjrDUZ!bc>Jre)}!bS4!Vq@Rl5tn=}Zlw`BEN)fr=S4{-Wv;;-zl=P}*@nTucm^AbZ zb~Q~-AAL<~Isn~U6oIs+d>S3IWkrRe`WWLO#qC*%m4HI!m1q<17)DO{;g1cXpwbSGy+Pd=@i&Sqv$4(sP>r26At@MlMl=x^4 zmTDy9p=nwsf2}S66%H~!q5Di1XXn!^q^)9Z6BasG>w;B{8gU!)B>6(-*K?vnzM3+E$c}#i<;#i~Oa{tncu* zYz+St@QZuyyQHy#{%o|b1mSk)z8QN)MV0!LbS5B#N2<`zn^zBTa&W-Q&S3`WSbDql z{l?l7N$q#(+%rW?k{CExw)7wZ%C>wlF9v*#L&1ayEwc#XdvZl0;PHvmK>MN?^f$=P z`2C+yy?hl7(XFWOT1I*I@pl@<5}-AES)}aRg2aBBVYJ2#17}0HqC&NPxrzqh(*Ydv zK5NTa#=R7}U&cnr4&LQ+%1ysAtM0JzaDfxq>XAIhtSqo2A&U#nH{|Fs5)61GsC)4n z-_Gt`+E__X^PQ5kBp{g&4m$L&IN@Ixuk`emeug8Q*r9?kh(ratkW8aQwA$KJQ0B}2E=dWLtHAtdk~uD|cQ&wFQ@H|>Idi?_=S7cM zS7(YhjTxR*u}e-G2UXD0CKUep%WH>9(ApA z(}G|;GrF#&@LYgIiX#R4 zW#-QuN$cz}hj0ZcTjpiwL+kG72DQ?-BRKEb5SAFqb92KYXg`mgHgY=`3%v3i5)02yAI4~F@-1WZ(@YsONg)?`%# zaeDNxZ(}gHQR=(GO~ZvT+pD(Hv;EDli&HDHuBemw{-0~XvsEbBO%SNJgy8oZ$YZ81 zJ_#Z2<7guSNH4(r*vQaGj4xqXktf_u; ztcR#KiGUv7O!A97UE&8Zz1#{V1qt8>u43usA^1-oWR3vaOuQ<7gil`o-iTE(VYWCb;1LYyFj(Q783^#g5bp|8fWZ$~7s z8Sbdr3F}JrTOr;`P45;sEfd0KjlI*8uCzBhraG*$?}n;BBIntP0OD0=w^c*qK{Gp8J{(< zkD%~2Qupc(I(t{X-5C2MqWbm&nl{DWDN1nOi*E5CU6?!-AT5^0AX#5<8P~--h}M9% zIzdocf}(%`$KrCyZk9sHZm;z45>qUF<{g1AgH4@&VBumnyluWgI|uVdOO(j={GCI@ zxyRO%zN^WR%}7TJB88dMXEcF1 z3r{Qf+t3j*A#Qufb&HjYZ0^Hrc-;5ca$PQ;gRQiA%R-G#X=|5EqAyFnt&=XtLDPK% zLBpzS+0$A3#q{iEnh84K{|!>`82;ggj|~)bW)dOI$#mAIFt+EEkvc44RJzD_hc#)4 z`V;@-=b9*fXc%gV+8x-iA#A)Jj7dp|Yi{(eShc1-pllo-bI%0;SG`6JU!AAhfqXf` ztw8(gG!Dl5NO6j724w6ad{hgJyEnTdwupM|8Wy`71EWp4>jTtcj7*XRfn`Sta;}W2 zp*{K%KJ~S5I+W^c6Ldl{CxLsDv31fS?{Z#{7J7dHJ*uVOi5@x=TNqn zOqh46Z?xZ>IM1Kp;Xv+CSlW_A5yO`fcob0nk{b|$Y8OiBSdS$jRGDo#g;DpN!vJfQ zJUy~)!gx^AQklb3Y_y`Yp_9`f6ju9iw~zf;jDIjI4=3e*_}wxo33OCAj_Ji>S|{KI zSk-*Y)Q<5cY#C3g7~>zvO{mzf^P^qC$4tqTgZ?7gj(1HL!S0ycK36KbbjmZwQ&4Aa z79$ubstMjKv}P1Tg=h_;<$Y~%+2B?#kLCz*`NM`-+$#;VvAPm$*e_mc;77?q8c&N_G|cX@mJBR&B{!o||e>_rTp`@w@r?gL0#d*~a|34XkY^ z>T39Hw0rC)&Ugx16k8mSjqWN2fJb^QSDqI3&XEJXHk9(NF>(dK>_JL9jxV7v#Dxcd z=u&-g^_Q-(Zw(uG*syRuLR3B34kde>fXS?Z$nj|fBVDm{-x=~Zt^!^PWMi5N>=T$U z?cNy@A$Nuc!oycRmU&sWYB8+yZ_urd$FmqP9G$cJeJnyB+9#iEOg71)4jmC_H#+@>dJtP0-g0i`}oX%&+}>JD0Yi-%{GRcLc51Sc}wMB|Aq$b16Vo{&3;xv zNx80#LcsRyex81Z7<N^}B1~b}$$-|WgN2AaAu_KA=7W~VwFa2)Jv+JohNI`7D^E2H+(V@)pK^ZD*k zMrU}4@j={wz%<-n=7a2WdWU^j2UvRmsO(#$3-~X#$bBR{>Vn9@wL+#y#3Ws^HY&JY z8O(nmyW}7V8-!sj*H=5QNso{$Q2Zw2uBZ)=D>y1)HMZRT1@;06O73ZgFOLj5?pOt>E71@D`!wLrWf7U0N^2v*c zJ}0wId|pETetq))DN9QEm|MDd*g8A?>++KSglwK z0?2V;{!UT^ADIpva&}gaYbdLv5mn88(0Zd-3Loz)GNwfR716>myvKB4aKUUtqLC9u zJT}Xofsz77b<(nnt;LN;(@Mm|a2As4!DO&R)7tq_ge@E0RqlaNzsLIR2|GBTI?u^T zz`_i2g6(3{F|$!{hrwJR>!i-uFm$L;;n!ou;oDSSR|TN(JNAB&mO;Mf?lWBO)%o)b z{(t&ptBGp#;gea_eELM-f9w-CaZe`;2g`r$ksMVeg?>@gKtPjRV|;USZl`auR?;qYF8R5_TCt z<5+qsaFoH)`Zv2Bi7fxy(zQD0th-h#ewv?FE`tOE6aBxo^gnSeyO3Ma|E4}4pQ5A! z5=D)?{u{%VOO-1pNSY51uhuWq>7%>W=g_dJYh5a8{&ub00Y@9*V(WFhzxtGON`xft zf@@19K_BKh!b6k%*)h(V5&iINkfv~e#&K&NqxS(0lYP|NI%))KvO77qn@ycc(6^9l zMhaCE4_fYjiddRmYpW>4^LFiZG>Mx{3GTVvp*&Q7t70@yrVY!ns6+F~I8EvC_B(_# z(S@%n`#p%KZeCmAc&mYEHBg-VQRDX8-oiEkCS4CEUg^U4s0$58`-WT33ya0w!onyD zkWaGPRP@b(Rih{13lHZOz(_)?{1pO0+pP%BrB*9k19C zd{(JLZoTi+5p-6D+J$sI4eNTqYsv5KS;3m6FVK>jCN(j|78OwIl^5hq||r1}kZ z)w+CDgd98+Np)>ug@4YeImo`Ioi|I*mk(tR95PM;@JrMk=O*LfWFyz+p|BIC77&{k zG~<=aO}}^+HZq`g?`X-I2N5R4UYtfcT_GO0X)i8TYIIALAO58)mKOM-+xSIq;xH`1 zOl+Q?Ds_CG+ahpaCPDu|;KwL+Wn{T$6Is0ZewdRn7j(*>vf?kE&6|rC@@#<`0@uyD zz&V+W^DahU?pLS27rJ9iB}F`XStY$z3UlOI3yV7PeeM)?trv#GsyM=|SBDq}1c#Pn zEZUrV|6&xq*Kllh+((5olbOZu=jB7?+y^J3*K@;%NDKEKDi4o9FEc%V8`Ci26vbAY zVfLdWsE0`wNIH-$iV>ndfK_!Kt1WtP$nhr6W z9G~d7g5QYZt3OPnb%CTsnAAz#VZjhtZTn^O2hbuihp<-Ne7hZOIH+F&JwZ(>G!sIu zyrZV490hYYvNg;&Rfw;iFD8Zqwdw|jOSTM!Z<0Q7ob4mH@NTmz-9=11X07h6?@;A@u#qG}iX;#FOEf{YwEpE8Dj79vpp%O~62!`aQ$+VWrO6aEwP)3U;g{TN!*|3}Js|GfS+ru?t_{|Dv& z+zR}sY=Ozl+1Z2H!qd^^Kk$Bb6UBdrDa|+5T}8z{TEz!Qprf{9p`Nhae85A*#}d1g zOtdP$sLBF@p_3{{S&~O9NniFCBC`BTT>?zhpKqQ9BWmsOzQENzAQ0Z@KH4X{WQ4vZ zLL(6@3MxBjoM)DK?S1BAhMa`nIx*5yfnj%_=6^JHtxH zXD)88c5_6bT}!lGf46WcV7>on$mja|cPIr7%TFVh=egN1?F3?7m-mA-48$)+Z7xSA zyLZ;p#Dd-jC&gR#pFiphrEa_WUGI6EV03yZ}@0vtkNG zCZ&qo(gjHJ>%vh+CNvSLQo(R@^VE~KMiZ?v&lsEUKt7kn*hB2HY5KI!wGSV=Znv=1 zf-$?KnI!*WRq}sa4Yb1A`U`C7Pp*22k!X*&Dzo(P!f?r6ntu0R+8JS8zu3sbRK=zU7Eje zug@070#yn#W6KNf&rp(6`0|3N~VP{hm@pL{jb+gVEI^udlpg zsj6xFYvi4{^fN5|cQQjvQFaH>Jf)##1Vt5n#BC%SB}T}81t(Du2D|wGyn}itz5DWi zW{HQ5kUiy3^ZS09U*vyaes_CH=}+~Hlc}TS=ck9I+rRcfn%aMEvDO2c*{W@;w-FG8 zn(EPoV)lyZ;iOQYuWdU97Ch8bq~3KAu=~P9dP%6J#Sgp#ZG6cV#6iJ{T-J-M-0^(LIO*EFTfrz=`&(-=RYciO%=+n=u2eT zEEH+gk%9CsuysilC@ha5f*Wt9TyIMHNAQ6ISR^*`4xsJGCqGi7B=?<|DgQX$ZOT2B z-H#D-R%44a8#z2hXXlFJWnw-R66Ohu{rd~Ti2jNRZ>FL7C1XtcXaC;; zoy4hkFCR{5X*KT%d$Yxp{;Z{UuYZbIWhGhXYL;16_jFO>Vv_pU=s4Z&xAO|1Fy2`l z`6~Km%lBpY%B?Bf34iG+`-FVo4)c>l)hJWqE6NVV5jf;)_&@#O%pY?0#`pBPSu?%p zTD`*mw2B-b&u#TSBN+JSI}Pjq$c_KnZv*^@pIVApj@99=_V%PnaZ)xIkOW*u7L*PW zK7=?rF@vw%H{3c9wJv}vYqPBbTs&||rnx#%>s7XbI?vHA_##mg9kb|fPj$=V?yF;E zppO2!-s^%^MDWpuzxOq@LY79)_3^9Q)I>IrkHh_Fg726)@u$xXFBs!!orO4zA0?w& z8H;6+Vn|fIM&Dpt_-yfpPrL5WBoIA)yv?Am@x}p<0doX|#jZ8h_H{^;J(ptN4sUrx zhswM;j*Gn}%{3?P+;W4oPzB^}xj}1Q9p}luG`>P=UKn>g+9o$djmIn@|mp^2hANEkqb%Wj3vaICuHXXfmKK_D8y%elNr_?Wz`03RMnCJ2oUkPh8e`dwXaWL;DLRkG89ObNDiC9VCnC zfu57_3{D{nn3yBt*NfmV1WF5b!+<)t%`0NMJ+I0rKx>@B~Yl z|0WG)Btk@B<{&$4!xo~gF|3>mW~5i|_t6jY&cc((`o}P`dw8-CT{x1}(~uPt?zIDQ zVHi>kY7yrPY{4Q~FeCPNGFr`MX|Gr_EXfp{(Jt`w+JNY@T!vL z#Vi7Dcy4ku8ca?nyE0pwHL`;&FV2N)od$>7p$a0d?vnV46d6X&tB0!@i{VBKMroHS zTZbw``vQsyPQY29re?Se1^=CHEMTJDYVND?reDt0!in{g=H*JAm3q8%c8R@FcET@C zro|4T)x3oPq>D9^6pQWv4j z4e!)(%%Q~-L@>?v*1B#*d9jOT<`>rOy^Cew+*ag?%QB%Z!Ud$UMux7A=b)a__x zn!&KXqkI#-eDxv4egZ-$V$WW+483)XQVDq5k0cX*F`!(#OdN*1B!n)=;ks=r{+{wN zFKam27OrdDd15XPWSr-xP^9Uy#U^90vid3~8J=e(5mtXD>#f2mdRS{H8PTwb9mlok zu!v#fER2IB<_k-^73}tHs)~u)LXXKA=b9;E0U3J5^#!;OYD$^ws9b z;RoQGq>l|-Okk%6aQ0hI7d@u!c>yrGsVl~64Fh=yl7H6dV%>-e8_1Uh;fTp3w&>Fc zRV$YMy~WLxS1{50F!hGK&CtKuZy3o}D)5uZ|~8HB~K=>jI7Z9A-=jA5dFaxbW-QV5&|< zx8@*;tA52MX1Rrv1;>vReqiTg7R7m}tI?CYFAH`vyfNTM#UE~&cSl2eP&C)oE3H?c zWQJ7&kIM9LHm)NT_%J@Cnq%O{He+$8YlD#9ND9nonj@K7oTks0Tp1UoH%isr)|+$$ zmfl$OzoLV~w^8(+P%M=<>d!!gTGue z<46p-U3tORWr`%1y0nhsO`-xsyFlw#r#?Ko%azjD6V*g9axo%uT}T9kq>TX@T0ao_ zTAN{N&kruR#vvUxD+K;1^sjoW98irIEp{APe9g$FF3M~H7%lh#8tg+ilSIcYN6|E= z(YpiqM&E|GCFZEfEo_w21I+`9D>;{#H)1_Y?%1i4_CYelxb`El3V#@DzTz0-3=zw5 zivF-&Z`70agA%{pO<+VdEIqNoZqQfkD`VZRS(PdlLJRCbXm<6X9#+Rp?=;d2!(OZDVhHxh;`xuP?>*5AC$ivz z{(NKEm?xki0uSanUWlddL?I!?L?Cv>+Qhk_)9;yF=8e3g3X8_1Qhtlv@<9L?f-*D% zpp7+eOGjQ&Q~)M{TGkAvb!D5W64McuQyRMM4|>DTH%r+o z*_R)5kjL?GnR-LpQbWU?+<|GYzxl1K)pP1sWX4=Mx@*PHqnvwc=%X^ogZGYnKFv{@ z$wyblA3t4HuY0GD^%o{s`9h%>7p_LZnY0ZtG(wCAQAb>}#@) zZsK-meJA$9qX$Kd>1d3I5#289cLt>$95XLvJ# zrS90I+gu1%#ti$Np#}$aVmz=-LaoJO9+!hUbD45=Y2Gls?XMloK_mD%bya?0##U-| zh>gsuAEdk;;6}y6tJuQ$G~Gp;w}GZ=@279$TZDa61cT6DtdAjB>GLgNtm;lnL|5#t zr0|)NfqrvGPW=4MC+{sJ^f7v?f&w$_3VP+fa{8&A%_ruRCEHPm%rYPPse{|#9TCj{ zl2Ntuk{^-OSRw-$^w>8ucx|GCt2#w{;*kbzvV#B%C73$7!Eh_mLcAO0F|pN|U$HgL zLsd=3fygEN0)2sNMGr$f=s^sB21={ALFsSnIQ`Gg)KmcUytF(#H0&b({8Il??$5cW zjKs^f-IPV|sI1m;Q#v%rp3wW2`Xd`Ag0wY8UAx47HZ%Ge_6(pn59+)5Ic02UkVi$D z;t1V4Oy5ty9@_qI^U_#nnNIc2=IP?$uo^j*3(!#~<)nuMssx5EWPHwhVX8yy{XJP~ z$#N#?k3>W^6)nM(HjEoYrM7Ee@4?c_U$m)r?&C0dZnV*~(;lS^YceM3CNZR4-}PO(GrLY>=>zN?O2C?2GL3sBzLVH=Q^%s>cd-tLhMk<(F~;_15(@Kvq^b^5xa$cL*a)vvcqoLpPZL z#tP8^I}iXG0kOie@0fyRetEfp3&26`9u_g~Eesd20`;goqbNS_hkwk3e|*0o$-1!u z1)G7KY2M&5x_{o#DETn$ssL{!!bKcG*A#Df7{cPujnr@8s?Q2weF!3#(D6nO1*P68 zC#NASf0plaw;&2ikA)w`Nil+;os)))F}Pz--lkt1dxuHSX)T)KLW_ZQ5}~>9&LHQZ zJ3V!uYJ6vyVAmNRSE>;xaAw!|ImAb}6_l`p20|EMmLd)@%pjQvlrB?x;X<3iQ`muq zj7g098Lb6RvkpK5R^1(HF};W%$giY&DR<^ka)eNkS~O*HrYM#MSP(2Z`kbj>w8u$} zV`BkGm>lUiI@ps)R+EJgfG=$gYF7!t(bY`p#jC92d((0EQ~6 zgvl2zL^2tZ>T}vE`_a|}(4T&X%W^|t5M}_A+2wR`Gf71J_Gq&%tf|hH2j63WH|0RkAY{2EudY|dd{CaS`^=n~vW=pxESl9J*HL8Mg(7B(c?y>{+6kX6DM zHqojc+3nZ+u(PoYoA}7x+&H%v59>SBD)+;6l-OoIWBr2kppmB3@qU*dLs{%*rO5_- z=HIQj23k&2FX6qy_9%QhsQp50&x*r?#do|yrm5aQK_gTmUMG)Nn2^99;pq{Zmx0=$b;BoL$gNLq*#OyMiItD~7eS?NNWzl2;QVb~B9CP1xC*(8fH zAj0&ftLeI^Zo}QHE^$LR6h`}UEV>t@sD5*6UJ`=9LH?#_D08QFasc&Z$#%7ZIKq%A zSM8+Y4wZ}(Vv_>(F-|m`Z5;0YyCLV+q6mRLik0eg&DpCv6f^PkJI-^Z5zq3ur#tj; zF^O`cFqB$NGFyTR-8)?&sx$vU-$@jEmhf5@ZgU*SNlh3)IsCPxUe;fPkz4XHQ|b{R znUW4RBs!|;Kn{1-n%(Rt?t78#J^K7fv02k3rytPv-i^&K!uDQ%{-kuEY@5?B&Gz1o z%`e{eUhngptS_gqIKMM6gw|sQoZmg3IpzzK1~=ghyuSmMc7kxW=G)ypoCqqpB0t2= z%aH{h9-3kwIOQ)zF!m4!Ke)hXcXWvhk%y@c{OHO0Qz6Xurg7!Bqfk8Z0}O3q6f_E^ z`9*jjcyL!=cgt6gfGf(`KODQGFAvIBofI2xhuL$g#jGp2SIXqKSiuyK7N}HfU~rJ& zYN0*z^C~2}Ms+)kw|64l6lrUh)3ov28Y4E$AepdF2qBr!%jQLK(AGqxy@$#@S!5Rt zQz~BLG&XZNe=)&t#(HkvAwRa4&s}lOElIv)m6on3){ti>6*O%GB>kCA{2coQ&agI9 zgZSJlJqTf92@ZSz?bPL$lt?y0!lZ13ngw}nARR2R!~1zY z0S)98Ax5B#_&&g*D(8=Rx_rzL7!g)_7_-b5&AZwdRQ@cPofhzVcdX+}sHd=HiKnD& zG8cbB#IA%u3D)I(og>c)0w_(eLC)%7*OKL3)~SZE(4ZChua$z_&h3kunf6o=SmC;w^6o=B(RkMqnNFe1 zu0tYq`@nbUdYO#u%ULRGpKyy78Gxc8f;DKR;{mEu$kstwI$6isvBKVif`uzCjzHQA zPrAiU+G#o7!REmjB4rWE5{~N-%GOU1$~NW*y~uWq;=3jv5>~mDib7_fVox`r z{88c{vv{^}V2$^2FTiLxnyf85uM}!QVP+oUjQj)-`~}`mOLP)Sh$fFeG#5veON<5X z#39rOQx#8)1^xsn)CgM@T&xz3HY!gW9-nZ3^gb0INM=`bBdJsq*0S!C$b{+d@TE39 zr)JMdz;xbb7`Yd-Rnf8HY9suL#%BAsusLYHCwONZ+PqQsEN)HTmwxal5MK*Mp8?mCFFiMse!*k@ z-TnI0EF+g6=NDm?Pa|drp;9&t^RTbcI%yYTnfF970^PTlXb>--*Z$gXJrLL3JLCLK zCn=ktAxV0(#DhJ|@To1<$dC)!lsrcj;ar9*H+FHy2i=e<@9^_)aAN)n-_Gyb9&ZEg z+=-y&Q&a8pz5Sdm?0)87L;?Lt*;&eNvAS;d;=i2$7SvPyFTW1F)@&J9S?&1)0L0y1 z)^}nMC_h z4_VPlivuI?Aft?2?FKvmbEg;#Cx~$L@#W3$1I#5Zo=l6Co{hO)c|{SOsYBkZp>Nwz z3Eo2qS0z*h7+AByRP<4OTd^MA2YlfEiQ)C=O#B@Ed1hngI$^c5G77!8GrAQ!h(0nL zz&|nBxUn3whKtl%jbZ8j$PrC_h}w?E(R_OAw!1N?z~rcf40`;y6p0bStk}}MD2NKO zE>sWsDsU!jXQbXxTs6bbE2tN`bwlt^op#k?Aldd$J1Ty%{C^F9|I3#DsObNjKqdaG zlJml+f+f;pp2cL{F+U*PxuFyeK3YPhXlwL_Tm0PM z7o62BsjSftuKn7s)Q+n1 zvgH(KhT_h5ohb|$-)F=KMT2wvYhXk5y(B*!tNfP^HNDa?kBX}GQ>H1q0*io#b%7iz zoD_f|%dgJhWVOrGS?(eI5YOuxCWHoukZ~d|2$JV+cJ*SB6HX4k#dtYO!;FD;`LpaF z3l09ds%HcfIu7P1j+rGX3C+}FxrhPT#;4TDgDpB2jh(8R`i7S_^1ocRp|rTvoJ0e)1pLBp;H= z_Kl<2J)p~l-nhd2=`GK4!@k2n0{QVz+(3%W=i8r8^z)C7=6@gm{J+o1Ka@|VZl<3g z?q6esG*vTseS093uwsNp!{J=$(%X)`@3a4(087WBRt_q$W6W^=Q>fOR9uztIO%W!>e)%*(0;zn5Ing{(PCi+BvroMHln+c|F0=Du_i&wv^sf5LX` z1a8&6JwY0iUsU(@#5_u_95aKM(NA`nQBQ88d`5n*!}$+tt-4^1?}=YC5K|Abzc_s@ zqhx^lT^|&MIGT>IkblBGRYitdts9@jKf zKekZM$U<{Y)#(PX^f48&th&Y^~GqA_TpnrZviKDpLS*mgcP;HgT^+ zG(23(9w(&pPM@Ih6YMu+EAUV-_0VAYW^;uVX28b6-k7cvf>SkGgCo~dRk~82mdBJ% zxz0fGQJL!_c1?l+NW5NQTHf`z4Y#GM3W)liuR!mcEIuwBy|CJ#MrQinLG@P<7|az_ zwYTvC1%i{1CN@PvIq`=G7Ippyh5qjcQ!xMAHnI8-@a+CCCq(?Gvg03`D8F^9THeo; zXaoZWCi6f3pZ`#J{G$*3|JNB<|KAxJIU3vA7}}_RYhLQf7(?N{qSAk@1V_iND4^1U z4qxJiZ=uD#S*C6eADncWx>90#NBjeOAJP37q8+HKJkA$*$@gBkHsv6G2;HxuhGh@9pMAVcjjRa4?-*=p69RLqX%In6cUx0xQks8~ua)URD*tyNrav+CBT zw&msJ5vZJ3O26ncz+-I0IQF9xrPebmc!hg#%h+tN(a)30s#pQH{%+ z{Jv!H*s&x{6KFf{ry8koRWS5T{4w8>pfo?-k&EQKjq>WQBwE@{KXUzpYxj}xo#c2p zm7Rh0VJhpyW_(&VfsxaWYD%}#U3*p1Lsc;fFD6xw5&O5s;)0=aDUWAUBHl7%&*2AU zJN)N~jaIatiq|}sKSUTxHuLgh(j1p*HfuJ5sY9lbVP}1YJ7{%K zL<}Ep;LK(5yxfF)(EqI{=Ki44tT4=;qGkx0aD|b{(;8MEfGh1dDXhr-uvw~+O|}U2r+4U7-CmFQIk}OdB+Sp_!>vtIXFN2CJm&=m04y; z4UtFWL^2aH9WqB4QsEe@aBDJ&p*8zP$MNa7Q%kYR8T)P+y8e9SKHam-d)nv!&KbV{U4$9 zzYnMX-3r!z)h1X*`->g!m5kj8!sb?UrY2M_ z7DBks!Yn(86L&bi0izKzs%E?0 z6GgRf&-DJmO1;a#Sm(OvZHv_I!J=d6&fd^~tYL4c0PWrMSco9fohXPP%AH|| z8h9P-8w_xfL)V<)X`i?3uyIvpg58)O4D^H$`?}SbKGMKRJ3dRJWr*Kz0c!d6DOE?9 zLaJ;CgQ9l!Ak?UYdRZIFl#w_Yvr=_)VB_ro94zx#zN3!%cw`m+yjy*Nswvo)9L@7x zReCr6-0#vUKo@>2(>13r9hGa67MUBAO4f>t=Gsa_80CMeC7iE3O@v{;r&&FD} z(O7fjO3-IlZ0FqMA*<7%v)1MCbo$Q1l#Y3Qq{Yist$2wQsP^`O2kNtOR)J|@9*N}W z96)Ec6+m_zl8nT@K79knYM13?w8dPqDmexTk&^URhwR2sNp=iQ(}@zBqa8-u3O4N$ zzig5oT9%QJf~q4(ISH0BU%fal_bpjk$E?Gh9qy>}c0PhSc_|u2tq*c7#i_W#QoAaf zv`MmxYfQe1S10oAlA+&9Z^~E;p|?;`R>s$yu*0m56!=}LwD>VoyG7UFuayoqzp}L< z3L^^8)sO>S%bo%yN6^uocHHb^ysky|An-Xfy)48(jNz6B@lLBF+si|jAty55zN zfIt1!iCc?%hZWXI?-rfjBIa>)4U@PX^Gp=PvWL<}w}#&hokXaW{aw4YuD!YlhMr$O5@?*;l-<>A*AvthbO)zTM=Y$oQYm%_#4y+qEZYrxDsG zGWxWYWG*yk|1Z-1DyXh5>e@wvvx2(>mxa5#ySo$IT^AnQA$V|icMb0DZVM;4YhdT! zbk=g7Gvy_`g_W}IGp04V)DLgzunLWvrsf;6(k!9Yl@IHc3P9{dtYS`Az{wS#yeTS`7;a#IHJqT$dr`0{JZl zRQfILo@x5`Ir&AnEe4$XlQ`dv`vKn+(G~wK=Nj|oOm-P?i`dltpSM7Eg-S>T|1;2bkmD_bA% zJ$zn}pHgtZkGDCNT(!_%D5cN_QzES^7w(25GCeEJ$j-|59kqQ+hbLBgLp6-yZdO@OFx?|*6gq#vrSGH!{F4H64 z4|_@DE{WRc9Q&z01AoVFrl);JtPUX0^f^i)mg<$_kzVRf%A zdxLpeUP88miL-VQcf?=rrF{Ha9z(k5CIFsIti!Y3}#-(mw7~)tC{vE*HqDAn}XJ zJu~XgO7V0cc6aUz+-na(*hU3!%~z=9kPkXsN2>=bjnZ?1MV@`X=B{^$q!u$QB5$<8ja94eXf-G#Y5FNM^KG$*53`VwHWzE+prW z#LiWAz`D9fgSF4H7`0>wSqQ5}g^bc89%lX9ViCY3z4z_9*xHlJ{eIq1wuDgroNWjj z_?TIc6zQ*akj`xs1&I-#QDCMEO~1y@V=-1T|tjx{-+l^n#$5&5-@H3lZOhDms{oc;g z&(py8C+8Y#3tHZmWlH z2uBGO-yZkw-qwgKxa6yL8CkO>l-H4$oHv1%M1yp6do8kK?4wLonT}Mj-9Gy2~M4|WeHB4x@HN^oT?~(-@TVm zjCX!TFJ*G|&RWpo_Dfk<;r7d3;Ntd6T4?3=%Utl}_DfrM;`Ym3K;i!Tb0MBPd+**< zQ3!mmrYN+3@2aTe^zVyI+a8IQeaCDEO z7;t#cr5JF0kD~age)9dRK;dj-OjC4l;I@&OB|9(b*LYa+x$G^|0TD_1K`SpM+H@8Z z8B_Mu(LcW!y)#q{l+RAC0i3hI8JQUDYo`s!VHLPc9t+ z|3lzeUB;rl9#tx)LY5Ww?X{rKc;Ve4-}C+|@*>&`qyC_Y%cf|5 z?37Wm0241p9G3i7t>L7%dpQdMvz}Qu72Z;EMq!|n)7&*;NrhPiqJxyr=cq7fE+(zh zgvEgy{p+PvreGVFNM;s z`n9!?xR!Kn7gZ%6Hc48-%;n#HHz7pw_@}`J7knLf)mh82RvzBEGq|rsO`)c2qu<_z z<{3DwJwg;u(&Z_db5)WmchQx+!i~6EO7~~Cn+y3c6UAK29)~S`R3p#jS%WF}zA~H| zI5Cu5%wYf|89sR&NgI1f5F*K6lXI4nFmMZwzB16X<4^z8I~r@1r6NdPH`X^#nT$*8_9tspGDlv^YdBN}tLR`=YMxf#bEY_yw3bcEaGAt5d9AB!4ur7R z{;X3q&p5xnaEq30gmE*{6%CigJdkalroZ5CRiwQRu}iOi>poy_3O z!YVz>%t*YY)k*5l&{*!*tgK3@Ha_spr%QhGac_(o1d`4OVOp9!pp-VcAicerM8+^y zgE3o(pTb$UBDU!aMAMz}W-;YU)vQl3`I+g|?x`qGmC~(!RPl5q_AU0E=xI!$Ea+?+ z*N#x~{0i5Mc(vc5Xw>9)%4lRRX+LLs>&oSoW=46@+2lhL)H$tRku%UC6Z1OHW5ymu zg7>c0vSrQXuZTe3ZjDu3snHYW@@bN!3n5R-f3NANNuB@Dkx9q$=P{BGT{L}=s+Ip{ zLEOolY_3=LnP$I1oBKr`yQz+WS}U)quu9Ci5TwGZtnXyllQY*Fy)hGdN3oA2efOyD z?Fi(JUgF2wsy++bJog)dDm|w}xm+()5GfRG`?(X&`I2eGxO z4D0nan)`D68!dDbzYF6D!TW3A_29oBn!jW1hL1lRJ7pb#9P+e&lUy#D`y#(*uzuiQ z+fF>Y@76Nxuc%Sx+}uEQBQtQ6;n~=ZIGa4Ff^8nWy~XBy87@y!%4$7?geRQtvx=hX z_ssyg6lbfv6V46py8ImX_dv@DewtJQ9a`UI{G++5)}JORZkv{ORxKDs&zK5m?;f{7 zx+CULxs5u!e;9W0XVfTZhj?|4a`iyI207OJPog?GpEfD$+-3~LHmK*; z&nxB%|E7Br`#pl#hQ~D%KN~5s`p23*RXL$;YvIDYTXMx82E~AdX9X`8$F%sV;t_tY zOB!IOJBtoO;@Ee<2tb!>*SZU+bcUdqwXL$gat`YnipF<-FC@NQ|Fxc!*8=TWe5A_6 z)NK;TDKUas&%--B)IP^js_^_HiW_Bg=%rWI8Df@^HxPLaMtul-*QFjyo3aI>awt@| z^cu=m{0p@>ms98oL=EO|VFl>GN^#;kO?~Jp3>>I43HVhKMhMO*xj=;i;#GRs9}HxO z`V6pv)h6(5l3mcNK(byoaZFGNE^G}Jd2iz&^|f6+;R!kuOiMJ2QFt5TiN%-rRS115 zLs)$#MmX;wtX?D&s%ruk|A?yD-4NYah;+0y{j% zIX;)|PdR*!L_Sv^`(KpDZ0>d;LF_mkyL^kjo{YXI-HzeJ2Z2~bjRPomy~sl2VpH)v z?;*WP0RCyPCA9xE7=YZfdrc8u%FUk*H`Ci_c1^(1Q*`8I<1aB)%hS~hmbiUK2d9;L zg=T2+bV*+N4}e<<{H4y%2>fTjCr?)QX&T<-VufenI>elQ_27596}zz+9& z_J9y~*917%;~6JB;J6PKG=rSvr3@8MX4H$fZ-;EjlcmGm=wh)yIgNKrpVr7fedKbq zYe!`H!p)O8qXS_XnkAFPuxm#O4CT37C2RTBNSTG@tSMg>l2PoTnE|(9m1BR-Zll=B zmX@7uY-!0l>UbB9|JQ!&;bIHzvjrXs+lYB4P1a)3N_7iA7KM(d4aF(Z+?8TgR5h$* zVZKEg18~edsz5g;NJ%J%%uF3YnVCXe;M75rqME|4SWoy{f)}#-Vyl{)9rc!DU-*m~ zDx7Kaupc=wTkyJBkv+bT8>OCf#02yCa31V7TBr@X?E#XdSN zoKZd-wi-jKXJyS0`13ol30K%J%yrZ_1gwnZSA~zu*;J$8p4fwSwMHq(?y2mpi|PkK zA(|tT)R2ODK{DuANiF3z_znz>&N^MX8L8<@yaCCy5ao7U?s zy(LHRfLv^y`S7OQru@q|Vbc&7l$nSFn+_wtjS}Iq&Y>NOFacZqlFAZ&!4aeGd_G5n zn)>pjL8gf8#lf&l9OoIN^cmtPs~4{^0yTl`Fzf)UBKf_G8PId#g<>j`ja`>f<}OTTp_^xRUBPEtF{9NY=G4LJZp9He z#mD9$x!_i7@LgdY1Mvu7H$XcpTL!kJLz}h4!R)76i#HuqG}BUPUXu_u4vvMNj+`Sc zc&qUKzQBY!`&KeMZ13whx=M%Dc1(t>6db0b8hy=kl8twf$3qoqUi;v(y2+BfQzvKmF_P{srr%;PXGy{e3P< zQl79HhNe-jR9Z+5Hg>nt9!jB}gGTcCQaYCCr(U0e3_^`#E35uC2+z4}zL?O~P*1wK zdb)o0M~PCST__`BNXkY(Qk^vgFY1xDxyH}kaH^(;YKg01u1~X`6^>ur-_ECV-X@?p zxZ1)TarxqcjMzqrAf3bDFJLplt)Vi2`gdnTWsfIw#xO;#^NZ@h>90hO&}4z;w?}Z) zqepuuY;sXz+@4|kAL~!w;g9xfyqc=A3hnPIIzvW^4C{KcJl!b{aCdbsaGMZP1Wrsb zd`3YTg^RvW-I02#$5;?6UPC4~u^Y!mta{)dd|*bnO@%1|C!87+^9Cw5b-Bti;U{;2 z(^&QiW%gLxP(7!GGjHjjkGjTX^RRGERljQ+M1VIU1PhP*Kn9PyucOx0?yN96)XeE0 z=Y;(nj)HG-rj{d&>9Vwg`F!<8bS`G6C;b8>>9Ba|S5`vbz(}6aB#=j^A@V%|bW{J%QhGQ7Y@((k2NeCzkUxTwN8&RFcM+wat0IaxmV~ zO6}JNn#Ix)e#2F_jV13jdZ1zOM6z7e{)`y&IHa-Pm+e`zUZX+sNukh)vsOD3T=)0= z_2hvRwZn|R!{k4Ls`R$J(wqs(+*Rl|OnBbvbldtt=3zt~#j_o}p`;}h?%W_Pz5t~r zziy-+eCk{$+p;`eL10Iy7o9_=UZK7mC87e$fCb_ruoKWbGg?9nP-4u{@x%`^b=D6I z&K{cfsKdWS^AA{(_X%Y+bk^ZjJ&k5vy>Bj2`z;Ghl2xm>ohPmcI@K8v=&YM$*1r3Y zD*+F^32Ey1s@5A}3_{@)2hke#?45d!>bKamsx>#Gs5D3IlONM(8WfK8)6(N6e?D0a zir|#51rem$BAUyw2E)mo7BZu@(0Q@TvvClS4rHKC> zQ3gYil!z6)6|n%!5~<3#X5Ncd0HN&3$%CM8ih*4?H*g9d;=Kv^XO}^(U)SNN0jnXk z;$1r-LP5`kz;~8GVlJ=}$wv_&;OmVB@ZEY)EA!eCHDEbJDEt{8qZ|9i9q22t_f7u! zE~3Y%9}bn69sExXPXq+u-H>8*qurDNc0!)(00A&JQ^0qP!S8HfmY+XIc4$|(qY}}R zSzc9Ll)ktgZz8ysjkRMmp)7CgdQAPyj{kn&zWOHcH1}jww`r(>+KtxpC7uAv*7D^B zP!}2(_*<<-{!49r+X(5?mr1$?X|H`|PsHW?Ugs}nn3Lbaeb0|<4FZ?3Y_da!R~dxW z4dF2~;CdbKpyU*rn}4S8Rl`?9duncEwcPH9gTq`(?R#Q12tz}cJTPXmA4Pu=g!)q9 z{I*AABzwG3acYaE-`^z&MkZ~;!*ABc>B#n=Vrt;F->)mfhqId%<($AtdUs1Q0swBe z4)JM4+3_+Bz3a8T^N*-dU?{17ju@Y!B^V<7y_|ajYmDaK_p{~9|K%}3B2?3F9xO>4_#1odNEH95_2*whg)zGZme#(YE|I9fTex}+(!!KjE4mn~-;zzXF;;Z3Rx9{(ns{?HYy}3n4C_861zWMBQNJ7RIrGmX&_4%B z7z#gievX%0kr*Q`_3KTstj^M8b3j;z^WU#^|#UO z7NwkdX{ZCkHub)^BJN#7Fn1-=Tjkc1@HE6|S}|;l(&9j(=-G*ph+)@yg*{1OGJm8S zw)nzdhm9AR(VQgcUI;0LhC)^g*QJ=ja?24lwlH@6O%DU@nnIr)9&_FlH(;S7#OPyI zZk}2vl^WC-BBJwk3O$EX4y@B}-mu8~55~@oQ_PM>(&n;r!@j?(m+g^vBxT6EwPN6j zQM_*8e$tb8n9pWzL%CeZZYsdwRgZnn$)1_@)Kj)Wv2Lc;iV7L7Q;!lgOKJ(Z&Ty3Q zB!n}bV_6NeY{j75P-*ZZ@PM9(b@4|en*A;3?cCTdcP+zVxZv35Z16oqAe0{^emyJL z+n6iJ*J->;J0SaRY?76C;4=T*V>#UeMd|lrqJt#{({Hq8a-DnZq>l}q`(+w|_y6S) zbU+xRn(~i_5&g%*$p81&3M&&gkzUY`Z4Vze~JFiuZkp7Q$Cwj zvTd|rKY$nP8ry`#jCBhxq+TWBNK3Z&mJMjd#~QX}9qP~_oiWhlW#cHVM1}2}M&U`j zM`4CJE+OZG@yJ`cyX7wggZghRTr(sTmJZAjJf$Wok0l0o^l4xdRwadD!pbg%(2_G; zi$<|MYmY?I)-?gOJx5|WkGoe7D{+X9iWhzs)yLKLv{HhqH>yk$Cy z_tbocnLq9uaW*`v`%eW&aA@V@47~(dya%lC5UNu(m{vTZ6gRe7+QjMpvPgudVU4#| z+sA|7?+^rxSU&dcaLL#$h#1pzWG0gCEt!B?FSH62cTgO;>b#v}(W*%Z`nK<9rvlk! zRttXLu^b-Dtd@cWGs1bc32w4R#0a|c!H(MeFEOF{ioA<|r@LH7TwE=p>l&8InmFyQ zu!B?i*Ez&6z9ztim~cPQ_x^JlAJ+LebMvNIpG~@#F$Mudpr7aGJ%PPX%TG=J9P}5S z7P@iZmG~4r!U}l)m>n#<3SPKha}`sFr-;hL#9{NopUjEF1rcHJ!T+gOhD#($;)56Z z@@YEj+%ht-hk6ySG;*tm-uI*|k;!mDnKL%I$_@7^b4t|-l7mXMRwz&geSOvH?-@ohvYKZsfyW|Z zkB!88kr^CiZlhD~%t7sp*t()i?r-m&PqT)Ee8Hq)AD)%)WmpQu_Q{XFF^D1F6}Kh^ z$SPbfisLZV6noQyp#SF(Z5VUibNC;kX!h@T5dY6dgQ&HGv5VJ#5;0Ua6fs3m1%?_X zxi@Hc`@OZgrh7#TBO?J};SwO->p*cRK@PqPZZpQrbVESTp4Q0(I>!yvJGChX-yk)A z-kma)UxlLk)amQZ-I?bXrR&83iAm1cevNN6UTtyTI5*v#C-&^&Jo(#xHG^xAT#+w% z+OBpXjQ1q6hAdK)?}ArCZkqWQC%dCD3K9C*dNwB1yz-vBvrcf@)&Kezq|0ew{}D|E zGN~6GN@krrOk#%9^=%;M<5@QfM35Qa6RvNj%C=v<1~i>rR-(uPX`>HXX!huJ(b{5U z;80-xp=dwid;7Y2At3`fAw~UY2#WjyCFs#~1J3w@xxt;#6sa(Lqd`k|3LGxQ$=Td$ zL;2axg}2tXPn5NfkM1+5sWYFYR0an^8Dds-Gmp=zctf?v#F+u279%-af7$5YP5017 zT!xbmfmcUx9olM?69(C#hncHgRFlu8-(Whs82G8Q(6DD{xg|6{?htFnq%e<2K(T-{ zP^oRqdoMxhGy9Nq%)<-$tmSGvb1a?4`W|j!<28(Fh?0f~2Af8otKtgohQ2a6B1`ug zQGuWUOUNBswWE_~oKqmpjOsRO?9^i!g8%_3%~#)K!nIp(Jyn1vsxAQ#QDL|x4^FKlHWZ6C4pL%dwLVzEfZ59vBZ{wo_d z8++v0JA}hnf9SWC4pJ%CxZ}240<904513&exY_$+j>fhp|EIsN-7gn2x&E+DfDO{F zFdmq4)M4E3h<(v=U$+ta;wKPmnA`A0@g3{W@zqw+Gf+qdK%_rf)4j-lc;fa<7$u0L zip2H#Al{PFgZ(&PeiUN$w?(3)Ya!+hwpAJNgy0_GZkk*t1jS>iV{T$!Go6H8ZlYcf zT53Xf@ia0<`Y1W_&ZD>CGc8YQ+Q@0zQdsF($lA7MCW08I%H-(G`AUj8%xq*F$GgDV zeCg?(I)Q9Rr|P`1VNHZOmO47N2|MpBn^;`UaJm)cc(Lk3^=^d;DmSoukP9`!Txx5C zQjEH(EsdMb>xAJ=Ma$^i0*O0hbe2o=Eoe9D-Nn{DJnB_Pw>Yol z#6G=ujUFUzzCI)XZB1rG--BPyQ>zL!0t<2SQmN3-Z);hI*2@j(Vf@D@e+*v%Q$ z^$Aqwll8)X@1`}HCur@sA(P@CDL3Xa-KXn<;b>d5g+A@J zh~zi?+sugi=ADofKQL_DJ7{b%KlU0?Tn-NHy14Pj=48y5z3yrVOgTpFe8n2&N+`D= z)-LgD5z3nU&D$^9^zl7?kA$*>%=hp*AVye=Fx@((CtXT44%bsSnT(U5_mekN0OtCr z*X=GDPF=m`68rJB0(V$jM6W_34*D)6#dJ6U{TgWSryhZ<9Gid;_e@?PUI{loF6tt( zjf`TIV44Ppcm`s4`4LP**xQ+UeL0{d z_#?pHgLu4aCG*j1!Cy%?{q_;G2_t;tf7Y zAyLAHcz`$-ugV8ge)1Xa>yr7K~5Ff{tff@fROj9$(EWyQQ?OGbW#HRnEu;> zIB4DC2bMZ~wnU@%?`noGku7#JH4;v6Gdxsq23^RhqT`MT%)*eSF{MWn)U` zQX?`a$;VvBZ$KsCU7ww|2uu{kmHo<+$1283p$24gwwM=QVqe#;v~O;9m)T@yEG$dW z%nni>^eeQf)@|*$lH2mM=RfY|8bDRG|7+6iIQ{#0({;w{}Qc~eDgnS;OtF4Z?F>`Y&c&(c*(J^CVGI-%A#Hr5j&(3(IO1Y^wCx!9jp!50> z?Jb&?+d@RaVdM8UoZMb2JYU(7(%)_Pp5LAk<8#f2a`)GcymN-yYH13tb84bt5GcH73#rYvn(sI zdi4PQh2*JXw!zgVri95MwzU#y$8SZ=6sFaa^c2-ec?PM{lrCqKqs?@liNhZGbFyY$ z^DEwd^a(K+3d2LMj3%DqvLMNeq$XoiGQ6Fi0aiRO)Mj$PJg<))V$VnSYEAV`tm zB2b?{MIj=q&X(q6WvC{egVMA-u;fx7n1D|bfQ{<-G}S90e`BT(2 zlB@AXe+;o@PaoK_O28d$FLII9?}olixt^&?M_`crs}RW@>)USnxSO;bhSDk>L0D*{ ztIfI&JaBy6OJS9ik(%n(Z}+EPjR_n=7G;CkdU`D7{2Cs8Ut1Lu4R2?p2!;G${lcIi z;t6PUFzu!;Qj5fTkM6l5k`LrT6|BXEI|PC8eSjR;J-sV|yKsYqu`}4}=DRUi_#79h z#kvn)!*%15Q_Nzw_k!qP&K_J9xdBSuU-NWsjJz@q>geH474Im&Fk;-!7#l>Elyn&& z=iw~`*^Lf9-9TXo4Gy4B)46y^auS?Gqa2@@DZIlAao%9OFAmOJ-;pgyGbY%f_qR|q z@L<3>2giN?^-Sq+zPADr?;n0CN5O~(a{AA{A_*?EhJqJe$nQRW`IyJ@#Wj-Tx zzG`jKgWuQiR)=H5Y89d$(GP zvzVjN?Xx{U9zbQTF9&upyTA}+$)fw4q!c~QK0e0N?`0{f%tC@KRleHTMG|v?$dAoD zQ2K1}v{;D3&0sG{`^I(d5;tI=iIAOqKuUE$eJU$y@eli-*Z%tkZV%$34^DAelPrtP z0m{V`E^?~bGu~s8NKMnJ%X0DY95K~~`ZF4JQY}{V057iy&Ett;xn?mH>3?ie`z7~F z)$;t1G!uL9po1KhD$irl!rb)VdaCSG97ltZ0mV3-rSwzie>&I%HCwHXH2 ztcO6F$I{C3Wlpdwat5?edR_1)f%MSZ8ie9c&Xz!w`DU#{OwxH#vJ}Id!&YUM0oA?4 zdJ8Swu_9+_Xlprd_8jYY^ECtB4^Y&*P1e~fclg0F&9OG40jAy0A9hMX3-;4;Ryd4B zSMd(gZP-L1bx?fP+XKX?AWraa^Jm6>&ouB1>3h5R^Bw$-T9_0dJ_YOpxS|_)JC(Y~ zJ~F(#X4hQ6clQfgL2LQBOler%NvxXvN~*qz@Ns7(l*l+k)(7$HN#q05jS@w|zcx=A zS9Ba)F)CbYPzEym%knXJn%J_8m8aPMRXQ4y+Z}U#nV*p|W4bA73Br0a-$0&SY<3uG zo)DQqn0 zdT2>BD!0NL)>8vE+Z|4~uCn5m&Gmug2?-VX1QbeG{~SvVOOi4UO=je&nvLtF;19$h zMPXnLt6O)P*L;iAuqBaV=P6=gTeCic@U_67LEJ^H#z$&FXFd4YSLse#IJf*wI-*)C zQ%n~^#83bai4KD|{qdAPE`d=mzfNhNNU#2$Wy0r&q^Z0Rv*NyuWzhqRTxVYeApwd$ zGaI&M^*URsc5_w5k2@f^7Qs5UMYT7G-#c54A>o{#lQ-NubhUcnvX@m{%v+|vUxs() zC-(eM*xg-SWSbSbhGj%PAQW+DSsG8XcMmd^K+65z|v~U0evHC3C}O5zljBB_wud= zJ(0{zv4yOBaw&YVm@hgUcBc!oXXW46o9aF_EZq>`iH9PcZ0dOHX2D_Szjp{ zkG9N z(yKyyVi>puRCG|LjgMEravnHUIu2hU<{Rty-+ZdV-DnZKoo9TX3}(x6yl_d1T;f9p?)XQOt`g5H(!4^9c8j+c*iuP8!S*tXqJ)2l!EHa_6i@QJoqiLP}|@^sb> z|A}~n_D_(4!Sde2boF|{VnpZJ?N8MKNmUWW8-_J)DQH{ErXNd-J>@>N0%06jC;8=j zinewf9fCr4^68l)3Z)MF^pHD%{6YL>618h12joJgnRrwND+EncN!}vjI2ry@%L3ANO zbUGq+DTiFpWjcFeoS5`u zXskw?KaZqaoLYy*A&B_pX;u`|`)-a*&4Hdjspn8GX<;?@l-!=gxkNFnm&owFpnltx z)a!N?SzTrhtMc+Nj9X$9%k^m@to8P zwP^b;H*mtgCv8o%j{S6j9u-psP^Q*#M%r2 zX!SvQ_i4^r{e-y})K{gadi}xJs6xBe)<(T&-Zo?o-$B;YL0Jq}H~87*w1U$ae^AkZ zQXtC1eg=4tYJXW=^KG4;DxEs&w098n9pW&6dsg#YG*oRy{{1gBa3(4+uv3{am@jT>TAHh<5ai71@q1TzOxUyLiN?s?v}dt3nE74 zm%A1VB1U`vwMzvpLCdP!HOB_AW8>zwjP9=vv-hlv=bdM)Vh)zMi2cA!tWbNK*7vG~HD= ztT}CfeTau5dSqSJEa~7^(b8v4bWJox@+x4KVj22034dr^m=h38-@g{Z*v}K<3OGia zrs7YzX7AVShY3LdC{gK)xBa?C>}La% zfL;2rLg)Z`@OpsY5KFWcv>b)DD7esz5Wvu&c}&vfllLh0d|{33a>IMGt1Q{6${NLwoD%Avx{F&}^0hNJ+i8%^Ye>PNEE}NUx%=XxEy*UJu z_IB6YDGfr8X~Z(RdDz&Cirwv%59Tn4z${gNg;WDvgR-P`7km(4)c%-xFPNMP+JCPP zL})YEJ9|g~p&4SyJ^h&@Gm_NZXTRU?zdLd6s|du#;06=5tpGd+2t8u>oT1x!@21w> z&R3cr)oHr|OeKk@PWa&&_{qqKz(lf`KNWt7mkIiBLK3_0;WkJiBlEFL`4up}s*1j4 zWT5s&;&nwR1@6XOgWeRGm`Ewhs*`g?#N?vmDNmAQ-HO#sR3ib=Y;C3>UjeCQj%6m1 zGI(U44Q#LA957j7terZo!#*Xila<}38cAv4CGk%e z?2;@t;t0L}niFPRJhlex9U7F+dZO;G@G9suCR&?av|^IwuCUs|)M)Z|`Ay4R5a1L0 z))D73m8|VR-~3*iUr5VuOhA{+qkgqUn+E7mJ4&8Nu(^XxaCEqMH0als%k>bbEF15g z4XU426hMsvVssEiHqK&3#a#nsC`ISy7Js!q?1~tw!HGWPlQQHN_jjq-Yz!Ug*gNFt zD$Z@3IO;E-Jdh_h6JbI{b1w1TeBu8y=zL%{9>kA|$a|AV07+*qaFzvvXEJ9v8k`6* z0u24CoW#I@t_P+%CkJ%Ccrsp<%vy14*s?oYGi=OLT9A{|rAH!|4Pei?0XATDR%7Um zfH~4YcJOR{LS}^4pv0hl7#VAo7_StCnz)l*OOcE@uIt*(N{DPS)93lycizx6Exld%n_ z{1pGd+pZytX;$$N8~vH7ozAjv*by6hPyP#1_1dg>ONzeZ7z5tWK}H(OPt^lUhdfUz4}8zme7=vKlTpT9nG5WkslH`QBsd8V~vKBq(+zK(=694 zQ5Zxa;m_2T$_{k#n_B!DaM{rkt^_VkFB1ucv>Fou8NW?=x7Wa6qn8JFFsu-KX!1} zrntU}E^PD=Dqzoig7R<2k2-b!X+hrFo7uO{%%x6gIN#N(H-?66syF6Hzmw_5p>=Pb z$r_F?Tk$6yVQJ@6mDcbJimI(!@$=_3kF+n-DL!vfOUgE^{H|6`uklmYFLCb1v6Y1$ zgOEWnbRPh_d9oId?ek8-2?r5>Q3b1sf13!|Ki!v(Nw>k8`3l$br0VUhCr>OWqI9lq zU+0EQ*_OEkZqh6Z5EYDU(={0Ttvg+$nQEnaGN%*}q-#+!EL7j&S_96W0t3i|t?m5Hq%Vl9} zFXEH$(>oo*Nv)vUn7iK^mmx3Y;*}ucA&*nj>K_BnwB`?g>Isq1i43SxxiOK$tp+8D zuAmsfa>y!X!rqTC$~Qi~@gqDq zxJw{wb}V79wTyF8Z#1s`J*n$u%_uK=s+dIeG8 z66DK*&Etc^g{?pI>B$S#9{6iC5EfRiaqBoh0YCH7Gs$hyqLnl8Ef)_|9lX!< z!{uv?*|elu&H)w?GGQ7V?0{;j@;8Sh)A)3hNOKjBpVoVcCBMVC6OwLXe(?j?aDpxI z?kEoDeJh4}0ZPne&{bXyZ&7VWM~9@yxG98eYrjYa((q){m32jDSc)>0B}HeL-4cFW zJGXXdiK1Y;UL?)h59z;Ay7{rJ459O~52ZL)!cky)9vksT2dX8)ML^y)tz zVe<~U(Kl%zPEs?|o?luB|B&HT>TDMcli{Qt0DH_plHO@ zRg&M+csHNo?9-dV@)<>Fbp9D7`&6~RuxQJ>%HVyCO<-3`rDQsE#j(hCFISn$rXS^_ znq{oLCeQ5`OCEeLDjVG{N`o= zu7mM`rliAEA64hpQRU&?wRDcb6qRLVuHJnj@7MUF3&xKqG_5F{S&CJ!Vay2wuhx;0 z&7%WibB+F3r8v{SL`)Z!0^3&zYVrIWSC*Bnn8go6=pMzRAL0NXa3K6A%>Qf#ose$Qf|6&S@dYHou?wnmGdMZ$$pC zrXe$CT2cwwy#lID3M+lvgCu$+Ve?X6q-F;EnoVd_o%FCmN|ZcR`F)Buf@m}TnOm*y zS`|yov#ev2-*kobgV5zt)kR}4S_bm)fKBfVTj3?(MqN90c2UX-@ywSl_EuP{p`gg!W71dn6{Rv%(V&O9&=px z_?n8Yl2RGih#}^MXea1#reB;*?(?C{kxBPHqcDEOkmlYBm@~6h5v+xqLd__TXe9{{ zF-Hp+$#2vsiUE?u15}v2)c&K^rqstx5@cA^KH_JVCq_nFXTA6>eB3ughqX?I7lq=e zT&Pz@PFrUcyHx+D^!}gyrTG7I=~>(V%MY_M{y#yIF8{@C>iC;^WWv8>G8lI53-%HL*qY z5QFGG)`6|3tYZ!qo?>3JT$bFIPFy-yP4B@j<>IJ?y_BH z{wBWPUF9l$>56s4>Z;v?!XmDqrfd5d807_ngQY)j_3{s2;IPtGcGh{8Fs`xsSw}J1 z5T@j$5m>yN0SkkGkEoARLNdJKQ{Ed_TVE<;wQx90S<$7-qv+ z;JySd>;gH^Zb;a-urD}It7tqB$X3x&Z%S|?gHDbOW?~sxmom zWG3eeNJFOm@I@Joo&8*!F`D_%Se#3-*sSGHY`O>|OE5Rj>o=Xx=73_fOx&!{`iBmt zNuZHOu7SJ}sMhz@xt8^tnr1KZwTh)`Y8|4r2?IM`Avfm8%i6-}mg( zRoz|P|E%h+=UMB~`hH-4?q=m(>(W~>Fz#S8&yL`T5v3#3!|1V}+|ouoMynPaO~Edn zjGC86CmZeBl^OangxJUx@?FmUyF~c z-ipN&tW)e3Ad_1`1wr9@fGFo_41~!uaMz+4KV5LAq@Lt{!9>UBuXnYZnQ3QdPDnZ$ zakhVk$DthOaBqsJt&3N5IHeo<43JlGJabY1;5X=ge_~rNZmQnr@`{192#-6PCW*?9 ztO3Co#KobNagC!zYfrt{TM;CPCUqvQMMfDNp+>otu(08RB$y=y-aiobQ+kJO-LlsZ zW9DFu*Kn|Zbv<}}57z$Zy)WbCI`vR`Rm#jZN$s_|kYq6YMEldoI5Aix&K=Yd@wAt4 z*Y{2Kzf48Rze{iXeraw-eQ9pW{0}#yf9(k3wtsVDC{nakoaaN~k%TrEJdl?_rGTJ_ zM2u}K*^L(Mkq%rPH(JESY$ z$n_G3xU^d&arBQIahN z@qVZO(jHd5NhREMti4=|CV(RRW|r@T2)#m9>wTWO^35Z4*(FLqd*RGL)or7E>hKYT z8#3(8MIMK7z)4(EK`(IqkU0JfG8J{45__O73*g_>yd?g%_;}V9!ki7bmz+6uvLjp1 zf|D^sL*bsk!Evg*=#Hjk0cRa9BCM-zduujMgD$C zm{fGs8lqgG%syD2tay;U3%#5GDd8e)Hw{0JJKG$7-{;+G;)ZGYN!&=%8#i-OgH)wN zv%T#wn6Bv>j_x`%vs~G&{!E)byKU8!>?)&U>>5E7sNm2^TOqMZcHeEsstHk-R4VMU zlrl;oDguvb=@ML%4R!V03peL+Ri|;s8+F24(1u!CBg3sZgD2J580XqTqfsA6Z>clu zSHmdMElvN&2FAJ$Y~+l9E6V3L)4^mset|P!`vdiYAlYJ_C$z+%-88ghZF;sg=M&^m zj{^IL;2v^3K0(eo^B##~;1CeF`4s3Zdncdi2XVaM>Mr;K!W2W073i28Ynm)9Kde(% z3`0Lg*dw!8D62+03E8$Et8=vFn{RQKc;FhVg7o~DnY5V5H*M+3+X{qDLXaQ-#SJ*W z!>I&)xxwuJ1vgN1wvn(iHvQXfU@bc@hvIX^x}-q0FO9&8AlHDs7JkhMs=sS&1WW`O z^jv1+T4ZFI()7(YQCA8@uHcJCXw2PATPhS9CTTN0o_)+w@|5ZGdHaIxhnYwsHP(`s z`<=~Lin1km9f=vt{D3QtpWkhM&t9}w`$U_;PBw^X&;EylM*(SYd%jNOR>ygw}b@oPUa|%L|{>6za-^0vi zI-v9PBxJA5NB5=*@5>NY>4QdfOij5_7z9C6)*5aJhm2&ZR50F;?M5Wk%(hIeQVCS7 zHs@ry!t~kk$QRkP0o}MO2=~0#6%^96HjPTB5j9l*z%K&>jt(C3)mACffBk5~rfIh> zabe{N`Ir|^Wb+w^v#6myrf;JNN#`gTAz0M5-Lz6@q`aih9ODR3qtZxLrH(jIt0gWr znOWH52vTGkSct4IW4u=}7YCsONJjg)!4yTXmN}Fexbf6Nuq1w(GCWKO#^?*QzweLQ z&g&SR2!dfC8N`f8CTzz5hu0_+DrS;!sf&4otxG?WHbuu>NM_|4mfV1yZd%bf!<-Ty zJRx-Rb5bf`*WY8{uDz%^`_T|nL*FA-XIjW;1)W4AJVX9_YP5hyU*!H3DYkyy z!;ttN9tn!BPJh=Alr$aZMNmFiyTs5ekcA*pNVGs8>%^-R=sHu=1+2a+lEWdAIL~Jg z(KlhNI?x{e-ol?b{s`#0FJjtp(mR1ai8;HkJQyI1O~hobH*GZSzP|7gJwD0t)qRKE z!DKBMG}K5Gr3100ZSsO4^p7+z4wzFdT&3+bpj$P=wP`|iG)T>%}9)cwxSnWMUPRd+HEtW*LwN%dE=%T zq+qs@Q+oI@>Ng$LaBRnALl(hw?9BM#J(Qr=RD~mWQH%AR%#NG2u0Rt1qx-J|j!Qp^ zAecM{!|8u{P?fYn&MdWTmGt;m)1VE}i4}zD#GxWdvPMiTJhVcF#|$vw#4j1Q&+CdM z4aI^4(5~2I2t91T25oERt6Xs?5+mnUqnet}=T`kvX@(c4gq(Z~HW4>-Q8i;l(YUST zyp~W?(ceStUzok2@H~zNXUt@WU{J*uZXkA`qkC5ygi=w?90o((-U$!Knu`l1PK|@; z{bW_R`Jt;ah}ETd%i|+=OYD>HHJKu5l!A7wY{d6={!4j5p9#gP#@OoCIfK`$0P{6~ZOb=eD~_a0sRHCinf=K_U`svJJvrYCQGw@ z6v-a|pYHt*l!W9@C3$ThiR=7y(v2>Uu`+N)WKH>GCJTL|CM(@ac?tLJ-~LpD2u00n z#&6LZgfFF-HA9hfHW_MWi(bp|M$t)9POll!^QD>ntkdDGPW?txQOk zvas^j2Euzh-*AL{2D#DAht5;ZGn?22SF)d?yzDXKk8}6mJP#Pb6C-Bwi?+dI6^w_T zQy`Z9-1og_#MHXfeNiRpF|0WuMv)nk)6Gt+fh=$Kj;()U}b-{t;;PXfY$^O>1rH+M9xSj%#U@A~Y zd-4dm)g~O$4-JFx|F0VC0do2Gi(e@s;@3FqKX-m{8z*B^V}~zMQ**#VoU~01>*;0M>I7;2qk9MiwvY07a`CLCt1e;skQRP@TcLJGlk$Fus)4r?L2|x z5fjsFmX5)xk`fTSZjiqIDgq|ncdZvLdp0mpXa08RZ1A!5t>@xm;%a}p9D&dA-J$VY zd`^LWv&)71Zr_RgQ>(NWR%YWQ0GF-RjtLGr8o>r}GQ#L}aLzMqrxcmqSsx1yL5J-3 zVSp-v4eEUWO%`bTpatK@E zj;tHFJ}yXd$Y$g#f=r?faX0kc&7dcQA;@dej+h&tKJ6fQ1YWUcgx&t2cE~4$E7A?o zXNcW`ASnF+yL7uByTE;zeZvs&NK;6>GS8s92|==mywcAwyY)doA##YlvTwlp`h)0^ zx`dtqyVOB7NL?b&oV#COb)+ugXVzV=plhTq(P!S>??L!TK0?ooyW}$O3t^&}ZVP_> zR99@!#^0D+haQdLi~?Sy^x0GEBwG7!M6zv0Q5{q&{IPruYk)OdQd!j7$y1sq;{BaJ zR^;qS+-7ia_QGZr7*jEECo?LsM^WlbDAk(6iza?yUW^=0iuF?AuC27xPxe&m&r3wX z!e@O_-Dd+=8FDa=SiVauQm9?Hz@|+e zZ|&_kWfBFSv|5^Y6#)o(I)crUXQ-bJ+1_%o`NTNdyaUHTf0*e_&DYyvj~~`MG(;!c zabjxj4&~gK_Ugi5bmVmJLE0aRxkrjkJGQV{>ONV$Wmk}DOGmLmCb01n&C1`4KcgnOAdnzW7*|fck2WjrJk)3^-5?l=G-~Fm@m*q|dGwa6|5lB=Rh$O7_KdY@Am1aVD;Doj<>%v; zMSw`bhCXvqg5&@xrm5@3a(289?bF<-oSLqc@?k2@I zhgI?#;BX_hqcZ5d_ zy!B({K(gyO$##PaU-ih1c z!5_%3{4TxHG4brR&C5gmGGI$mW;! z-Kq4x2DO@OV*2&uY25_Lo_-1IUta=4J@f04Ia4~CFVK32xpWlM?1mlOYPGQ`q4a4@ z*&2+lrYfMV-7>wjxNOPtiJjWc^YWn!s+g4>JY5Sfr6m(^1jb$6DD9lx_DcLShNYFq z3CBm?zOa?3!UAr`WV(RP@O}H3{fHg!b^%W`rpb&uEc!FuW8GF-No}k290q_%8B_9leR?*%I@3q3fBT+F7G# zNgX!1hUAb&@?(4veq4?A(KlA-EMwt&b8yDNpHs-}^rIeyq@fU?Z4{%G1 zT#F!N;>{|wMr{j3CRrL2P8FmRWfqi<>8VnxDNN@{IUIs4fFzBf%(7%A(=wp>0u=_+ zilhk+s*w(%Dg%#2sUxk%bPm}n{V7$;G}tq!qaq93JHX<=eHnEcv{7%c1&?FgT8~y` zdYUA2ipAt%x=-WRMcG!Vb$aw=KfPeQ8YS9^+_eF%B8{|)Mdb+=3ns@Z44pjK<bc|$E6tf~HMd)|| z^Elk>^x|}!kR~b&etTx^@>@v&9n1-AQ(kA<{PVyz&oh7ba$X`0)IS0?6V~gJDIhg? z3dGG`AOPPJK}Z==#7;eVOmgG}gw8-TDExzN^tLX17U)q=E}W5aNLfpsF>jA5kld52 zzb76BSA4h{Vjd0Jq;`y_ffZvd-qB%+zei~(cAh}+4VE|?aFpzLN*ZV|Z=?c&En%uC z;^M=BIE^_DeLHap-j&kJX0w`{N)Ub00}>eT^gFW>zA}G@u0Pn!WRUVy_W2L0L!!1Q z+xQ_7x#noY-NqtypZz4rGIb-h>odMeMiy~otwv{sPvteG^Tg-E~9>(k2nsxojrs^LdxV0j_pbT=q-|q~fIJMDPsp2$GUv>O@7;FkWcT{` zjU2T6n;U_DPKsZEEpk|c#IINL@JTLb;CKXH^>JRRpW?Tg1%F7$eoJUhJFu?{GBaZK z_`clBo4a~UZdZ5cBiVUTVt=_H+Q2CF6Gh6Zr~d1@>Q6wqRZlyHN}}_#NN3#25jK16 zNbAm>X^n`Nc9$y(o2>8tZElS$FYf)*xNG2t8f<~SEv^NuDe)K&Chv1!KZ&5NkIlZ)tkk3PKP!AMWOq_`%s?0!bFf@D}Ca>~x#UPz9w?q{qF;jB~ z#oHtW^a*3={=Ha}wfSX;jFc+dQX@$sOtI361MDhIdDlZFE(6*nY?`ZYeX=lT3UH6o zs#c8}(Lv?Le}t!QyqEO}-}avT&}I#aOiaZU|Eb-s3X4s@-eK zJ-wz~9ws4;kgjPAiD!Dk3(7+VReQF&kS(>j$lxCo;+eV-+nX9fQ>-Lq*%Ctu3(p{& z4-0v2>xp?{LWQRVCi5FFCI*vtRV;a}Bz1%`0-hC>@v^Lfb}x=`T^e zj2(Oz&BM%RW@^o>4-%F>kK(6#6?CWfczDnA>yF4!PZ4hCnsa0zqo%Sf4zv?nEdNI zb6cDL)Eb52BbWQ{TBAyvf0ceewU??2P%s?*aw$dzsu{+F-gnM{L&b?_zr%zLrP#Dj z87#*?!u^TyS`^~V4~yY_7R8*_E@5cK5Pr3jxqf-ha`Zhu@Ok?Q@|=ySzyfC= z{HzwY*X}1NR@#_hi1NZ>W0}}V4ncvTgfdU1I#N=D5uc`Xj3I3AJjP*9K7MAg6b-7@ z)g(Oj-)CVLl<06Mcn9g9b0IC&v~_DmQhM>hP@DSb8Xi?~eW!x25(CXs++XS^sN@p3 zIZMXwB+FeWKW{h4+6}g+3z|COqz%>vH%^el#M4$n)ePY6UdhJpd#I!py)hX+#X?`d zg>VqbTSqV&sLl+GrlC6>(dQo~0C0xH%FEB$V@JU3Pb&3pLs<@2Df-q4ZN!ChaVFcP z@N-#qli?`mv&d%d<5_8`(<w5i#5{wl z_#_N7cbMECuQl|3nb;L^#ONS=jssG|6ea;DZ=U1@b&kXF5n+N`B!D%x9 zLVS^YO}hyG4@_Ix@!$LX{~M#ulI^tVC) zKf!7AZi4gn<5e02Yg91h_VTSWetSEAG;24Z|b=mU7o93R(>F)OV<`(qb{lKmr2-&qxlY{HZWVkpiJd8G^Po{sJ z%Wb`MQ4?muFx7FNLYZ6PC-(_-)EEP}Wub%R_bLsheiMvv;>y#@%VvO>Aw!~)zn+=} z&K>*)q}u^kjS>;@C*>l<3pwUv|8iYH8;m=i*bgY-LJWs;>iOS-A>>gmIGp%{@?2M; zI!Ig{%g~_ugfW~%_6n%v8|X4TG6L0!Cl_P)Jd0oO<~XHkOsu3bDy@s%bbj+|!l#jv+FK`^AYBX((RLg7Y{8USmFZZ0Hs zwchez$(1I#zWiX)16mql+dba?E0p@=a{z)3&V9d`u3bE1BAq}ox-gObx9nmf(!tXa z`j}{8P1p?bKs?!5U{*6==`{>wRmKQzGwAvxfjEbADPGZ+_cSkm9e}Gkb!^{yu{e3=3;da` zs8h=pUjL=;=+lR=277_+o=L_|5m@7UFOl;c{WINMOY%0&1m_|5%^@T0tB$GSdOpT4 zrJaC3-~Qbydv&uPLcXfBS4coW9RFuN{Wp#$)fZpnWyDWj$))N;7L34o3<{q=Go!6hm zmlIbK$yP7Of-k*zovo%@6CJB5pNT5rWhlr=z?o;bro)nQFZ0NGz_^>hn8=cKVLaD-9tXT1$)^vYq7T#ZZ1`XZc*^* z0Q+7T+r6&JUi6XI`>PB-e)x4KF(_IlZo<7WsP96#-YX;Hy#`%c4DjMS)U?2~#_4ld z6X#8|)?m|+4J5E{7mS+I;{H+ZT*ldS8ep4@;l+F_amQKyb!n5x9NAMS(6^2boQe}; z@ye0sMG87d)wvmkJUT9sUS9cBGxReYnG*0>62(I?g~;SGQL-c=31J1|z;Q@s*fi-) z1sPL?+4SQ;n?Dg&w8$CKQ^lm=7|YB_DLI(q#EQ~T5FmK;QbQc&cEm`$MRFGR-8Ux& zhjGUl9RJkpG+d(4LhA((UBb^ofq;w8MSb008jns^_WaKd58@Y9n<1u$E;VPw3A z3zdYr8YZPCn@pwM7Fu30^H*2Xf6JDQo~XO8E)W0UBz|p}SjW+HDwhZ6^xAh8UXUM6 z)+8|RcL{Zb7VXOC6~8?aD@Ux?rb`M>HY7LJiT_Ds)_&>{)G>Z?Bp+{Tq~PjA<<*DN z3?oWczzN|jmXjpjACC#OqW8io;DMDk+kRji=N zF98-UtWtdNcmkXCI39~69=z%j?Z_%e!>KcQhguZDaRt>}J|1kIO&Ezsf9x>#XxryB z{wF)6m$fub`S+BT_-oZp&WR1P;#g(YhzYIvx+OERwvT6H+It^r%jXhR_IaiiCVHV} z511{H3UrJeu}7GtWr$YnxT3($jt8`H6=uJqVe8f7w%4XK?635jnU_c!`{`LTU!8u0 z5L6m7wE<|X*IOXxWd}@B8p)h}4TG-Co}DVVur`(Mg6OWFJO}!vdgA@cnTvL?l&sJB z0XY^e(K%H}WfQWnKl$#gO?e(db9(LDiW4~)j>R%FlcJK6DYh|Iq7Z6U~A5?8Dp9K?~ z9C|=>7j7fl5H~H6q!g>SrE*Z$!<#>&;;GzN!m>R>F%gt)+wIltH8)^w7!6uHx#(tUbDOd`=YqnWi=s3;#KB)mY7dmjwVBsg89zfn7#}5>ax7U`YzwV zzt_)Ei7;kkRWv1mLw_Q*JfSJjBWT)IPI&EndeoVNS8uP_apcs9v|Q~B@u;c8z8p%m zp5xfkcDgUB)D7R<{XU2&f zLH|A2>cBOvcc#-W5FFAK|3^q~#%X3L2mFltucOR?&HIdO>(@`TJ}Y6M_po}xrOkQ> zL{DJpX=1xz1_&r0{Feeq+d=)D?#V@g7AVPdb%?q8<32`wyk0>%?z5a>#@#-{R3~M; z;r?ui+3&&1;XKO-+_j-cPERaBG6M$Fgfn?Gd{MNy#)!M54XnFvu+n#C)=_5I+-pO^ z4K?}Jzs+!?%rbb^l0_P1bdQS(en*|SK6@EPlwk;FORsr!WTCKmSQy~@oO8QPHYJRc_M{bbY&uEb-M71 z?5BOrFE^LG+=u<5-lc|X4?hAcuRmG4QP>o6YcRteoalENp;r#UunwGiOgs3jp!gLd zUAFxYSMV=jThcnX~wp1=j} zPK-lr{JZ2-Pr&=M2?49Xb`c7op%lJ+JwEdE5Vy?ffaK%o(C{fZ4B4_ zAWu;I$ii%@q})dt8+>wh)I(Ixddi!-$!Io`jw}v?JZ*lSHP2qGhrYqlJ@r0jaAS0t zgBJAno$Ka6mnC=DkX@7u0SLXkp`ZT>=KuTIVamUK@Z0|X4+{UUCH|EP z|3$t3e;>5}WC=q%>;FZ+{VS+t{Qtsd|09I?i+*bdiDeh_VL1%#HI1fdIJLC%H~|LfGsN(H86)n5@TwL3;)hTr6sP*72AZv}*Ix@1wjvaiLub>!}s zb+@m*ulBvOpWN@BBj9;q^n%$}qwefxqCD+Jg7Lii!era@`}x6n2gmiHU5GVorCaN+5nnPR-tAmbe#I(B$vr_eL(GH!Xt zx$5q1?ss^lrPSQ~R@TY3+qZn?yzc59Pw4PUu-|srTer!K4g_@dZ(rA?U*BH6P27FM zlx@8gd>0#v!Tbn|%&AL|b(H&I_?d0LcWl$Jn~OZ%W{+l?7plu}?I^=dzn=o|A>CgF z_z>?m1ANH$p8y{O`!O=_qhUX|Zqb2V`8SnMa3)C<%K0SS7&2^D%WUu`$QI(Xnn-y8 z@d}VB(WXci)y566QjG%=AeNwsdL&JGz63atndn@)d_kaSPR2|x0^Eu%4B1%9q@0CC zAj+M%8Q=jK1_OAwYWN!rxucBsF?`OV?A*TyR~DP|xHxB!p?(H#ew&>+B8Xe&?`dX~ z0Evt0%(_24KMeynq!CMmGJq4|^a%W-0_8h*W6)xssC{}+H;;#BA0&@hK;mlZLrC#g zH<;PW<~3+g9HO-0J@Tn;4~bbxfQIuG;Wf!L#8QEFBqnuLN2`_68xXpIq78}d`PSThZ}WHW}T~1`?kpSI0TYvZh3% zw~41Wu-6L;x;Mt6-<*gvU_p@Ife~@8C8QbYSM{`od=5*Py#ycFpo>Gb?1c&Cn8eG$ zVj1(xM3H;MQ?jH|9t?qA1Z~ZUyRW24qA5Z5&LXVMjUpZPTln9mxuq**FZ}XqdQUvA zl2V3ca<43hG9*4LjD#B<^vF)_ z9y7mRGIt8l2F-w5!D6i+lR$#JqAE=jP<3Helyls@klBPklOjhf7#&xh)DGwr9F-!% znTD-!7Zht=O(QCLiE>|R58W9t^Jq^$$6n+6o-T z6+_g~SE5H=Hh|ipHS9q>D9)@}?CNxE21wdTpyvw2DMgAvk*Bplkso(>vm-5W!l zn;?7!+njTwR>birp=^;}pj`1UBs#kV*{r$adLpdy_`PQHloob8M21Sy zB1t1}M_gUfmWfB_tY(EQOAD10 zel$dDB%RX2N127@mz9oATolvs+Y+_&J(jf`*Mg+ze%U*YY}F)tuupTQXw2)~t{|o? znaAbQ9xMcMb;_f0D8h!R|1$Es2y}=4PAOP4V#h3quGoz*nj3QOA2c_nUQL=Cm4I#L zs1FlpZoV54fS)us486=^4Ls5smy(7lUE`tTfizXbpe%wQ-G5{u zae^VFaxWkXd+b+UWBPnRqxtp`*}pjpk30!{Y6~H+Bk-j8K&8w!18#tDhIh}N@Ppql z#>Va9rmXfytr(vAWqkQ+REHp2Q-m@V5W^Qp+^z2o-GPa%umbdO57j*cqJP&mk&z zX)mL9OBfiYqYJKx%4k2>0^&nEp^^}cXIPXU-{7QTK@(>ZQ(>b^vvYiqCQ_3vnbTfq zd%f|x!E~?9l+yJ(zN>kUm%%kma3ur))iwRI}pLnG?r4?Cq?NfxHDi!9=Yy&FuB z=~EJ@rMXYvf>?~ApkdT*UcP5(gG}y}Q#@V?__DcCQme%`;e}?VbEIp|i=D|)BfH@y zL6Q9pIiyn};H&9H<4eUXo=sn_gSJQe1v8HZEX_HSin_&ZSWoTtqmu-m`JdR5P}nf| zXC}A3$u}cq)=k#M3(}5*s`^pan?(kiV!CuqfYHKB>e;g>mERt>G|PK)TxG+pZqiE` zl0)UNhKK2lqZa4MbN=*S_$gDI7Uunq?LbY~EkDFNq_Rz0@jSL2a?@~jF=?Az^vTT~92{cYJ#E!m26%Cefp8jY>&a@*nCm5ZPwvb7u+ zX~c1uXLcEKY}l?tVbBH=>D;&`VP@$u*6Wh<6JW8fWz<;!T>EvT_!?9~lLM7CPOrPi z4L~A&((;=1qM5mP1}nkF3dx#9eJchYAxs?&g9FLK!*=;hb0_frlW;@-gd;_b-Jg1; zo&ojMTkYWncwxT8hSYdx;t$1-Xx6dGvwjWZAKo~oh)zxB*D_u!a)&GyGgEYF2j7Z* z*D#F4i?UjtRVzd-VeC?fu$Q3OM~^zYns>=Wi0u&5&jaDp&Z@*CpkDy2lkH-&i@wXP zs|`PNHf2-Y!mShuYPe0WO1;>7O~h_X%yAnmB^X++{UP7zoYM%y-cpz~1uwVa*1Eux z%_!KC-LD?&RVmLndy>ds(m7l?N3g1~eJ?V7TpO7ykoJf+^6FTiv5Gk-Y96;{S^Y0kke2-t&h~EP;+mujtn{Ki zCqE%#NR14^&J9x!BxLmH18te%n)mn5ijjifvAsPRj6g?LL56<^@=2`qSRoBcP(QvY zw|HIjTukbEzk37ek)%=4H*5m;FCM+ZY|%AzBe)UM)7hcSn>!`@VDxWd|1tZU4^hIi z9hB<_jPp5|{(fhU&{o>n7x`h1=GG|P1@$w+2&Z&n-aXzvBgJf5mbfNMBtsl+^xe8N zW?9{oII{npWp{G!6XNoc&X-8d+J-b#uRoP~+c5F?%tZ^>rHI6$;M|J4?*6>u^ zZ%2RAAl1(!pTo3@++Usg3Y~E^u)fHBX9$6M+1v3z`k=->b{(3jG0V^k=O*V`%FkXn z&O_~5w7E)l8CMeU2Ok2Xv=4GFW#7lWr)~;j38eJuwgJPi1NLJ)rh6Qf(A3XR`rd)c zA6urf`fbxT_p1e0aPw8(X!v4NT9T|BSaYdw$Vv2HHJjxIOuVHTi=oAq8aQ^xNMtXI zeL_5RXt#i5?LYs;gg*V$yJfyWauT>eKz#or6Z#vKW(;UIP2-36$;r;3JJ&D9h$n)=M?@wnSdm`n+{*kL8de@s1~#f@dJ7aH1VeVAR@hWPT&=xu|G= zTVXz6R8-yEP+Lr*u3pD0V(|=yx=A11kIx^|pYO++U(d(9lxr?1po$d@c$oewyl0RN z6)2nyx*l{M_HBuNTq3X0Z?1j(h*w0~>%H*Yv2GKd``i;a=q~vVlGqLu~)%aGjx-a~ zW)F@Ue*}Vb=iZt1v-5Vt0MDrJ=^>_JH`tsTj-VgOm49$yyUE%9_^bG$?8p+m4}Qbm zaYu{}y9t2sMcoxf{1B1x4h#Cc^7rle5%#7F@~#>5>81ztLESSBQ>bUr!Bu>Xxs)W2 z+Pftruoz%3ysVt%KP*Sj(nf|vY+u+Il0SO8VP&(K713%MA4+Z_-)vWg1zoehzg zh(k{SG{3Ll36gQm9F{6lK~-P~nq;I)M~J19Qt*eh zbd>a2Z7GuNC9Wt#C1F*8J@hxaP=^*_B}LS4=*#ap%_};Eb_%vp#Y38mK2Or@O38hUfTFc9eL*(?%Di%7kK>Wh z;d+(Wr zbo6+=DHEh>(VMo^u!)|{8Ov9-zOSjf5Y9r%pd$q7r0F5J^jCx}QSsQMBW#amR}MzP zKfOj?2HdrX&2lUoUU=oBKfHv=UKqT*CBlDO!r+#gKm!Fj50Z^nD3=0w*q+v8xSuK$ zl!Z)RoZ@?5gnv>K9}Had1&q4Ij}5TQwy5urhW3(z7$Q;Y(?ROMBZ6O&>++Jip=%B5 zeb5+1C`yA3iBG_)GL>dd`o#{o&5+EPGnl#Icj)Q`3Ru;7>SILQdJ(DDLB@IkjYzlXeX4I(taM+|^qLs8VTuNrWlax>F=gI!n&V zImD!gYKuTlYM3=!guM`iZDq9^FArR8mW$+dNEqC$g7{~V)nYZt88lYP7(6&V3WH|D ziHFe^>qAn4gk=Gz>?@DkY?hgik!-R=%A>W*&ko)x z9w%)IVAa1wvh>4-T%`C#7WSgf1jbBIi?&2nn*>^IU@C6+&7pVL93z-9ENgN~f5sbd zy|!TyAU`H*pBU$q?`Y3sv~*s_@8oKmZ#kEH=DK#lu-9J2aUE>-!yBCuK|pQNj?uv&>!NAzhiN8yh||7U=PN zOTi-8!+Hz|={J{$`W%7@)Xjl6z;puU+D)}2gVQ~#9~S5S^FSK#LKz2Fl8e(DtB06F z#01GB#{SADrITX8C`uQVH0(?AJsRIbJl&a)BmQ`!@DK4|o$>q%2Vi}e`c-6h+<=)G z%Vtn&C~w4rZl4kL^d5}#qX5Zq| zEwI8lYCjSk`d|tW<~@fLhm4x!n>?2IecouLiQ^LfAyxyv+aFM!Qp%dB-jUr`Hd=U_~c$6bQ zKfra#S0ToxYMULeN&o}Qx0!RVg%<(kJceY7py?7Si4T4WbU7kAmnYcuw;23#vuR#u zF>l(;3Y)MelP!AV85~-zn5Lrx>$Y#|LE6zv{ipyYU2xbd2XE?ic}Hr+SSGyz586)s zcFpHz3Rg+cLH#|Nh#T--#c^j7!cf-#{4lgX8hw}tf)*5grkigp<9%|zCmoJ|v~!0O z;$9WX9;5jsIlptDSr5ELEWsCR!+X+vr}Yidi3NVk9Ew4VZw@UrCd0rNEW6mVN13#c z-^op(rcW`w|Bh_tx7*xNjn#omhUoOo0+B4_6slOt(t?TugGwus3umNAh&@YPt34b+ z0o#GbxrXZX512!k;@l-&UyLs;Oa9pPRxCQ$B+IAv6@S(2YR3hs9vw|-4u<(+q@$H~ z`ugDMrsl*8VadJd=b{^vj6;WeW6CMcIwjm)fjXg(g;rM?|7G1T9OzpYADN9yqdhO3 zUPcSq&OU&(&2qy$b$P0N4KzotA3rMq%$cP_)@*f^?A4pd0#R<<@r?rqKh@uY$&7b+ ztGP$h+VE_a(8!iF#KC94Si}HWga~=AIj_?(dXmGmZI8Wy`p%s0=i}oSn%*8K>uooS z)tWk;cCTYJW-3=Hvzfy#5yV%>>R8L#CWp(94iePsDw%a_cpKDNnwJ;0q!F4Wpd#e1 zb&_Ov2VvpDwIBkoW;gTO{!>Qhs4xZv+%aC{U?1~>3V@x+U~NHJfqm?c^Y41WH%Yly zjJ<;*#a|Hn6yu5nY>SI;^KzylzuglK(*m1bT}>58KQFHRE3DTVTNq>Rl9=T1Z&hj2 zL*`EtBLta#kpN&#tsdLwe%&L`v?Fo@w=%(++6-zz7V5Xs$n;9!|6H$04M6q5FaE9Y zdzjFSPbWvyrWI4z%La+DejibE3_BJb8BJP5$q?&i#b=oMdNTD~}$v+|r)m3z}}i=2hCdM0K21LvK6o86v7cx6XKv0ni-T{#6|gFYHJyYmEX$<@ zmpQQlt(U-1igzZ8U)yune=b{_N@96vO}brUY;h&Gy2`R>Hrd}_T3S~3rGyCd-8Bkq zRPW(!t0dj$K>j zQ7m2_&vuY!X-4EUCA+8uw%w8%>2OiLTG%qV>k$1|?bdjowd7dg{)SB?Z6e-s;)T&S za7wa0IZU~gGn9VHjH?1PURM1I&+m(@6B>b|>I+UCnbf1R9(AFo^RWezQ=fJwjl-+Q z#DKsUg)3xOqJQEKBJkZINFp2&Y4JI`V`S^d#PkmuT8JLMw>-WiXPq#CfcXFULPECx z0)YJemX@2+BI<{&3E8#rZ5VBGdKR#++0R8T$5!sX{ zV!jHcL{(Me+!E;mT1t~Sb=7PiLR6Htx#g30(%`=JLVg76kwf#WMo1HJD%N{Tu-odUncSp`o_-Dp&_}5!PFSR~FK=kV^ zqSpfa54@u+#vixbd&33KsrbWonLlANLkR$0!u^Da z56Yu=X6iQ>#hk-k5@?_3pVN0k=U~e7uIphaG);f}n zz@tUGhoZeTLsocMU?61F68M6VmgI1V6c6J0C{!g%srmJZ)%xn%Qm2jLa1jr#=9){B z^~Gv~wW;a)&;t)TbSRvE{ZdhUNEz8At%ZTuot)VMq}zU5t!VD4F%6apRn?i%bh1W)AakQGbgtk?Z87v=X0!~iOWUD;nDq?d^YYoNyOAi4{$@Eiw4LJJMSt7Y6 zwyF$`sW$qKg5W`_H?Hr# zHafO#+crA3ZQHhOvy*gecg!!V_IJ)Y`_!)5YwdIKUeBs|G2Su9^Bd1|MNJCfCZ@0I zE-_=#G2N7NQ977}(=OdOSo*h)RxO#6r%Yyijah@8OR3wdsm;W#lONiqlY0BcH6>NN zN2@obZ8EKa$JUxHt#E2g>n4P@Ht9^XGN*;@WR8?&aSXtWHcC6eBo=ju(Be`)G{@hd z!m9FWUo^-Uj_#4&VX*Lx+F#W7c32yaC(rGTFgFMe7ZOC%9Xrfz%=^h z{d!H2c=i}s6Zm2`dAoP?t6R_nvWju1L-OG-B;njjLl(MMLdm^4`qz!*(n3|R%lR5b zSnqYcILmNlqmF348?FNK<@-8b_?z@9Ad<3bRY3MnpS<>fAQcHq%}sOH#9_G~85#E# z<1Xd?0u2>}!CItUc{?Hr{dD(KYw$Y#DtX;3xVR2=u!qI8u;V%(o=&%$lr2`F|*{Y$OZ0MW6a3yqjd7Bf|%fh%8sAfh4 zM!LoO`4q!I*QC-9iu?f>kxpQQ4CFtJv_kH|eAq>ThEc#gN0Av4xTmE{yi zsMDoTwxyOHmTu{1fg9hwpQayZ+Yv~TI6B&XT7<9LRFpg>XtZ;dUrCztmS0)>)HNgs zy=nU=*Y3b%cff%vsne~ypsU!PiInKM;*&-%CZ4qXv7H@!5(-_;yZGT0=iPYZLVwiPGh5L?D^!DzuA)GBle*xf0V zr*H6ZlohX*haBAlBM6~aQaj@bat2P>%HnwXDMq%!Imzw8x7uH;RO#>*kwtaIOgPZ7 zOHh~m<~H4TE`IPpZ)jspj?toJ^m7Fng+Sj=av6UFep2wUn7*a&*LaK2T%m+2svF=! zbo;8076R>Ta_;;)-;Ug~70^TBtk zDXTqy$L!(W=}%9a{9nzm?zEXI(s9MXCX%eTB(Tas@BvFpEjA_Fxv`GFwIX?k%k7)8 zkl{XtA2M;!?e!|hY`yxeJ=xrhN-~)Ui{RjCC5PlRdA;#!ih=opg2+!c_mEXE4(3fO zV$R5~r}?Tg1?8EI+g@3210G7;0@vUqi35buYKv9=D?VMCegPRd4rutHrF@Qf+plN) zH_NMdVh$hH*AC*bzx;HsR`BMrpf$h1&SR0^829)>_TUa?__t6U)q+eFmr%3u#3Y=j zkV|xDkgr^WR>jCbUBu)Wfxp-iZos5KU9c(0?N<^#EIR=?6z5TOBb(jk5GHI~{#JFX zj0}O>OG*8xX>LpFMJv_|l!9nQ?stkH+O&kdV zaqVU+iSrsY^XHA8Z)2Gv_uDh?JtGcN2%GMM6>|n?kkliB+n~}@*sw1&1&%Z%8p6x! z6ogt!+65pO=zxlqf{JiWD+N}geh<14c4NkEX{he|Z8L+Ui~#f?$4@BloRS^9(0ev; zYW#&&v?@pOy=<$*Vc>R3EXPxRrMit`tIPmay6%>8vNJ21(NEk*b`c-eP*2%gkcVl6 zf|mo($1CaIurv%HT{qaSE*x1ymhf}_Lm81HjXrXoHHlP)B!U-GjQAXgwSOtVM+ z7ssZvjTx5(iD=6|LJWh&Az0DX(P-|bDZ2v5i3Vgn~TZo3z*|Y%5n~7HuzFI z3ARw2muNM3!QyE(YQ&DZ&PF~RdOS?GEgRI5*E$l;ICa&f4RaCuZ-kZBlkZV}tI7xb z?y%dIli{`rh59JxqYkpGU<1Ep^~Xo%gfRXzsv_6tBAH?Es@<4X68PgqMGas^@r8r{ ztPh8|GNTmF=xoC7*g4V!tS$Z0Wn%VDQ-EOBu zr(2Sng1An(3wkK{c^TMjYuniQQQB;K+qfCSzG762YfG>ll*leZQB}X2SL{~El6Hrj zU6-LNSRrVotj4H=J91vXWhKbzhB=FD{Us>q&@*07b3XelY_X*JT1X}l!^o5~lwBGW zhM>(N+2hC%JH5M+|D=t0ZM&i`;3hl<$up|L4fcge7><`9u!{232G;B810P!F3aB%4 zaWTFFo{CTwtH8aqbaINv^0~#1WH-E}(%5)h<*xFQ*5~HE9_qxK-MUiF1%)#KD@HXh zq_84rB${{Tm7zbf2xZ;kUtEn@XE5K8Sgc|EO^jJjt|p^TT&=T!PL=z$`9Xo0yt*gw zT-XCg7abEkvC3mdThoj|YUKcu8b3s~y6LCm{OXKbzbY5sqE|0W`CosA{7+n4~s^Sooq@4Vv zfQksqLxfI04}5O=z}4J7bU8jl4V@5C7|fQ3ZJGkgJ~Re4w0DC4EVPx05QP>&aJ-Pg2e$47-5kqX`Wgx)N!rEFKRkx_z+SlJ7?jjVj= zG^xabr+?CqPxyg76ZH+fEulhn8}^plNfsdf=lfBxpB{MmUZ1J>_L7qOpFN8Hc|YH` z_3ucLDq3==0%)HhDYhCIl1EW58NIs zkKJKRR7z3!9*ezLrkqpwFfzjpGN+@gmm}`fbmq6etH(w_gYE?dKX_SL?kmD}IT(P2UkChG8PC#EI9ThTTZ>|=?nJ1G}iwL|kQr}d#;a8pk3 z4b#-c+ciGW_2k+udM?{L+PiI>8cbx!r+IarYs^gBu3&gCBa9Vu9fq^cO=SE=P_zn% zSWG!ne)cn9S4UB`Odw^IRKrb#Q0Cn6<`N-A^O83zDMFz(3}uaa_%;CCHT!)a7|cWV z?d>+$f_DOTU4nO&n>yXn7Uu1dt8p^s0cP1aIP*pN%7a)VtkU{f`Cf~gtS-NunK}%9 z^B8R;>Y9>I;`_PM54RrrQ@ZEv5|Lqh&a{bR&D2Pn;GHGc4IiS?*HwwA^%Spp=>(Q}u9MpWYfzTjJvSD znX&^Zg#xW`E?aVmVWq_QDW{Rij1IVF0Td&@#+D!bqulA2xbPziZwyg`yw&^}=>pds zR30CneOSdaGKhm~*bd|vfe`LSWFKk=&0(dlb?9>3SmRtk0-q+97MwQ9FOErfJBJ^ z2!7V=s24FFKbatsW#6zhwOOsZah8$pUSDXW9u$=j9N%*)I_zVpS@?e6cPOH1R^+YXrbG^AeWpT_{8Z=5ZYFRNE{ zu$AN)pWvPDJqPOgxevBiuJ7kfKfwUO{A#Pnvk}2kf9~_H-0rOKvlH~^03`ekzThWm zyiaP+XGF;L{LL!Mdo-Azl=N2&h9CXF&u2ogFN%Sm5;wCb@37&2YXR?>U|+j|(Kop$ z@2Oy4wEbV=H@$%OT(G}`cg{1_@(bD1Tq{F(nj7-&w|3 zmR{BID@UEu0re1Q0pRBK5h2UMc?)ymldOC#Dc~0EF)1=EEQ?5Y^$$0+uN^TV@GRPL zgYYcY!qw|!IJQLwjYBQZJ6tP*gQ_?KEW#w;GLX%^2P(V`N@x1fCCYFA)V>Xi`b4Y? zK#4;97NCBM56k~r{6ZBPF8nfnO*i~9Q9X2wOT-lyRG)Cvk+XX)aT!jC-F`ij-IIAF zd@zKqg82shL23AET$?L0vZY7ax9iftha_3<95vWGlZ`Qc8JVr-aKSU*rXg*-g)|G^ z3%g1d@9eDoT>X!}oEm_Q!=8q1uJcP5f@UPIg*=xzLbHaW$b{Sl^A#PYaOCNU1OkzE zgI`>fy8}hXC(sRVUmAL?ukU9P)&-}jtq~H#Y-8Kz7CJW?dB-AL*C?|_HwUW#R}I(3 zQ=Y4$)ud(ne2aU1+<0_BRgO=(cFEfK&Q(hB$#r47!W8D5t_`Y@k|8}Wu70OXHP?Ro|gn#WwpQ`BKNPl$;41xMa2 z6T6bU(l{O=vrf&H?a-bb!s)-p-y2`&x6ri3P5|e6F5EFUT(V^YAvrB&m&b*XRbs+; zdP@z+Ju#?sbAH@Wc$A#9A5=$5?uE2kv95(%Kj@4jI-ng}@h(dyQ>uA+f`nbU6_Z7j zSMO*CuM5jcEuP3igI%=315&vBQC@F;BfFu~bubS**c7lc*BrwwCXiT7EzJl&p0>~L zse=pS&Nqrz04$m(5!Jbd9Y@=h$FS_i?!t1sT^)JckZcN_h%^mVHjSDYIT_>W(V}(n zK^5e*YLsI!J)qnc8q}yQ;n0Hch$`uRfk1JUWmh?aIRYo9o`U#F;W$m!q&!{ty$gPb za;Bbm9UH##KRL3i3LgN-KKBo2yxu0%QG{k4sD~K&%-2yOhucO?;r?PpU_bx{6awBR zkkH7?9S0wqVFvOYqa-Xsb$i+7c}1{iql?fIn@z%`5MQj3%xcmNS(;sLv>f zGyKNvg)Rw-;vc6PdSY0U7ul`JGC^YQ^Znr~U@CM06^M(G1xT4bE7WYMWQfQ~qfU8w zACZiy-;|)ul;Ey6;o|E|_2!cp^tnkJiK0(n)8U~ zB^>l{7YIR_nz+EiO-T;{o$4ozj~=4|ubrTgiIeM}9kWNK8<#d46=9QnIW$R+RycCU z-;UcwCkdXs$(Ulg5J=C~*GP|Uq+x4RNiJ_vl=Jl_N!+iopiCw1zPl-#B3lz;qV6kn z4_`B=%QQBv1Nf8IqhQMI9!op}04PlF3_O6w(Dh$avh|ed*&+1IYYqB0gPfI{C#U_; z-TFUT^&jFAb3E*#7+AD6xBbbTcM=#-E}a3JWTj-WFd%eX`Y1rKx-M^4_hhglsqJ_TZdC~@K;Ai!mKLZh*s-$ z9JepZcLTD0#DNuDroA{>J!wC~nbl9IWX3oZmW#Nv?KE1ic4&6Z?5|$!!Zz8J-OQfF zoc8lBit?{VD#hEeJ&l>qc8B{xfB`h9CTZeQ-6xP!^}zIm`8SlDVerX3L{>E&kFg4( zs{RmW1nma&dOCL*Zpy*pV`=A>8q6YUXE~kXRVYz&B`o@=PK*`tDUI*~1>>i6M=6yR zVPJVOkF)BtXaV^N2viLuuq@CoDAs$d{#rt`J|GB@_Zoi*?OYnIpHb&ZCg+sexw^t% zpA8R){ah6*&s#AJ-fuh4MB3G$m~(zHF1zCAG<7%NWgght$ERO1Hv_SKv6{}f3Va1x z%#eaicB@onvrO!PrSDy%jqya4_tH#X z#u(u&K{(&jH2)Jo!D?^3(dc0MnVqL)eht^Lb*W%r`e`_nvO|2MkQ77m4CLMh29dpq zxl8UQ{5+nW|E;~*BFxu={5V(LdS9~<5MUJ%5}5gwEA4UcxpKXu}XgwRHJn21HGkxCoLrOjw#*!1X| z0J-)nI75y;6!Tsn(r9}{sUnhCV4F@vnC2>C{HOu5dfG+0Ft>Dd|0voQWhYdDza?=% zM_l(IXx-~5L19V9q?%LODA0?(cWyyb#nEy0g-y4Sqz>pIYKb z!%PQ0p3ZVvbnm=CvuPfphHcvzuB8LtIy}UxI@p}n*1T-5C8KQ*Yz7;N z$gQOA9TslPv|>jZ`&lyUkU%l(c2o=PXS{;gG9$o&jUWsbHKUqX481pMGq_)2WGfgo zssz6nf@RXZlV`e9ZN+QMSjn_B9aKTrmn`yIHgl*#>{2}_!~Z*IaFM1-FDP5sBGcoD z>huV+HsGzea>w_D>h#h{ZT$)FJtFuGqQixDMlY-j*hQ}YjC0MTWQjtqytNC%1OKdy z?()jmD`;-9E~6)yrMO(Jh<5<)S%(EO_!!y2YAJd@r)(4*UF8YeoubN6Am5oDoKzRO z;406!*i$6NQ^gJxeBD2<$dhxa^fd=$7Vd&1jA6>)v+hjkV@TwC&#?;KP`<|-`0>tz zgG$!R%JhhSYU*c7V3!@^4-;X_Q=|Tys24;r9syiwG z-ify{J3r15r#E?V-GC|wEhFvF6)`u^MHc#uVVCrzNDxi*-6kpbv5nXmLoR3vkfPSg z3&O6X{*d?M3T4wb%P90IHRDTQecViI9?1Z3X&_ zh2x0V;IfN|m5S|^NweMHI;zU%!zinCO)KVpqRx%5G+3HjkyH}8V(Q_>oySka;mHp;) zvlXIQ_R{`H_!xq7!><8}wwO7WYs5r-$Q0dG5BL7N?b!VZsqrv3PGbvQk6hqg9`?3h z@S&nMaNBSAvV%gja4y74!#BR-yU~zOLzy0s!tx5}?c_D&VTa+0>gX!rX)<1O$30xk zU)F8Q@$vIt%PGd^)t;FA;|M8)7^-K<(GiLP+_PGTe?{Ea3w$8emKc4&Ev}iO$ypSf z?pZDrw+1-n>(3Q%P}UxIm_CFJAuN&i0KcgHS=C%1!I`qWd`&-XLR+9~MQ+^f<#{GL zS!@(!7o8hX@+>;}_h(E2O0L1r&XIBU^W)QI2DOnsmSc5Y&q~=RN1luMahK-0KnXoV!u1yGZv?4Xd!zM?6|HGn2Brpk;19Z8F{#G zsCNZzL!}suOXpg%;JInK*&t)?#Xz1?2-m}d39+D|2T9xPVv#r`*+2QDnf>41vOS-0 zWzUP$2cYQtK-nH)Z-$wu<&B6SV)C#?tv&qyQXQU4-5fULq_Qc^dNDWxhfv;Hw(xF; z)T*lx8V4H<;zgycNZr1s=)M}ecOgd$Zv9+kxbNF!Yr3{7+-ge_^Pga<00lj`oQl0TnGk z9VQE&e9N>5VF{LmO~IsjMjD}9ieN?glcgwBlia2w!8yqTil9%A59p^cEp5&19S~oV z)uV)vh)H?bPsf?^OAf-=0LN?RIQ~6;|69SO-}5dzXUs&ZYXvHQU%m`WQmjS!#%aoj>R{Y!ju>c=(oZ zQ-gxgbZQk{tXta}P;TP#y-GA|LmJ`O7ydq7`dKeFpQAUTy)Ju_J_U~j%>BKDVaI(^ z1@*VkWHpTB%Ra1{0tE7ig&Yjtw&SDRo{YYZ(fAbCW2TwVr=>B#>e|o$wQ^N2gYZ)# zbyIN{rdwl$vD4%FYvD~oR{Y|+4A?TYNq>V=g518P8td$xe zy~*Xsg)^iTU~M z`i1JpIORRd%7Evi=RE1+G3+|~mTVfmIw-q^Rr9s8o$n~;o$XQHZ8uYSf=!LX7TU&m zmYzLh=PCvPr}Vq{Gkj>H#luUaDZ2@K#+Pw>Rwdf-#dQCXNBCM$P+DT?L?Kd)Ibjp! z-4f{zr+mG~u9i#JD7k-4GjQ+DCnGznIhtZ%wcsTJKTeHNXK5LRcj;FY9iyOdF~hHq zd$J#d9k4%Pg3*pS1W zCHyH$V56uPTkjvV8Stnq4t+ho0!qq7Xoz}=6*Fo+O2O>!nipL%W`z$VqLH{_2x>{%Kxv2>HY5+ql&G?zgrQMfB(bS zl~)J_V*r4RBy#00m9mpD1dBz70@6Vmpc+IK0jZrp^iA3-Wk&DFJp=Q-0Q2xCgGovK z?2B!<7zanD06#P^>zK{DI=#Bgx&F!L2a42B{1XK0jyP&p^x0mCrXonocZ1k4oQ;r- zqxGXLuoI;HcoeEY5C?t1r+$buit$;e=aei$b>sl{=YUWGT8ozsAG zYrM75FA7sUfG9W?>0DEz zs3tq+$|~%pNf`k%&0w~^J4TjQaqql}Qfq_dgbN%s?w6D`_^RtYjoM%OKNvxBDwFXY zJ98S^u(XWsrQ>l|;@sM967Fs-Zb7QD=GK@?Q95D6OGP?q&DmL3WYGgw6(&k4sly(; zV!O?dLSp;GY2r@F70KcP*JpD*2J-*!+wN;8Ez7s5odlnsOGXWVGni5D{jp;`o zt9iL323a**_He1I<|y;3DEXw=sfxMB}SH2Qh zIXMnmbADmjQ#>l`R)Z890@R3#8BI{lgBGDq&&m*Rg`>s3VDmATlcva*z8i4+e$h{I zDuwEw>un2XEO%IWPjvkB(U>j;{t{=xxErUe9sG{?T;jd%{Jp{i4;wH}g{@#;E&QPKh>#9?*yQ;~ z)gO7qFbzU8VBb7M(^tkbcaHOL>}@=`@2mz-W5AeEWi`-1bK_~!|V=N z*EbHE0A^>9$2VwPEZsZGg8*?4+FNjhJP=Et;Bik0*qiIuY}0PzGhQuy-O%y3!Cb1I z@f|6d9>R`<>wY;<4`Bb4Fa%p4OV8x48K`GwpB+Tq6tQ>wK#Qpdf9S_1{XRDK?8I|S zP#)=w);=k3A}WgExHAy}H#x>aa1;ejaGEB~5!mIS3NLY#`uKuleS5@!FLa<%xbgeF zF%PQ)iGw?x1B)*gAGh1V^Qp17tLIf57$s4@PeER0N`;o#vbMxC#SszoXOwA9vi%7T zhD@b?nFca-TD|o+%4+~aL%oF^n+n5S1*?Skfn=jenMHs#qew=RxuUu@ORz|yg3M}F zAd2Z=ZF0LXfYCWgmQjGiSx~4fosyP(ufv39-qro&pe-oIDa159kNuGZ5YiKJXpF1Vj{ z_{nV3Sxa!40-?6W$%K(Ka|!ikEu1J9jy{ip|QcvDBQmjGA!mbKuI`{ zH|_eWq_wDjaMpM^T~8qtxS5)vN9D>z78EPUPCVBH*^W?{G!Bp;N=%`E)rA7K)k_%a z3Pp5n=cgDK(O!Z#6)WVK79O{xpz66@uj0ZbxUZjBZSz#)ttmQ?u$^cU8G|eq98T<) zMKqVmVO{_lDh%ODOg*JLfE=Y8)6JS4+BTtnEK&3*=JdX@sB7wHV7hU21^E#ZXm;g9 ziulR!stjD_hT^WdYROHKO-&yZUB^ES4wDk6Z3bv?*p)Cjqp%mt2H;li3jHhylrRsL#8O(ASJ-55?K;+_c57#%XieCSxux_wMO8C zboP=I;s)@_MNfKlMkZl=1OHI9Wybr3qFzj0qfg!3VS#Q>E;MA8!hHVvDfug?`aE~Z zU1DJXB15H` zFaONoN|QrKE*QplU*am@!b&C^Ey- zMv||auI5fR+yYt&atEM?y1az49LJLkNM2;np-}7sG@GnLzkLd4({|d&yz7|}lVjLC zk!)(0K9irZ!*`N3c>!9a$Wy>f$DLV7oL6`&i{acr6i|7hyGP1iu(6 zV4+q}Zth*e+%sx-@5iNP8@uYVH&=a|2}*5>zwbKOrggXQ_)QL(N_lj&Q?fH{8fIl% zV(45yEW+O%IF2rNhiWEgj8|FJUKKlxZc66N&K-j{-v!x*S22(^SDB_)IhbvwJvY3| zI4X6!>d18k+X|i>^otAO*!ly8{q3{4%Nsyd`y-!iqJ1$`&iZ`09};WtoGJoFD8umY zWjbRmuQKNX$ZXZ`^VdQ@u!_4uS?mS`UX>6VkC#R+95(UEFC5~G$&tyqL@JRt>`ENO z4wzg5R9LF}FtK(0e=^kt9F6V3XaaaY(Z^=}{d{dDYAmDBUZfsI#z;|mg%?ui6h#TK zVY;!>uuGEh9z<%Z3RpeoRZ4UZsYZTK=;JmRZSMD9x*|Vu`vt9GjaI{3s#;`6}}Zvdtf4T zCUB2iJv!k^%?SGpnrI)7*@egL{+PYlLcfUEz0yUz5(lr@>Vew$dtV0LS%sihJAVDb z9b1@Lj$fJOM9rACEUIgBnPC6NytmX(PQ*NGrmwXtHK-*T<&=Aed+kqfXzqwCg2@*U z__M2DuHJTc#JSkpJMa%gp1s7BueVtN#A^;&>!aE6=+PSw%O_QLZt-vrUc4!J?%z;b zw`j&+Oz-Hot-H$6=;bRD7F}Bg5|kYnKcg2bPjWQ|`)(((vM&8bQr=q6@xI<(04IKy zLDD@}Am3IkdZJEGkH__e(4+2uAa zPT2MMOS2z$nL@DG_U={~q|G8+pHliAe^0a(b01B;nd^>J=jh#PcCv0)IrTqm*SA<@ zv(hUpS1w38E>BV&{gv8)5SgN8YgnnX@^uUz#TTqz4u1^wX7wEJl3^!4GrDDGN4+|Bfe z8lA>EyXaC!!N;%5&ua5D*WPK-*;NMr&upoGpa^w)5A{XgpcKTnGr_-}HTwNb_-A|a z&yL}rSkS+KO;yYE!w)bZIl+__3l=3v>YA|r=p1t{XoaVvB@}4$oe*xBQUM*!F*(v1~rHd(VD@Qz_q1D)s-@?&_a+r6&Jx5LDGF8gi%# zXrH!PT$c>|Ch;=F4eJOCzz#wfCdr}zQH=e&ow;o|(8Y99Q~u8|`v0Gx0xpF3!*cuW zQJ&pGGcPQ?LH0!Rck7zQZ6-UnmyZAhYbYrSM>}S5fwB-oNANslK2Wz_J3cNcJ^)83 zH>&a^m57M8#T*5KL_5(wo5++?W9vKUjp&|d5b0r6y4LHLfi2(pL9?1S>-m9uzY9yZ zd>zNjT}SqQ&CyFtC9bv;&-B`Td-sHnP^aFCDL#Ac+hR#8qhMBHiOuUi9AZK;K&F~O zxFjq{Ky$G>)cdy?6uHvum`y7DfUu0+${~+@3U5xLeIhWNS`Q z&sLyvL4YU=Wtmvu+y)wnz3c!jcq?Po4nhbv*XjeUPNVbhIi{3h_^k~4=xLtTkMv6# z`mn%ch5~&wBRHMK7&kmXc73b!kw?|c_$ub z659v2Tv|2cfDc=F&T}r6W{kzHwPOgCdmKPPuD(xx-!6#{H)w5AM)5Q7O{ykm>n7kS zc|?wE7La`1c7VgBl{H+Dwb|s9VosxAY(2YrNuv=szVuUfT;@+x6KO^|0C?K!%g-in zi4q`W=lJ=Igz2-|6dk{mOBYho3#>MyeO10F3b|$0%rfT!HJ=LsCQdRmHV2PQiYSA` z%PXhq^$X~1sfRac2{cHhC3Fljj6jH4Q?kz>V4l?9_kkGjXP#7;L&nOSK!w{J!?nm* z%#BdQ_4MTpMTUAqk_B5BB!kia%O9uttWx^cH68&q*l!N*M2D~02??;fjk2k>`a78bEvGcCE9koP#tLRqf-I4JTw0tR-WSyra zzxuu0B{vXtseSkg+nrvK9V`mtnHQo@py1LA(Pr3)-~VNyY;3RvcK;o6=T8LmZ{(r> zcg5&m7-nL5=qo+Eys^@I(2su;QtrWX7|2MH3!0Kd`uWSc{7sMx@~Z2i1mlIm3q@p< zn$Bk}Gcs=BnVEIrzuG*f{ly|w1=zQCooA+PItI6#o_q*g|2)+c+4MQS$N#zg==tOJ z(Q|(I!k){40K|Hsi0M3tN{1Clp5524#DnLy`wj+A4(7fAKHGQf@f-k~bwdN3yT2Pr zhqNnY`^@A$3_d%k2K^3#NDp(viiy@Q)%4W?f0qJMty{8-%i^6_r{~bG{fuGLJ3Z*8 zEe}z9cas712YttjWb(=5*&pbqbHDd!9deL&8bW`4!Hc1<6hu%EzRMVGziZ+1AJ`vG4A z(3&5yA?y}dqyF(fqUPg5sTgqK@!(zkIpqmP776Ee(9&Sx$2UPxEpp||!JV7q%o6${ zwCBL-Z>!SGn6ZFB8IdB(!;i`mq$?yKuBLG{0pr=336i-H-b!bz<91)pkE%IHx zua+)a6hBlUQEn|w^a(2fReIsU%fb)(R4CO5nwYB0Y1o@oY>zXW`pcv7S(>y=wk@Re;&U@0OumpAY(HaSi~@R>U1v8=7nwOdt)CHnwqt=wzt zT`IkzggFBBGfRZc`HXe-k+QDL_O`LY%S&@Wr<_Jozmq5Ein%I=UMo5k!^Nu*!CkAu zhXCae5V%w&KYD{-$CY{fMJs=-F(yR?C7HYA5wvDQ^JsM!Wdg58J;jMoG$7uiZAu;y8n610@p_bkOgBbj94h$v$#IPv<{ z=PMDm=p@2G@55sMn8%AncpP0Rv{9A`i{M9iN=wJX!wMr;9LGQxQiR8?Q4T|FN48sN z5s{#TBMGu5t2E^whSIFP$T)#I0O6wD0^bZ_c}+$~FTM2J2<%8)SAH**6M6D)Io0Kn zu$BcJuh|ZfaeZ%cgZTeCvWzeKd^|XUa}U}NrfxtO>z!AXSd<_mbT!L8Ni&^lq6U=_ zN@}_Ylk88*bwQGdSF+GoGY`etXh+T-9=cq?FQnZTgO-BL>GxHZgmi;{d?=UL|1i>2 zrk#k#a5BxKe4=c`t2%?xZj!&-B09gCCGOK&p!bC#3 z4fsl{tsu{uMoxhuep8DiVI#tV*ab8K2^W~_c&Zb54_QHoipC~9)R^nqu0Ljv!Y{np z^n%<5y|AjXYIYO2R}n-DRV@q|T1j)JC_D_rx_EQusO78x$Z|-F-~esN)d+h~c=knm zSOjaLPJZLa9jbWRkU==M99(Alsk5Ch5AfGJV=;k9xg^a>0xTued`~K*UDduh3(iC? z)^@@?8YO{e6pCY%sf)y*1&|Ks1nKxKOG49S4%ymaTYPB=82GN~GutAIT=hdG@ek=H!QMSTaSpAy>+I@ij-Lk9iGJUVC(S%zvEZKrSxL?Ogg$RJ=7@!Ks3TR7NjmoI*~5h7k^iVj(WxDzb1AjK(lhPXW3upv z#k5vRL^*-JN~sGlF3drgN*0dR7V!O*;CV3DOhfp%w?5XTWdBeNQaknTO%cjg`=w-( z5cPcW;#_gOex`k~TjPmqd`}wAiWg>0LAYm97A=44wrJ+M0~_15KmSZi;GoFQp6Hdc zOwo>yto0+jc@6c(*Cw1<=$uZ^itX1(&KrN6LUV075ml+!aXbmdCCh8&xEHYbO!5AA zK1OTnHJVNecmu>xQ5P!ZccEM0i>NNnO~N?PB52k4eHpnsU%`chkPKDNXJgT_fT?KL zV7>_J4`gY1@No$)g|EyloE5pw*vVyFrnZwP`a+rvYPR8h$`1kvqJ*KGu1LVT?mSu^ zy8}tH95?8%ewv_yiiA1-n6lfCW7%PLczst})dVUH6ML-%sL+InM6AVpUDmR>)NfOU zEyu;VA;|YGxSM(eKAPBPGdzy5)?udPsbWrM@XklVo5U=Ugk*^u3BY7LLS?Fl9} zLLF*NFI)F}=&gD|kK|Q`9+-j*grbdpULE~{Kj|$4sjYQHOMAjP;Du-@yg$a|AcAlJ zwF90Ib8j5^b$yy8&!%V$>js6} zX9UwdKXg_!@*Pa6Q>F*cs|TlqO7eqFLS?dWX|g!OzIh`Y)9QW$S09UX(xK=Sr6d5x zJQYc!6vDU%9FN7fhc=>EE^mq;n-EdCxZtrvCdHbzrlZ4H@&N!%4@WW<=Y1QJU~FLC zm>{s>2R&}E<086ki}4d7dO>1sXFyZd_8QtpN@79vnCKg!_ZWYs-H?RD2+AY54sXlC z%#WI?#e+|SNb7h5Pi~D>k|*TnGKVg(iw%eN56ZOPMvLz!Jokk)KV-FL3!5b#RH^Gs zcccgIwiP&fr|(c)K*T4!EjJ1?8sr&OM((CZtE)$b; zxI&t?ZUSsO?dl+RA_wQI^K$*VO<7jZUzy}lg*{!mD5IwQF71tID+{-#)!A`8Qu--W zDKEr9JZkFNxm}AJCEJpnn#MPAq0m^CgGMJG{8EdHWPFNVUU=%K03$F{#J|!Y`sb-A9R_2re8!df9koa{`d)o=w+fXGfS2= zd+^=Rh6VkNuM1Wt?~L0ND7%HqqPc?h1nbP|B`;$}Wgx(r3QMFhT%Hi?*UuJ<)8Xp4 z*z-IcsiT$?qwOodYr7c2)V!pxO3Kaw$i>pwRVLXR))w6nrcH@{ z@KGn&;uI<{B1w%7Uca=*)oGxg#S|L57=D+s;A*C!?~dyjayqL%aD$V6!O)K?7a# zE-8W;bbaNV(IarIz=VdsA3Gi!gB4>;*`#Jw;%fO@J5_omX*3+h`MYb-*kc~$AKp?4 z{SRRfypL%kbdk&weF@6mLVxPSpmY4rL0?%-m2GOLZbY2trvV?;mxbTZJ<5Bvwe-x$ zSD}z_L6S+KNJq(%lUERC=`7a_N$SwF{2;HC#pxY9?h$M!jW==2`)8D97^0uW31I^A zv6Yk7;?>h}j8~W|BzU!j@ANb6Ftps9^i=8;a(y9Z)oCmNBN6-xEf5BHN4J%R3-W7)Xfzh7syVToBLpX&pV6v} zS9pqQG{MQt#u;4J#F=S1QAn>er#H{#%-NEx?o-xDf~yX5H2yLr`%Bp{TcV9JC;59j zg1%|!7O;zH!`@_Js?Dq(F{2Jp$Y2EQ6?``FHfTUC99Cq_m_>5()@WAz8q5#!V&RUE zm~JAg7~y28I}%7HwFpU+GH#p_lp(@ zqqdhf7A0pNL_l&FzD(l!&7njaHx`4kp4Cx)7{N);B~fBl#R}XoqrMSUvIxN}!-az1 z#B>{c(G;gx!IwRY&f-h-eqpLGoxvT@S=S&dkOoCR4rQb`WP_baG42jAJK>HnJBc+= z7ljlevKfC1%vWR`6aFkdGTJ7UUlu}SiDel+DmY@1MN|*v6A0jozoo=5jxv%SF%zHQ zfD{=yeij^|(Bqyh7GVb#-b}nD?Lh%*SRW@q)|+hmWoImWTm7qi#Jk;6Y)IKKqXwtg zG73!i>+dje0`?Y@B%mjeF%}%=Nmfts)Pl##nn^Nl1c`^zG;-aV)C)Yz60ZH^Uf1D3 zjWqvT94$3>z3aQ*)S(f>1rmPQP{FxuL#t0PSxpn(dR?-ry;ZE?Af4#vOzzVt&+wh9 zbm%+?Kb_kr4WNq1C;@Q_vYo&~H|S7;-ZI2C9cqp;ou9s`?Wph+Og-CRd#v3Qh8Z^o z7J8_#;C)?7{0Rjz!}40Ad}lXMlZ2VO!&||?dD!f9ylx+`{a2u4j(kT5m7eY%vmksG zv$GV%=!vNFnzWq(uoN?Aownk$^d#~_QDj|o5hH_`SnEdQwRH}Kvj_ZZcIT%*CMxEV z%>T#QI|f-6ZQHtO+qP}nwplA}+qP}nwr$%sD=SfzS(!KYIrqME_I*Fz-uK-fGgid< zGb3h#a3@bR;m6{sL3nBgMKASS7tk2F;>S*^djmekp(JoLjeT0-dLhaoQbx zh9+*T2Mfj9*kYLrJA5Zc`Psa#bO$%ajn1e-{+2;Ntw}|{>_AqypC{qj)BCqfzDc55 zsrngRj$3z#>vv8M1DtKK>m70lF5)7`{%bJ(Yq~Yv*Pjm33tjB!6ykkJqK!`=`a#kD zqGIUv-$v!Ec+csJ>>M(%!aQOx2H4@%EW2|Lz-@>{m5FW>RbuZ}7oG74PCuL*+G9v_ z{#2AZm8CUFEF!qAGlid2j!TdA@O1=eUW?62E*R%Yp)qgaG%ojSx)qv4$D}NthXAq! z2&Km^vj=U+i}8mBNPnp^#d-VG9~$Mxym)R$$`Gid6eiuKzep#oC+^U)pT7hyMqk`L zBS#GLbht}?4gk5D^4&rm{cnQse8Pdc;%~ZiMAQ2~`a5=Oufq;=A2*KleqyKCs(N+y{RnHvUjbinaD zYB!z4{Aavj`+>zd^NlyWzVU|I|DO-je?6vu6K(vbIJ`{1>$XYgl!P;OV%9B@A%gRy zNNA9a_{Y$who^%jr756$`-Wj6{{ivM=p+==P!22x$7gwj(3G#4Ua2S&t2=up)A=R) zUe9dqCO$tu4hYUzLKwep%;G{rDV~7&T74nhk6AA{Q7It=V>BS>8cU6clsxT%CCp?e z!7-WGid%d5Kj;SCFw*0?e7$=FF@L@*%pcjlG*_pd3!W}zI-MLF@ZmW}%~zjs_Mdw0 ze7hVRo^dB4(sSH)gAd&z%V5H2Ct89kYSqtp0&EjIsZLHZ;|tt6=bYhf}hm%vvfe#F8^yDE+p5vBu;H?hnb!& zQ4I2f%yrcrPi3e%5+a6-Q={j8XZu!&2*eXYHy$A;6u!h@2+jf=ZG+8yv6tac&z*Ig z4xjW6N`u%nm=!gRMX}1h^23g%oc%Z1o3afYI4VcczIEYSak6EJPrtQjCNJrE%_D_q3nK_l`^XOr$ALOLVj5ShbjJoD zymOhiY3j0=tFAb^9e~ukf+obruoYrA2&G+NeQ_OGJ050X2td zYe`sF=C#E8z8Ck;9{qG16UA76e&6%h>v!6MBA)*R0LXg82t4_`tKvZPtsx|yO38tfaIg5_+j%Y|p0;A7*T*L!{{HyTkAUFdP?%uMG-v!N zBxD#yVGJ1>aWW&tF-0-{@flIpLu||#Mq!Y0>QNdm>5&>ce)_E?W`5$WCT5;_3l;9Y zCxmh0JBH7UZ!+CKGQ3>tit*cYy>Cjce{6Ui-6uHoivsqG9$fap7W_L&(({?0An)w( zoyBipMDS!b{!Lxb>?mLAtuiK`8tPaYS}^?q78t4`(PeAGwd9x2-UMvQ{l-$}%>8EC zdN>?#=?^`13qpBHl@`m}ICBY|dbaOGn~=m=Q=MlU4%3Crh&%al-phG%iEhSEg>;58XlHQA7Mqzw(CmeVNo|yZL9Y#oX#z+dNE_Sa z%M<1IJd%{LokfU0O?Kp8#lbGLWs_`xm){2O!55R%9B~fwJd|gAKnHyyn>*26{zE`2 z>|D>DpFSCT0qzF7r21omN(<6tf2>TUDg`_Am%LYvBa__ug~Ayum}U(nOVohQP)7cb znEh0Sld+6%2XjYKU8y8g={Is|l=WqNiuE~@IX3;I`d2m%eUZFlkXl;xG)8kQUu}N7 z3@MW>nezol;IXYS0RTXqM|WNPqCik}LG@0WJGUXt>lv9O;c{%`MTA13%+?0Sf^t>= zJDdsbdSabxVNOHS7KinK>?5in>WGog|1^Vw8VkD2;IgR!UcwJ=UlfI=#cfnyEc?X- zAU5wtAsOS6mufkQ(`aB0+#UN%3E;66DPU0o)taUxyV*bHr%Kklof3tW9BNa3F;OBR zxs)x<8AdH;ToMvaHy3Z#oT*2=Z>h9_1HGMHHIbkjnbe-^C5*XnVFGFGdQ}k?$8qgl z&I{5VFgooN=%kAieNr=aej8LKJpDAPx5n?!kcMcJ#|VgA$QhjK-$syky1KnFO@x}4 z@!5#D-;~i{p_6qn591Qf*yG`0u{fzY?prJ*Cbg&Kv+a7cBwtdRtjHIizg$CTt30VL zgC|Wyq(tE+weM1bzDsxbbj1rGyIE|uxddSN(-cG7WYzEG(VuFJmY2pb2RfhOJ1`_B zCoxb}R-l?t*vFL5s1zFkrOUjvM(rQ8(~xusjgDSmSQvV6XgY%0p(V%(wI~kVt9=k~ zDk~{~n{cHsk8{yzC*Z1oCrhS0P@?;f8B+1nZ`fvD8>)YYNoq0&r9{yoViUYPI@eEp?L8(D=VrZ?JJ5VGe<;^}23LKbL7 z7->p((@*{pp0o{jID=H3Pps>dk1XA7xo4eP>~!7XTQdNl+KzlC2{a|&!&TUJooo8A ze$YYx8vO!GQ@o^2lED`BqRGL8>aL^lNH8Hdj zot$9SBY`fAVmVZH#IMr~)qEp6EJr9L>%MeyGm(HuwrpP6xUok{qA@8ULskkUnNlwl z(G(A@P!mU|(vNmS-)pDt1CUNz{^b5P8g2jn_Rj z5j^FkvTmE^h=4yaLz%faI6e8qY?O{OTlSn7g@5Da zsAyd4 zb`f}pAzOB9mKHEHn6Q~v>AG2XDwz=Sb78ViU+Wq(2i%r|TdvsaF;_jMkA4UB8oY1( z@?m6ZOE|L+^feam+X&!77jOZADu}^l(*buR?jl|gy=M8fB%sr>FY+F3Hqef7`);vs z*s>o+u2(t3{_Mxbc<3bU411{LFLi9%!V6CD@WqP}nx#uYBoeOtLc~WrAN)XYj~aN@l!haVN_%m_2IQ8M)W5^acz0&y@A$O(kA#WMMd#;rJ5)-KagT9UtB=2 zsC+`GXsKF|T(dihj19=?ZeE*JVDXff{lqb0c-J20PYW2v590WE^U3B)VO?J!d|^XT z_PniD4PHN91y>H!_F|3;SGRCw$4i>~It%2L{(iZe*NEVGJW>IdRbV}gU*Dk(K|Mq% zRz^a!=~_+$Nw8^A0~p9pt;jpH2wa+Uh+eoFyD?j5(rRNO@IBc=t6VMk6no2sr6rGM zlDyDZCERNVlP8ol!mB0Aao!PALtFBKjDukpoG~S}k1|=<{WH$-v)4ble|RS}0b1Ki zK?)t!l1GLSrXr$QOlKTd7VMRW2Bp(~JOTBL+OROlw9<#hE8{t=fin^#+!&|23?Id^ zt+cH&x^C(nc4?%}j;X4eUk*p({p4^!Zv0VT(wPvfG*A)Skl|*^HXX9S7nh8%`SEHr z<6FD#bxWoY7diqK6zBNT+0?~iL5l}m&j7rFcR>3fgWItaSJG9f`6rHsS<#p6OdrS9 z&PyG-H1Q7Kt$vK1qhsJIBo&V zVCA-p==t6)V2nygT4T`|(n9g?F2xiNYo}Jfmmk&NI?K!v>PDqmmU?@Ta)QC1EH@2t za@-mTc%{U&&_}Q7K|L8nThToX7eoYti?U`-G$6TS1xp}&-4^AniE2Nq#;^;5E3BYb z6$>t*L}D&0Jil%(T!N2Wod*mACDr-?2phQP0lm=nZSsAAAJT=Lt-qPo_JT%}E#flg zi(CjZ2C(BjwrVD>T%DTN=VtrPB$Ye&2+0nridjm(|Eryu?D`v{vpG2dXWI)ZPYhyN z`QDIqenV4+2nL#0(87l0@y4|F&{A#NZ*yj4W>U6PSJ1A(V6;4CqjB%V{pe(8CRvv8 z4CcF>k!#CLs>Lj7&C9tgDm=-2#vkVm+|uP{-!NBsEXKK4#O5DI8wa*01j8Qe(Gu!muu5{=){Wp6fO@-GsJTW2Xb6 zr$Wg^%=sGFvA@~<*(fa07c=N2jk63@EmNSXCUv-DfkCXJ$`-WliiKA}*fcyWD^@a! zWU8a~;#cQ%=fl;*yQnq_C(WuGGe`Q9aF(k-fUV$pAE`$EdkXCT9?{D5@6`AX0B2)> z&A-t=j#?D3c>F$11mgUg%C7%;C#CcCYslB$O*07}Jjd_()zj>J*89u*=`269oYK(81#Up4apv3FI-T%-cvoO% zlz#{BRBrMx3Mz z-YRp=aYnw7L zE_{=FeHY^-e5Hr7eq!7ooo_6%eM4o2rn~iyPp)}y5S;rbXSzE++1d9OZ*_6yo8zoF zedps&KKa>%4o{-+fyq`S)|tp6)9)*riBDDtv0ooI)nz+v*j|amwxXQ2#vmQ z0Fx)SxIwq{!X>57pB(v`$&Oh~eh(yjRGqSH*3O>%IsUyGB79(#sGrrl4Q`n4d!7aKk4oyx9U#n#pw zD?Ek&7_`zr0Y^)&HYGRy4(%avd=!`aXnjmy>CshR%9<}*_HGfIs!^#@@hFDx#+F^M zHu_5T4*5s_6oGX&eP8)k65&jiIG1+`Wi0|sXtTt1LCJpJL3y&lYNd1&RY4j zObf;I5y5Gm%@_%e+{s>rt`a4h#IKT8o=jG6s`C-3-N;!KsIKXByelakPcuZz?(M5q zPAv%;fc!bSIm+aoi6USAOo`^WryU8*G?ZzVx`M0Mv59P2?H%Y5={YX znH;krtt^QXnHcbYTjGuiDDww9zk?18Xu^4Obt{!wubp*zj4}eJ`na;{Kz>%8bU&s!W|+-ldlMSfRX=^=bW|c zZ82H)tIoyDeTyGFYXSZc)sIBOoevNpBNDhoirAf$j$28#+>71UbyIscJ?dR~J$u3m zQ8$bL>fLc^zOKGe9%&g?K@kZRffE@0Iles}yN)ExyoSF6TWAhC^XKM1ZNzbp)Z{pC)BU_A&LH>pD@x z`M}eYm+YEFeCDiF?#w69I3N^<5#O5=CSd30m^=>DxYeuh~IqBmQKIGwoEc#Q}#2d=GRxPLVQQ z*#re{%{-DUE2uAT-Gm8MQ~QCNycirZx_p)e#|X-z(T@ZYaTwl6B;0kq&P62iAfIYk z4@9`el*tv2R`Lza@Y5SXL*h|Z+J>VQA&P9;YE3&mYKOX9xue#$ zJRAjG7W{emv@ujF0b|@Q_a*P|lhg_$OL>772Ya+aw|g{JU&r>chCtq3;o?$y@2dix zRaV)Lh9uMMi|IO$HWR1F*~gUtOG7tu(b#%lZF;rjyx!k0(+Z3=J}6VE;DM7YI+_B_ z)YY59guK>O3E_4(K&K{@wZK(1QC!W^{R9}nvo*g( z)DT|Wb2#6D4vi+#Q|IM#+l{&g33TddG2EWE*$hUGYH_nG@+CH0&$+7Yt2S=u&1L(V z*||0`W~SphSZa4W<0ux&B&AP-H#w-fpC{#1$#jn-)}yDgs9dFV?Txv03()c>2CEf6 ztNz9@56+WIiy<-XP!5B5kw?n-^`@g|N2W^MEtPUdRT4L2_u6u8#sjdGcIvI)T&;^- zzBu1cMcRNtN7s{BWW0u{%QsOZPr0dVO5#V?Twx^o3npjfZMh=mg!l}fXpEGItcDj# zdBhD9ip!3|4`W|)N!1cQ=pU5tH_jrK_UmLA(-X{K9_m*(UMiUz4-G4HSCCh~S|xtq zeCVb+fdqY^bZWS=ETDpb_F{uch4KJD@GGJ?S$J)+GMK~>q)ovED>d*68H-_yJ+z>z z(wt4PU<;m7)4^3BbeP(j0?QUl9Urco9#-wXyWtMV$91uBI75O((LraIsVZLVI%P!L zj!lC&3o=)I7Zpy4j2%*m-Vse_56KP|LN>Gt?G8bK5#6h_ftRaTtwQ>+>qmuJh3ui{ zU}l?AogwVT%TsVOg_JFjC;}gOWtq%8v`jO|LQm zS40AG)^4Ct$9xdm8nVv-IRwfVc-_(^TYWcnGX#Sm?tphk)y>@ueEdOdh6UUQ30-MD z`EZ%iK4&o4^;n}tjmeKO0G&YC#2;m`b^_65r_vYUChs`_}$sjL025Mzvf zuU%{~>ox3LM_Llo^SD8AN{DVXWe_ZZs=p2>=6(@%4ebf7GHKkbgH0nEmDTFbcGVn| zW(tYUm`4w*>^L9=CMaHZk)9QA7CxGb_6ZFGR**CT8iw`~;=72|7Srv55o7O-1q?63 zc znDu^j%&u`R_ls;=lDq$UpL4_^Er)qd?82Fk)~|hb4QJ-p>5oAzSQBj7XBA-k^b!01 zq9jez=IRzkP&{bt0E*RJ2Bx*^J2HMd5<3^I`l0tgio1sdSzZ_DUNQT28o5_dj&%Af zC`2g+BmNSZ5wF7;l;BrXoN#ZKqluDY9~5=WH)P`}K9W|cKWsrLLNzqv7#c;Na|maN zL@bs?I0U-LEQ8n&oQ!&6!p9>)SeZfdZZjhQPyCF8fs(pem%vBK#OoxzSuSc2wLd4v zR>HGIY%i?s`g78dWkPC#b{IxRnOP}&`w8EU)mIyZnkC&)A%8|Iu>J6MZc>5w-oX7q zrBC%_nJM2s3(&)|!5rfXsl*-i?6Pmi#S%4L(f=X!5EXPK(&ue;*6YA{b)pG+C+dKf zThiW0Dzn`{En}8#*)iJdu@~r`=6THK{zpI5yV7OrTA}})++gh$E$;)BCJdAj@Uj=A zy&xUNsUkaCW$k?~O%o~9m^9!I{Ll2F`6qMfKk(_F@9W>97yo?T_%AB>ufS9Jf520; z|0xc0I3REZ9Z@_A_z^1kM@?s`M22J|78H~Tjbs37qlv!X{eP*$uu3Pu%5aNYo?|Ot z@#flOm@%|ywkL7(Q))ZhHB|PkbH<|IZJ}_R_Hf z``d0~`x~BW{2v_u{^z0WzaG+m{_$@q!({(c8D@SVgk6vl1Qis3HI0Uiw9iM+SbbU; z0ilU-3lEoK$;uja7a6JR-o*?U9*d1kDTs75KU&HM92p|bm#V6m7+2VOo#om0J$0Su zZQu6``T{S6O9HPHRtJS(2w^EVUSS*=0r4-O|AHteP>hfTxvU>C)vFv)*F!z(3c>|e zJ1#>44PvJnNeBXf7sD9AonFc&eqv>^QqhL74(E5nsgztZ4{8V#$L+gN*v=thz#=G8 zW0?a59km2OqbFw=L4EGh z4EEHLFpS{us3#~f$6|vw)65mgi}RjgNhoCGXP%*^S@$bBBC68d!7?+7@DG3t>rJYk z12^h9L`*3;!%b@DaMYOkx>=@D>VvOr7y85IBA)(S5pE%=M;E`=x|}t}qF;qx?VH)f zn!U(kwLV4W8E30-B4dbaK5^jLIu%2)EeytuazU538*&-h(I6C`7;x#dRq_Z6BZY88 zq%2-=Od;0$fqBdCSdDF_O(e*yWR`;B6 zfLbiHUZN-miUb!$OuVZIH4E3MBW+DOD>>hQu^Xtk9a{3+jmX*t(v*-$KyD^vd~Jep z7t&%?K;)%YG}mKkK0Vk_!B#l2omlpszuV2l!>yip8Ph|0r^$X}K|oad*NvO9LSxY9 zpc&$ZNNoZ8xl-fg#>?kCAHjwwxhP2&Wa=S&QA>rW`2V5&d(7YpW7|B-hFzos|^P3MKJ#;_6xB&}c3DXlsNZH;$u5 z(UG(fKkG&P29X(9vTP_pMSn@CG}V4DCXpGhouQ2WqyNqQ9;~cHa^l;2`;Gz#NaFv( zi~28+Sg!B($!Y4nHtDPs!FCi1IaQlXAW$3GxI8FC&PL=s(L2}vwEvbGc3 zF`0raW0KwSyImn$Nf=5U2rvn6hKQoq?ND3yw)N)T_T6f4m%ID6uRQ{OT22<0fBu*M z=U4An?`!}2I;a2ZuV$bIU~_&45Wl0q{Gs_BqJeqwx6tsj{D+6{CWK0mq3419fxY+} zFQUi6z2_4l--Gp=B;p6^M>EvdQ6R+Iy3QN?2QK2*+_3+{oXAmsm}z(u)x6+GCf&2n2L5 zfd%_It;fS-L(+WB5u@N_Z1r#J*obtG10IS$)^H1$b*T-B=M;!8#tH3)E?rS5Y`*H%I^xm!ae_4?Evl7nHWgBX$(KgZEF3q4lT^MX#0c)(II&hJF}(S5}2DL2Uywe)Ns49#p6MPVU&$=mgi*@$T#ck2u?4e zNzRM03P}5*Y|F@CKDfs{q z!nep1WUQ%_B)46F(MvjkZLc)J3SX2uk9@mZpyvwQRgWE^n|8TJhBxbMmjK2%ma{-N zcS4Gq<)z8*N~*GPp{lcVtE{q>rCLig@?!y7ZcL?@VCm3%=~I=CN1XE0la))SQ)i)+ zTDk--EEWHrY*{)Hiu59f$#Dug!@_w$r za;cD&E$lY8gO&Wr(rNO_ea)Zt2r$+p&+XL^(v#105l__EIlezW!KmKNt((bnV~8j! z{I%|;%c`hlD`-kB01!zQ8(`GYg}PqAg48J+Dk1>977|_lK5krH{+czXdIzCL5~ec* zDZDAGPc*g~JD1bAsxBE`9^h_eHH6YOr?X|WhJ&$!fIy21R=X;r`AOq9BcnaZDSe4L z_011OW-ZF_wNjO@vmhLlX33sG+mf{`#l%Q%7$#|UWnaJjbY0m8%h%DRY-o-vZ#0*2 zqW4tT;os=Ai!vR9aUvB6Og0PCXHz>9`NU=&Ni5?u9Dl2Ct(=%}lw14Kl;W`iLM1`D zrx181WtaMc4oY)(Z!|{fUnHI~E@N9Uj8VO8pQaJOhduR=HIR}??^x_vGvv!^PWbuQ z8x3bOw;L->R95KbQ|-W^ZT3-b;GD0V^ci$aE8I=+9@_m(3w!Ue{eU^?gifxh*_J zTvPe5_+d&hymaPBND~jv9!S8olWYha<3KbEAN&)PH3rCfHZDn-Ne_IV=%PwY%AKA< z=9vSaF=7bxp&$a%RPHz=0vo$o3S!?W@o005c*{d-Bqs*vibY|tm<);_?GcKPYFPB4 z0q%9+djbRnDDUKuu2}Av8GAp({>-?2`VGUN4?SM_k4!@P#2ZH+JwfW@EfeE0FP4g7 zo}FnAX#BKCkiwAV8{CkqY-^sI!w;HVh82bop->H5vNgO%f67nfp;Phj$g*WC73uGW zgPJCb7j$Jct&})xc&ZBxpxrU;dg6_Nk9yd+CPA^;Z*SHxK6&ne|IGmV>_EBcMFjIU zQ>OHifu#>|pYa&-A-JR%0b3BvefkYRAP_`Yx@Of$&~7I@Kgsclbs83Wq~yzSl@4bZ zstVPMsVg{DB_ukkaBHEVxnx#qqAZ}0D`^?|nu4)Z1_PRs;)b2~qt`$uP}N}9)B|RY zxm;1nsBWNE=fHGgm^);QW+&QLjC|!rZYHki-e{%0!5}G>roE)lhJ-y&1=XiqQKhsg zl^h*5;>Qb4x_ZP0Yi+d-+b~X3oieU0zG^WxXh1_-6$^d82SFdjajplZh;!;dgt;>w zLa5$S{2Yt5FQFd>z}3_tCf?a*C*EAlM`#T4 zkvJ|f+|(xo(KjwVerVT4-LFCEq$d55*+*}z`3(%+_AgawJ>_9H*m*nRt&+<_aS#Cy ziw~Lr#j&b~!WiF2z);VGkNFr)k(H5&$}F~kZW2;ZXaeUS!!6u772tM-1n4-5mf&Lv zToSQxNC}IrwqoyqvcN=TolbUkfmBsg>Zaqd=m(^bJk#=Ec&OazEBJIeubG_Nb$U)S z*^z%kB_h~wLS)17!SAK`l>;l2ksa@;n_t;bklz| zG~mGAnLgLZ_iD`FkKF}IhZDUb$0)q>!)l}{e*$#j-U7?BmdQ5%42u527z^Tw1A!+G$wzD z^RC9xRqMWbSd=$((THntvy5f%3eeB;g`Mdwo03Wy4@c`EKxUg?jAa92+{qu6Nwg+KjDwZ9oSRE2c_-CO=zgNvj1*@@%CUT)p;N*L`Li9A9sa z>cb^L#OfZ<(Alc6c95LSJ;oXPyF$`EINf&n55QnrP zlyZk_Jsn!Zo`_)b%lRd(Zyb-&hRP4@u2-y%V%WSz6a$ATPX}#}7o6vZln02jGrd^fp@lbcsCVH^U$#aM zxgW`a8>EheRx@$c5pe5B`S{x{5V3cVUSOMEz?YWHLjngTACgccaS)Cz{O{aTLlZ>LG~$1gDv)>Zc!766BY5^dGn#Ss3!Gj$piD(We08=Hg{QB02q{sfK$5@Zv$6 zwnh4f$}yY`e1xC06k2DkC8kGpha)uXDJDwI`GN1jOLy0Y%DH2t*qexujh;hV2iO#% zluJS5@;wjuE2meu`2pMJxWE!Ic*z)FjcGFSv;^c0LWF#ctVUzyECu9(!C^=?#wlMe zaQl8{IFaI@ucwFlz->1hVtv_V6Q3S|Lo)wQ2k`-Z#OsL~&9lR*fNp9;P1MqW?GqfB z2u}-y4#NEKH0EK_OOiWnC_(d!SAjp6Qq%OmzO*~`n~eEqZ-&_8BmVPqAF#JN|vq_9VhK}pBJv=Yu z_@G1Xg4CE5t)_nW5`Aeqmz&Z=sWmSFB->RFm(1)?$ru_tcuc+&NisOJ&kq)3%-Dz4 zWxKQlMs88XqbvP^9+iHA5BaGRR~)dOdO-%z#nTsFKD?Yd{4rP~qAD~oJSKMT2nqe` zm?F7kp#M#ajIq6?jYn)O4z4K}E)*YGG9;M9sQSW2IK(Jua+rP3D+-`HLy0l?n0CO| z2^Cilc23RJjy2cX#`jy?K_m5GMq|~+yVC3&hDw)y*1*_e?OG>D`&w@|yz4#{~^INTl?#%I%Z>VtDuYpj`Ix`AO`19w@Zw||L#UQkU(%@3My zc%fi{>fPp0jE*rgX=fDuhUZgRz&W_G&1ZuRdq`)~D(!>aXLJZAO&-%6bS3|qF$@(% z023s#``e0m@@uRj8>qkNW{FqIv)i7||C3lx&3ywq6j?{)gB$kzv13)!#AjsR>R-p!YTKAO3LuMuQ3}4IP$! z{2$^L9n~c^J&QEBfGK=YwDEKo@t7=yDbkSS{^`;c5_3f+MjzXz<8N+y)tEgu{^j2D$&W z9D0R~u|L_zyp&7(X+l78yZxmdXoO0%Pa#ybErDJze^X-c+L1mZ0y|Fp2l_D3zSgF5FK9nC zrwTLbaI!bY!PYjcUX%fedXp8%{cR)TAbTE;vtRg;v7J8l@v)J8qK(XxuW=h0>!;np z5{vG9FJySl_b&`Mq9%pzEY$TT34G9cW{Lxt`gRw=s2=2pqZWM-5C8Y(J)G?Sb;002 zCQHQSf%(P0bs2)-KtRg>R>$H$@1*n}Kce=ww)S>nmQJR|F7{5IQlTBSd67Kt zw4{VK)(-?;QYhcpMq>+%{JVM)PaYpmFtj5$ZL$_imdDi>tL7E}WFYwMd)8TRm+ne$KS&rs-X^yz)|=c7wnX zWZ8)>zx|mRB9(kZZu1MBSaKkh!B_GB-Ct3Gc4ZVtLv;nBrFA7Y2|tAX!s12~=~oG?fqF;Q}STUp~>eYTltJ3bR1Sg40V&Ch0b0%QJrT0gRzWjJO@^OxSJ_E zen2;1NSn(kX5fgqw-M)8mPy(q`H%b$M`{o+xeGNar(cL8#Cc?7e@vip)-Bs(p@!JT zX;*tUG^Gsr5FPpQ9d1a(Xn*uNg(f6bDk6Q}WOFoJX1_qA6nV;K@Tij)qbbs?(u$e! znSwLop4ir&n10Lf8QITo-+DsEr{xK7faWEc#Ml|86xe&K`OjDPxx2jP z&)axI1CRl650p?cH7@|xnnklh_O|jH)SVarl%tsIBLhJ&a;V%P9YL@-RwSF=s(PtR z0#_v4+r=o`?{9ue=T09MEk;wOm>Bu1GZ3S>bAwK_!v=n{yeeDvjw2$2)coyLnrVu`aV( zBhqrqJa&D@nm}75^C!eJVJ(eLWJX%yvE``6LSJJ-BYSU!HgVR{fWB1R2)=|nT60IS z#dgUVo#8_)X~!MMEZO-{)SgsJAdE~ALma||HrJ(9Vpj5N`lst&JAF>#4?G4b2fQ&d zslf;^ODyC<24PS(WEbeHhfpx{LwIO7Ch-Qcjo^0_xga`W3VPQ*E)(y2hU}8J*x8GD-#Z`7+lt<@}UBLRCYcYRTBF0M*-CjGGhTFNI0$p7ZYTuzi?8d?UvvAfv_JtfNUpo@kF`- zzXN`oADrC4UL>kA2Ba@D3V9&>NLC!QEF&1m8x|n@0{ali767e>lzaV{C}Z~Abioi2 z`T|j0u$18nSTHg=TAxtCkQ9kil*1F@I;T5fT7c8#iWFW7wfqe<6iFL!ioeX;!J(evI|d~=4Qjt<2) z0kHb5Q)#q zZqzeOvmE7Mv%G^9bjT!{!#%1#={)9TTad@Dn?0$sgzePUd-!Bo4}d_+#(0{n>R=gf z&(XVnF5U74e)=X4@-Vi?1Ll*{Z1WC zKt4&;n}YHvoW?hS6dd6+GOvYT1|={y3lw%>z5`?SATSmV47WG06}Wnb$oc{P&zn6o zwcv5#ckR*%^>0dV|7o-Tf2x*$b-!2FRb3WB`)mUP0RVSGpB5d(wpAbtD+>$hB=GQY z1s@076YpM%i7ZLC!PtL-=YOCIT*Ro2L;42@PCeRWYuJ(IZkRPuWC~--&tj@KF*8dh7gVN?bLXI zsr$jyZsR}iL+NJIPb3p)uTz>td$F5$iZ1pet@JpH5f>H%8)E3E!XR`A{ojKhs#+mN z9)1Y&Qa`GxMa0qCl zfBNWUmL7YR#`%U5Y&|z=tQlm+l@GU7F4y7s;mzqy@_pevg>n|o_Vxcx7E?^u5@@ZrlgrK z+q~?|@zt_s*YZffkrpPH-`fb-Wh%5((WEH&Yp=#`F|RAlWXX$)@@_uP%#56HHq5w_AuOgzTZJzq>68V%Fe(X_t2vRDq6H0fFPi27E9sR1{KNtW9uAzmn_4MR*r?K;Np4xSkUB zQR~GXku6Fn3Mul>$${@uf*$@iB>9huXr>!9>HfP+5&EuIwEvF_{C|uJs9JiNirJew zE7-fp16+(P#665n9b7E!?f$=Oq*%png<&D29Cm3s5fG@zu(&u$$D$&j#Sx-FpzmZj z!JAZWhr60?STVH^6m%4D!U0L(YqS-)#%A7X{aGJhe;*L8fh{CitWvCUE%F-37YR=A z_a8aE{PR#Q!dQwq@XFg-7iMFB2?wk7P$9<0vOGjKb~=3)6m{6Q)@b7QHvU+&6aAv7 zJ3>xlCOaw3t}^eV6}ReD?Xtziu&uHkH3+@vsnhN(FwuqHrK4g!Waebd;YL_zFu2s( z%b%0AE7l^z`@FY)J+)b5^H1N9AX)52)4eF%FHNKtronfOE3J!YBGw_{+t~Jp>;(iG z{_{3PO)UQN6#)pyk?h|cssHaa>Ax{jzlvq)fx7MpQQI=@)woVF1hol_12qH*Lre@E z9%;*fic7_TRFIyqW)@7xt;2m#B3(dCZkr5|#Strw3J2|)RZN_&bd*x&p1tSp#o2rR z-nW#=Uy@4K9@Zvk<TbqYZ&E3@jjFAD+KS~FA>D~emMKLG}7|Ll4A`;-`6Af4fXpJgi1}Am~xreyZ8t{FQ;I#;QqkfX{Bz7;(dX8G!u; z(tw0*T9b~rZ3OJP^ETBm+)jPQVLv<02?x}6T+@!s?euAf1W|V+PEVj0;6PsC5e95K z)1UQ?*@I@t?GYX7Bea&8EL(eW*Fr3L3rHmRjcSG_@olVWQPzJi)yTH?^A{r4Fy+Tu zT}+6IDM@xc152U$Ouba**+^@kzi_ollVv2mTcxHV7A$hIV$GU)QtzV8G_J<(N^ftU z+KHfSOMnWCG^cCUP<2?gBsaJZf8%S4*GEdAESlJt&?c`X_p<$UF}jMmTYZQ4gSUr3 zznQ%mdH_9dPe~u9D@(+u0j)TxXRTp-akavt3}){kSuNd4KLPiWY?BIWjXz@nzPr|e zWH2sLW!KVhtgCvt3lX1{W>YS74WS4~M9clVS364Y0(03Xg1eKkl#ER;-=Q1>3t(lL zS<8|db;Heur?+eDe3Zd4OugF7VEGl3CxbFbMO-nm$kL#xc(M5FN4sUaRSaUzQt$(0G0vLml}g6O8MROPs!eQj)3?hJ`*72}w30d~D9TZ!0}`qiQoO3(bw zI+UamT>KOIZk|lahIbJU-fJXj$#g$@#u&Srm1Uh67P|y3=w*x4j8#ld@3X7~sn*^y z+sY9kLR>6U(Cu9W*9!?V%fMt%6LJM&K{{??K7UDTVrsgg9xHpfYx8?}qK(2yTrAi{QNpuq4}uHRR;ogwteHwwre3Q=}!4aG^Ofm0hJMs0$d-5;@1KH?4`~+$b7XS%P$8&Z{SVUKG02lB-V*GxZQHhO+qSKL*|u%lwq4a_ z+cvwZdiw3e#+%uA6T34TkstC?Mn*=)x%Zym0qSBIS#BJ>^DX5)YmVT6*Qa?TKz8s5 zkPqykL}{3DtT2%5TC*WRLbq9EO3v3|_$^30$ilo75rn{)T>fXu z9&9i4ZNVL<+uVzI4vVeQgsXlj7@8kcgmh`MFV9E@V~~z68`9nG$Zz_Q3z|RX4`M(Q zYrjE-h3R6Y5Ey|GLx@}pkrjqr)4a;vYKy%1pf`X~)4Ih5;Sd)L-;iK$HixY=M{nT= zRI7Hsn3s3#552U5%@=8Wz6$ql?&?HFX6f~ZF;Ce zvB-a**k7dPjx7%CAJ@38P<^71k@zFD!1!azF^?&SV7gF!u=9m9`5J4tOzd??7L75r z_vS_nHLMBomY{L4jW#l=4q-Ov`YvZibRb~)q%6S5#Jsb0=DS#)Dg199HrBA&qI@?yFZsw#`L;bYbkB%EDGl(Ur;YJJl zT}8-#xwnwfXJ&w|Wg@st-P_6yH%E*?H3oA4>s=&dK$?8 z^*q!E8z`M%Bw_fvEdKUR*539af;v$53rY2LS2{*T-aqn{VPz`diibPYh#~H>G;93+ zr9D!0gXH%M?ck%O86O8oW3e-zLM6ty&nmQq(l6cd#Wr2AB_f0~HD1)W`MoivwR0U6 z#)FfFcG?;e?Z%~G2;LlIHAw9S9oEy~`!O#V4^vr1#C zT{xyE@Vi|_hUev<V{P?F?Md9%d_!X~P`EG>;)z~2Z#wcL->cl*Y-~C09RY-+Z zZi1>?ltK;oz;_jA9hxF_H|Hni!+gwXl244|nt0=Lv>?f`o4O6M$=(smmMA@~(J&}5 z%|i^X8@^5d+a0r(cT3nz_$NGsxuoZN^`{?D^l?39Aiuiu_k+LT(fh_M9|3z!Z*XZXeXjW ze=$|X0ujNW=^y|ck4x`Z&4n&7fkI39xH1-na;J#a735Z&SC<^2mtXMF)mDxW5g^mX z@Iqw92MxS6(LjWGAy%S$Nf0a4N^Rt#s9$tO0z4pxz}a$IcKCojwrRlE7TCS{$+A{Uk~z7qVroLG~wi`3s&K5 zEdP=)#gcWS_%9;M-%Jx&X!YthwSK)hE@ac1;|%mUVTSEwa1=sA{b@B|GKEk=Bw z1SE!U4DK5r1f+NZd^Uqb32ZZH}c45SAg6o6p|4gD~$%5ciIT;j7)XpsosUGRY zUb?PWyu`CrHH%%MS7Oc8f51v-NiC}&cT@(m7&y_d>F1EVn9>}m8-0Xab=u< z-T?S5&y;?zmtilJiL06UqDF1(h&2<0|FI2pxmkX09{40z%k`W-xcwx@xchb-I*naV z%hPBu)RKh553NezKBAO`uPih|3`IiZjWfHOf#FymV%Zs5X}H5wRd)H~OBO`pIoR1| z_*>S(doh-y0!QY|i;$qo%DuwWg{R%DT+FA+C_Aaci$tbsW-QMaTZ2tiJ_(R42YUfd>i~j zcQRxp4Z&R-I_~1=bVfddczG^cx!$J95$81zo+1Q{6X&cr3ZTY*L~i zDE>@MOY_KBODD|CF0WK+;wlc+_0N{)D{T!rm4&4RPe8S(8wjdJ5`F^TBgpcmWZ)$%Jza+3#(kJLoj$~o-Q$v}?%hQ7Wy-PwE z3QWl^xmrwJtFeJA%X~JNQQnsmfWFeql49Ih zDt%r7;&a?AQ1f5v@mLLv?g#&LLdT=Ov_gjRfoE(dp0f1}q(v~X=*2v_lH)TOwB*X$ zL{{XH3eouC%Qi`>&Hd5f&$PNHi)~v6GAT7WL~XveZYIJ_f|!A?4{_kp9BNJH(>WiX z(PuEwb863lK4R{DzV~yKP@7;}9h=ZuBu_%`33Mn8X5?GpHk(Etj4RQ}*E-WC_?}IZ z!eW_5c*O*T|JdpHtZjnkPMd4#x}n1|6%LlPZ_oD*Hi2DnV}0v49yQYy=Qv>&f)3ko zc|j&JAmrx-t=Oe?{ZISG`Gf9ti_fsxX^4sfU_%c0g}0pV-uOO-r8nM5hr(Be=ach4 zI8Tp3?+{InvxurOL-bj385t3IRI}N#z@>&(w6}1Z1mbk_NktWwW3|KT5k%+^=B9E)|Bqnzh8Zu zU3mPR4_`LQe^Q6G=tA!m{`1sb4jdyGL^gvx`p+|Q1@-@j9Q=<{x2mV3nG5|t&d|}! z+11L-<^M`!wGIkX_%DV*v1wcO#gd3&cTcEvWQ*6*DDvzbc$M@GK;tZJLIJrmyEO$? z%&XjEz&yLT<@rO4l>HJ>EgX^rVr!jMooLmk6$GNIIohRSD$zH6_M2;m9d@tATaiZ| zbeHDsHgj9w(VVS3PW~=5eFX905KFxI^WsjN*DWTjH_;Epj0Rm#I0Db{H~QJlGXU#N zz1EG(=FAp3L<{kj9V?Q*cLh90vrM!{?{^Pnrydf1W}4`=8U}%OS?@p%B9o||f>J-Q zyd_^;5bjh^K8Eq+PiRd_s%q8Uad9_N!G@v>&}dRo#>Y%N?7!$KI}Vfbk{~se&@Beo zz@DCS?V=Y!ft&44xf(?LLLPyhkO31hpSn|JFoy9-l-&nYAHJO()PG$Oh71IvzjTnD zXRB+ek(mSivB1#9R`dV$Mjdbei=K*L0GUu=&M zcuXx+dBS1g@M2tmtAF}L*ADhs=7?1{%diU<2-FgV0g<#L&vg9sioIe+O^|rX>B3Y! zsdyM(1_8(syd_rsSF0@X=D*qG?SwzrAKE;U9dPQ3ZW;^DvARkT$tTv(?17ifpC)?Tpey^iRQl)F(pRy%u>l3z{~ zc=}GYl=R3Cm3x-oocG{g&*cwwLe7!H{Q;dLg>W-u@Fx2}EVN*gWbokw^vy8WOx^<| zr$`N^X#*ywOpb%FTk>f$znzttMCzxbu(V~Z%_VzlGD#*Jj2_hg;AFs=8Wt$>J~X^U zMUhXGifKW^r|_yIGYr7fofg#NDw>N3PZ5=J*|sj0-hU|H(JIWE#+C8n$G2ddXqldB z6d^tEKvt);4CxddLvE7t6J)<}(WEKvokE4oUH+zpq2}Gd()ttly zH&G3imH{5>_lXsKjy@8APn21yt!&FG%k=yDo9XQu1tYulcNTBzv+dGgUkD~HP$uYHSbf2UN71oi?ey=0-X zs<;F+C%Q_w+@)*Z{6`SSm@ZQca%jr*BGqK3Aa;mvU8T#UC6b! z$ix@=9yiN}gz%#1Sw28N%@uaW6}j3T&Ub14$$?@F*9WGLAoSiqCPt{(O7^LgHL zx0i1Mex5-4#MIcRVJlT@4g4tCYW3t6uV5X2Z(Y9KLZD3_Gi-*l{L+s*ouRn0D7 z+v8_k`;$pS+~Xmp4}~xnda93T=d1v^Mn4rcSOAb@Rb#ynw0SGG5x7As@?mN6KLRk3 zPUv>|ZP{o3_#mgQvP8uPbYa=!kT!%c$wm?9^`1l?k1Z_iL6T&f8NnwB8twKErv~ty zi6K%vlxphCtD-cpt~w zwx+xAlbDaB$vYF%Okf}c2jEm@5Q))?#E;a?i45j<;$^iEMP*B!JywR`N3eSY!=;X2 z;cDtL4YX`n#=ocar|YAF=-&3u|5aD%!-_Ash4$~?6CwtNJr;Uw?%7+01?KU10SFkB zGipl9<9DNa4$se?Vte)jT($MXmK7E;isXvJDm4o7gdbA4dV^a!qmfiL1}i4r9X}woXzNaI?p@R6Fg9LKJp&D8XtAJm{T_dL#u>~ zdHkt|VzGk@(kun%2X3>6-`Gdu+vd9=#+qO0*To*A>DY38GI0}Gv$Hsk%ntOJl6Rv?1kR;Wfdw&CsG13AI*X{TD~G~p*q1vM_Nk%M6+i2BgOxW zF1&?xmMs4Uy%+zI?bZH|XISF@3BmszUH!yEd>OIs2QE1_Ym5>Ug!O2_9cJgi~^Wt}iFE)NkOi+R^pdZSIIabOsUlBYh)X#)bjYxxCnH*CNtTqjcb;2#PfkaKPl=&utVJd=EX`n|YGbSrkg(L% zJr6sS?$L%BUjyh;{OI;%LFjx&zObP2VRKe&6*S@q1BfcG+`@<6V?t(;_wtL9%JJ8U^ls~ zN}_?UOVGj(j?9-Z8wb9B#C(kotaS5hJ4>t~K8Rt$?BTjph0Yygjvfn}nnjY@u z>yA?wRMhfZETgL!!>)02QJ#Nu09~pFP)>ZK)OkiId^zPaa#M%|z8JrbF8XMXF6NZS zmU>HL%e$BO^hQdx6zH|ec-;DoznAidv`~DEqSnU|%*8OPI&yyPzVkx(WkU7^RYUDh z`Is>v>B@pS%my2f1<)+Vhdjefe#g&pU?w!B+S4SyX5;4wt=RsfZNO?gxH^>K#DZ+olaR=!@l z>?jEr1VY-)QrsEC zF(wwh4cL#$!mKhsba>jfcy7u{a^q%ov@ke z29e&YSawpf`EUx${T?q>bZPjQZ@Zm%Hc)X&=Wk&}?AJpS7lfaP4F{Bv(FcYgX+uq9 zIlZQcm&*OwmdI#>O^~d^a&2XuIOT(y%lZyTqU70_z5!6Fie^JlkQg(BY$S>h)1Ku7 zB9_$x#)(A8%uN(B6`>zUUN#B8A!#JtGEC}nT^Y!rF<)daxbWUMsY#W46V&a~KJ6BT1Q%P9!SF;Lvk|8(J_c`m@j#crt6Q z-jOWB&{2v;N|P#)wF_edkiYcD-^Q4o$~P#0xnC)rx?}jkqr3H0XjAd0FAGDyJRxCJ z;;I>-YY&$}x(Z2jt$IuGS9 zBEBKFxGFx}TfqP_5>g8p zEt6erVxNTAvab?IWWm$T4Xk5`qjJO&ql|TFe~1)}d?cDT> zJ=g;W``nC7A3mQLkG&i_bw&-^uIUJ7A~=*p{wZOI=aVSqPd1c>#TdIV$!Wi_*f1Hw zkjQ;f($#I}u`Okx#~`-s^u#QG%|P>M+VW^E(I z1tyRbZz0y*EIJBb-9FT}0+RN#R6 zByPa?y7D*bK@V1gY9nTMD#(3DNPjTJ1atzm?taW0z@BoO?s|2PWM)n$TOD~E z5;p{M-bZ;z<(_4eZPwOEij2!j?bXQ7SK=WD&a1YZ>?>z2ONJ!~urm2B-2*?sf}D3U zH@dtbm3VxfF9pE&D@?R&RH=o}akU=rHG{a_d z@gK*DAnPB*VedF8jm=iQ`X}Ghv|;tM^N&lBN|9`(_H+KKmj=*nhoa{OSfYPHV4W#T z*T*HP-|a`%%e=c;x@`s%rkZ_lAn)#vTJNA*eN`kY_tNcZQco|wl!dhKw}QA zBXKrYebz2u!mRN?;*?Xs`B_!oRP)?9@UUz$$}Fy^L?ko3?T`0w)> zk0fMEw^-m(l%wcK?R$>=LH>axuuQ6+1Iq7>|0lKyjX(y&E^+G4xEzI{;>5i-DjlI_ zWy=ZL$%du)rAo&X#5UpzMmbPY#a|}W6WdHWH^xP(HC(uUqH0iyzThx5)|wJBn!qmV zh(E{Ch+gPMzAvRaz;3nbC@7L2IblPa)WNyDd6-9robEhtdujC)=Eb{bHrjHJ8G@E< z>OxrB#8}TIB`B%%XVHDzYTA@HDWuy72dgJ1KvrOs!Qo8`0UXOE7jJPb!Wse0O7U-0 zZA!kXr-%r@M0qL?+nd@o?tPtSS6jn?+?-TnGW`g7g?$2VTobRhJ~Qi|mCnYHbxFl< zPX8=P*;PUJP3t@9zjC}vXPz;1p?754S_!>Z~1`tp;y*NWp|rBe`qS+@i|X4ZwrEVL2e33`mf7W16rJskRuo zo6D(SwXGV2X`CO~C1#kNNnMWzNr6**H%L+qlfo+nN)^$rI!&8`m2yw>p^) z_yWtxt^6&pT_F9({3Odwd;l|KGCI^GW#gZwyPNd(v?aUH8tq!yNgPj~`hhu4VBPB2 z8}cI;jNs{|Z{o7G3pL8|_{3(MUDqNtREBing0!K9JDm1uP^F ztE%*5K>QxMC#m(FI)smG*>V&1I{Xeh2RwcYc3IOE~T%9YZRZu8LvcshBcF->*%z-UZ-LQ6l zzzK~8Ud=EM>24*inr=BJ`mPvtQF1JIkIo@NXFE5(IX3#P2s+yg_vQUK^4$rNI6p6rCk%_SN>(Qi4F7A-G68 z$r#4jq4P#jLpq$fFS0+!c}~@~LRv`coyIwIT;d+hCspj4EhG-BSz$_0Db1iT_x7q} z_(lk8Z|E;68A9wb&hpX)**4J{(bGNokkX0OOmE5-L*6pZ)GW_Q8GHQAt%l4`T$+^| zBoKvDVQ5MV0gDywQRU*r&8yD zwt<#OJYq%^*60iBf*zeyDbn%!_y&C~2G&TLH>6ytfVQeMA_ALVNQ#v5pp*}FwNf%n zl2r3t&Guhq{kQ8PJ_Y$BR=hx(ymw{|0V0p&_$erSfWYMGx+!mkS#fVOr*k&W=Eqlu z))WI%jSpk`9iQ(MaJGywPMf$~V*;(0zU9A4L?fP!94!2!BIUXao5;}<$~CT23oN(X z-;rPqvB-3ds!_!*;B*EG`*mi@xH!jNVrraLxp~d z<7(K-R)RfiNbK!#M5mRqQJOS`RIXY;HhPvRi}I*OwSdItY_4u$pmPs#spjD9__T02 zE;cird|6|Osl{ZJeB(vfZ!MFH^l~J8Cdx${Q-pacHtm!O?Yl5h7N-UaR+%~aZyK;w zN|BC|7C6buzV)M%avKt=CfUA21SH_~m_!#yMnj8a()~rE?um6hGaMrQkhi3Y7ETg} z-^RiuRQ=X+5623U+`HFFjp{of3;Sj^6l%VRSfgf<+oNBQ8r6KJP%*-(`mk55EF?9V)>5jyc@fvrd@^m!J zr@q4{ao>y|h7##XGnIKqL?V~fHV=>K2I~}sMN6A;9^VBm#tGUj1-2IIk@xByuNEJB zNo*e=(QnNCY7ZuEUMCy1r)PFC?@3dz529{PCFG45FR^7t*$(-gADy$K6}~DJqR-Ht z`tKq3BNn9{IWWyWtP8k#{>AS-s2Y&co;Dy#Fdd~(4T;ZYVk^A9baaeik4^ie z=?80M1ARrW5;`cR;s#>8)1Tzcuk9YccoG!;De^9z!#d{(gp!;cE9ZLo1ug^!;sGOd zNSROuA6!Ig-s)Kc8K?MnB&K}KWAi_%p?!Io!)u7TpH-O3@`XCv45J{2LVf84)VPBk zmU-=$??K@Fq@#QHf;4%q*RXJA{CDYxNKS(3EyiH&?Q>bqt(Dtk!4dCJx(-p}h;te2 zh-0@7rGOl`OIqJAQLUUleNBqIghN9>l%^_NI5!}PK;h{K3;#0(RRBhTonzAv5#Hs^ zQ{81JVZA>~D6 z9qvu`$oGx0vWj*@ti4}*3ii;C)`OktJRtEb<*baa&>=m90hUnzqUCv<9ClDdkM|zNsjQXqCi^7@ND?TjZV7}j#zJm;BpbVYQwR8=WmV~TP z3WQ;HzLmTi)D5WrepZ6^Ql6vF{YBu$xeiGzKZd9?^;`5L4Sd6#1pBH9R@%#vt=2eW zu_4CVSSV?x_A$ptrt12ei9Az@1a9(ezv{bDNTB08bj;RjhUqkkw<36ZKaDU*I zG2cwqIJP(v_$RC&@%B7#@EC5Z9K#&R&0}3=bBgbO*)g-)W;wS^K90s%$#2IzzLovTFF0%F z2NJDg$On-lSF0M9tQH}IFPT7gmpIl{oXc7*YzyoK5>5;%|2_ee^`zaap^q)` zY}QSY@U8_Chvs3Y#i^v;S@;(_67tw57mk?gnB=Kbg|Jw7E7vf6Sr2fI>RtA((uH9? zn5b^x_Nb?QCqG^(gvQ*xywh=(FF+gt!~GGNA8t{2qZ&5GnLPp;?$%>~#>ayf+4aR86}l_x(jc0CI686Yml=^Q++iZx|#AlI978Y+GByf z!g^BG3b!~r-9~2uT6-VJG+xMYw%hQo+1Nk(BM=8AV^9_S8nXsc^I`+M-{3|qQLBH* zX#*VwnVBc6D_#I_Q0gMdho6m(&^3i_?>DNNGKkdg43y7P?>IxtMSTXl5aoCwQQnha zxB+f&!&WW(6xQ>30WLhRB7aVO8ya0sqM$hUIRD6ZcyEaOW&`@ZqEWvMr^~is*oDZ~ zS7riw1?5Cxe8hyUsn=EZM6^y*l^1kopj9ef9IS}J@91kXb-vLg3JEt{1O58ws#+Av zXJDBi-A=y=cgw~}*Xu2DHd+yOA@C`@iw37J-r0T>`kSk`;Kp$C1`2?JA5;@1C_6w@ zf-^*Tc=9@&g?nyI@9-dr{iM%<wNETy@z>j+ z!Eego)gGiidEDxP)OtevdLsS1z?YE|2c&)iqRh^$UD+WZ$ULhbq_pgNJCw}_UrT1A zfFFZ*`gH=*;rC{?=E$@0k`UiX%1D~0(KL% zy?7U7jp{LeLFX?*sb|p1d;ZpDaX67l%!>9q@I`l7LOY}^Z_g4MghQ^S{~if{=r zY=VFzYlqB$tw$TR%DjBacjb6!%5c%6Zp&qZZvzhle0;S;J}>#)uAN-{MZ6)ftdb3k zo7;dQc0@v2dt0Ey0@@;=V%ng?FyaWEZ6#173Zy_nY&^4Hc-(~8BZFY$VB3xus1n8{PO{3QO~{_ZEZ*i#t3CB262 zCXsq)zFr0Wz(65|^2Ut58@gpX5w}=^bfcd|qMhRiDQ(2}Q^iyrdTE?(=m$1$YWvYW zkE;swlk4bU)sx~Z%0sGw0u14+$G%V&e;6qs^YN~_mI+!pF{0KX& zXN&qHi$EE4BD@4&$P`(vb(|fOwlV7YKGIzMdrOLO$`lu-c&EC-33Bp^QX?t3*Y=E( zElvG)7K}Y}oOk%GUXMbqp7GTe-?V%L%|j1Ck9Wk+0SU$kH&;#{;NpE1%yDkkKsQTd zn>p9@QS5gxT^NHV!aMWYkEqsTo7E5xEsuVq@2|9t4?LN(H`@Wffb@NdcZ#nOgYD_! z+oRn-MhIWodta~+2N;~t5SnE0MHqggWsZv zU3v4oJFxnj8K>9~Of({x>dctH@tESk_Ql*38eoQfw6~rH-BJvi^8W0sqs0%b05CcK z=mhjr!wsO^i|TaCH?R$%u_dk7267i97Q)kehbiY?H2V&T!~{PY$Z#A|nOHY?JF#tJ znBCUloXSsFcQ}*0Vxr8ln8Be_i{y{!r!vZ?ils6tr+(8IMX1B9M63*MV}Cs~Ojo5(&y~qe{@{U0KYO34tr{R1 z98GM9S`KP9?~U5t8zp=oDdz;7X29GuK)D*i=f}(zaI^)mG;+ZXF~$s}F=9UzqLMJC zPG3~8=V=exp4xM{9Jt^1Cvd%E;JZM%C@5X?MpMUG=v9#g7L#knob7kY9^u}WlLl&z zO}COtp8vu)w8R}|W`gvxl5!lhw2U{wx(u5hh(EgLt)qc&2U|nB?{pebdf7_l@-4*i z?l|}u-ZxSwFqR1A%;PZv8BQO0O4a6H30Ts@Vez?4$l@D78e5({fxN7hqmZ9~T1`I< zG1Mlw>a2-CojzKKppr?&Vxl~#3d`X=u~5*CPnPyh?@ovfSJF}YE7B>da;mY?pZ%6H zoI_d7X9x`H9!|avqHU~T861jecESzh%_8O1cy$Ri+*mK}UJ#lPTeJVB!j_mVXwV}{ zqc3w_2c>}f=sIkbHK8=I7NSvvXFgnzB8$X|V9F;U8m5B>Btbr`1W7#v4j;&k%F(N& ztiOp#HE)}e!jc2jwFLrdD@>i1!iHUg@ZTf+Ti13H^5Fh3yb-| zFaH}0NJgoUqygQC;E0bjhD+lZ*>4*IM(e@5YmhjfRjxFYpoEU|pEX#qcji?ti^9v7`WxIH?!hFaYho_01LB2aWpn-C8~&>oP% z0aIsGlnP_}6v3s@xl4ciW9@iy`1sN>l$a@j_kIMQ12zHtvjSAdjoz^d#Geagx==mX zPY|sh%X09qxOaDdfMa#P%;bQ9`<^cL)qgb5!4CDO3&g)!>D?XTN51&}4euQyc1XZ| zpJnMup}U8M6Z4nu$iW1N;i0kRteJ!lqWoZgL$)^}xFJ|hY${{eG>qbn4qf1%2P4g3 znFBao@V&<;eLw$S=_V{ShXv+f-3=0seLYn-i4qJ7WG;Yq$^EA6T`3Xf)5nYJD#geYMIXL#?Wf2t6fk zycyf$$HDxyIj9ro&ZA(k{D)S|PpzTmFGXc46weH!!qHCL7gNP;yaZ}N7h6;bA}ljD z#N=LZ6%l6q=?df;_<<7LQrHEFWCNAv^xU@<{L{~z(;GMZT@qu)baMkZ`Jq=w#Rl8h3nXQ69M}90S-svzDSn`x|$KYcT)TD72Uew_Rd%M zpMbk4S|0`1kvB1cZKAOQh<_1tinJr~Bh+7-JyH1){)ZOE5Vz9xb&&`vgHi8_@3pvs zwrgdI=M5~7kB}`!!}mBo?>P2PKv4tvkSnjO=+hC`0t-*&;@;qYMdyQKUtzx^^W0)z zXFl%uLKiIO$%)tG1r1Ijq)N4QOtKF?q!~G)%2u(1Ez4FhMWf{!G4?+W0m>oyPq0VC z{lJgKPIGiG%5s{@Kver)3tiauC)(rCkmUyp!1jr#| ztCNEjy%xO|*bdltWD2n;YP|SDB_1-s5t12Qp-a}V_bTQ_+OlKqgtP_bfRfK}e{nRk z&6wJcf?iQ(3Fc7bBg!4P8VxBfz|JAf8WmXrsfc3Mq5iAQnF!`0COD0Tq(!bZinIh- z`LfSF|5YC$pH;vzP#$p#x~S8btVmyh#!DrC(4!(Kp-F|zEg&bT=(=WueimTx{JWLL zDB!%(hJ|aRX_d)bNTHYhBIx5c@YZ>b8`QfiLVT{V=a2-^m=raI`iC2$`Ujf$X#M^y zP$hiohA!PnpqhQVB%L~%i@c+`QVH_$rl#_9)h~b6xzwVPbAnijcaE}7^nHjbq;j?4 zj*L@PDb^%o6}IQrF80PK`m#h2H=2TE{Sp-c_%q!y8!A(YqcRz`lZPp|<^8Hpxu}WI4$PN?x^LA#mF-ix4$Kx1C~S;FlU}{h`fX<&mq#I z`etf=9AWzVHFDtJqFI=oH^tyh&?5t9;7uRrFoH7@tP`K@>D z!jiD=&YS4_jm_(Z&BY1T)aE=+l6J}!!wGG#-_vEU4VJV9NNfUfZ7RfQh{yd(*@ibi z0fxJlGpHpVg~WQexdBI6Ccmgg@LwE_?KE{mn1Npq+!k zaU%7#mYFh$qOR&FHdME{sCgr8iBcV#(x{I^Yh{EnN?ew02mUt=T}=eygr<1oQIYE@ym6!sFEZ({L5=)`x9EE=tOivD)GwDLrM_eUsloLyJ(rh=Ibs9F?G%m{6%%e7D3`PQArD$ehitB1>3Xg3;u21^pTV@NqYwQ@aL zXSC#sCpuz#PIxM4;lzg;(fM2EAI-Ke{%YPnAgKg@G*au>*8w-xkux%%9>HT2))C#i zxpTd8Iw*ID-=Q)S?s>?sNr^rHVoc=S@y94r6O?Ae7@*1vsSw>i&|CzZhmqPK#tXVN ziu^9h3)?-a{4P!#z9)(nq#20+02wwSJ%bi+lvgp)q4ZO@L?tKL9Jw>)w--WK?FU8}E|U*AHT9kYXGZA3ZYPpucGcH=ywrJFbc0qv2~ z9Wy~>fZ&Y5yPgKvGs~-kU^GsdJF*J@AhYx~ETHu{OuebQCMU?JJLfvEM$pF*v>-kB zxN!1A>bjGCTL|r2!8<9T)?O|QXJLteX5_d}9{kV2w5C{11?;9=PAr)4iJ8T4nLN10qburwU@X-%+6=2n;LW59BM|HL z05;ncyFvl8NlY5Q#WY!tXDx!4>R0~iUaaM`8YmqqyG&=dP&$<*$F_};89JrVHd#5D zMMy_)kE&jtm0gF{H=dh~B(RMnCa$VWqHDKIXTGV1H53aCo@9zPT<2l^BwJcCZ!=Tj zm!%kQ&`0GZMZ)}BF>xuj7OCF&tU$*$I0Q{c4Jd{1$o$Eqpgk7g(hIFDbDQFAO2-bR z`@a+%(ChVI=&z>@@vZNP!Qz3#iKmxXs0z-Ac6G2Vor~PFXkgD9y+Tf9!;LvgcEFYF zbxGiL6VICV6$NcAg%r+aUc?LVxBGkZg1K1sgJyZ_lZ|Wi@GJcR$)oANb*{tu4sGDY z-YSxN7`1(-^UiTH2Ies$2@I>=6ZsYtt|MQEY#ZZ6-u#E{4lUsK`~yc1fAP}74>`}7 z;UE3_1LcId8bNX&!wH=&hUZ=A1;|~mLK%WbzQ;oHkwAt|0CGDPmcq9c*UFI4w^iVe zNhIi2WGAPL=%otrrNU4sF5^s*#g&?M#AO?QqKd&#k?Dzfyw{!@$Ds`JP{PrbwR6I1 z9Uiabt1E+lr*#{`5XI{g9zW1=<-IMS^pj@#3OalTLEC3o28&nLF!~KeEVvUQMG*;^ zOUu1TMJ&A|2K0hTp;*Wn=@pr`tn*yPZ_J1mX?#gDrYyje_!%8-8IU1f9;M})MOnTy z%F8W6TM}qY28XQh+psY$ECP;Il~-bT1Ra}Rzqok>hgG>pj_i;Go6LQI>kx-q!$-kn zWS&(Wx76vl=!sJBs1hty86s;S@>5j$cj$8XlqI2_>~KYd_=0IyK-qnMr7M=6FpCxT zj_}fjeOJou83)1=Y*iVJC7YfUn=6`KS@nflS6JHutyg|sv4SPLub7J^gPsC}W!+;B z=dVplkHp6wSH=_1i-HWZ(GA^h`Li+4=g5XOG@T`gO$Z#uVEZ-xbug9-avp@fSo$^m z6_B?@)VP3@GvACeE(!156W*u6%_n|wl9uq-VH8=alR}V&u(@S?Zk)WrrIv8`A&dLa z*J+}6_}wKxE(nG;J_nSZnb)39K<$lZ_MtIE*GwRPUeF`%^}|TbGJtl&9U;xA8=_O0 zuR;V`8VsH_G00lLAK!6q1B1oKM*x!Rhx_IfPoVy%%5IG+Gmn3lyC2W{FI488y!~ES z?stTV3ySW9s)p8L6`(dZ{2WxY6kjo2ny=v2Kf|kJ5psIBOJzeeXUP80!+mpqwJoyw z;OdAAP|OW{+&8BQzp<@rCe5LKJCwgvWDKanU{mCP0g_k2K#hGbcfKeMB*a9TJ*vuH07c6t`*%4)Z z;I#>Q@(esvK70S`O-J}|fLaTf^#vIqQ{R023EH+;e-ZIz$c1b8LTA~Pm7eZ5a@1etRBv~Jx;eiqk48KEhgmX zW)0u~Il_AQAh^1qmL1oxBM+~M85f97tTwQh%ww_JT<<1jfEn#q|KJI&Qac`vk~3uS za3KHB<2bU}Ibsg7)(XH1h~@VTHD4rG59}Z6u=)Xfch)-7Nt@~xGDKW&!QMqPw2*UX zk9^&%do6+|2{rDSrVDvGT1Db5SF)fL#x?82S-K_`oeIpv(0Mg;aG4v%g;aQy=32%D zlhYJ(%{Z=<8OX&Z6(MbRYDpMPA#Fky$t7c3a5)1jweM}mfCmer@Xt=#QJ}nFZLbUt zj^oZbuR4|aw;8z5Iu+rYwP=Def!3*gg<57z^E7R<{ULp`im*1U6xBq|;gBWaloSgh zjl#OBTmD-4vT6Kn4f}p(!7Vc2tlis zgC$?LZQHhOW3_GDw(VYR+gNSeSZ&+3ZJgdSH}1^bdnV4_b0WU)jrYHbsJAM!GPCme z-HPF=*P`vq{@Zhyl6DBb@v0te-)m2|>hGmPNm`9?3gC+9MyYVhskmW4)nBnjm79U8 zo9t#NK)A+dbFDbod;J#mKf)=Ntut^Mmik4ghP|@uXe?7U?>1U9DAS>;PeA<~ z49sW zD9`9B0}-B+9|2G&Y4nSI1EU|^hM*X)ayBSZ7w(2-Tk9I#W!n0_MdiL)TyuXc-Qk+$ z(~VYPg010fSAja2VA|?Q8QZwsBY1bDXjcMI%dfG*0Bm7cSeOH z&UF&PJTCD(Cv6UV`Az#4lU+1CVFx05{)2Q?6h|k^BSx%z_c@+?#GESK>tOMS6B zseE&Fg@m+b5LPy>#8<61NGOc|HGLmhl{dLjwl+);jq7L}nS->T7?(H3%0b;>h1D2a zFkoebH7fR{o1ea_CF$ZqNqNc!o`;YsW*l=4)>e633L8vWIF(o7n)*h46p^?s*RfS8 zmX2J$xP$HRo~PTcAGcY`Td&&F>3D`y3FUBl7gtHE$kp`7UAkH-p{^G)bKp8{sOPYn zSE6+DRr#z2^TMB)hnt9_UP;h{)+4nGT)i`G72N`YHs(|w(aM+H0+SnAH>m0aW*gx)Xy}A)9_uj3%mU{=;Js<< zM1LB2tyQD*2X-XHiGMDP`^`Xr?o`l$pfw70K|tLkpj`>C>DCBJgr2!FY<|xub+G_6 z8?Q4&M~mRVVXU=OZQuSx2nOT7&rnh|yn0dDGqc+dcw$K&7S`&qYCb zklicH!=anms0-#B=hW=9!xJkHd=!e9C~jdcyw4@IUN!;EnZ#d`$kvjzcAHZ)JzT(1 z5Y|~23=xQhp0tFFPp)-Ow^33BoN>~05;X;5%ElN`V~d2&5T>!WUx4R$x@b}ihbY6? zp64>wtGWO^8xuKaqHHwZ`mtRhN^E(WiRYih+ZLp^ zwXC4|EM;k}?Xp2H0`?@~+@TC&kg6zAoXkx4eB=g3JvJlvqYU0S8u^ImS^}hOr)wMe zz~S-zbVOn482*s@N&LyDoW}Ev4Cw+bvLm zNT6EezqL4fff88^%MDYQmKQtIfo=O>lAF(Qz|n|FGb4P$?U5^7QiSy17b{zS=&Ur&oDq+3|L;#}z#im&=`vgXas zL*VDc#Wbd_jPkz0K!m`kVtR?c)X%7*H(&xQNNdMWe1&NuUBXs5K25{o#oCx-;1W!k z@&QSZiQQnzpf@>4DlJse0C7 zpzQ}73jY-hJcVIqwjdS?oK%GL$t~$pE@yem^I!Vt@d2!gREi~_I*WW87XfoO3~6CQ7*1O%SoMOa&p3eH4EL& zhB%-bc_Ke+V*^s2`G>FMw+r&3-j&#={@Y?vmF5{)c?X4}#`1BjU4bE6)>yqFMCk1A z#bS19SA26rlmLr|5VT+X=4KCJX?;vaSzx@;^Z7jvWH2mX{R~D3N%kHAj2L8?!usuu zD5jWyg#K*ovlx(Qre# z&!ufMF$6n= zmoAG#c30;DoYSL@8vAqkycIIu2_*Q5VOJ*$LU)OTr-ucE+135S-5ibhoH5OgCMS=C zQZ2JqkY+0m_pS#*Z@?3;2764?^{D3!)_aaM+LqCF;^Q9Fdrl>MZvFM>TN5E3d=BwO z@e~(H#G^fwSuYiePDMf7ww+u+dt*H?59}^LSf9L_)uIY~dMMSsu+rl-DGThvUR1y{ z(5y2XTdE`HKypZ~lLsfk*2H!7&es^RHssznz<)GK*8H?#Z-Q!}b^f$rL;gT7WdFyF zl1eUy#{VtKU*f-MzgAv%#1=*1HQF@vu&F^2hmf_$F%oML(dXW z*OUmV7uj;TtTvng7QF?3g~!W-3j7Vu=U=lUMKM*GBAP&o2SVS#%e+}SeR{gJ{r&OC z4nVykt1qNqYJiU}XVPWBei&hd9-Wxje6U()z*?;2%)8F0S#RDUy2bYDap3pF^;mK` z0#-BaS|qp(QUHV>9o8%Xzh3?T3^fr<*-SIdiM&!B9j13Wpn)E4mzHvx9G-@W?6~e4 z16yO*F1K87)L|yjtjpk`o4({SN#+VLWgjXN`UgLR~#%>)Ux zb8J*Uq0q(^9PVH34J)R(MZDg~v#1^~-CPbqW9g171%#!;SC@U1KYJywurXYqzuAR= zHF?vfVy!9+=P}goQwNE9-T|>OYmYAabX#WRSzijo$n`2S&%z_DzxPMFG_K^jNU_qQ zHLRuG5}sz+TBBZr?_PP?cr0=|Y8{=}UZR}7BW8LoRxMF=+^gTn?ZlxI7ej5chgu60 zqx-D2kcq)(bwFb2s5=y6Z(6P?7bh!Zf{DnU;_f^a;o#HHap z6mtToyO>xM!KBzBeaoA)6Q{TO%}bx@8Ay%j*!?jKf#XUK#aq7W9K|1sOalU73CO<) zXa;@S;rtyixCt-VIJ`D$9k732Ktq#|hvwwB)A7gw6utb*4Hy^C3AXdpNei-V3%XVIRXbI6x{gcSwIb|7S^ViT?m|I;4K+?D!>gM=$toHL z56Lj~@Vhn4is~SvpBqq(_TcR*yqyld?7VNLy_-GZ?2e*5R$^C4@iR)9A79Yr6KD~Z zPn2CD!fP?;R{P5=35;9mr;ir@QF57DB>Fvi%8#F~Hwd8O+t9o|z4per%_cj1;ny~+i17(@u|#_Ev^xf36zofix7GG0 z7jw2@{eKBqilJKh9`@FGOaI2%&g{diR?pC$ZiDV+fhVEc96y9^J1rj@EO#x8L3els z|E;M@;Faa1g`QV$t__p}Q>Cpm*z7ff#vajJ8B7VWxnS`2+J1)D^E;uOB`a{F;h4Q{ zW(|+qO{;nZ+~k#5%TzJ8_Uz_>YC2QsusLp@l7H{{l=bRq4;hdVkkURL4jP{VVtBv?@6X5t#?@70ce09o8PNJHimH3WRVW?T{(~pnC+Bl zpO#n6^A0RfC^Pj9{KO!DL8~Xu6IqYib1sgJSU^^cB{oKDL#&Tr(eMue`N1rf`xM=` zksDJr@sWi|cK(~Ef%*YS0|5MEmHgYw|MQ^xS3Hg5Kd(>o4}3M)LJ1ZDH~;`2A^?Ek zzdVlO&tU%oIJrsn)eHL&(RVqa>F!O+TfmSUF3w(5l_ZH}M*bpH-4#gkP+$}kF3Gmh z$^_X$ZB<7Q#wMWoq5B%eye-lqpA<&{rol{~)%5A|yW1J97wto;k0XYN!znxYl_ zdB`C*aC*;)iLWLvR&dDPkG|WVp6Ojm+Bbc>yWdXl@X)H$TW5$JrLymqqkDAPj`4mS z<{g);2YdK=?}d-q4`CP{^#g(&^#cQ*;aCt8o^s`6{VgIYmrDF?aDWLz zpBXvxwlyl3a%Jo(N5AKI-<>HYclZ{1$X9Y`p2?STUkwRGjepm)qC|C$)c6jxBCAb_ zU%Qs2%TNomNs;n&kh-FzWIesyDv9a+49W<}(=7bmgylQ#}$ zxqh)Ar!Fh1YSs~%wu7dlvR&y1!c^xlz_M|PM1~wze+_T_0MN!NQI7N$+1|0-Dm9(H znxMjQBpy!k%L(Z%{kpu_DoxZb3`n>VQl`NrtjIHBMUd%_Q8pCgCjzj`K@G%#P$sWZ zY_gUutESrR6sd+4Kk1}LmWgs?4K}+;-%OGOQ*q6qI6+;ml@=RfK0tZbp+$2E(7a^E8W-Ci>* zspyf(m-t4jQz+fq*rC69kyEy~H+p2;U1i73U1rBc9cX#iGl2c3q<1(Douk(nZdnS$ zlJ?emk-{R2?^H3M<;E*uljei%i{M6#jeeOL7Kpv>ov|m<hY?Dad1~80 zp;QM?ws2_RH`yif3%G7!D&(62b5cE!$%j(^%J-}*_I_TE0yaAipJZ3~k<>9d7r^RL zI@orD&Z1)3mO6>`rLC3+>4PLpwF>(N?mrvs@|ZI@hmnr~-$ zN){=fyJ>Aex6Cl%Zee)d?=VcCK(||-`y7_JleUwwo>mB@K#LX^kjiTAwif}VJ>um25dJp34nD|-^@u+#w!wQY5SsreSVP~^on^nO=+llhCeO7vs)&fY0 z8mcLoz_&r)OgHA*$wRiL79QC^Rw_Dg9G<}JuLt4^??po8!|bgRQxzLhTXvDZQi=#A zzvFCmd&f>iA2uDqKD~bB+zlS=*neQ}iqB6=D2agU4(g-Bl^C*SrOG1if4p0%A2NIR zxzysrK8Inw!xry?IpKYO3E0p7Ru?AMO>HlyF(T$zLdo53uq%f{Uf}nh>=njiwfk{r!kkmHNG- zg42%0hqH7T&&IT_X&lAIq}DQmV?%r&kCtvk0m=m2=W=#b8+Mg)jxYci3{fvcupCT4 zR#{x_RSY-NTe|a}@q+(f4~j1Q_t^zMM}WJZzsUd0HU9^Z=bwh6p#+nwNYDoI20}mQ zdpYdGw<7dm7mXG+mq=$1TSK5q^gsJdT9TQy}xlsyL*yF(5p%i_qv!lL;|F82?CM_LA@^gr; z0|Nk%|G%A|f1G6gcQiDp|Gg+#vC5SS|~d%EFE1 zXt+IQ0tCsUXsK22j)Ynhqo_6JtJKt>6744IlM>T+Dzgpub5w6Bsl<-aBgV5@&5N!3 z+Xiw2G3S4hdS8ugsy*!>K!!(@1@sy}F<%8B%}2M^i(ILJ41{9%PbJ#^PP{Ue8fgOn z{aSM=W>>BC(R%}mwc3!CS>g>!iV5I7VX4K&op8Z6ACLvUTp>mHycfq{G|)#v=Ay%B z4R4I01Wt{cWwg=9M*?eSAZB>LUw4FZ>{rLUuH<`A5MyJ_5l%T6uNodJtulp4*UyIhz37AWC zCX_o|PZYYwHA((kB)kcKTO2A0!S{E#K*=>N7D&!M24iTi7>n$4>dXTWB<-Sv_C3|w zO4f?`u{R{G9LwFU%jK|{Qla#cd18xsYM%!Z73Sr4vVs>UagdHZ8|fgG#>0mY>DV84 z)H6|+DFu0%L=)$z87#H6i*^4$gFNES?5FTExnQ%NTxEh;q{h@!Ml>j2kpFeJPOjb# zooB~*8fCj`fv8@ao>T33=ae_3POr}jjLQ1nWn}x6@7J=I2 z>|C(~TDycp#GI@f`RUx`6%(@*wg5_1AUc&wqY47GCZh5b32Cu^B!xTm7Sz_WkKV#{ zrcr|g8!5|&fp3q`_2m21bJw+}$JuL`9FPFA6}kb!70Ryg&92bS4hB3vqCLz=1kwh1|K|i%u(O!K96X`an=qabY&Hjg) zmMUDkkXMELQG_9P~G|Iiefk0{|@}M1y zRZ-JDw>?*|PafgW<`jPgadoky_`4v$1_r|x)vYw4J)a~xTau`-eFoMNGs*cew7n(F zE#%GZ5oBQ9A(eMD&z>VkKDv&jOp|ZHtJtw=E=@jxINCt?H@TR6K`Cuc6gDml-R(4J zkN+1}d1R@r^fm7Mz5?ki2F~B?Trtl-x!(%4w7~YHyK!` z)y*Z13V-vk2L{l8?qjZ9N){EoW3+zuwdd2Z9Qo4P{^10O<>y!s6{EsZklFJ!L4j`^ z)JvT%0V1*y9Vndbf(!Ln?sC}7(`tqhqJUu|8tRV`e9=k>W&1_bj1yjNK^&9gZz+;& z+%j5EUY!nyLcU7pw|VG3L^G41CO($_O8}#aRfSc~LII>|Q&1$mm6J$>d?t$F$_Bu2rdhzdH~B-vyWBZRztyR#N)bI3 z-pvSxPO}o6f6eC(fQmd~gPeRAq27lQ}OiZx*(Iuk?{d z`e0{eR^KahQ)0KB6C8Ouo|zvM@9G0T>rewJQiZ>k#GIn@O1i1KTrPG7 zNh@4+@($GuNpqCS-2{2vVXdB_N~NYDYon$h#By(w`@rwI_cKgfa7q`np&{$oK26gS zJ4jm~n4~LanQ~@DqtmSHf`Fual1d@La(!Z=BQzAomY9dE2&4uj#vGR`GErID#*b>t$_<^Y?d z6%YTmlqdE&(={&qicmpCI)7paj5q?Y@hQpAqO^_2k_+XmX=c>sDN1Jyb%{6l_buq-dFo z5i4)<pgI^d4Nm&d18@~!@EfsBG`_0B`<1m@RDdR%Vk^!7Cc&xIH)zvi+``S`3u zX3rc-F`Hl{Ug^@D6ZT-CZ5)p3whR8Kv8R)*bnmcHv(IQAugyEBY^6qJj!p%2&!h|V zJij{8fse!17a5zh2U`yfMnww7mTW9F`t-r-cW)*2g_uc4wWq~zCre^30e8yh!WrO) z-0+knAzardp)r5g2v810xiU%i`*tNuBR^3#?qWrgPr5n9?KO;u!K=QOFNuN`eY8_tdZ|8Vlond9m zqn!209d=MuT)Q~zP$O{(^aigWk=Jbiq^^$yg$K0kBj6W;5Jqj&*`PqTOPdKqhx8{G zz)f#}!@X7PW_}T&dznOkVQU?P8ZmpItw54aws9MtXX^A3H{dM80RhMPg4z0EG5lhv zEn$Wm=fRXtUa2oXum9aT5~au{(h^19zX4}wSn#5XKIr&hO@hMF-KfIGh%Amd_Wp`8 zjtE(PgBra>ZG1eYpESUdxpuVwt0j}wx#b%g`6I4s{0 z>L7d?H_g8uO|EO&38xd(epRsgX{C%D4z1Rx^s35@9KbrL zW7LlIm~7AHvE9e=w!OG{4U^X6JndZcVkY#%#hZ-PFw?03+mVEEwx|JM&ZL@V&mIneE z2t)@V)&#Dd++xpjp#qB_);w^>h$T+Ab~)JoS^GA2oUj*FrH~t$a=>2e7W#H!ZY#AF zGftpci*Lh%d_Ynq`iaTL8IvSVUxkktrAa09C%p!spy(y+Q;Qd_tX@<+jczC(X$HI< zjIhl&d|QY6R2zIbNV%NXwyyXO`nFy}!<;3ppm3{u@T;V|znpiWhx0*8m;9yZhCXaf zjg*qv>KRH7T?6x&_4fjhA>2kgz=5)~#kBwn5Z|#XSI!yi@F}qlB(F`Uv|kPmfno3N zm@vt9O<)~eRvoSC`a_Tt_7%9Yp>C(FZPTne-1@3kJxv$8O#TR_oq0l zoMk<2Y6QZD%Ys@~xjE@|Jr_8vEGal~znocdu;|u?-0NQK4;j20+_-za*T>xFSZ9oq zmA~gt2jGPgvo+%ErxB$;+x}vG!}Zzr!rJ!4-$<$2MRS1E5&0wu1{&E%8pdlGc)zq% zwl0M<4REZO#=Qw>BRy9f&vZ@OLdnspzw$5S6aj@MMA`|`_-@;xVFt*c$weThQ={k~ z^`_WMkrG0Ty?_*TZ{t8g43-yp8bONT5i${^>#sxTtEc$Qf!5{_cOZoGn-fRUxPT&A zN*EsCn$fA<&55Jm1H2!xrG@Nm zh{F$e<=-px|6VuwM?K>|*G=gE=`h3I+{4Mj$iSNZ-?S(GAw@eF=45EB3TKtdkQCW4NR1|AYN24*JG1|D`U&i^T_`ERt)ZQ^C@=KoQ?i_Ye7 z(3vZe=R?vQE8TCd=Lm`uZ&fb`C{u*Lw6Ll{N+oHw7B=}pK!+nx5co3|56ln6*x`bs zSzC;IeZhR)+3@xFJ`B@q#6bpAnfb?{P;eZ#%{rs?w-?wIwtMdkME^rH`>t@GJm&>7 z##gvp7?Hj{I80ONF3Us?qw?i|uU)Pnz6fAG>l)sluFFwcK6GoU1^SzvK;#{zZ#F0Y>9<-#)8w&y?_Q`_ajdllvg=DlG za>(gLln$QQEmIik;SXH5;e{n17iXFe>DAR9``Xmf^t2go?UZ+gEM|_UtHUw!Ek8x) zij|@slNrCt87bmF^ci6VknP$u^PVBDR*`v?_plSIZ;@kWBVtUGfu{)h3f3u6^SmL= z0BTS-u7pd9`p1>NP@A*gLR#kN=D&#w)m^#;zLjxmQktvLC8eg8Ork4VRhzk&%_X99 z2NlzN{HuLvW?1La3sp{#E^`+3B&!<=U3v=JneBXl*`b}Y!o3QmM0hwI6v3m24Dz2ToeS`y4I8 zg6uP?>@n&;A}^QlYtqA1vxmIv9#F#|$G4xN-u6{W>r9r)OzkwEq={wYD-D}8J=OCs z-V`>i!Bfs(aG2zHs2RL4;u+wnt^1tkVIDShwqZC(FdHN}Rq3?(Cq(}W`DPW75;coo zc>VfK5``*-{XUeh&dWvJo_; zx~Y7_c}2a_?*rGy*Kme|)x5YuWGb#u8x0OcXQ(+C6G(qk9Oz~rA>1qnY1BAu1-#{0 z0~U4;%p|NexKZ&P+kx7|%WZaIS{{Rs0mnQ7v^kac6r(Nh>+!t>9~NcY47_!%gh|X; z!x19g$u{rJ_>`<+<8aSnIm>_qZr==WG(dw2xovHmrR&Bccsj(IM@T92HiD_|V109j z7AMk7nfN-lsn(Nd`4+fAl-#JcRLgB07jA7-@~zM(p*ja4a zN#U<_f0_XqUhd-7aK+B=-1s74P~VY?1fN79FVSS(QP!Mgt3a-z5@4!g55>l^X&HLbhY2WuXF+r&q!Lsy z zVv$7^m72Y3x08EXYER7mjh>!+F;<0Y;FX#(q)Xu!1k-O$9O%f%!;Wqa?*aU;mgi|) za`@v%BLMLy+s^;5Tb_S&bN{66sZ!RFo7YG2)n!vvg>uFw&>IUQ&=REfB@7$`6G_dB z)9;MKmQoYTw@PjHo}m5?-NK~r+!aF3j$r%(@JqVw)afud7z(EGnSRf4dgGbqJihe( z{Q5%f#ZeV~*eMCO-H@Df)EdN{xId)RLvz>9p?@}v+w#9gd$mm$OMSWR_6G;TV;Uiy zU#C}XtiKg$uyDa#T5>5Zz{BKjS7Iu!F0@=MH;Jn$SS1}$;oWwiRI0jK;!#?rX_Eg@ zpg6Z|0x;95-~|675;h!dl=%i6*uQ8aILcujRD%d0RAT#B^b6)6JDQU4gx|Fb<V~x^3^8u3P&XLE-{B)OX(N$nwp1i_Qj< zO9d~)gb0Rct7P}i5o7MalEgs|fdp=9{btVKQk}iL`*bD8-z0QOKmY~x&&98hgwxxY z!-|D3BP@(lQrZZ$7_He40nL?AG~84I!e~y&pb_!7c%-;gdq7~MPzD+U5Oh-G*IO)Q zNYG00v>||je!nShjEzvOJ)=aes;!k(VpbSrTD95wsCv`G%{#%rwV$SsFx1EP!++#T zYmjoO?ex5}x+n481~J#%vCUKSeYn)UP8a09*y;=JV5QT`3NM)v4xSY%;}eO-N1Cbi z5Z+Nj%Tf(m*~U`s8H{Qr65u3|#wOGTiGZ*~ltiL@wLu7BVi#ud>SnU0Ceo{j z5qGsMsZNQ}s!7Tg2#ePAK^6C43NfHBILLs!()HW22bJ|}?4@dC+4Dogql8_YC_K3o zVLDWmHJj7fF%{t`PK|N*xWy&g6WQ$I?;YVQpU*B}H7KVn_CjacNQ`NCXSw{UDV&-n(=I+R`Vh-qY zg+K`JYF;KJ5jOk^3<$O%l={8zEIe0#0cUE^ERYz3g2 zZ(D$TE?qesiosQ@KnFi=k~nH2PM3LDFG{CHx{uiArA)VekPtsU4CBocc}eEF!-!lp zlCcsYCq6~4@<-1oWju_Wj#^d0cjiUKP5kO3>L$mW%n#kNQWLjd z0Xp~4kGGa3iq91${C;T<&E>iDTQrq5)GCsXuu#n|e&MfJi-%&L0TG{KR>eZyJPgi_ zS9ay9_hQUOMRwEpxctg`>&yiL>(a1LNU&ZZL0Wf@oq^(RC1%fYfyVRaWWv`|7kCNi zG9E^1JMIFohm%!eP+uZlEV^Zs;i<#W4`1;KdUSUpm|L5?NvFG+$fA00Ikadkcu&-d z!il?Tw)z+e@$qQ;0rWD7zuZc8BY4l2f#I#VKhIJWFY|z!w8|}siBVFs1VjP|9KvI8 zD@~}iJ_d>dVJ^CF&(yo|HVXSs+J!j78$%9T*L&yD_@bxPPx`abQg-Q}r4H)Bb3(um z62xjkx=!k9m6=rc@Sv?o3h^zVfm_x3>VaPeUIQGvnYiL8Q$J_DJ(OG?X43S^rbVUu z#)c)m(oe0EIi=00!tM_h9n6SBc7>Z&EA~}@L80OEDK_t6FB%vkKhfG}jq;3l0pK(# zj3xyB_W#5r-b+HLtiQXQa|8RzbrAH7A7 zEPgoDHqScLtP_80ouf1j>m5ymYegpWO0dGd*5|77O1<76E3eAcq>^WwDsOYXOQ`H^ z38}5Bu8CJRNGxKV(7TUrC97C8Z-dBd13JQttJVXaI_h}? z5o?L{cE>t--trkg%?CvKj=qDiKvivWQXLUkGrV;lVwTRnFz(Y*Q=#{f#ZZfm!q_{W z0WwW&j?hTpkeKE(N^a+((ChqwXyPuImdj@S$EsB_DMI6WXIv zmh(%311pZsXBHl_(Gl9%LO{!Y&$M1jyP1+}C7rIi>45?{WkJKLNMV()DKXEy+9fTQ za&bMoO%c3F3a4%I$f8q&D1FPJvelH8#UKnIoW$f)3s$0X@oF?L>x@Q6>U5HpK=khZlJ zzS@gfb%28;-*SNqWt(*K8hW@8EwkOlHlMt`wanaH%%2p{7Fy2~^2l&Ca{8rP5U;L( z0GS^ZXHu@K{YW$HCQfXvUz6J2B_ zDQln6h;c!^OUh1`l(m4g5$%VFc!-)_c9K`D=+NI}PBT3o(W|UzDYHV1tbEawuz?k4 zBS9_pgSlt$H zUKnXK_I&MBu*Nxh+L_+48Sx89c6l=EJ?qaGkY^S}l@F*CaF!uS%{WMrQ@BjfY@{rf zw+!LKsdwSeeQ>KzBhqa|Lb|KVq-xuf%Z2_23!OE@%iuy>yRF^jQU^0mx%vY1Cs$XC zVRdwJS=ezeo!w@Q#R>;M^+nnWjm1EBIr+sLv=kSS$A`Sipch6Va2#Iu@huNlTnAV& zc+0g2&Nmb^of<{4^Kh22q`%dr+JzbyLm!56Tn=KH6;CG*7nm03OP+xi3>Xb!tz%;` z?n_0i=jwVw7wd_&FVPN_wXGV)x21Dy@z$*lNNcyq8r8#J?7uH}N$L|F{zj}5(-V}9 zEpb5mWcW3h9Z=F?7xQ*n+VRktl$vd$k0HY-3q2-0gnPx(ktQ8G1u)ZX1>YB^Uq^7 zk;_3TG^OU+Ev#l}FhN>RSqFEFAC!_r+CI8lsa#P_JcG%wBfUraFL=U-oZd z(j>696JrF&KCYLJ?l3!*e=ga}Ht69@iC)YP1g%XrriOwO6JBO>u6YdvwO5q+l>1^< zS5)}8@~nt1e36yuQOJ!|ZJuK`pNfL=-564Vhg0_8D7|=(F`8VDq+?49y>g}r5iXW; z*J0AgK8h9t%(7*Pw8m!}Q^g6NWwfON}>LunHpW=5eqH`;wPg)et|S->y?9agYJ}3C%j?qmkh{7zCv^lo1wjd7K-hyfw+j*L-qvq z6+S_Jq0QIZ(Gk+z;qCYDeGm2m^%YYmz47Yz0rDfLM0+9L^$p-h#6x&t?n8coHi+)k zgM^3rh`x#I-G&ST`X;DEeIYlBSm5`#X7WX7|y65B@29Ka%l zDo{B%=@GixNdh(och#1#C!GGBL4O=U=ts?Eq0z~Ryh^#KD&>mvIOjdt@@H+(O+n|l z>g8Ofk>`j2gE6@b!y539nP+pl#j_s9iPzD@l}BCMkZjy5#AEk145t=mDt&oNS)UdO zT6ffU%IQSQ$yFODUtxlVJq5O5KJUw0-!n0Tf?YUa3uW$53y|^hCOSF%Hr};c>#FY0 zeqQIxUf7N&S*&-1RIE}vcflnL0;>0^QN98n(DsZt!tOaMrE}uxM|zH}789-J z+@nP}^HUw)Ueke|EMN$~;|IjcI4^MeNbZVZT%90HyhH8m<1s)^@KDST?54HezPSHD zk598<{}wj4y5l~99KT7PQ0O*aN+`it9sWn z#zW3!&&x<7H>#PWX|2AvX)Ut2d99owW6ScKg(i6}g)BxM>bJQjnGkOW-52P8&0I`vY0ioN zWa<%rvKKP{dJv^zYvF3*=wx91kL!W|Qno%>UPi88043|NLh&~dM5O&K*x#aCTbMw@ zj5K$7&XK>UY-{RkrdlMQYMz#RefT@EOx=I6c-(K9?6xN^F1nv@U!UN15NL6+ETPVz zTv0Bl%*|KkXN)Pd;lk9+mSHALDPX4hi5w2Q5B*7>OO$)hr-*RNw;5azs)iu6u!#g+D+soz6>1x;xWYdV3zQ? z6_LdImh9t$YNYnh4`4+pJ6kZRjp50*fa-@eq#88&gEnCc^aMq7J>y@dD~e{BnXzg^ zI#LO9xFAog0g5td+UAx@@NSg9Sd`+Kkxx&HlISJD+t|j>3l4d=&G`cKUq9z6n*7(x zPeQ!>=aX{&)1Onx+0OB&&?4kwYiw=ue@=gA%gaasGooa*tY5j#H#IFKveVB&Aw{~^ zyU!%<$RP3zZOQ|!U)0Mee5k1Q1qQ11;gfco-%`E7F<%p>OK-go-&6Y|vVjsgBL$xf zsiRNe!gpa{2dC6Ams*!Vf}3e@CbPeBQ9J1@7Avc$m|w{xPrA^WRaY*GjNjYw<*awk zgaw;hS2~CV+cR{Mu*kk}<@3&7J&1X+V0;zuxs}ABzb#ccE0G{j8a6__e>0>MzzeRt z&sTu#ej6$qT(LBJNFmn5b!>r~0UlfbuA5YeO;5}0keUJFLn>0&igtTxjjaL)u{-G@ z=+rE@4fj7MXTiMgIeG#4kEu4%zZM|Y8X)~gtLq@nPlM3^aH{S9tFHSMGO+yrPF)zo zDsjE}mfP>I=?9@JdCB!|^XZR3-jy>twOaxm`Kkr^6}b4IL>4h%ND-YX&iC^baNbM8 zMY<|8k-rZ>M{l>knY~5BM23C=6YeZ|+BF+jft-En`U)B%+rTMJtN7&|Xz@;xNBh^*x!r2wsh(kIlVw@~ry@RgB){&2bx# zlKt;SjwG-;(e!nl15Jplrbyy9`Q^BbIe{CgoFuM2JNxXSH>Txs>B{sK$L39IYuSoU zX3Ka>3R)V-s$D=zXnE44^CEwyQRk5j(#y1Ic*whVSq7=E8Dgh8Y8ONW{G9(q z**OMD-gR5Pi(R&D+qP}nwr$(C?JhgZwq0Ge(bZG$+=x4KZ_LE|+z%OXK4nH^?*GZX z*IDa#=qvsbVUPicuRclMUG6dS77_Sz{lr3OXJ(1FygA@!??M!M&S;!!l|RhOU$Aq? zRwoyJchxHsyB$T+4epKFR!$3@R9BpXjqwq<7TGIi*aNw;wt)iP!v~n(2cAy#`*|^M z`~`1eBoNi;a7xZ7l&Mv4yd6?)l|!k6`$LW%pT>#LFUA~PKuTU&;$7pwi5)z?(iz%z zf&8LJ(Y;3`n*@%}yFn$DwvM@~CDB;P8n3yMF}!B8urFSF`7Q4V_aJsP+lUNjlWdO3 zFl^W=d$r%U_vT5vRXwLRRS$JX<>P+xx+Gr!|0!#W^foZYMiezCW7$G}?V)pEuJBGh zVT-3rEnJ+!j0wkD{03h`!-|$IsGL~rOzepdIc1-0qzIJY_ZB9j=8H5R5ZlEJjsrHLFU2;=T~ERx*LAl$h=j5X@9oXG#uQU-P8ZRxO#=`s6T0b?(%Z=37gj>g7U1rWxjYwak`l2a0^1;F#n!2~ zuw(sg=*{qA|7?rYU2&44XT0T<%*xqAYmT@JeN7}9EmeI(&`0pQn2w4E>C!aAogq97 zNU-z(Tuky*vPK)q`IKWn?hSwD^Ue7DWBTw(>~CK~1K7tN7CMCthCscvC0p!tJl@ zFxS_1^>pQjcLq$|oi`H+DUf#Wbrh~6m6JCS*XM z`+_N4^tatpP?GO{{&{)1eP0*5UtfRt<+6JCgGZnU%@z{{^JD!oWKI~e`n%Sb`7fBS zXdB#SYy*DP6RP2s_2bZIUlv#_!^y}6dnxd|-NKrsVYs(nu;u4gNT_Y3oE;~@K(G`d%-u)2j zXv}NuGDEf1KpuZWiUMfWT^J1I`k3vy6`aU2GyR>JGpmuw6Qfly^Hnf?YQx6TN$i#L zf?Ipp&O?`rhI2_$%5Y}uDy{uJImL9Pi@9^)V@&1HMtZ3nBGs~!reNu7j5BT4@nHH! z9m$1ad)FR34?_4QG!NNgtt8bV1;GdI`sB4Wf1>w8a9GVzp{Nw&R?9Ta9ipm^ACjNP z3HH!R;4PC?zIC>-FX-S?F$_3UjI?eR&x{So*Hl@V8zmY&-w)$KYg}l*D;J9MR#OBY zZVa;wFbhoT?N%LMSw&W-3EFZ%&vX->?d$N-BVWsH%K|GW0Hi@ z*pfgW&95p7(zpA8xj9nfbm~nQW9^g|ugG4x*G#&~xEKg1U^7042s-}Vda*Dxr&$?L zf+1PUt5A{gI*d#^tK_jBOGJS_db-GA+OXvCGDuYkxYV1~sH=u#}@drVA%syNWCQr^&Qe#Hn zgakoU()W;;5<8awx4%VOS;6^|-5U2IMDF_sqWK0Ohc4~1QA)$!q(2BG`gn2glwO)P zTo&8*Uy+25xU}>**5Q}Y@q7#VPg8pZERYxNcj=Q|rEj{&fq!faUtIO;A>N$V-NnD;NdeD2I zp}M}MT-2{>lmSzEc1>C>R!9m?bf+UhWwp=z}4e@nP|9O zR@VisEB|daS0Bb-qX+ScsdFJzALU_wv7Bf*r9r@w8?6H-?alo?zh$3nMTmHhx-W6ztI0`!2aKECc=N)fVo=!5By7xQtr^U z-%b+U@BZ%J2h6|U+R&Ip&d~Os^v-IV&d915e{)Ter%j40-+983+e02<9Ok+7u|r8N=%&I|JXo z*9i~$NWO0RVa`HUT4S zpLsZKqfB!iJIBz#PqeSny3B65b+XP@<4F>H{C=h+?V64mMh|`=GLMyJDA!2B{LA0x zo=Q`m-TH_`?c}=Vc;fKK`IS! zWvT3rbIl;bOS{@uc(!b4C=CgI+l+18qM&d~rKfz`i7?bY*w2EQPthI)29|%o47Tc^ zJ8<$UG@yu+d{-J&f7=S9J5aF~Vmf(D~#76khZ%e8a7wo`$Coiwa{ zQP0KaV<=OJvf&-E+!QP2A(9|J@a1gDSts`T3JJA54tMM|vqg7k={p%tTL1I;M&TFt zh;0$Oj2rM>?`4-r^yZ=W6(2}AQy@ZeSo10s9=nKY)UL%vnR1o3Y_|LboaU%xY<3P4 zS!dTTrFOFZ%#)6_pWo^L@|zF>fY)nh_agbj+hp6(GdRINIp1=QGD5%|wQr-xsQcmLE$2+K`;w?0mAgP}rgiP>09;;L>7&fj&Bpg_M z5h9s*h8SLuci&Pk1)@{(t_(=yc3&D#FQ^j@cc{P+&wVaDEslvKe_vT9%0&l?cjNd> zHVzsq{5VS%|JwI|2G)ORw;FUiy9=bdiP zkRw2Wynv8kY%&Za5HK82C@2Xjq>w+SR2Rtxp6buI0;S4P#V8Qz$Qg`gn;4IBsk#WQ*J3#JUv?i4oNd0@fUuQLvSee8f$s^Dp-GfA;F=C3IldUprg+9<2 zVuqhByQ|d7TfQ^ridLI##zdMK)}%26@i&X+2)E1`!>^BU*4z^N%`89o=8*)NzCRw* zEifEP#1^?W#e>FJ35a2q9#+O^3L&Hg_S5SxMRFPNLvJ&}zR(45UmY>&{3a=tKVElA zGXh(@a@d#BIUZcRb@|F6G$7CCPv)=A96?`GGKchN1NF5Dq&GtKpFx~E36gCOg0C|q z^7{hKC?h-2`n?6v>BK}rxzhn!z2hP=fR8s+qaAG4TY2LVi-5vFW~3Wf&M@e_z-$*B zj`gXL?|&d&3)25ROIG%d%I6Gpqf4>}QEA=wSwK(?a}tXyBrBOIkU zXhgGx`ZQ*s2`3zEjJytta3B=*Y^eE{l)DADjo?FC^vp}t*8gHJL97`?hqIX95aGe7 z(#;gih~Be+2@Ae^gIK9TmLtZK4UrIzr^gV(P;c#g(%JRWw;e;juDXg458kiq@R2!{ z9Y+6|d8n!SM$ShK;hcnK`)J*BXF!63?0_BAhtMZXfm`$RR^D_M^?Tx-m6df$NaH>8 zY}6&)e`KNDl-G2=2`(fGc*zwTyd?o`6($^4KShXZpKjX4NmY@&a;sS7xSWz!sZA$6VgmSOMoV)bd+m0rHfqW5X zV*$62C5N;~3?1qNE&cl_xr}WTl`t#rh{!c7xY3n%zZKEU45OU&K!0>f{>wi!*v?*k z%D`YLjPa{N%!^gr2<8x!FGA<{fU@uJfdd{MU{)+f1u%b-ZoTLcO|(C26B5!KehC&f zg&PaCph!f!__J2&Fh7h0Lj zJy#|k_!mXThY%yCPhh|CY(ih$gG#a?<6DkdHlhHSk3B^_m%DJ28mblaDPMZj#m?9g zxm=j8eL*tBgnh)|11?NG1`N_y)!7R|r; z0(+)<&+jqE)VEa~IYVj1nk+^3-DY+o#P4CJjDLuRE~ct@E@EUPQE$C4?fbDQFSB84 zwSo<86btp5ZTtqIlq`)O0f5h4vMEA zdnL+#Q-4j0p+BT%>YeE5f!9yohxAbDUp4gs*+sdf#oRR`?hWoMvpZ$D0mt+oYX5k9 zpz9?+v|mRRKNeH5Yk+*^#`K>Z$#4?nrf0E2A;Q$rnGrbRNVWq_4Z?X5KN6|5c+|e~f z1WbOTu)k)@`T^*spYVQDsZRFuFy37sMuL6xDYWpkyrA|^PohXJp^JHK`#vB3Pj*CQbB+T5t|^uOmT4aLv@oVLF(C?B;Igk6F_pnHj$#9mM&Vx ztbS91*#p^7X~p>JyQkJ#VsMDt9Qh48iS(xkwOl@%(RQWfj2UziV_gaOhCqh$K#zJu z<8la~(&rRHfvbB1S(2N68)`(WyDy<)eGg~}W`Qt|8@`SPuvVR`(MkbIidb`_$`=fo zp(~Lo%E_X&sPrj3deIoFF$NKrl#k}cZ*kP}1>HLlb0M6gtaG66Xy^&p*{{2y8W+7_ z+h_aiOqWhp+bi!wJ5Dcdn3^JkhnEIh9#NRI@W#6JJEWt;7w9Oet)^*By}ELH>W4Vf zD`=~l+th3I#&ENB?T;iWw0@oP8eqD=5!*4g%|cd3UyV2`N=l^9D`olmyDyg$Jy_-e z(=`*uzdTv3r?td=l)r^15tSp;pFXl&HSA<|9VE&~5`iSwqM60Bd>rsCZkgzC)>sCv z1ql#H4JN3#P&7BFo;~N^QNoCfG46UH0S4tiZ0L?X@=DsyT^I0T6juce(PLQIr)$U= zIC(xUIZgVr6NMU;l|?#f4c4f#60e6(9wk^DvglLxj*Piv%7Py0hQ|zfY@2(tXL^+* zq{NG=rHjuIC4Lbx!@rG;#Ug-2b1dP~!KK4EGgY5T%nX+xqU&}z?iXO3N4Z~=7KH8= zYSq)96U!*IB*=bkfV?21qM}bX&t4IWc}g+wG)D7qdQ{Q+_bSD3Qxb#H_~P>}Fw&u@k=;=nz7x;r^nh@({YNKbqOv&9iG6 z4vgKCqsPkAd-bRPL&4iUG*42ei;|}!Kd9cSJztOf5gj>TRXK$wmHV4E&_TXpK zv~8_?pf9z=HIM_z9j>T^u#Vm4j|fR0F-J2&H{2HO#3q7pxK3HeQo&L??Ve3N`86k` zdexDVeQ{==U%o#%EZHI5N=<%@klpc=x}cP)j$W!vEZiPK@_|Z`Up)zTYzmryk`bSp z#9;(Pk#wdyo*f#)GJ!alAWfzg-ybU_-zGY_@Tmv&)yB*cGZR97q(oXaaA{=#agag& zqD)@+X~MyNMl(pByy>V%C${V8fK>7lkUAYKt0&@>~wj z?G6IXdChe=Krj7VYJgHtGqv_z-;Q370Pl2O+SJ`EJ!ew2SoouvSvk3cN zY#eTbP|J1DR|bW)RohzobD@6ep>EA{z>^M9>MDSqy$wj*2`MHHOZ6Mq`XTxmGNZ7@Y{6q!W`ZKIzC=7^M>OGR+mBH zTW95=Ocg8~7B$F{v{=_BP01p;eKC%tG7tx|;B8&q!%BjoI=ldSl%`eT+Xl(nxJ%P= zM=C{Ya-%$!TBvxr39%9?8?MqQp_DMgddV}4qG427N9QFKm%twl@v)tg0JUy>ap4PMz1BhZM@7 zf(5qGa&?nKpqSl1P3{8{xwEe_cy9a2v2vF)WQlmevZB%hUJIN>#a^U;5sCb3vLcWqz-GtsDI)6?t$6*XtyEOH^9;>`aodvc$K2YD>syX&WQNJTK2$3nZ-YewpU|5N7~PHTAB3xXCc#I6mT%x5Py4s@|Of#$~9 z-WXi959CRtbRuhTw%3ut4vC?*{bn5jt+k9-3QE&}&^j3J>0H(_3GtN@QKCiv)>^ag zJ5RL7LEQIpJ3(hp^%MW@nK}9ATS1%eQnz_7S=)r4JgsFY%nDv(raGi&L5eq8oXh-t zTWMdLV+G6Rlo@YJcbG3>G%_ibMome&1G#10_}%cQ^N_E-WpRSMcYfXyJ7|*2)6bJ% z=X)(rUw>o@RY#;1k{)D#-qFPe$$I69q%$-kKk#cIsDzOwWdC=xQi%4RTf0{ZdS2+s zl;0)U1Z?04E$4F|leK>w)#81$>Sl;wd)i@iM#3t4_PD)~Y7=Y)_-O^@E1N!%Nx`(2 zU$4m+VAv&HR@D}4P~t7Fa1@j&^SW9S;aP3X*-JfN$taW~-?XJXxfAMMzi+b+p}5+W~L9wNJe>&@ugir3^#iI5{8wlz1o zdH*;hr(e7Dvb#CR;DpRrhYOyF=L?)X#T-vW!u41PIH)TdJ31E@Tc#zSR>UeceUWRE zttY=(*em?9F1fW5IuYOoY)JH6#w$BaD*bY@hO%44zDC*7)8hKUZz(fK^6T5MWityD z{aDo*JlfVIWr5?*o!HvX!-09dq*y`7~An5uJFD<;lJQB`{Zyh zacWUW(1}BY<2xr?H#?af&aU?-{yk4{0avfaFdvRB&_fNGQw-w_<4lT3%~Q@YzcVr(Ebz!WT2hOaO)M~Kn#z@t&?AsSbKLInJBn)Z|MPL&b+>LUzvg#S(4kIED3Vg zgLW=7$6-$}`7XFy8@X@L{|sR>{OJsgd$y+{NZ-)BUPP5jv1uE30{RO&!}m`(7?H4F z8k)#*&_oJIlFXgEYPiGa;C!g?9!6j(o5`Ux^3AQqo#i-q0no4fYobxz?v7mc7(1v(xVD$qjEx< z-+@Ddb;ih;z0g71ALN9R(j+rl8-gu+0mbFxVA@j&AbirV(Z(&Rx80%$Xx*>($S6`tNmmRbd|>GyZlte4K&tg8Kunl>63tml+uIrJu1Wo)=b zsb1CbbMiWj%Ic)H$)we${RHnT9ogvwJOtCq_3l-5-vg)ij1S&8gu#c;5F(*WP7L1M z{XAdO+@ziFcvM^^KUtOu+eoe|F)mxXC9N=Ox+Hn=93box!R~82W?k~hJr`O3{m@?G zIcgqPDbw&PA8)tqZ`SCH0`Z9o!(~cbyhn3ye^~E_R$oZ$v?Sa#>K{+<5!H?5E%S1z zz==hW$G7ns{A&Fx(0$O5vP+(x4~$YC0r&vCUlPv03r9ZWxxtv4=|7MrwSz1`U&;`!_)g~K>HBGGsR^1$qRR^6mBy?AoaopV zBWGI0fiLRAHseB!sK&`WbE?L`GWt&c`!Ew5&0$uQrxvjdNl1C4+T^NL3oneIzWI#mHf;eur?G0Yb+Taqoi-}q5e)uzs1=s1sxPk~XiD`vzS>n#|IQ!~ES zNE-0E=pV6Jb*MM*xJeh8tg55Wh-Z(jzTk*&wXqM2W!z$@FVd|iUJ`{(?Ukt}s}_4@ zd*{s}mp`&wa{N`M1A^smwk*mXgf^dBP!kDNXARn1E4*n^l-$9wSOiZev&xA7W%r~a6Q=sYKbn9r8VDgFuhfcfA#2!35iFN9nc-aFLJo}=lQ z#mHi6!4H|G^hEi1w&K@(oo{t@Q}I!*{lR_l^`Z6Ab^bNm@;ur3SHjxA=DM%1wU5zs z5!T=TbT=pBMtdYTnkCcIf&4(Y&@4#}dg#BWdLc=M zy{)aG-9O?8Osi?DBdeo)jeweCfDa3TwxXdl5;8_wv=&hS1G2E@poFMxuNZI!Pff^X zWCXmLTiLz)>g(QjQqlEWtV=a@t3Lz2D(bG8lM{Y8D(-T@pJY2fANzRc`_lRUeK?^C z@cSfDekh75#yFe?+vy(8j_#I>^w1n3Eg_AWL|`P`cOrhOXGyw6g;Y0{F_Ic#m66U! zysZjZCDBP|j9w+}COnkg+MCw?&QCitxlBbHFy##dMa0CIbJ9(m{xJP_l=hK>4g;7H6HIHnLl4 zPs9uA7T_llpNhjb;4s^RGczTaX}86&QHvM|_D(eaz3nw2A|5qopdcXGB%`8E#^}!k z$-P(*2N|2G$}l+;AHW>y`q0@3pG0;5{Z(mL9jbSP9k{c)Fg`2m0x1`ot@d^eH}{wa zN^0O2kLZV$((q%qu?Mv^6>w+O0;D%nJ$)Rr{d`{iJcq`zL?+p!#qWmXar4aMWeQh& zwFTGTovc)iRZ&A|6tH?srnKvwBLL;BWJ7r;43)lp>XnL?bvd$u3I!)R=z9)k(!EE2 z2~Jv{B0I>ci=Q5h_gDo`pKu^Vz3R6hekHiS0Ab8Y*tn}pXU84cDBP;5{s$fhB1L~+ z->LK*dDBqmDmdfXiZ(f`jx0!e=09bsW)z}s7S!Ch>oe`BjMw3?mvyM7pCxi(Wj~mQ zaf(*(AYi#YLrL()Gt)|iiM~|Ip*)MhP#oHKbe`l|+qjw+6f+Ka7rlTjqw38kx2!t@ zaIx8bdg?XgLeff$c>gLm#YPw+i>CeATAsXIC$alGWsys9X&?>Jp*QYuT{g~oTZK(% zFL2A1Pg1NrK(Ol=jS;g#8Ll}y{Cr_M5xS}N>{l61>?kT+Tx~DyqStgqjSDhBM^=WP z^!FJZ0ZxH-dw$)W?OL!g2OQAsM-Ots+rG?Sq}cg!`76uwD-sk4>>_^w56~~xdyqC7 zzYuUHaKGp}J^^{iO}|haT0)inS<;YTIbom|={3Fno>ME2FZzfx3l6lX+BAa7*!j6e zq9fL7Y%68ck_X0=Q42Ngs5M0q>pcsJZOz0Rs>x*mJ%RWQv@yH_(QQ>l>&J4m@owIN zn_V;0_dbFUhW(Pxu8=sFnuwXZj81V1qZ^S=h=JZrV7XX)N+W+DaZWc>gnf^K0J}I| zFebuF+Je+WXD|tz54`!!pKH;79&Ps5q-W9M9KG5ccm%%}=4b1Jjxl+(IHTVS$%UTp zp+s9RD3=Osp7BsQ7m~jl#p@KFy%>-`*jF$~rfZr^#@eQ*S#k`U5`IBK`0Ot|IHrY* zN0jtgtpZ3iSguqT=?)QTXhRZPrP=rlpgclK>MQ0{=>Km=)AyrP%<$g{1henmmg}GF zw341BnR*D@^Rn|+^8fJKYxe~dep^;kM zpsf^*Ff*GL)4He}tOH$e#(_%5r*|!Pzg~T^twyveB*hXpR~N>GW2vaHSP-d*YKY1X z1cr%QSZM^$+zvyAJ%@T<&bbXyG^c*FY&DbHau;5Bkmn5{)8ATNmL9Q> zn%5=Aq_5_Jkm;Syl0E%7LUBJ!O+Dj8Q$*-IRY6!7>Bure;+QFkZ36f5Z5L`3)W=py zr&z7QH&C+<32J2zdPF@SkGP<8OpahW9^skaz!#iZQ=gYv=Q1TT(cD$|%o$vD;0^tD zsYo__Vs}dHD!xOAet~LE&}|WTPX0Nfr}SY)r!%>&)zO&P+iXuBbZt^A&}m{5qcAgL zv-}n9VLfu$WJO-BAtO3>Ne($4&U;3*S!xDLYo zu5pC#_}ssbW_)|f{^JAw6|52UaImp=`X^)}-6UY0w56 zz+hTZmPk}pK?yWOZ;nYYagoO2al%=swk! z!ETxJ81R_XFs@+6V_?UO#>kE!kDwJtsp5VI`*=__EWvo4OR{LsYw*F#E?;;>_2RWu z3OQY-2gaUon}5S~KK1b7*0q~oo8LHCpOikPic`XOmO6DlUfi2i#y8R-r&qAgW<;I+K<(dyHe<>;clu%j$0-WEz_^y_N zAQcvowJ46pj>*KPo`4nmD|)F|@ue$iy^UyvJ~qv6IRHeJ_(*=|y1Tu7@O z9n)~*5?g74HaV6UW0n}3N;WZ)Nj5RYAsz=%Bw#ScX?La8XH;JHUG(aIad6`M|2Jlh z;5rKc=DQx-zU%SdGi&~{9z|_kZ4AHjLjSti=KJOHPpUFidHTC55x&~wE|zqqv=)&3 z2IT{jN-Wb`RDe-cft5<6LZKl@I55p6(Q!8K?JU|KkaRj6&VWd;sNj5mH(x^Y701y*+!v3xG_*jW8S=V2z6J7aZ+@%5iaoE=H=kIe?o#aGdP%nAzww zI3^A-HksSG2B*htgsK3LT2a(oHVf1*J!dtW)UmKEZ1kVzv|lnW|yb zO5YO*-LnHsTzTci!VHU=?zVi-pirZ@qkb%p!W`OKW+{0$M#VsZgc+5-Gf%h&x3$|F zp|+@Vj5schkj821{NCd*Djrl6ogTpv$9=$orr1EhQJ*VlkuBAy*?Ro*6t|hSF+wzo zRL7+AcT`5|l(vdug-dsr)i8%eDnF5NNM=Eu{#&PnvuB23Eqwk-`==+MEN2N3Hf=&* zCms>@B9P5)Z@y9x2Pe(DH(yJt!bKUdP|Q@n@Ig>#{dZMM2v<0ei|A{`OAI{APK;Sb zwMriRCTyT=&f6}$3hnEW>Bw|$AwFeY<~I1Wr2b8KT53^&H*7t)2{y~3Cpr8F0}N@j zaF!_774jcw7plbE#G_VugH~ObtN!;NB@vJ^W{Rs2sl=N37sM~%LmgMTFuSd4lTSUr zC=wk@^z<%=E)s|I&^;j#}hi_JeR8H91N4m$;)_caUf2*c{xWRm%p5|j9SV$zk4@(k8YnaIKA>s zZ}ocK_`B{*-QN0N`$P0IaaHWgf- zCTE^)b6ukxIO5*S-|7+e$d7)&`uxCOx+Mg`AJGMe+qc7g!DvT+fjkZg%%s4m7_Ep) zX7U#a`!K~w-UpihD2NMp@lhEab@9;|C3o>r8ZCG6(Hb>(@llic%!!+w{A>uLKYc9< zEPYMNxHTo@BN|eN@llQOR^jU<8(KAe4GYW$WZNHT5B{|U*&T3)^?~1IzXkbJ(C{lc z9A)||GAeKSl^K^G-EVw<2My9UTu=6JYYp4S=m2{?ch^4Em%0xL(l>O&`Z>_A7P*V> z`Z+E@pSN^t4#Is`0s{|mOwaX2CF7qQ@ufSY4E_ur_D6V>pY?Mxfb`P)&K~wJBLhXW z_nm%jKXW2Xaz^;MMi`L|wkT$l5tBtzfi=szfZ9=60^tw}rc7>`l}nnO`2|btqUaMB zD+WuhnFWh-v1W)R=caSbquk~sr=6L&ICmf$a#>qPW{$n-XRaETy*R$Dm(BH`WIX>6 z2e&*hFCOpwtM$y3Tw-O4YQ4gRLqthlO4(HiWJRBySHb< zKGu9pnaz-+OaveD+$}vM1IOuK;9fN_lOx>l8sRlV<69BQm{N8-cCavO!A&J89DWK80Ng4t9(DFo}JY{7A^XOTIvz1b?d~C@*H?n>!1F(ARV&>5FoGQFvbRY_AseIWw(Qg%XVvpt?obJTuzj<+i7`jCa z8y|>zFs1|0;0y)kE$Sps7CZ}4MZe+%++4({J07$m1D1~K%3dmZBy9xti%fDP`r9EY%~ z8@Y7~*EI!RScXQX?;n%d9m`5kTc(PoJLFp(mJxT-r*?M8q^T`p#ja$v#L=*_T-l>M86LXg|nlwbq5u;H?$Gk3%E+)2mKhtMOHv|lhd4a$7^ zo|9T?Ej3n}Z9(x(Z0z2{&SB5anU}?csTCVtT5__nuNn@g0#9w5rsFWn6uroaw2JQ^|gt9ktxlJc~lI@fUBxSz|PDLPR66 z(oq~r0)9jhF08|G(P+;?Ts`wq=RZrgVD8rz=OmZ9n%PQgbM~&u%BLJs7wJe=No-|n znq=oXKj=l(>Uwx_Gq>e$HP$jO6=Ctb1dALSWvWIQ*J3EiCNt>i2rB}3rQjR@o@z5{ ze)jH7Na{Nstu`hj9L#o3yeDMrhuW6=SB}ncD4{wzHu?7By~$O2l_yXYbh)AB9bjmj zDV-x(qRs7SiQGRf(BlUi&JL27JjS!{?4+sIk<;L>9B*Di~R%R;(}lO}X2)v2(S z`#Ll|)b<=ntV`kUMWuuej>+iIUc6rPBEpM+Fr4anD-`pPG|mKl$-cqGxFiQP`K7 zn5!{f4ltupiX8)KyafevR40+0Af`%UHWyO*!FG z3B)?`LsQ21X|lSbyitKt@&R~i`^`j~SZ2qJ&kJaWS>#L7gNLeZ)i-Fhxq2X3wv!X; zS<=RqMxWYZae!9V9ge7()mbc}*0oI6?X&04;paJ-vtU4%Y1g4+i0%ypHz0H??WH~A zlj&TgERTy>8n)bGkxx$w?8N0BC40j&jHhYiP4fy)cF%%>tROg>8hg!}xy~LQ{HE0s zz(vJ(`U+!GhBv94%Fe95No-7E1An2~by1-i!#IoQrfSLP{)-FAl>VN&dU_g~i{FiV z$saK#=6vtUk%QuuN=XK<9}T*;F0q)Gm8vUEGvg}IMqdCJ`;4_0P``c^8<1J!@p zjB9s+!4zhRKS~m8X&d%U{GJ3Z$fd4|7{P8fTmHAx_t+C{d*PwJKXHm!&6ij{K^{|;YqPWcuosVWJ^TxIE$=PEtS_K zTye%bylXsR)*Lyxu)1I_(Kzy8Hk1YA4nOYo1qwmjiGX8IjE&bOm^Rz5y@RLh%z2B~ z2Cxmx{m0i7A6?m-n{=hKpigh8Yg>O>S9O}ITD9AFy0_z~vUW%>o2=iDIB=F(*`!xB ze)W>k1@ijJx`(puYE8@u!F8Hgq?NR)V1F8m0%K zc>=Av&nIysTDKQgTf(UQO;UtG2{BLbyu2oJbI?~V4#HO=-1V09)szf&CSOqp6m6_a8N@8Ygir^ZfsphZP9f^y*6_B1uLx2wA z=W~*|dT64CCiEx9xjf-(A=C&L__`N$G{C1Oq%8}(pnx?wXj~kBxbUkpEOF;4B0l?-*a>MCO8VUYzjoX>7a2cqzX=g(--L*N&jA1KxK%CxDHq}!=^;HNfZ&_l zBH0|<+M3txnJAW6dJ+}xg~U^}$4C}TQqfa_OrN1h44MVGRLa-R#eZ%bnZ3L{s@R2>7-R^~Vo5@gF}}{)vF}pI_{Mqd?@e z5dXg@5IsDW&YK)*yKmHck25W-xYfAal22a6a^p?NU0i%4P6t_$_drO5(biE%NF}D` zW?vuOAOt{3N!z+zo2sb-N;k$2NVnLqwtzJzp47t7Wafvst>~@(BHYJj7Y(O zwL@Z@Nr%J>E37AhxRtdgwL!b}C>X`}(EqOeS&asl(#I)1V59{|k%dwyCGJ#zUu!2G zillhQ7r6mk3X@}`AcZ7oXLrsw!8Qzre7tAX{JNdC?VH$`Xg7Egui>}ert=5r6 z#ve&0$uLc{YQ;{S2@(}f7{%zOpp|>PufZ)LjVj5Y&yOIoYJAoPG^n?T%~L(nkoN=p z`mZP8@wi1E|EH*K;tGwoysS3`?i-!rv9p3joOV)HhY|jhOX5uXE!PKS1cTuUds6n=bppJVM zud0+ZoG#HIX+p#nlu4a}VD4-wqt}B%xu*BQI;Ks?%oXn>HXv`Gh-eQH5KW0r6LjK+ z$LG<>aK=d+HUzQai#(=^GGh+K3!x)N+Y!2q-ZlDzirPA+7g3!={iNRzXeUhpvNkt2 zxwy~oZc1v(z3_7B6-az8cpny;mXEgEn@kPMoO|kg;O+P2aE)H6VUe8uXExwX*ij8r zf(@{aTs@=k%&Dk~R`KjsK1_O8G1~!nF-f)-Ym#@$3{r<0W}SR_ZH7vhD!Fw|ZMJb< zJCF)G*%O$XF;;9p|3D9Lg2xJkaaE`k0$5>N<1jE)QZ}Jr^n>WBf}Z0$rqJeV$ZWBx zlpRRbDg4C^HmC?Jj0FjU)zAJEl1VO{b#tU}WvSk(HW#>|AF@y`)lU2`+TJp#uJ6kh z#ogT@Sc1Dla0u@1?s|}eyIXK~cL?qd!6CSFaDp8OatH)o{@t%`_wCoW-mhQPTUGnp z-uuI>wdR^@tTD$304}XZerEPe8>FDbn5d*F?1em^7DimTSYSLb$M$LwCCXM-!sHru zVm^LH`wNxW8Ilgw$gZ;z-l*I2(^9}X+q)Gl?v_30Q`nrrpGT<60C%3T!d=C_Z~UYW z&*e(juP-%!;rkj~2*&ZOUA5~^OhnYg{-xiDN21Sf*VeS2!8*t~c?l=Pknx}=*!B5k z8Qn{3#$kMoGBnAmIHh{ZW$Un}dp*tyYTc-M2VdV$%)SVP7X3nNBvVFIks5|4fM|MS zhaK64AlYI^rG#8H!t#2ksJy~L87Yt>czy842N2hznk-=sn!}Ak=!qS=rei# z6A3rOm2b}16*oejury-kxK8zXe>{r>i>4jd@}QR2i%XT=pPi;0{98_0OSozeljJKT z^?SKF|BDw^{9k=eQ!LeN9g0||dtW{Q(ak~P0Vu=5K4Vu_R982V;q9Y)N9r7`G&A?&#Ru7d-HnnA%d!B@yGf2!BG|AonrB z@L6=7!CN4OVjtrPIh==U4@_@xqUCNZ(S|D8Z&UFsQ*d|yN&Z|gq~LUTs3?mXdaItA zTDTH3aVKzLaFsnbJ^V&!;O- zA0(}s)rBpoPnR+>cbwlQg(I^bvtfK>*o?N;^DEvF`d7>JEOVhe@#W`_R;Keip1u@H zA*2bt-=w$cSs@F5$_u5Ij3Zpr>?wbrnHz>h{%$~kcf-tGSUCA$X_rJ@^vfeq<^-`r zvJ3z%fg3-F&!SoG@$-A+ru0fkEG3I4|Kv2U3wmGvzqmJ1E{4|(A&Zq+QD6HyH`OFvAU z3u3C}N1Ow4WK{bIlQEssW6~)&eHr!`VUizIORdbh{ZuLNdK)nh2sl-BW86v0+l%{6 z5Q-2b3o4ikwZo5UlSCFxy@`=`8w0tdgvUB;m_mrN_89c`)zsluVwX`T`%ItNVHTjy ztdWrqExE2!t4))CTy45-OO%DP?v007IxOztClO;@T?D-XoS`;-vg;WQ$QMfF!%ef10_#D`X}_&t3-ka+x2760M7{` zFIps%h6uJ)=lHS^x5DvP%X^C6Om?H3U+s&eMVI{F={B-vcl&+J^=dtjq{z&esRrS9 zlu%z}GcpKbW4>BeEsI+0V^xz4+fEbTf5W3pGJ_Na4FxSEqoc96Swuq1`b3UJ*`qz= zr9zS`P^a!!E{u{^;(3bk7H)IJ&i=dw_KogC@p9x@J-VWE5k6$@RI1`avy*pz<5_5P z6tDu7d@gi?&D7}tmkkzc&}X4mlBb#XLJd4zFIOck^UQe3f(N(>Giu6yE`OS(7`u2Y z*q~YeiRU{5!#}>$LMA(46w+!q_&F3hxFgftA4c?(hHUAYpUm@jveX*R?ICnT?~@km zEf>VWRp?__{mkIBi{ZntRt&4NN?svL?DG^GoJH%%2702&h9ozIoK*F-e6g@bTDOk3WVV0v!4h zgKcE&bh&Q@@~a8tg5q}~iOUdRrq(wtTgQF1f(f84uob@2DFc&S8X`n~n0EP72hhl; zLCvqcr=@8(*}k&?a6+0mMe}rJu_KPJab*oN2~_L@QZ9I#d0LV(phA0)+8@>M|4`%; z8grK<8MO(i$Dp^eEMbYf#^%L)+UwSp_72j~eIv23!V$4&V&{o5KR_4>+;{x;i=LGi z3xAvlZ8bh6GpC2uszexu%n}-2bmki!U(ZxthR%mkEiBtv)91lW+K*)`a_vnVof!gI zPcoEz2p8%fA>q8G&J!JB`y_$6X+<&nS4SxE`AQj4y=E98-0sFg0W) z64-n3DN!QmjF57aS3GqBowJwOs&SYag$+iw4Dm5iSG!8Pn99c5GKh7*GAF5;?UBIY zl!sw3Uf@LYzH}6YagDJGaxz`wZMp1Tf4+Rb>(179P%VQiREbIPCB3~M`%nrn{@QHP z#{=gosOv_pgX%Q4wRXQQy)aMYWr@<05+1$Y%=mFtiQFI8RdkID2lofCA01RdCT#c<1ZKr9tV2e_P z7H>_{rd25q?N1aO5V3a+>1t!k?b%NfQY%see&_N8_8LY5zFr-NL!P=ChaDpBa4j32 zYCUNjPhvY*;*N%uIvcYX6(hh(B z?OY8~b8oFcj<3t5zJo9c9qo(?E#MLn6 zthtdI*t-e^Mr2(vMj^=X&JPAFF39lS4+dP5WwD{+XaeG-vKulI$@56}<;iHfof{`4 zg>Mr?=nN&3-P?Hl&2sTnG4xD4~|wI%YEC;B{Zj~B$h%m zqu4oYBPK*2Y5Mn97<~;{-}9uTfCHFPJym@Ixfr{7UB-m~@dk{%(&F4ds8u9&4tEHsR_jEvv_ z6(RIyXsJAWmQ;F|S0_79Y zV#Ue9DL*PDU6i@a&sOWs3TVc0O+O;c0hog6ecPaZH0d9a!N|;A;zZl-rhT%x5`Ft~ zuf8sY9%=J=BWbU+4#oZRo5&QSuIVy#9DE=3RLyf1WQzseRp%% z_hW24c5QF~%^@{B)hukP1FBf<2Qo&!;2uaK8{yvVGYVxRmUH7fBazkg(AnK-%f8`m zHP~F3Jt%BM*vQUPmjIqwXh790&6zmIIuM!ldgf)!1=>XY$UB<$86UfGnX|7^2*SgU zQ94X@NCQJL4B}Ku^@iCC1z>3{g(sQcF-cX*l@V4Xn}o(G4iW7~6Gh`grsVhPM0QY_(9F?eFyE_wDHT8OHPS-(NB;1CT}n z0_0hC#@0L^R^Mm^(H%X8Gf=8Yw%jBgq{=(T z!B@l8(P+tiIIrkYN|-lxjz>mJ667p}9yuTSu{2|hx7aVoQIOh!paRsWf5A;-v$?@w zh8EII9TgQuRJyA=osDUUjf;Oz>XujLqO)j>#$(B4j>C)7^}hww&?B3$MWjouthl%z z0Bo{vZ%c7LeT+FbX4D&u)zv%A*r&@v;wujZsW913PG?8+vgqzk3+TvKIz z<|`z`xrxL%%8Q-uaECPvIs2QA7PNUMA{-QjIeyphmn>6P64G6r@FK9(P6onOf|VaG9&` zO1wi5%+BP^4YV!I8+Zy2d;81ii2IJRmCdNOtYhqafp%NR3m-+Uks{sl0w!j~E3*{- zUq_!;bKKxc6voN=>KFt#i(FR|ppEC1(nh8$t5FVon^P&lC-ML<9r>__h5BcKs{o*k zGFkb|b1JFp-Q}q~zo@1{9luFVvr6q?{J=tIy4nwu>EXaH4-Dxal*YS=@FhuA!YhLV zl`QBC)nym+Y7*cP);%htIMKWibz3x4!(BB;D!CfQln;fNJw*99Lce~^-bg|rjhA@D zxRp;YBO-2xH{$v&0TSR6!>9{horOL3^QiyTnk>w{P+l?Ac3NxaSBY#nD#sscO&ZA= zCMs^@@U9wJ#&jX%I#J_ z6$UgU>Jvs~pH6D?c)JHybzNjA8e~rt%E+rO*lT*qjQ40c2=T$Od$b>x9AWuQJcOW?F5f#?BCf` zT}&G)wbM_|X$c;4!Q=Hb=yF@f=+Jc$g||g2VR7BY@v!vIa7+ z1B*%37822cTZYGc`$lE8MH>rzJr_4HE92)GzcGx?9nDrA7*q9{Zb-%Iv3v{3RMqGF zo6qoiYHA-K(vopyI+Ne3$s02s;O0^#?fm^8<2g2dWDq9?7-4n>qFKgOw3D<)7HXh# zG3RF_?2{5XoL;n|_cm*$Zm1`KuG>UHzL~)>5jrmsIo__I6#4x9s-XJel*dSZV>B(T z8T4h|L5z1YQ|)c%K0l?w>X5*b&%*KG=UOCRoV!tHyTIV@kqM;*hi5*8JqL&&S&yER zC3Rt$O9KKC!3uncrzL%0CyNhi{!QR885V zgzl4?Sk19+!#NUETo!n_6@&?0(5A5wVT|EqsQdO2bAJ-iLmZ>|-lL5FcQ4;@#cvJ& zy1S!}6cOXPbe6LvWcl?Y6k*&4oIFj`5wqVC_R8I2Pw^?N1WJSL2TGpaP-_Bv0wfy1 zx7TDU0W|cXpaY?X%U9NxtZ$Jp0J&l_+m6gWD_m1RD`sl+1{?1pK8L~74CztSU%Q?n z{uEdCp&$wb57?89RzR5*#CQA9P?sGza}yVf#2VsO(I(pnoINVMeg!Fnjcb@VrH6(9|le#^7i6H z#?u{#Ycz0k5wI|Ibshd>4rC0#I1>u*lYW)&$o})6?i2nc*Y&Qi zTzvJHn-}m`gOK+h%^84|yQ;gDwUxV-v&G-=SU8#i0RPLg^}m@i`C2a;|7t%WfazgI z9R+_a5pKmtMq*mEH(2IH7Pr8~g;ujS=%HQnaBJz=+0p$?_^|dv)-@;lZ8Q5#E%B5d z+u2w;H~X^hZ*!&psgL)2z@N1}C~Z(}XLM(Lcr3*z;zE+=+n1?zRl9^=6Gp^OmSvh% zhW$y65Y~FCku^j{gs;b|0{woUEHuV5VmTVN$7$e&7%2{sWiQu13%(OI4hcT<r;|+SzhMa#rTv zsq?EExs#(_UH}~l{%6|Eooxaw8=MWrM4jXskh{Jj?1~;3?8L;U?^UTQnqJ z0xT4{-x0On5m6*Qp*7X1!NkpMAw7cA@TK#2|JbT)K5k(>c5Y(7_+q(Z2bn7G%%?sP zY@GN^rMOPZOS|uf6_GGivKk!M47aOGu}s~-IWs|LfQ>Y|sB2Q`$KJ^>VF2t>Go50r zo8|P78x^M_`dX99uk{q@r^!pPaa42yc@jr{52cw3Z97--$@r$#itt}f@mFPFkZTEoxfzk6Mt$O^wQDiP0zd^xAaPTb(Wna&@tR$8%zQtHOx_r%9< zFq1FG-P9o+@9#Z^ESH>}x0sDjdks-v{ycxm_}DUw5!#I`-STRTaKBkZ@+B*%GB2io zzVaY-A`M&io{4J`wG)bR#z6mdv7PhK9A}AuMR=a2@ZaB1?c0&@ zFG6#0Re}GOcmG{GmHcmV`I~P4*P!`-Pc9yI|F`=y%0F>`7J;gmIsMBlzJmWpyWPKj z{%>4PfQz+EJI)v6Z3J*AFH#v!i?bU@e!eO;qke6JN)X z^}+hnd+ck7v2Rlwj!~O-lE{6jem*SM7yi02fv4-1d6Cm=!0G%R)TXq9p=2xuh0>P z_}-(dZbDMyUYvb4rkY;k#>?+K&=MI?k}p)XKGlYq$lsC9T%ZL?E2`+Ai`zubQ`49g z>=`!3e@HDFFmX+`R8_^^ss=OVBpgq&I7o-emSnedkzvYS-F_`$b4ZUZBLKK-)yPH4 zun3kmJ3*I}GOahDO!?yS?rD!Bp64}r#`m4oU+GGsY zh+N>{0smnPO{a3qniFR3=g_T#g}feq7=T0p#Je*W9LOWkjA4@}42V2bf{-AkTsRC~ z{D#R~GM{kTctgN-%mBH!4H6~3O9WM1t9UUzk z=A^rW*!R@>_gB3!CB-QGijR)CUX(c?y{)Ecl%*$A-0S6n3(LlwdbV$lh-V)Og@*<| zI0Oby77}d>j$>U(DE;-VOD*<n4L^9k&FW%3qnY0{gI#zqn0ZT0mw27uR@eaLhu=ZpM^bSK ztae8BLg^iNZ?a~tN8e(d=&Y(_Q6k{lV znKmdpuKxjYLUPGGNi_z_ia@MV1c8-=yIPn4QykEa`Yz2aMa&a*FD3|YxDV;+!*&uF zYS=E^E}S@=3kj22INX&0WEL)qHTR*H5(JAxDFwkAj>7&N3RVkG`ruCn!bK{S0^)%* zkP2lWXu~$xpQHX-F|$$mV}lfsOk^M^!#ddgkzmho7b<^TkO9)33PAP=ix2S$Pc;U-kCI3OLQ0T~GTunTrz6xc6Zl2Eb*PFwI085FCqTE^O7^r3c}D$gciL4w3DTT*uG=&4&jDadL)rhQ)e z%$rqOqv<{a;kOywIxw$;Ghg=U!0ME}iuT?^*p0eBadUL(O=s!Wj>^otFpbe0Z)5OF zRuDTz;^4VGZ4y`_1x#%dyQKMi%hu$f-zts6TN`oMZ>k^9hCra0E#%TUfm4El4TePXy5=Tz2S5_FENwafO7olZ^8?XN! z%;{8XOLi_*(qLZU*}<`t>{hj5sMlB(lUBX?V4sK|b6yi^R3Z69N)ui~O`3wK0y6jb`V0!7nk| z^OHt0L_ewD4^w|0yE{e;dD8hU=+M^$thLiLe|RnHGndOU#^u zcKL9ZfT%ytuW=YGdjI-Fnac-nH^6=ll6N7_{iAn>=IWvwHg(~wT@)R?5~c;zZjdBl zvyTSRCEsj?e6|(#CbO=F<90yEQD#V=ja!?l3=TTPdwJ=pF!dbVyQsD6PHCTrtLp z;ZIB6C94@9JnOm2>1TJI_e_BK`4E5%v%3_*ju{V~~&(uzuJJaTFNdIj>|2CtvJbzgXeZte4(3R~z zgXHx0))|pYW{u;}Lqwx8f5x~wWJ9(m3weqwwKdm=1)Z~F0gFo--a02T8zF&RX>(*| z;S+%A%1XaA$!>{gsrb(fGk*3TO>>L|=o4OF0xsFZnJez0ZE>p;PmixYB0Jx=<@h*U zigL4i&ak*tI@rCJTC&6L$xg6DGWCiKvPbTPPmp%TwYJWBg2odI9Kfrr%JtZK=?pSC zuOH2+-9}5xb1ALlnc4q7wmfHoM!Rpw1On#R0{fqayY?L@-&}rqm1~lEwuu=rsEXRw z6r0I%m*d;lNb_gb*DKgusGrv~WJj(w7+u6%Q%{tyD`+G*md;delM0l)2p)gVv3J~z zq4mBWgG8IDUCJ)TYg{IQ8j58KfeIg`_))qB!#fqifcJx6vW7nCnK$3~@j8njn zR@TLsCyFF%QOvz_J<|M~RPLjK>!dX%iY=2MEW=pDqvpAI*ECuH0T|>p)}7ANkKLJ)RpVP8t|;7@P7h@?w+Q z563+}uX20jcul#AT<0amNM~G+A#nv?Y!$>r;3|h6Fblc|y0n~gTW|086u{~#QvjeR zcnu3gFyp!-Gtn?O3ble-P|wKv58c-!B!<}`L~%_OR$wzii`l9}p|s2ea@yzd%mY%M zxKAu2;!P3(`Nui-6%)Apna+TE{7+<_y|g#JbS{G`-G-L8H!0h)|JzL)2C zgu+{>fuCiv&s1L6d{0ZND&fSfzGW2y@KG@XARt+`fJsU zWf}%f4U+kV7kQJb_BB>k-3Ef1>2qFa0>|muvEB5L7Ajg9#RKZKXSibC!K#BxE|~@+%e*fw1>P$=g+q-51}|S2EFXRL{J7(;sqiF z>O!y~YM@oH#ccmt`2Zr^=N)ze5k{DVnh*rS2WmlhAf6z; zeZGjj&^>}c#6TU0h%O;(_{cE!Iu>ha=`hDSULYkaLHmAZ6S~kaa;MaKgoqiXGD_vX zI*79~AB+f&8m1hgL=A!oVtggeBVdhU3f~$b24T3$g62WW!|ARzAjZx(SAscYoe>kH zwZXPwPgfm~v#U3V4b&Ju5IKPTM)0N#@#~CoRq4!hb?HoUHR&vL4FU#(#eiSIrod>h zDliM|3JeE-0j7a%f$?BnU>+C<3dH~upzJj><=D--RIxrjT4vYZH1Jl9wzyz>9Fdys-3}F zn^cCLiTS?*e8%KZP@MmR+^h8$W%*Bt@V{`J{{|5r)AjQ=c+wIbIP0f1*;N)EH5==hLG_FZ zsvf|1`3-%du=H=uwtR{BkpJ9VO{}vfIeVr2+_ysWv`rSi0 zfB@yUp@vxo62KHjqO7!DfkzvR5Osx4Yi5957}w(C9iSWG!BiF%XPRF+>!|h#sTh!) z{U`!v04B?@eR%d3kKB6*#tcl#QPKEC#xtNYYlHNF$oX1~7z)-qc;ifXi_X!pgjiro zDRzC9EuGi+g%YD#(I#4KF(BsH{{?bt3DLlm0<|o^67vMHj+m&vXHr0LUh(A~tVjZ+ z>jLm0g;i2@&@&rho9EA?}Wcb--x%X)nhcnK((+(R&T=Cu_m!E53OnwdS z4eu>Z?JwHiiExuoRh6X zMV_wQLW{qn-MqMSx#bOBx8fIJRgt-6{oSmjmz1lh?a}`myX-AManod=Lz-FU$nq2w`+w}L(a6qMUqhCING_j143<)0!YhPv z`%Dhsw?!ix29_>;Vv?bB@R-!Ln^5mWU{GJ|@3nAi@hK!F*OKi>J(~kzONkhfa)ENy zV9D3IZe?3Mx968b6Vy-xqT4aauIY{O=63%xy;HLL$A{Odf_9aS@vEk6=Cd1JRz&5iTquToVguI`%w)Bi&y~K+}s6J_!o)Sgj|JQ40+{{ z_A0}Jwe5VxlXzj@5*O}VpY`ufWneyM^KNJbAJ*(@P0zqTc^<^_2_&-Wb~L3b`%?|G z{7&@VE1K5olmTDMrgIB6q|78g7X08EUsxX1qp|66qzn_>KC1xF+RWo8mbC~zb$wPo z8tN-0a@N32tpM?faBvDH0xV1=D7lo6*x&rDaRl&+g;IsB;t9S1Hx&<`CW(B)Klof6 zK@>knc<*$NU+uq#q`eO3%EIP^9;uLY^=rNjy~~EMx00rOlIVXgW)KPJE2rPBGfk{a zmT=K7dyt}?X}@4={FqNJjk}V(0|CxDdZw2c@RtL)XSteCxLl1Ryd~5kC_^ZN|24Eb}eVZ3U-S(2U3IdOTK^wbFRX9 zwlO&kP4#JXvW}HOwu)7tcH=iE$DY`>XEl%Ygs4Zwd?6dx*kF{T!PY4fT~(_O1TsQ~ zn9Aj;;cwEw*kHb@Cb3d-W<|0l<_G~f#;qwXan{QDyevjVWwkk;d*t+;=n2z9v!)dp zjJ&f#3LbjYYDXC>p$#R@9zCm$CL_k4be@{J7-^=#k81J5=Y}<=Q`>dJaF(hp6!jyv z2|L=37!{o3#@S=4K9J}s{q<`;iK~&}xR34Mb{GxAE1N=PsJVB-N_Tpa@#adq8fmWP zX_G8+Bnj0vjC(EGlURQfcMhAL=IkK+u(ME9@je}|ppRD^TEycTC7Cc5Nu4C{<>Mzm z?=Y}-V%$=hb0y_*TW$EZwE>;($*yIMsI@wY!GUBn!6d{{eTwG3&^yzu>6NupEP>om zRhJ97Z!%7QXbwy#H}mFn@Wh;VxY59YC&(H?iB zY91iwfQ{5!WPA)yn_@I0s}LcQQo{wACd8?Z)Y1aGTb*)$Qhz-@u8z-66*$Z^&5^MS zrJ$t`pAFVJUiN*q$~6nFOP5$>jqe{<((s$ktr66&QoUucjJiEDY%Gus!B=0@RQC^m z&4SId{ov-4UESnn;`NickG+k%zX9Bws$zLXSA0vf!ju`vtCIRT$5H8SR_f9DPqKL2 z@V2mwOM$ph8Y66~LH%*iL-3eER+*`y&2CXyY9yI>)YIL0*S#hMn-Q6k%_IThuKO%| zA$6~E9i91`zo$LP-l%)RPl1T=*EoZY*xX&?47UxBy>3rt#dar`;`l6=IC&q;Vy?ai z9J`k=;+UgP_lX=;Cr7h<0#iYa3A@_l4=(rcsB6xZJq8HB!>lYbB)?NMjSN&I9j2`Mi9He-^871yv6pOG`!tY=Z!jehm zzc~E2AmRr(kZ2F$kD8E&-E#3duY!7D-R`s7(p#t(im^ClU=ZWSmSOgyC9u{h(Ic@0 zeLje5ax0pAS?3(s0+DxECL)ZXWGA=LwKL#e{TOAcn|vB!cey=l1GXa4rAED+f5K2= zHK!vS0+~}B;7`m8Kemp6Ib@?mGBEg3F;rRg1%c%y6?v60(YRezcBgBa!)x)fOz1DumH-Nd?uOUgq8M0TKJvIK{05~ynD9$52J7FD<%G)S;Kn`;&NVu`F{3Gy9T*aNIhHS(O${<;``Is;tw>TyVI;`wwzxN&lwO`0(tx`n$-Yo_AWmLt7se`C@;CTGZEBqZ99HcO@P8f%WAE%Sci+vbC z`*f6K1U>dRA586vi2f-@9u^rbxj2{N!Xmj;1dx(Fgw@0-#z=I>(yp7?I;hiv2b?)MNwhckK z3Sg#_jXGi#FAI|%l*QyT9yfpDoLm3rnjas+OU`iiVk5uqDt1N1*?#Jc5(M@`)aFq7 zgGGnP%mZOMVS`{Hxg&f0Zv_*3(!J0Fmze}+4lR@QaLqp1@^d(b>^j|Kis;Br&I9dm z0{yHOT_{L_8>94!`ccDcWP`O1dN9qBAcH;K&_wz6FUAT-W~p~=H`03Ai>mdRxAG0c zs9=Wp0hbZ)qIePFYaP&9-f3jEamlr_QZbS*$}qN4_IVC__QRumC%4k9=(sF2)^>?OGveixDv?^58>A z@y;?a`0o9ET$W0`P?}-RcpEI^M-Vs?N}x(ovv7z+E|R#uSgbqk+m+I8XgNrf zIpdEr*6FCk;p>|0p&loa0;>*n(RNF_LiC3Bn~ZNAHI!w@Mey-V2KkfGw#*~{Q{*F& z!KjXCMgMK_3D)W|-rApw_Um#bPfE#vao(T(muGKY7a`tHzB}^)m^*5*2C61_rC}5~ z%L>pOR07>8878f*n(R4OjkVHDbp0;y6hMMRJ7EHNG*N6C<#DPdJTzt{h4@eUv>YXJ zo8;?Ln}!E^t`3`8ZlmQ_#1z=AeIqmwdQzFtb~D;_(RjEHbX;-&ETqk5t=6q(PY1-N z2iu)>Z99?m8hy6*6@AKf9(~dFw#{Ua%?HOE?6-eY_{00lb3lUn*U`BaKyOC>mrxb) zSHu1vUY|;M0_>cv000Sb4LQmGN=^7boS_3W^fZ=K@!oz!M1K7yMbOOpy`-EOA3tp@ zg8B=7wYrp;Axwf|Q(2o_`hdiHFx%(-csv;!|N9}zW1w^sF&dbaE zQz4WLSbNw3VfWf7p*(WBM)+G}bNHG`-#ZXVWX&&gUF^!CM0H>S%l+#>N@rwkS0~(!< z%I?_1!XWrtQ)!@PsolzYVn(xENmhCKl_p;~X}70NFdNxTC02j7V(k8->t!q3*4FTK zXGtzs_KwlY7rM5(9F2Ok@-y_OM%1sKfMUiQnVmcy^%!qnBQY_)OaTYz5@*5c4r~Xu z+$BYgc_J-Y`EFu9^&GQXHT3T6?*?s*)EhJ;&-nfgSDaE?wO|;)qRu z&iL({Y^|o?i2Hya4q;fQI>T9sGZ$G(5qG$wW1zIH>dcJBv8XvC_0XEmWWz7R?lr#L z7@Efj_Vf32Gam{%!-3Vu`FY_ymg$M6FV534QaK{kh#SE<%0*cnt_I_zj!mQ>J`P4x z52hBSh#{fN}yk!AaPQs%> zbUR0`y~#t85anpIwUNK-VBY-P5XQtovU1it^fzi46URBRh$ZP9f%;_XQ5X`r6+$d< zK;-;I6Qa?EdPpeYVw1@TMNN~Clh@ahm%x>UNF%#9ef?Gm(&pOp!y@=_ybacxV0t>+ zMu*fcZMU129igs;fILuMnharP@_P?=SLZ_9HzWN^{nyb4ersQ5R_pf=Ckg=13mI3R zFd=~M5jf_ztLz;c)slpuK09G6@X2QH1$Jr^8~?ACEw+=q5VF2E*&%a%?3v|mm%HjK z{C_YT{vFj4g-rhCIgVicBQ3&zF^2x1OY^_58~zW=Ge5)IKUIrxV%}-h4*4rt29b=K z3<*j)orD5N?yfbVOvPMgD>zJINuQaeLVPI1&n0jF(|(nKrmY&@)9#k{P2bb%Q{GG3 z+7nISuGu@}?HX|1WwZTq^f}-mb>azjkW7mY?K)U!dY`1T7Oh<@h#~2kV4J2>hyI!= z>;(ip6h;B8LYp$p%%UWira5@{H0;cAb2}(T453peM)NgwA&!p>44;sqB)r5G4gu2+ z2ZmQ-703V)KziY)SOu~`XpnSxCYG@b5FVrzzJ_Hi3xowJg?C`>$^a2TM&VCbyRr}{ zkYsog*607!pnh`Z6X&&?y4^1vrcr~pe zl;WJ28k`ko%@#GSVnLV{GEIQm?60b4iZ-#3o^^nFe~->kRr+mPePye*XPcL|W_eu1 z+;yUT!$4mP6QvVNJL4xkV(X`Z$H!fNwUAf_qSgF8UGB|IlxJg%{f6_)YvxtPEXw%C zb3GkT`@n~sg7(+n5-M63zaNZz+Up&zoTmwEeB(qSRulcT$R~Jv;v$q*@Rd;VuphPe zQ5w*u55c$wex!aV&oin4iKb&H@VklriwAX^HKuA!2Lnf&s+X5FC@yDLmnYOCGJ*t) z3gvA%JVuiEfJ^!$!5_5*HKn~x=+5}t*Ab*3dNxOVLrgv(i1+MQm9%l2u9lxx!T}L# zzovU)Lk7@H=<=&>n{g*Wg9iIIdIy#coLOxj`sVFc`!R=Vn7vaK>C0)n_&>cOy~TYZ zCSL*3mg={9##U8LR0mpWR)2j|@U2$q)O*)5Hc~)+iF%XFwL;0WXCX8s4o4elc1^Xl z0{GKl2^(rV{a2!xk3U||kdIL#3M8UGatg-MrO5a>BSmgAHA*sDU8hDv^HZ*3rdu~ocP2y7p`F<} zpLeT4*hG;wjCUmp{Mu^HBDEjv_CeQn}*Bm&b#R z6gY#t+i=GkE+=lejH*dBIv}INxo5_Qu63qwd4(;j3sl24#h62m$-G9%2$HgLcR;6lew?K)x#k9cG>MSeKF**su-vAjLbHKR8dHiS1rLR|wnH1z1lZa>E ziMHiqJT4SJ()8jtI0{pc`Z3@8$A8#~LC zUwsC$#~veahLHfHIFh^J9(`jL9|;-E!_~06%TgR<_2@CWZSGroU9Bl*W+sxXQ#&y)l z?ZPddBO+*EqE$>%Ij>rc9>|UTB)$K>LKJ7OFhercb*8X`lV3U6_`DbR7PI2;%K`GU z{QZG@S? z&oM4^aVYFhjTy>&<+q6UQ@1R-thy0vOi@q#Fvt#^l24%dx`1(~^j zaj2po6d##=6?Nt8>gsS#KwbXI$Vkn>=*ArB=S5Nj8KFkx7j5qt9eJ3wdw1-PZQHhOJ006j2c3?Mj%~AJ zqhi~tIO*8v;G}2H`<$6Ma|R#Ytd&};zSM{M@7niu@BQ1?Rv@i-_%3+p1L_hZWR7Hs zB;hnZ(<+QLhqbK2JEPf!N})cx41%?!cdX0dw2%zK6(re6@~Wi6tqciu?|+i$*CZ@% zNGmtDAh+lib(r21*iPt^V`uhW!b|pT|5H>-rFDt`eo&TUyDv|5v@=JgzTe}cG{c;5 zXt9d|Y@rK7dfa5Il`+p@p7b%^?4Il7VX<>@Xfad#XOraF?Qxd$Q9OycR0Dnjg}F4+ zThGE`#km^k^a4vr7dD7AlhOcgjkO^w0ukZ^&-4+#M4B{^^npyF`ZUzC2=4G0Lxp1Q zap&~0Op%+^&>5eD?FN7Dq!?3$5BrZ}o@@AtT=QUaDVJjNq60df2<{9F=8A@WXr1v) z(S`9=wZ2SA+0>h|WLcV(9#1HZToFG>J!!DubYt}{4Q(~h zRam66ytl?+=d{eu_bNY-n2=8|vC6LY3OPti&tRX*zdOczFF!JkcPVri%%mOoz0Fs) ziFhdcEkqR5qmF*urdjiB zq+eRD8%VaXYn@C!v1{#3#+Lt=~7-wa*I}u9~NH#zhx@whSD0Uo(TsBB@JI zKyTgP*fbi;YFamw?WXrwbB?8dSar^!e^|TzWQXPz#}UpaRuX=iKPOI5%qm8Z$0|-x zG(pmSOc&4Z+BEzri0adOh7lgc3)cee(gGuUUBU@ihgSK8ZV3XnlFp; z8Z?XXnna)I8bzP#nnj=N8djg~y1et8UDu#QR%|m@R(!K?Ol&iMOnkGLR%|nmR(!Ll zLTt03LVUA1<(uJHXp>L>HC0q?cgdyV-z&%_3iTP}4<<$IgGu50&-$YOw}SjzF6I9r zA3wO1Z>SzvP?s0zmPS$0PpNP&BZjyxtrSapgZm8Y`m-#GYf&KNXEaPh8-M*X8h?$| zdhp7Y_2Tc|yYu?C|0L5j693+GswC5>yaYSH0D2c#jDzhirmB-ytP@0A&)#@!Ye%E6 zoMNT+<;xb_hIowZ&*oyBHHmP=Rzzp92e(mQ_J#fiRO$1h&@1G={N=Ld|efpxY zN(7~49UovykcOb9Bhw!+#fsesT65fp>aSpm=wHB;n7@E2(0ghOv4wvDQ>gv|rs$|X z{j4>Cu)q#PH%3SroHf$xOugoEO=L6VOS--j8AYYybqc%|OLPltO<>yWyKnJjS-dn( zM#Xc5ZS&DYbXii9M_-n8J(n2TW1uUr)LK`~g#<|9~lhe*sfc{sN}>{sB{7|9~k_ zkAJ`vqQ8JCNPh)W*#9?};zmZ;`~QI{MXKBbA7BcW%pt=Q*9VxAJ^i;}3JQ7DXX%X{ z2n8dMvCEE-%{kWqsv*{}R$N}$MmR43cYoo~z|@?e=q3M`+`gc|iz^cT8R+gZ*%uwJ zO*5MH?}z$%j6BQ7>R)vf5=q_>k}lo!MB$<4{0gmlr=BUhyki9C{Cr}%rx&m#yhppQ zMfVT39^E$<6TeBi&^%_n3ZwGB1-un%BEd<#h<9TZ3|qX&*UUx@g1!bCIk5tszQ{My zR-9t|il?Tppqo-t*9@6eS4YQgaFewdV_nE=Tb)GD1GJ@2uE@#Df8Z-d-E}q;x&>v) z`m-Bzc@eHns>9T0Kd37vh6t-i``#Tj7i8E~qVp(}vPVPz|Ho78p}I+4XkOAUPcCr* z4gd$3ZiH^q7lukWOn}igv@JAduktpQEo?VbGv@!`DS@?c-T;A2G=<&)0Qm=k^018< zBp4)!@`m*$_NdB5uLqj~lk$P5OaT=DIel5d?LGRzv_N}+c8f|2?3+jnPBn6M@JUd6 zAAeAHP&djO&YQ%e?j!H^#dbEJ6SxWR1$F^mfo}jX;CDbEuooZ-%mefRw*jQUct8Pg z24DjG3D^Z*0Z@VAfCS(OKowX9m;)XISb=GPdf*Dc1=tF>0X_lXfWd%B-~d1tSOgdY z?gMCnNq`FA0>B2?2si=W0dRrQfDGUSKo?jASOcB`c!61fcHjoU8`uf>4SWSa0RsV{ zz&?N^umCUw+yzhq696T^Ie-PQ9&iY}0bl|n0V%*SfaYb{Ki1e@8FaHFK1P2`J{Gyq z|7U4f%E86;-;*iPD*B43%1Hdp^r!Bvu=-C(YIN#(5XTWYRAI1Txxqi!lrQb97+Xx+ z*G}yr{9vy>=I(rYexQ+t3-%D2Wwuwr288>j&ZTj)x_oRjeZRWH^=GEDIqH+b>ujN( zC=I9#!GgE4FTU#uceVm$3BJRbQ4F=u+(cxcUsCuLx^In#J}qfHG(itGs%JwO4F0k)}HRZ-G0f< zn4=9=HO6|aDPT8?Z-!f!2)jKmnj)|ymmMnj=Bu)c^gBa}64ogJ3 z!Lj$B9L={6&(HY!4-*X9SPF_CaZ4PP5&wi!NSju_iwr`*zeN3e-9c?(;LH1ArP}`S z&-$MQysE3SnUURp24vYOjVSUY@@EG)Td4_pm`~5UdiQF1k zEJJ=rCm5n_I_>663hJ6Do-|)gxk|Gi*1a_!Ww?yk=2Li*?cXgWnnJ%$QXDU?1X7Zo zRXFziv<@U4`)O{1kBgVV(Nt?!5J1=0Rq||1#!GM)X-vLO1xx1S%XvDg1>Z;*X0=Vr zf(@IH{T+8R+`WX7e?lpJVllh%b!?K7LKs{hvi_^LiVN20J2lY*)jj*jA=&{~IME#3 zypS;>#j8=BieWfSo69W?3X4en8|*y`%y2ING?@Gx5`MMEex}F!K7SLB)NSsoNY{*< z=Ae||yU)T9Q*k&4eqj0j_b>nFIsKWP@YCU`Ek1#+K7ba%a8j{$9n(B!rqaj6Oot!PY5SifZd*ws@V!ZMSOm?Cz0FDCe##b1e?Ti3Np=kLWl<=ldFem z44)(n^OG#>ghWOEd?_s`t)mHak+<{)F$FIGAq$2+g9^*w-p4c^Q1a`9dBsZk?6?~Q zn{dV{eYmKJ&D!EbThLWNI>FmldW-^X3gbK97qnn*$OlqwElY|E1Ijs-J{P zLJ^?e?dD$hXDryIz2D&YARGDIul`Bp0Tguc;mI<-FKs~$=bd=vv;waAV^zkuP&fmT zLl*wR%{x$ESoH*#1B7*Y6RP%Nhn-WED2rNeoYUt$BuuS#tL^1r@a7XOJR5gdqchIGMX*V@R;g+Sa+Ff7xeqa z3sxJ^?qu159T=heG7{e7ibLs|F-;pGOOvd=ZWPys|4t58!91?Xd*%mh2yEL$)Fb)D z4ax18-Ze(V7Dh~X`8m+o+m6w5nCJk`ZMM|C$bdmlODkgPCv>4I67MFi%vtLNBn{tVC!F4E>vms- zX8g(!S=)B0X0!$_WG5qxUcRSCO^+cl24kpz&i+0lG@5L*36yn)v5#T&bZ;& zy09b^7bKiQ@S|0SQ!TlnwkJjH_xRFnlqpkx- zHd>^fy?CMm(K~B$^q?FLDiwvr_zx|f-#OM2^K{DOZ?R$N?C<8*El%fd37+d?yJUS< zFRWr$!XZF#8|=0p^wnl=b^)33Dk0SS^ce8ROl5}>_snVm_gcAdZ~3%l@H|J)Sc!f) zcD;muABeJ$b4oNHW5kLdF-rX}LR-qr=wpkmh^>Rk->z`~f7C^aG{e8>X`;Uoj5Cdo zn~Z>`2Zcz@u6`KSE|)W#qpW@x5@qoRm6j=JicN!(!!keejwS2Yw^?Yj(MPdqU>GP` zD1>AZpKEK>dGIZhZ9H#(7uw~%*x>EJCg{!w#JZgGANm|-dY^Y53J`ez>W%zVJ6fo}f>gQ#b9}Hy{)isXlRLggZ0*asuOfq;dkI zdnR({W-LSQgoiVfsXjkON>bN{B7S?_T2bV{0Bxal9b+gF!7o>kns$7!ZnO;Rum%qu zzmEh~Y8hX9alDEkVO}YPNg&prMlL*}v_CnscL821D!qavW9zn;Qhl-z2;qRZAU>+X zjv`AeZ8K(t=v1AsfOIqLm6>XQ+@^|>iyY^;E%BBhD|&c5hbj?NiJI*2vJ)?o*i%(2MCu9jUy3WX^LZs4X_|SkPw~YvLW# zG023Sx?ZoMtw?5aanlQK3W!lWIYppxH0<(=C&Z}|4SnnRA?sl=ybXAqs-N){Ix|Oox$|hs$kk)L8)P+a^W1!MM)7eN-jTeUF~f0pk5<1K+IKLsY%Fy zp0>o}kX4(03hrb=aZ0NJQa?kcfj|X#LV{GZRTDUlUb##mb_%>Mjnb}KfsOby!ZRXY%#MxVAc zuJC;{)0MuR;8VFXK;KQ@Q9op3mU(eA+X2bh%Y;uG=9;PYA=vb>MzMR3VDqFlLMj)?O?$kVHM0D353#PuHzN7<&s(KDy0}!FV z&GY3MP7@&JMGy9IN$raIt3V09ij+msi-bcQDkJNP><^Rp+*}TUeRu)XKe1USBVmQB zF_Jtg(RKMx^8o@X0+yI+vLv%om*Bb-hDifzFgt{gaMQkU#3KChVNyNiv-Ww&6<=nb z={SaO-~BFF?>~0zq^N4hSB%3)l*#(%>cY9cX0&#Rfp-o|0DkZSP36Vg**K}Up-h2KkyUc?U$!NR= zp{M-4kDi#G+v6B!QLiTn_IZ^7m>+m?@)qQ9TS}?IdBgl#$NuoUNodR zSnMvJXhKM$4RQ6l*L0^$W`ao6h zyxyG+LNbE6X;!c)sULUbrm>6*_si1KqWerrD+7AU0V5z%ocpnXw!eZp#X^fLf!#{`9irpw|VJ?;+J7@jhxo8o#i72kXL;C3e()NeM0Ut zh`LF%J=C#{fa16Aau)4;M%l5nNwrw=%;Sx1rs`PJH`yO9RUz_&@WhtGB1C5P0NjtazY z|H6m}_g{z+D~JC=YR?N~DgD`TL-L`-|49|{f28)uhl*IaiaOZ4xEk5J{s-M3{FqLK zJ|?u_*b_E|*~DdAIsES<#*%6cs=;xgBxI2wMZ};fi^9dhz zwmoETb=MGnvcOW!JyB6Ig(nTkB+8<Zk*F9Xcp2(aG+%)E@#xFWKL|&BE%H>Nj~h(N#|`G6tPc8zuT|RK z(arU*wXT1EoALeMtGR7>opx|m+34+lp;0NNw@mjSghPj;eMU}{LJ$$bD^0MCpmqxA z{2l?elS0%VEX$Z%EQQzNxGM?58m{^kT5Hv-o!3ENv+~>9^F4l#n_fLra1tAxo}o+p z1bO5*%>_ffwu!2t!Ay{i@kT#7$V4po!G++1ffsqVZaHLUS9yif*Q^Xz!qF68Z0eP- z(-&-}S~i+K;y%jgOt@XE*ZKS)1^d*BJ^7KPi(RaIWMh873SH1vK>zx}4k_&VX;Wd3 zG1laAzNn;osDMsXbW{)J_SOAb^PJEpXz<$76J*XW|{F#@GZb@ z<*Ibdu-SRNC7PRVC3PSwnl3|?%gzKOlB4*k<@=9l_>1ItOe&7{tXZ2C%O5cY%k<(? zB7(~|=oLkUl;Citlu^QlXYbe?WhVO&l~)cvXIjxhZ7RNxt|#uV23gtH(&)56D2&>8 z|G|y9tvsg-(eZvRTod;&>76N~>{D!>s@YD3RF`g%mgi46xEuO}J&re$Qa+I10!mOq zxzKWv71}31Nk72JS(y0Gr>6F)$mtQ~6J}HTp|0TVnW%)sNyF?Eem3Te&XB3) zjf!j>sd7n=Z4oxaBi46sK$PNwI`u*vGg@bCk44PmzR-d`yG@(_Jo0q=8_J8F;mW-q zsOB(8CeRRe5cMmk$V>_I&@xLfPa<*w9;&|pr|chZFrVH66nH)YaqJ@ynf`Us`1pM* zy1D*WkvR3I(eSouKh|UxFESQemzz&wR-x7*BS-hu5-nY+fSJIw-4_VEF|eUYOc3@h zJe-ozfDH{5@ePFFHLyR;%mydW{`$cCVuF)(YkZBcyUQ1vCvtwV6JlGhh4ib!k<4{v3$z&(sS8K}MX@qV{NXNrWh&O;hIk^lE$5LMqUl&s z$bDZfPA5G7ws9c9Fyn<+Mz>txL5GI!JIDey2j^S%#(+7#EjeO zsgu-_W)5Pe05-No#rMd;UnY2pwQ+{b5`mAXsbsw3B@k^(FtYcW(7G4GCIxFr1S- z6H5*XCqkx7?V5Z9f8+AEhS6NY>eZ>3JnaiDhBMd6?uAI@DGPyIkqk6?JNObw%HhFV zp98y`oT-NJ*Q?vZv~1Z16}5Yoswa>q9TLH8=&54Hci8yJ+a#1(=oG)$sHz;I2M>)G z$iKg3mwsH$Qa;X~{Es+g_%|`?IYfy8R~MyvT?^m=1q9M4(0{HLc6`!MM*BH><_h=jXdy&`+{VIe~O? zqfF#|4e+cKnrBGl|Bt)qv(hbPS6c0 zyQOK5$|^UwoZmyTgv!?4J7_FsQ9y%}H!9UU3dg7vY8U3Mz*lwb?bM};Oj5exXbH9w zS!8qT$se%Ro~ziC4j67Y{37U!;x*m$K^L7nktK}kM1*v;Dv_thuB4Sdp;m(KnGTOJ z(Zn*$@5=sW;HowmSa7rDoT~0SB9S{~YLb1Bo=z7^_{9I<;M=|}S>nF`$X7}U*-MT4 z7zB#8zu!VT`g@N3y}ii@qgz=4oF|SKy?9t3)`RqcYCUqj#8L3t9}qY!9vm7Mf=(bz zAiwop^gA=wkQ?YRyz3L=b{x)B@^tA?u!3Og@T?mH{Bv)xG_Ic3ZI&P?^LvF?pWMNr ztARO(>7aO-^2y3q@K@rqPg{Tg_{|Z^yTw0v6x9!^`JeD8e|uKSS-HB}nu*(+S{d1k ze1yV(cW|odyP%q(`Ed}ouhkl+a|lV>s3OTF%!dtJD^ta>4H&K(QxoRV*e0;k1(Dfu zOh5L925=g1??!J9--h6(2}7z3vfKf99bPCWAEEkPHf?1sR94dXFT5wcvk&BH_vdf)TFMllScu1|a$>#hK+u3uIi!d#Us`M5qc_K87ipqh;Rr z#=@Z2cVrtmX$)|qA9`h&>_JFO{(MX=6TI41)w|tBan(4~-rMLIOF30BTu{19Lu^+v zbxPxw!_Y+2Q&&=Plm}4M>s76l*o{p~!faC%u2(Y zcO<1u=S*l;liTCmJjwKy>LiJs)tM#Nq`Q-_C#>>*Sijb4bDS~5tdZifAZ8~4rIB?4 zI9XEA%E|4WBq3Q*e2#-Vlp7~xS}BD06UZP$t}5+q;K$)7$;mC*`&xL6lA~56lJxjY zC%JN6&?|tp^-0dkwK=ZvyF93nB!N|*PMWl3YVFiAm*9B^%251`*VNE{X?Lno%28U? zqw6w~Yep6*a~86}Z{x%G)>K7@fNw4!iRy`5SevCDwwN79yOA4@`r>Rt36GXa9qCl; z-s(7!1ch&ds69DVs%{pftg_N;6`j_A8!`v}w~|VI+MW zY%$=pZc>J}sZ}yDQdNi0rDu37T{e>4(;h;I2_?ZDFhYRh>d4Sinx4Mo?ozre3aN3F z?X#n^Z0Xw}`fSw_2?2YrUZkic@zXf%D|b;!l(TR%*K!TJPv9=jxfAonZfQ{bJ1xZm zvCXRch*Sz(KLCBa(&4BYp-n+#kKI)+KHsnYu1--mHZkh$vnMJ}_H@kYqSp13>Z?r_d}k_b`e6MV=eOtw`1$(vExwGez}TJLCB)OqT%3 zZQIbZNMso61bhL^uet8PijPs%dt9aLKlBN$~zX+5AWPHq!b$5gZ_`Mb5 z3A$b+v8Zo9t&|Gzb~&uPe=fgDQZho;7-kd{`o>rKxiyEV;To?~v_U{}EB5FzY8cTe zV)84W>mvnW-41zCP7(p4wr;h7`^Ls@Q9`n?xhQ9ACUvY z6>HF!?lwnCq^^Y+>^@~Emucz=q~BYD6s9V}ubYecw}_7mgBw)_-8qFjTbnJvOQSEb zVt9i}!eZKu`F?3;U=0iOPNoiz(DKKO^kvB$&&F>m?1zdEKj;OUosQlO&KoHb7sC&A z^f6C4j`Mc}H5Z4ZFK@g(B}j3*%*oSIRyfzQ{j_l78>DVjM_l?E+f2w!aLgX7Fw`eY zP`$|f*DLA&nGi#dKrkcFVoCX^IR6N~^axn`QbPKT( zm0Myd1vS>{G};cAp*1g3CU^)0dK1n(jfzC_lcmL~UHfVNw_IEe{T?J%Rh|v^;)=I? zKxdt?oJpbl_Bj;+BZ-}Axw^w>U zsP30qcE*AS?~%MnScam!f??x$@o>}>t;E(zmdG*KYN}GI7Mk3r4`vv36B=x76@^Rd zb+F9=9k08V1u2JeeM2&DV-q@)sevCvxQuinmzqi2?pZe&>VBhmtF9TWd%6pgYqMEF zi>fNwJTfu81L&#HLgdIL*zr8y=C79T3i1SUCZsc}$(7C^XuGO@dY+YeC%$r zZg({aqcP56{>c88ct|C_c^k^N{g-!!-Zf32cOH49#|k0mJPdwwPhKfHd+nOL)=pov zy~JSKzWb>qCy#rwF@j*j`T!>d$+t82)dwj~{cbxOt{7?rR4y8(t4R>6)P80J?v9#m zGJ$y|GiA}N4RnO1<+hkMp>wyI6fo~OM7%Lt?dr3}N})a)SRDJ@Jfmn2gQ3h2R@j=9Ko838+Xf#OO~$SKcfChCi57U%~!lrNM|Li!=6pD zApVtc5i0^8KO*a#(}hi-M}Rb?cZ{%YIx4f;Px7n7BK$a)4G7CVh|8cUhKb-?zg0rp z^Q(UWBG0a`g~LLua#+Qi4D%b`E1mV+H_}(Miv@z+`0BT^MFXLdJo8@%u9&~&$|7II zk3YS#j&^qwCn>KFN&VQMkztjNn3eXk8ZRxD6FR14L02VDfM1!H^iDSYr89-YeD^cE zh_<*|teBbA)v?5Cn1rA%EL85)Eq-I)3&X`%%oxcD_<(gKO&A}J%tDOPK4t;w>C-7* z7a8ci#{HY)H1FNy3ij_68RX7>_ss{E_D7NZo9cz!SC9Wnge9r1eHiIuy=Rftn(Ct~ zO~W=U!;#~XH)>R%`~+>1$Cd9yi@e?WkqNY}!{uxzx;M5tyK7XuAGr!n>0&YoKnuOE zVtwUYeRu=ODtqnHhbC6CXk-1I<81R7FxfxjSA4@wQ+su=LiTBnP zqfn0}sm@~W=_$)jR#Kp(9F>%dMZ95`+Xqm{&pKmUyUaGoc}N-NzJHs?#YGK^iX%iQ z9EJ({ZIY@?H7=b9vXyu z<(b#4Q~6z)bHkPUU!%0Ia;A_`YFwoSm@5ukCJj9;KO?s6e5pFfSJWhQ>MBbjKNT ziN*b^E#@tn3af-=f{4ZIyF$d)UX0RComYZkNJ-(CmrBOsoQ{WcJ$Ov51j2b-r9O$u zt$dTBUH-MKC5H^T74p&RowBef{2)iE#@8Sr*BYoLOM9m?p#@JG+A>@%osBr8GBw_x zKC4u7C(|Z6+C0&9FR_8kXJ6)B>MW-Ng?}foBpiCnI)pm_48BI2+}_jP0_WTcwZm$# zkL<1vVV;ixi;IkNiXKZjb9dL0ZF+B>^Mo104_J`W6jlqNOxphZ&!9nFLDP?*0Ccfi zDG2$m9RUYkmz0l#KPZPz3zBkwYJT${CPDk&u5?SI6X2!>)GSrEeHw}76aE(T(tcFJ zNj6OUMu8{K_9o7}>L4{Yy^d35Ol!0(fz_m$8=RnT2l_BGArLS5%d>^HK5P+*!Cjo; zJucJxMRG#OkCsA(ePbYZ5~jkkA~#EUX6p@!7vm?ZqZQ(YsHwq&$%iXWt|+3*$jQ!f zm5JyJUybFE_q3ZZ*HUdLx11vbN)||Hes!tpyi_TSZ}^R1wION2kUSl}N5k56muZRDn<7ytI2qp#uEDfzU@w-cE@?um>B?$T)O0`T2 zMXZIJ-jY+ocZYB(CNhq4_>p4hjHJorp`PJ|{9?ib4D8Gg+liBNuMC6;x4;-&4zTXm z*;u{q-Nnt*1#jhMO#WC<#Zw054d3oF$uaoIr+PFEIGg#&oD*4#^7SxT_6v2z1`$Zw zFKfs(!D}dIcFkR_yX^Tz060kUFQw@%pT@*+P-olB0R32^_uo>~HE4_K!qUx_V}See@?|ck8xC-HDRjhkihf*;(2wLa_V!gHb{9xrVl>cM@D?wm!~b)FUep zl!ILExpCJXerzguLrL*F;LM~NWn^wBlgK#zkOz)n;r~!yiHMXA$oPmhR;Yhl0{%G7 z|2E?OeVk>f>uUbli=$vZ9JlQm?rDYF0c4LV16yor5>}&Hq{2$Vz_m;=a`vBLN|?+H zdz=ofehYsF1~LkG(1TFZY2^H-e3mUgXPtrm5!|S4bH8Ho&0?#|Wn_!-O%H4u%!nj# z4pM+MzULTCpck85KywF%{+$@C55DZpi<%)Mk9DdCu8R@yJpxsn#8?WP%vsc)$!02C znv*Kh8f$q*?&#@(&&vDz#>I%SyoQalSO_3(f;H7_D#-xIOFXc~?7Gx?vCMv~eri-A z<7nNVagVPJ2hq1I(cn=bCW?5tNXfHG#q$MG#p%VW9Vp985AY!*H+E5b_xzr>fQh*G zDVPjbKA|)FVnhIv_cw90TQtkj9TmMx9;MZMJ%kxM6k$t#xlRnc9;s)!N~AefL#2rB zx(pIb3|$-cti$ilQ!&scB{oSZ%7Vhow&aByB0 z?LN(@3m@OJNtX=I6+<+7bzrYnmT63-6hySAz5o{2-X`7h#*n=bN9GDMgAjOU_(NW^ zFHms8Gjo&aALAF=218FO&N{6%jIU7Y!WR<1oe+4xkTjrv`us5zE^@I1a z<_`XuMF-n&$m>i`GJPB7GxaX43VDSIjQNmD1AU-P%bjijzweVGSYac0Jx{Xq?mlB@ zP-EpWYQ=$LCI27;yEQj`w!l!6p1nMyMMN}KE4l5L!5Hu&hk9qVd)4*jPdY^wQZdJ< z^D+gWbqv`s%7&fdvS402-Llu0 z92LlZp$uyvn?P^BkZR|H&r&~gL9sx3$46q&s}Am94=0OQlptaZYb>+d zw3cen!qKh#xxD!Gdthek`phnL_||X2ThSp!KbOIuggmN-gX7B=uLV3C{4rSYW_YY# z?hh-6&A(62>%CuYF2*!J{osmoMCJs2MB)_pq3&H7 zj;##?*3vh6A-0}`B$CXjOSfTi>`lQfv0-rxg)A8HC=CF&zug77$w}ig&6mO&;($sx zB!ZPwLl#FS2T>9JnZ(;vMB@!wZFYTNkY8+nI1!-JG%jW~G#jNqpS?!hOtHSxD%co=tn&@$rGv8G@{==GG`nMYmIloQL@r+*or0>ff-WyheQx zNgc`bjVd#81<{}FR6i$0=`_qqSeICz!XnLrKFh9lSr=CFwQh0V{mO3B-dNM1UscI0 z!yiz&=5P>a$PDCt=oT)iE87DV2Y#7_pD;kK#qwQ(9clu9R52BcLW2O=w2azG;`n@R zy;9sy(=bDWHj|uhQ+4wj6jG+#_lB$J`{+m(W4d{>aDc5_Ax>rHEE2_9yr2mWJBrcb znt0xt!^k5zFAD=Hv0=GIw5d;21&aWn0a|{L)Q7?(MH&SLTXR|Xw931j(_Ow^(U4m# z3r0Ex>CA3*#h=AEtiFuc1hSsxfLkMhHM@_lal?soQ^1cD#3V*TGfE|3-BQA;s{9y& zVe3}kWQoZ}qF;cn0=XXR*m7+}N?B7Tem^XV#m~riq_pSYQ#nhu)6e^#GzB3DAUFJV z%as_2rG_Ih5eOdG_=m`4f*6}sW>(29$`@%Gz3A9Ph9s+Q3RxPN7unL(+e)}Euh0@Uh`G;+gEYdb9 zLCiZu>>)Klzw{+1QJtIYX$|S6PU7&P&^feojC!6B>IM6iAHMKxC>}n2S$Ho`$cIk` zYM)zF9NRN^_Yjn5sY&7;f)CK3dC#Dh54(7`1gUchc;el{a<>kHorX~W{~fvtTL-S8 znm2xd`5wN44if*0CQ>v8%fzW4d5XX&lO!iMA`=^ylZkub(`%01&iWQOjtx;A+LUr! zmk4c_0B9CswpaJop%8BYkrLa@ zi-RZ06X|~}B;F15sv&-)r<4!a_CHHcEdOPC+EB$+M|-zJSvGA?MCt(rkzO#?f~8(I zPKp&#nV*+p*JAQ>m&RDGI~|-TP83jkj}f?w#x4=yGWn4ER z8*(#wreq9a4(kJii`OQ{@-Nx@Zd7nTNJ38SpX58V$Ph53QlVGua}J)rlbbKd;CHB4vyZ6{-RL19F%uAQ^vSuw-&|fsmDpLS_5{OOzEhwO+ha?8*9J zCBlI@lRS`nVE$~b7_J2b;sy9u%A}uij0!hv~Xprn*b6b z2-}Meohj4ycfX$Pgsa$xudtpWVk6>UxzxjTzB{*0>q4HdU=oyFD79{p zB0Q44ZAKFI--2`k8LW_n31J{dYI7Yd36e~ie0H5Ix$OyyK!RqvY3NogfqBk`3X7pa zfR@P(@pld|%=@l{pg*dHu+jbf8|ZE%-H4=C9+tjX4NW&Gl4k%yaOyda*u8*R9~zYk z*LO8TWwzO#R>(b^uTp-SNi(pV)4HM!)W589YeKM`USqHHjwAC!{F0ZLfe-|GQ4g=T zQw2qyr_qvQCb87%6`hVzE?vDC(gQ$dkv!$=Z#!4|9*3fVd0*C5;%=bTKa*KHVIEpl zwT&z>xkjOzd+Xif)GMc`r$)D)KEi~QwIKgepZ$4GC%5Zs{She*(%DXzz};F`82K0cg8Q4&wj?h0Htyv8 zrt|IM-_iGfUSTzSUq4x`@Q=4HUftIiB)t8Dr51=upRM!9m0bLTKlnH0P8Hk#uIFs0 zEUyREBvjEjkCKbp#Y)o}(YnkHYmg+RW?iJi!C8z3Glf}-s7>oRf{igs z!d}a*WK>}8209EkGKG>QxX9Etj}T$Sj7iZZyo_P*az+`$&<76?I+fc1MxbtZ3!70P z#>=37|1e3UJdeUP`|)T={yqNm@o4|MyRVz_n8KP868}z23Gv+{CfAU%4z2+DHRohKGUzu*(Sjyik0EfP4ogu|JI+8qfA2j;GV!f6O_E;V zCy{kiXpE<=Xr?VG+evb_PQnSL;+x<72Zr;gIX)coLz66g)J^_XT97w#aW!-PuSS5f z)VKb~kv9hIA4nKdprxgCxlVb@H`xj}nku{G1>c&hRO8Jgu-vhgj zT<19rTnBk(Cy(TOFDEeHQQyH&S~)YA?D7#QR$L}O=6)X5FV++GV!OY2!2{)6m@|br zLa@j0i9)%p^b?E)0({Wmn1im2&>)U=PJ6BBq;0e#V)w31tg57J)c;p&*Bwvw{{N9( zWK~9FZ?ZRK?>!^3j?J<6D9NTGWN+DoWVGx=Wsi)IjLNRa{Jl^8t~%Yu;eOqRTmAES zKHsnTe!bT#Jd4OyquApdmJyekWVmWh4g1w({L#<}&EU+I`F>Leh^-jEsAAy_gF2lQ zOJlF#MSl`tm{3eMO_hr9qM2vH?4f!IOCliD(9xA+w_u_O-*34Qq@3hQ-)I zPsgRaQ^k|1@FZE+y~37`7D~ttuv}zKTRxiG(}zA5@T?$rl|bx#LCc*D4L(ka<@8Us z1!!2r)K6S}_+tuOea;1MN7r60u+)6p8WtO6u%o!K@W?abVo{0H6_UlZ-}AaFZKw(A4BMHC9IxJQEU75~>v99Fz60?Y9mtYAIYG`*>F&%R%r( zrU}V~k$W~FGGb8FUgOcb()~k4!j0n|HBKY$0wx!mPM(5X5PA_|TY68KYLW!P9`Xdw z`8AU2g%h`hjz!MpPvzl~CAdBa)OGtXQ4u}3To=8jkz=l)kM0f$r!n#A@y0sKowH}( zNt&8CtCKib5v}_wH=g-X^jn?Iw+rM(1cj=u%5V0dI{hb>#{{V_iHzR4qP){4MliD7 z(=+{vwdDERqqQa5tdfIKtb$f;6-rsg{Lk-xwC-u+I1Qeq6O5}K3r{M|KL+ihWr@wp zTzQ@_9yqImqKRu}M$rGEh=9fBwN)jpBvd-HzYL4_GtbT@JjGz}y|S)#md3%@o3f$119F3paC}935~wUc5I@XuwO%LBzVz;j zS@V%pOy`)y^qbr0P1!5?tc2#rDX8K}8u2bnd$No1Ilo_gxMkBc%O9P#9Y>|LWT^kp zamiMyipDC_EX5n?OWpbFGDJ-uF9ykL^eEl1$f>7W^@)4RArrt7!ll(2`xes=TNx>~hAg@!S*Fkau@T`+w|V3cCfHjeg@<2bhvr zgR^lge^|0nag(vO0~-ToR@Uy2zc1OwY5o86AZJ+Z5};~@S=3qf$hxTFj5;IwIeF(% zLiKGc~6er<%qRPfP7ApEl z3d!DRovFI#94YM=gx|UN(OACyWH_Rsh&IA;AE%UEfMl&MesDpm`gpozCD9YS*;fn+jivDJ+*gW*>2VwkNT# za>LnK>|s1L$MdeFs!oDIrAK)uI_eU5OpjqhO3%zci7A`gn^%kK%%;Zr2K(!I1 ze=Iw5IUsCA1#%HHY*hM$%2N?P6p@k|Y;=5Gyk^1Rb{PY?sK^E{b=5>KNp8Zeiyj3u z3Kt=m>6=ETk`m(l-o$kQz0o7p)n{v1=2}S5Q`*oPx5&4tza(7Tg{)#++&!`JG>;-yR7x&!S8@9zAN7fHxef-;=!xgJ zx)TPWryJ7A3o_;jX)BT|PpDn|+`4&57MGHodU=Me^?F8V&H%}!E`H}wKJ0e!*Opa2 z%d|0~ml@`Sr9xz`UGf^PPk${Yv0d6tAXVCnO{JtNo);^}>Ah7DI{Ps8DPDu4Xy-eV zc}X^r!0uPzc6BXjsg2u_ji09Rt_qLJtAW3m0Dt+@WnC>R@TiEnq@9Ti0@qlgH0@Qv z6V?9g?*~e?q{c}k^B&Y(5m3i>A|x@Ja4m1f?VQ43Ga$Lv!)}1FOMi}vHu+}0?`K(( zrH8iEH*S956J@xwF8I=AQSg4i?(PPfF)nlmkAvk6ein7Blt?^hkf23cdFq``5i^Ul z#98W-QV(uq8ml)d&X{#JCwkFk6Dkq}L&AO8Or#{#8uLRTC{8rFg<+qF8qD*I&&XW= zlp1K**KtBvf2@dj;L4r@;UgrwC7Cj$ zVqUGm+|bv?H}5N(bOBiLq+`z5X)5{k0#eoIiv+B}+*_}1p5)~%BGM*L(h8S;#YX9% z7hEaD(G^HbK1{z{B-7rLqJPcCyYWLrxvieGj&q&i2__>B!_;evTuepo#Lx&+ro0LE zdQ3BH0zE2XD2-x+KnylLXRa|ZG8#=MY9fjB<%!)rg`T{E1Rid6rhZ(v4tYPOIY?VM zTVTU`!wUr@7V2dj;~WiL@ovV$Z8}dU>(a561k9I$iHfOPI+Ft39+)iilwHesZ-asP z0Ij#$%4e(brs7!p;)nNWA@0!KRjZ^OE8gXzV!LYn+Tu$2=hY7=`AZuR$@*X$&AZKE|Gk$(2{)+cx=5b1um#AdRes3;goF>Y% z!&d?wi>z8A7Yrv@+N8QKvL^QPFU~wqkq{BQCUxnY-`iLh*O`}@(_}UMz1nRH=gG(1 zMy)eu`5A6-NaiL{rx#!v)Vg4PNi$MC;%ABH)pd6H{8Yo`1Pp(QW1F6o5$CL@Ggobl zGSKq9&a#O=-?GAgM+1><^XQs5& zzs+HXKR7h%GpbQ?RxzO_q4J{J+ha*f=zXxkv`^?_*XAB6&#`V|C*&(Bd$I8@z|nW5 zB_zpa?&;9y+JNSO%<;-%1)+JR&y&7uV?HlDz$CWw(eC^8Q%DsXLa4~K9Py5Z68eqK z#HW^-5>M2%htyu6)4WkmFOFk|_nu3P=7G2j&6*dni7yq6N}4qG=nYO~y%?0Rejut#=>gt zFZ`1McXaDiPpoUPqvvtTg)R8rEne+VT;F6<_qF5GAE1(CPJAaU$LNTW_A+8@)J#w5 z)nbVrVba12i#obT zQQC>1f#tgQJ>~`VU!y%$><_+#GC1){SG7O$Rmo27?yYziY>ld1YcvB!ZrV*O&1K~3 z6TYFs*z8mV#uQ6T8^j4Dd3$FiZF!25hM)4=miTqJ_d^Q@t{8aOjo;(?5X*QW+(Lqof&IZ|Yu%A_nshGHee3m7 ztq0T^Q#Z0(=fRG?dunVpfBKXncgp)XM<2S5fD@-Sjw>34sVyNz_lmuMb^IG>6O=gC z)p=!-(H?h}TA~VR0{X@-g~o4^PY>fr8J_366};DpkxSeSyiaW%i6k}!1Is8=1aP`o zYw7ODqk4v2j!C;tF0Q1ygFb)irESC7cBtZV+#20WXWDk-OlnZC09rKR}E?y zO|k-8J(}@OCkB1rE{PgWjF@4zK9!?%4C=NdUKzdN*|wSze==ieuWQ8BL-$i#_SAIlFY#gx|dSIa2&zmthIa#iml zQ#1)nCg?n4B>{b)?{UgwN{A&^`%J;0HXhT|kqxz}#40)uo!ehtd}&BYyzVkHxw0;L zna!8k40nz0)^eWIutC(4z)2phDps2dQ=TvHb}kPuUJ7Ro72ZuG&$;s%;!2cb;+m)7 zL&QR*DrIrp^u!kvniW}^;8Bx^%ndp@@Z`R3uy4uk)dnjy{H9`ttF+`;UZY_Z0yu&? z0y@gR%}HUp9LmK_eCjReO+8(Yms6V)+En-#(lMMgrR3+B;+P4OH}#Yi$@#8U&nOiO z?iC9L6q93;yfQdu%weD*WAlNR`u@Q5&GBvyY_8yYd#_u{cegViKV-bP(O&DHr&DyM z`jT2j+Y@1<$4mZe#D!-|Bvg4HmOhI zBjifvx-lV1{NCG{0*W~|?i{lk4;*_PS9Y_$hb7=h)7(yw6Gtn`WFM-aiAkWegjnk( zj*t9JD0ym`?G9?A&3x{Ri;XQ}(v%nxeNSwAj#qU|XXLaqi~ZE(lG~kx=xou^(^k#%w3DC(7p|+ zAy=i>4D*z^&}sdCC8#mIxQrn#rI6iLkoTs(876HHHkEUPjlR6O#ysm)C}&#OY`n(& z3GDiO8x}z~-bYFUsn*hp_Bn*%K8$Py@({L13bNzN79zEoR>!VaJH%hyVi^;eh{KW1 z;^$eRox5#{)uDBB@&avb(c>PoJcl^bBuT#9;W?b9mvJQ(Yblxc!;bUT$=i25qf_+2 zEhMVoZA|wJH}AL+nLpT!ci+8NDW~?q{0vv`jc`+Ptr>@@$uGr`cYJSKniHv;dU`&* z8L4`g#tb*TozZMCLX?D_nJdj$tCiT2Gcu-V{Y!qHHRz*Z#73@ltV7+ulWa?6xSDvA zeSN7#e3+G3dods_)3WJRCoj`(eN`dCJi%o>61qJR=ScWvUPeDTZOgK?sJ%* zLR-Zk(eA4`C)+BZTI>*epY4uKva%h$Csu5VvXiIUlcROn{0%BD&3;!HW0If{?588A0>;mjnR>?%F^EZ!mfA!U)fU%=~$WX@Nv zXZ9>b7~2~{z57?tJRD?88x^As16*s99hi@KB+=E>a)_Uu#@rF#IElW2jzrsbk;Dbu zg=4rVIRA`ESH*<{b5)yG)qZ)>OeA}KgCXZr%=DQ(tRW`-@vKjBb7J~U7f$ttoV@aG zE_il@tm@&lJGeHJYtkuK>$(*#J=yX!Up}_bhO&I|@=W^(%9I<_E{)lSO3)2u=_ymr z-Aib<&;)2!@vem`Wu=Gi;tn7;T_z){QT%w>Y7X^LHsMB?Q1lBb%a`4WqD>{GBX_ix znZ)MaWt}0)-69gTtXZ>Mw)9l(8aX}Uf#m}k^$!<3Ph_|F-l4MQ>hrtn?51R#>t`v# zq-Y;x#1E9s=v5uvczZg{Zt&^=caTvN!>!|@5-UgFnk1_U1#$JU-!jS!dMGh}>KTnZ z;}MB3jB0IY*Z5B7AHg`%vy^jRWAo1F?z}kgKvmu44UbfYN}NL7frJR*EBP zL~W1nM=2*mxL*jp_b-en@xwmR91=!(S0d3qWQ_h*h?6oqyP-Gr8ZjP%7bVQs6S^1!uOSCRYr)6H2nRuH4dfTF5 zP{FCHFI}If3;d{}Mdu?jW84YyId)0%J`bM9T3k|ad!`wD@o|gV=*KJ$++MceZJQn8 zt1}uWnq0X*Qzr(k$Q$Hb`RMkbcV)a8gEfg`xwDxdUfDP3`Lg$um$if|8_n}i#}iL| z2&P_5R*;97(kT|1CT1#Bq}*kl6^~!LH1KFSXLSMn2yv&*>7#FDMC7F!jiZEJuVXaQ zeELia_2F7DIeE>6A{CPQuISV5I4y6w-1PnE5&M8)=x6WenP2GtW|<%x7&!At6ngFAsr}Jp?GSNRE!?+fy_Hn-G#cy^EiC@ zA?qSnLjp>V4$zDF@3WO}7V!5Zm8<*Z>&ZiOg5by_DC`qD+0^vn%H5jv89MP9-5DiP zMAi{zTu+SUdd%_4OAPH79t}&^UnqH{f6wOHkh98`LBQOZ{$3wTEG(%;Ye5ER?b6ob z!=*=Ci)6dIv+L)OcqaM7k_ZW^Dd|nfgXx-YI^JYun)J%?ycM5e79`71O9B}szTR3Z z_%^Hm6zzl1gc`wxpcP#dTgtw>8xZ=3jT^cod!+njmGk^kDL2bepy8g1jm#--CM@F? zBTLJx_0;2pD`J)BZj_%q6TTrQab*2W#D-Mhsk_d;&vpbI#K2YX(EzH3t7oNCPC1D; z>!N%?79_q78l2ybQ7N)UKKilYR-sf>?d4CIa;8J)3MsDBX4!DXE#JY=)ZY%u+iL19 zx6m-H5F#irkv`R>7GyCM%8>ZLPhW&N;}K?rdb867iactRAY*q5xsScRWtXvgVvebH zu#zo=mtr!`+n-RYHjJ>{R6%~8hLO}quzMr#Jtr^TbsL2TZWnd0u1trH=f+k{T0rk3 z$&%fa3ovHQqIYA%zjK+~r@U2kIw;8|ijJBgY47^5!nm@4r7A>Us5n}ENKte=8>Jap zn}0S!biC_^v&Kr>t&Pj+T2?E_*v5j$dYrZImpuh3`)LL9BWLso)NEthb1$BHiYzfJ zegW@=axzYH>ZK{IJWDmv@n z&9c#|mmM?lBFPaIx@+gFx4TkPNv;jxv&l%yo>%r%b4$L~H3pr&YtSmH!gZjI*hH5e5pUGG+5FNT#c6MmELYPB#$k~XYGQ(p&>=su>a9eEQ1$7iNZ-vA( zcPq|&wj$)TuMO=wz135Qi2XHk;!CTI%g>$`AfSf|1!g|kjaP9#pQC}#Q+Vs~qlg$L zuAu^2!MRVpM*Sm3{j(Ska}pHNdAc415Y z0H!yNu$~dy1oc4js`M)@z}XkgI%JykDJBgc3_r9xcnY?AHpM-Xan7FlKpU@; z;m&t;9N8wZ`@H9s#JmwV-48gdpa-TCPIM31jI6+CDs!g?jNAf6zOj1#6!$dsx+Qb; zaie|tuEt3bIv7-`e7JAb-^cyDUC$>m4d1pldg^7zDv=J!J1)?aa&2)vtLVYKgRlUf95mMz-J{B!{e%4`7ez{!$?=9$>gS~Zr*1& zatY^}cXok~=5q^D)yDLOrhpgWQ{t{ChLU>Sg(4*foN)wSwrR}R=G>|?EAe>ah+JpY zdp+Y0o?+FzY`4MX#ftft6T=d&3CaPuerR(sey`dxIlih{lXTs}3-gPL z?sj19s}(E?)BS1sVQmiqsps!`#~!>QFro!^{V&C6=W55Cl(5e?cy!vJ&tCZ)rFDao z5;f__4FL$3UxDdsPUh;<<|NBLUyxHLPkp?md;3a_i8@! ziux>$#qDix-M2(4HNDi}hJ(V-!#bH43>lS+^@y0nz!uZG3;L_o1&`EZPty1nXJm=b z;t25#X${*|6fkW_HN>B{Dbum%<3kIgD_0}0k$mmGI^<=F?)FTDF)p_D^m_pUiqs@y@ zHS;ki@;zM6x4KK}`9gDYSKe;FklZ4x=UGiPvhMx-mJ~zt%NE&5VQ?3SKlNzt?*-pJ<$T%UOF;atj>s%vt1;t z>7w|k`1)BBpW&OE{ERq{Kb~G^X*+uj8#S_aVrEiu`15?VWx{Y|sMskZ=Nk(AA8N)X zf@x5F-ejKLLYbc+T4?Cj%fK7sD=D{lXwGCcy{h<1Ze6uZg#&+}>I!Qbhg)c}*^09e z6z!bF<}1vTahU#5%U>{G;60OBejoHYbmC}`3%>8o z;TDtKh>a&IzA@R-YftkCd&H@G-Xzewb zV%PZY_*^gK98;z?tDAb@P;=H_xFgci%Ok!j#e3A7i16I*+Tg8eu03Qt?XWbKN;6+o zTP6N9?SSY8ELWj*i80#v-YdktdIGJ9ZEWaRyt*Sy`371YECNH^q80D*qpyWUx)ENQTlBNgPbS<`9M6@+_NwY@te*WH05DW zT001d-PVXB&Rv^^JUA0`wkJ6`dAiw}_~%MOuLnPx0aOv8z@7iJzXN+N-rCg~;)2+s zmw{^EdE(&LSFSCV8eX_w?1@tCtX5u%%}*MeCw#-=_?TTpx1+k^NO{YvnCd4bVJr7N zOXypPn~pEPIA^|%&Rfrq9`o66*7`McQ`ypgd(-_`#+6T8rKWX3*fw;ehJ*q%lXaKL zBpk47>87i1T%dB1n4#;VW92Aj9#FsSb2YlY%(I>BE#uG%!MPz-LOQY>lWn2S6FxW4 zOJ8G~rJLA|7&D4}0%gUT<*_%49WP0+h59*^R)!*CSR*}z#l_@&u_6fK%~{`+MlxwZ zMw`MTwMQ*)54#*UzHS1(jTte2)+>_L4oDPYL3Hl%d!4aoO^lsQl$xZ+jBi8<;A)6ESF%0@-3FJEgnh0gaCfE(ooL$L>xJq9TRy0ej%shMXV3e4 zwPwW0@n&-t3GpTCf^;c)-LrM}pD%IUN=hmbB(r!dZO?;K^p=?1KDLm>&mqykFLlrF z!YIe92Ssk4Zt>Btyo9`q^$E9_WEiJV*kdkHZ-zOMA}>7-Bo84YK#321xm0XYK-;H0QhMzGMyr>EvwOKz4) zO2(#SV?>O$vUpC5eZF~f)-%Fm`U&xKBqGOaA92SZ`o_{~_cqAjD;mdv&=V2hR8aD9 zBqWAEB$a(j{CiqS)y4841CIh5vHDDR55+Ig&v)_hgqcmVQCrK0U3}%Om@Q>wQ;$=6 zLFgv^XWE{~+awG+nL(-LONveUjG-s7t+CDSuv_atIX~Q?GQ9rww7?Sqi{|V0vXy- zCYnGeth2G|R}CIg9`TnS2>l%J6t!Dj2Jj_peB!(Fl&pK`AX=r@b zmpChUUgIf}4@BFn6kDh?%U&GeU=T8Btw)=FG+&kGfz#ni>l-;!T?&z5@FKE`%I(o% z_g6Z1o4&+rBwRR_*1Os94RS|$TJ?uxwi@La_Z2p30#zqsr*ojs%ctzp*2QusdTUf0 zEFIII#M51h87>yfgw%fsD@bvuix|fY!eG50(L(2|)3CFV@}$+dM4tQHTMfp#c(Wtv zr-rJNDvxNgc0ukiL}fqXmqxqvIWPW($trmBl7+}w5K9v3?X=s$Y; zS42Ay#`%Jg^Bok^Y>Cb#|QN^9<)`sB#qb#$P$S}_7YI2FKhcM2i`FbK5l-}61BQC zzV@N()4H+Ldp)#!j4DTYN^+@*Iwv{NF1202y3QgH!axKX6J$8ePoKK3-x!Pi=n7O} zBReNz?`j}X1sJ47$Tdm>x zGM?r+on=Cu9iG8u)s@SE>65eA{tbO+6W{7?+zWg?L4x)e^3KCkrg7=SHgW*b&^`U? z{7}u{_Z2OXnTZ$GW>0)fU$#UGXt;D@JF4KZ%e`I6hI1=t(e_$PP?B|fed}$_aR!a; zLfIic%M&J|3r5p9r&^NSSx*ywxqX@yJbXS7KX`LuOcY{4>`hHrm&`-1%iYT}f^T~v znyKS@{OPdk?|L0FGBk~Mu04q;XEzmi7_4k^!M&mFu`pUrl?CqBM8A`~1*Y8bFD2CF zTPQO+rL+b*rC5Cl=8D8`>%HFg=nvy>8Qi;-Ou!mN?D5_oZwu37Fy3m>=88=St!#-X zdM!oxg01{2OZ%(!(#uO74ZN?r3wgeLh`G96#w3_vGsr({M(L+G!1zF@r(3X3-L#`T z!aI{nM~Gt&oXUGcV6gCoC;?m@Xfe5N1U7oL3U2%bf=9HJZ~68H7~->> zy^*maC!i1=M?>c|(9PrA>DU{)qo3Ar&zk9D-nB(LY^l#=^d~;oISEZANsBg^u04CC zAKcj~i)-iQz#bkf!mY&i{*?Ku2qg)VKxtYw4l|C;^Ohb8YTl!{hUk=#jvT(1mBqEi zxr*(RLI$|1rvi77G-@6yo!NW&@}nt>Okm6>d_!aBl81P=ZWdW?D|oY)>)+Dfa4$Du zST5Cd;SCq$8y6j4;1@1QD0iy5!O+HH)*#b&Jf!C#QEy;$PcadcvbubBliR_oClgQc zb(O6xvg$3*y1YEB9^QI9R;QMa8%%;61<4&`fhmyu5*Gy})QyM!P5iC#E_VeJZ+$XI zJl`urpkR%AmN+CoJt4^B5+5#JsgocVor(BnFBhMOI zTy2`WxFg}Sa(tCqKi%@e1-nME_iA5sD^r+=MssU%88L10p1BSqon@cWcJN`yVNV5Lzek61Y70N_gezgrT3teX=yEWx8~9%TZlP>(S<~`(t?!A z3S+HOuJQ~F;5p!U<)>ZQ-Z)KdM$WB9kSW*~S&&K6N6*}^aNMmjbg?i=a%X6>kc5Xe zN02AFpyybrT#y0TK+)s{J2|bQ{u9D4P0S=LZnC^QpX=igrSF3NlCVbCmCG$I!*;ws zO#fy+VOXdfqfJSOEy_SXDUO~~3RAk9X4WoGTG@b4%|O%4!g1%6?8|4oZ>KHnK9-#D z_IAi%5LZ1Vx;0l@5;{X&ebUJue=z@3m>fP?-{u9o`LkKUGYa_H(pp`#-HxQnHdjOJ zTlU7XWoDdwai5!gcy-hQ&#X;rSh7Q~ivC?+o!4kC^IDBUi6%{svbNQ7SKh!i(W=UK z#$%Eg>N#fhA)e<5gZYxjVNmDJZ@}-3)c0ye675WOaLY_z~%P#^#=y zA~Fiak#CP2Wfc0eNw){Bs+~kasun^*!ayPhkL4mEfdy@(oGY-uDalJpt7uAVYoQ?> z5lNXB{a0g)?;q2=Cnr!kP|r&ZYSG7FzYZ1dV>bbWma3HM*Do0)D8 zTK1ISOUg({m~dZOAwxpak=D?ZS5?vc`Zc{KT$x{f?OPKMSkoWaQ2zP(hZhnO9@2t% zh6w0U2DJxo3WE`1g7zJM_!4Zv|DUhG{yhHwyuN*D{Qtk?0Wmd$nnOT)ly4t}^-1`{ zM}MYA0ryb`(QovA_^64aqaD~su(vmH09_dnBK|W50~|)cUoilhBRGWlH?VI;<&b&n zY`G^M&J$3~EP}mT8941tAPy!D8>lkG9_s8RZf@=jadCmTu>aU~258KY{O!443ilfq z*uWchsZAKcKV#UCki_-}4l{5{piP+We=pqqfq&)V0<{2dM1K>-KXcwcS8jeggN{_w6v!g#L?9n>Toa$<5hI+pMcSp0t&)#6tr{?O2HYv8`j@t zP^R~uW71;CTN{Aqg97(FoJ~B^J1l{ptik5W%K5)ogT>yImo2bN1`wrq;lvQ7k1z`z z6K87^Q@j1+$bS;RuR96R??c0akfq_@pj}*{&L)tiw9o4=Y{cJnU%u%3lE+B59{qe#akLMDi z^Cx+K$Nw~XWl9C$djb4&aQKzRh~vB1(!vg)JDAu*z@OkM z%)#8w{_}fleZUEs1NJ=0xAY2h0)*ggdJqx^Lroh#QQ8lK0mFxnH)0+KL6vc{vpZzG zDG_g!s|D~%z}?{;E!-1P3NXPRLh;g^o|iX)(qY*LUhx&)2Zn}4T@z;$V1ox!L68+! zcn;725s(2NoZ{*H4?+TQqx5eLjl0+^K&9JRYy2c`yQkoKl_UYbxhXR||Vv6bkR zM=Nk@bHGXpj!|dcK^aL{JD50o9ac6|T|2h zSVErFv{D2(NJwFzMEhsj56eP1S8PiTN=Vk(#L>#y%;m6L6zYlCGmau5Ii31B9_`c} zn2Vb$#K9EeY|j)Wof|~@T%Ok|j%qh{h_W?~2Hw|iJN+e8xW{=kB)fdxsxvEiORD4WCb__}14eydLp z@W=&Hk%!~KHj5~aZ`Zb5A?8xnpdf?&Pu{`e>s2oJg^=GawtZ6)j1Ia^b`8#j!^S0DW9l;B^mKM&yudzUXfRsrRQ0{Qoq!Ee*XbEyA?65{sv zvq7BQ7Yxk)Fz^q+!W`-SK(j7Z4N+!4yLkP9WDP z{v#8>>yQTeLX~225S)gIqx(6NVt~$)|3y5AnCSP^1u>;wi3NT;3!*fgQ7QjO_o49U_kPYis7*m)F!7u#8*xlei zkU6vs+iw%|cLE#s1~#moa+9CEWeTPbG+^cgUvREp`d=_RyzPG9 z>w?+ti0uK`IDV~s5e~!Q-C|ZNKn7Pxe@;cxb_YlOR(2oKa4T0ib76+N34Y<#anJM6 zR1R;p^G-D+AV-e?v(3?1+zUueix&5!qwj=5w zT%4hZMqJoLS6UfaR0;%@b6{0O9ZoyE(FaEb`z!!l5^UB(9rpLk54s2dO9b8OiYRt~ z(ixBye@X;5{~N6XmL)JUSWM^MWC9u0f_Jmw6TwRSVae=E=Ma24>yN}N0pC6kCRi8X zbapc7@O)fsHLblNQc#GC3e;8E#MR8|kX!CdRMM;K;2N?M?az_$cJg8A9U>CmL5Vyc zNY_c)0%Fg5u-*BO=77*Z%ET@DFI2R=9RGa?%qB2c^$*8>VBS`xQ_1k_$gEp-Xg-IE z%o(9?Br4}VZ@&Q2c?RqnJ~I23{}0%F3kJW6%q$Q3)c+tU7&np3QY&uYbMs&}2)`Hn zs_K8n4Q5p^RsImD5uo-$R@iHn7wqc0|C}^Z?*Dgch;u0eVj4p;IQamm5DOSMe64k4 z@vvMp-Av8@Iq&qZ*e(BO)xnHBxQ1I2I69IL;02#jWLFQ%Ne8x^4A~Ew|DyHV+TzzG z4g6^o`;P~p<&0={g=G%(zC2P&P@9W^+8lmet^dH78UXkZVGyPfw{vT$Ss?8FdtJ>D zYzHG;&3zsER~m;3IWQ&#N=jF0fnD4MlR@|sF*kPpBNG_0Uj>`rn#T79t>?ol&F{h7 zT?^DN@LN=3$SD6wK0kQ#Z+Uz(QJA>^7484Pul?rYAj-qh$_s2An%E(HVrrYw8oCDD z)dZ*oeqRh1_rRe0-SfU~qbiwrLEZLWIYjKZq-Qu?^AQmAM}XVFpBW6oJ1`w7sEevI zIG+B48h(4Y{`>yv=MIIoZIFBLfsw**kn9p2n8H^<9D;<`l#byhNX(?*?ASl&lwrn^ zbH#=Dz$8?xO~EDR>;U2LZtl4EKB9h7I)vA}}Uqv?}1x^uZ#49y@8p7|7XvOt4$<;5g#{|KwcB z=0{*?Ci_oZAQtm<2ACg)0T1H_RTKOW-^e2XC+^^?W#VFcupwg6@d#`Iv9-DHfHYQz?CfkJp74#F&zY;A$4El{I?`#*oR zwSCZ^!t-D5y-a2;b2Nu+p2`QqodLi6aL5!_4uE{g9P;yRxA^3ZKizMFflpBOPZa{- ziGP9f2Ob3c->WQt0f$Ya_w-dV7l6I{fs6rv=RxBtBH%6%XEkSt1;iQR08Wh~Vr1W9 z3#`Baisj1>yY$QKY=iS>V_UV3qVA^}>*It{e+R2x$LygBH{l za==@Bg)Qenj=vIk{qr)C9`N6n;TOWSMEpnkZp$CS24a^nb#h#sy5U#|J z$LoLAI|2k^na*vr1FvcVMG1U15i33XstTK*!r6Mp6NG?aXLb*oITHQKQ0db3PxPibWq@*vZq4eZ!YNmI*t?X4;vQ8yPE%n?qcO^?O-TWhe|w_fdj#wgOI3r-;lO#fpFA(>&j1qE;Lf~*i>rx)>j9me zT#xQHCD2s@&=vf&ak~4DV*-%BXrGJN`9q}LKoubX+5tenpJ9L*h<)GRK!4R>5i!(! zF*mIQAlWQ{3}1)h5B?d|%M}!ZhZ3*F@xsT_Aew7}v;rT^@rM71sbuZy3OjmiZf)WK zTXsCOtR3H)Ju?P!76B0se#Nn4{6Gv2FL?B+w~yCAGJy#J-o~<~{!GE!d`|Tg=PogzMkbl*T8!^9z@4fpY z^3C->BLBJ*FJhqZU15I&wF4)s|6pFf=@E+ z$Zv=G%a($O0m64-`w>v@$nODv(UT1^xL&y4RzJcyq5lo;+Zp_W`6ztrogeWeu>Xb! z--rjX@xk|Z`4La~7$SHF8y$SJh9A)u!6M=B9OIkvzCGOk{moUx#s}XV;77z|0>lsx zHbVIKpnt@(2PXl4J3{!^p%EJz{Cl-OLJ5%n9%}#1(1S`6{!!8&;Tq2%0QWaBqJb$0 T5>gWQk4PE3k;DM9Bhvo?)fd.getFiles(), targetDir); + } + + /** + * Helper for file/directory extraction. + * @param files set of files to extract + * @param targetDir where to put them + * @throws IOException if something goes wrong + */ + @SuppressWarnings("unchecked") + static void extractFiles(List files, File targetDir) throws IOException + { + // Ensure the target directory exists + targetDir.mkdir(); + + // Process each entry in the list + for (FileEntry e : files) + { + // Skip deleted things + if (e.isDeleted()) + continue; + + // Recursively process sub-directories + if (e.isDirectory()) { + File subDir = new File(targetDir, e.getFilename()); + extractFiles(((DirectoryEntry)e).getFiles(), subDir); + continue; + } + + // Process regular files. + byte[] data = e.getFileData(); + // Hi to lo ASCII translation + if (e.getFilename().endsWith(".S")) + data = merlinSrcToAscii(data); + FileOutputStream out = new FileOutputStream(new File(targetDir, e.getFilename())); + out.write(data); + out.close(); + } + } + + /** + * Creates an image file using dirs/files from the filesystem. + * @param imgPath name of the image file + * @param srcDirPath directory containing files and subdirs + * @throws DiskFullException if the image file fills up + * @throws IOException if something else goes wrong + */ + static void createImg(String imgPath, String srcDirPath) + throws IOException, DiskFullException + { + // Alert the user that we're going to blow away the image. + File imgFile = new File(imgPath); + if (imgFile.exists()) { + System.out.format("Note: %s will be overwritten. Continue? ", imgPath); + System.out.flush(); + String response = new BufferedReader(new InputStreamReader(System.in)).readLine(); + if (!response.toLowerCase().startsWith("y")) + return; + delete(imgFile); + } + + // Re-create the image file with a blank image. + File emptyFile = new File(imgFile.getParent(), "empty.2mg.gz"); + if (!emptyFile.canRead()) + throw new IOException(String.format("Cannot open template for empty image '%s'", emptyFile.toString())); + InputStream in = new BufferedInputStream(new GZIPInputStream(new FileInputStream(emptyFile))); + OutputStream out = new BufferedOutputStream(new FileOutputStream(imgFile)); + byte[] buf = new byte[1024]; + while (true) { + int nRead = in.read(buf); + if (nRead < 0) + break; + out.write(buf, 0, nRead); + } + in.close(); + out.close(); + + // Open the empty image file. + Disk disk = new Disk(imgPath); + FormattedDisk fd = disk.getFormattedDisks()[0]; + + // And fill it up. + insertFiles(fd, fd, new File(srcDirPath)); + } + + /** + * Helper for image creation. + * + * @param fd disk to insert files into + * @param targetDir directory within the disk + * @param srcDir filesystem directory to read + * @throws DiskFullException if the image file fills up + * @throws IOException if something else goes wrong + */ + private static void insertFiles(FormattedDisk fd, DirectoryEntry targetDir, File srcDir) + throws DiskFullException, IOException + { + // Process each file in the source directory + for (File srcFile : srcDir.listFiles()) + { + if (srcFile.isDirectory()) { + DirectoryEntry subDir = targetDir.createDirectory(srcFile.getName().toUpperCase()); + insertFiles(fd, subDir, srcFile); + continue; + } + + // Create a new entry on the filesystem for this file. + FileEntry ent = targetDir.createFile(); + String srcName = srcFile.getName().toUpperCase(); + ent.setFilename(srcName); + + // Set the file type + if (srcName.equals("PRODOS") || srcName.endsWith(".SYSTEM")) + ent.setFiletype("SYS"); + else if (srcName.equals("STARTUP")) + ent.setFiletype("BAS"); + else if (srcName.endsWith(".S")) + ent.setFiletype("TXT"); + else + ent.setFiletype("BIN"); + + // Set the address if necessary + if (ent.needsAddress()) { + if (srcName.equals("STARTUP")) + ent.setAddress(0x801); + else if (srcName.equals("COPYIIPL.SYSTEM")) + ent.setAddress(0x1400); + else if (srcName.equals("ED.16")) + ent.setAddress(0x9d60); + else if (srcName.equals("ED")) + ent.setAddress(0x9db6); + else if (srcName.equals("SHELL")) + ent.setAddress(0x300); + else + ent.setAddress(0x2000); + } + + // Copy the file data + FileInputStream in = new FileInputStream(srcFile); + byte[] buf = new byte[(int) srcFile.length()]; + int nRead = in.read(buf); + if (nRead != srcFile.length()) + throw new IOException(String.format("Error reading file '%s'", srcFile.toString())); + + // Translate between hi and lo ASCII + if (srcName.endsWith(".S")) + buf = asciiToMerlinSrc(buf); + ent.setFileData(buf); + + // And save the new entry. + fd.save(); + } + } + + /** + * Translates Merlin source code to usable code in the regular ASCII world. + * Performs weird-space to tab translation, and hi bit conversion. + * + * @param buf data to translate + * @return translated data + */ + static byte[] merlinSrcToAscii(byte[] buf) + { + ByteArrayOutputStream ba = new ByteArrayOutputStream(buf.length); + PrintWriter out = new PrintWriter(ba); + boolean inComment = false; + for (byte b : buf) + { + // Handle newlines + if (b == (byte)0x8d) { + out.println(); + inComment = false; + } + else { + char c = (char)(b & 0x7f); + // Tabs outside comments + if (c == ';' || c == '*') + inComment = true; + if (c == '\n') + throw new RuntimeException("Newline slipped through"); + if (c == ' ' && !inComment) + out.write('\t'); + else + out.write(c); + } + } + out.flush(); + return ba.toByteArray(); + } + + /** + * Transforms regular ASCII with tabs to Merlin source code. Handles + * tab to weird space translation, and hi-bit addition. + * + * @param buf data to translate + * @return translated data + */ + static byte[] asciiToMerlinSrc(byte[] buf) + { + ByteArrayOutputStream ba = new ByteArrayOutputStream(buf.length); + boolean inComment = false; + for (int i=0; i_4@vL z%b&LiT~%lKw)6YG^PR8SF;5sA%!yjMEN98Grx$bow;#FEX_O~94KnBCoV@438sWI$ zG04qhvThl_;n+~qq^Kc+5u`0?4mnk{l(?q@ETxuk2_p zD9Cdq$lMMq-67DeJ4VnQ6KPvpU!nH7{I;{yxnBB?DwWOpLju=)az>)|tZySPPwCpo zYsSIM#E|y2l9?DZst=?4#N&zhZhb0Io2qQ$lN0pEYWphKXlWDAx-ok^uE+0B)JFR( zLdCSifJLa{_>lIEtVkRmp=60rQl=$plZ2Q=&-lRV#464Nbv+LRHYZkXWVf;;=(i$& z9zJk;VwGmBI%}-DX$)8rtG+gdtY0X6qNE!YW1{q>Q86V-*Nuuf5!TB0!fLYDd0kP6 zbgS9H(UpJasKZDVfjaHVMCzOl+4^KjJO}Qvkme^J!;^`IDIC9k6K~kabG5a#Zuj|) zmZPUy-RDlbTRU5ioj=#=KH73Nc+7qF;@NYp?QVB?ko)dOJ9GN@>9co+H24nq8t(QS zJFQ(j6Fk{=&fTJEAn0!JH||&b>Q5y2&&U6d7RXalnWH?;9OVgyfHWYWQ1o!Z8@s&I z+n=JE(XqSI`&9c>s=uK<-~Qq*O{mDCO#!1JI8vJuFd2fKT9U_v;mV72rSC5i%C_|(y<$0$|Y1C_%o}k{R zq{pdunv_Zl^OPr1I> z5W{pL9bYLQQ+EJ1Uds4Sv-X6h&p z*MXZ};f-pKRZw?%7HfYA-qs#KBUTxb|0N={@MuFGh)O4Eq3dJSD4XrD1@@*va+g)$ ziNn%W5=c8NeL<8UO*Yg*Ec@Uf8MsQ+n<4#c;huf2xFD~c0BsNFIJ;Bm*yH$m=a0<^ zmUF3Zhy;d6)olZ!KkgB4m5+$@5ruZ0R32hx-Z=T$vtI zrdwcoM`>kU0Zrbp?R7j17Eo;%XbOY7)JAX+ne*E!esKP%u=DG$NBlk|ms;(cZKeQ*g8XP$9-oXid|`f{U_%>cb;rAt+J? zxE8c3tt%f)NgujZ%M1ZS(%bUQ(t#;4UMS}=|V?7)y8_)_pHU1 z9Ls!ej+;G6`ILrm>~(yezj&Y6ZlrOa!T>{Q8Xl%`W{f^2Z6Y4^(D zq>b3_=yw%n7g-u&h`y3jzgZH14p|zP2MK?S@!GpJ@Uzv3?c(1kptPouKUU zZ~+64Yu~kg;<_PAj)7Zl&Q?C33TJj{W_-n1Yy8Dc`mnnBbccawOWq>}%A3@Yx}^Xg zyV!>(pr%jj?@Lawi8ALlXrmC`To2Y17H$VP+?-NC_0hmvIh^3n@U%PtuYeY+2Ac@; zsS~}0(jnqqEqy?|rIJbzLK}#8z2qkt*GL4X8&uI5X=fwQd5GAt22rm2`op8w7ICb- zv}b*hp}m2q;l@&s@V*Wb&UH53U|0OaxxSy1_7M!;PrPf34AMd3E$X*8Grep2IcJvl zHR%9R_L25O#FaVFK%{!G8rVk$>Pi0XBEG$zxQt3Y>sHOmArht~y!BpnvG9#s9VSeE z4|74;wO5W4ZQjhHC}*aN@6F16O*37|(kB8TnQcGIv|ee*w065q4Vmrxh^w=GKT*#J zjqkZSMA+l!@2TIq`AF9=)@5N`yl9h+t1=_x+7A%zlPg(Tr~aW$IY6A5Te5OXz+tVP z#AdF_gc5DIX4T$h*vXj17Ma5ojJ;WiTJ^*^Ls5w{!_93@-#pXTK>8Zex6N$RzU|HE zoe|Qmi%i?7fqG&q!LS)+4VljLGP`%(mzmCWZQC-vu%vT6ZpzT3$JCTz_Bv$9Hsv7c zSue^a=?{c0?tJDQ?SCM0oGaVA-Z^9758&xs?_K9ich2;_-jgo1vSphgogl(%$#O8R8K*|GfvcUO;1GS21m%QUy`I zqmXC1vy_cB3)ela`$3s;NMwZX^OiS^i3vO&@(1LYINrzqSeA?snAf_A-Ro7*wYqSfK4E;JgZO_UfMk7YS``-ZdX) zs!)IRS%$ZS zJ*0crvUNuk`0Z}C#!XG$%kH^ZAD9fhacduB?K;~*X37;Qp9`W+uFL?{ra%Bko9Z#C}DiHHpj!~`9JnojpWNh z*1r{|^pnN;?u9{%eoxS(JuIJ*@_FUI@xK4g3)8C|*{c=ue4^w``P!mqvVaY`}oD`9h$|H>yH4Ib6aa;;?GJrmt2foJ&wsgDF!@_ES* zNS~8J984dk}F}p@^A+cg9?l5IG)hxo!@7eCiNz1A943%^uZRC}iRCYcv?`N|SlJSl~(o75!+0ncE=5)|Qo(qe5T=r!a%} zT_e~Cw{X2i)fmUO)gk3Ys<#BA)qwI6)f9B4%F{I2q)%6t zL%JB&@6rpckHC`oQ*<+{UyNlbwn@KNwZe8o1cs9h@vtGJoL8e}LYvsyz+}JlDG5C0 zm;Op@TlGo#Pv}B~@K{FJHA)!W=o%i3(h?YlXw4{F#NtnhY_*FJGM0VvAY{Iwpl}Tf z*9Jsx*y>)>$1)aESyv4f4 z{q25f4Gq&1mem64ZNU^hB`D}g!FYY@sV?H`i{VfWfLEYfC#*Xj-7)AMbGJL}z34}u zF&J+XVQC!eUUc!vFZ8v4Li8aqnCdbG(_JPzh$ScFDOX7FJ~a`gt4ky=Wc?Ol0)HOP zR`}1CNYy3Mw>96WeTAk%byUeE7H3?;ZG)GI7CngQVw7*&8O1nI9FCPS1?NR5_gj(^ zJVs1YyHG2P4^I?_Um}lPCXZbqqUV8%rD5Dn2A!o;T7qof9bLYJ26i@DEy)|oI+oB{ zK(6a>qjqno%z7i@6l?v`(=<@xmzKfkbxUZ+QtDeuwGgg$hXr|j`6W_*nP7(zl|~x; zUN6M%kp*y|46rYFTQ|YfqA=|sPU=9`3W{>)zZW|VD0aepD=EU@8Y*uDfMheA(joYS z_&o?_KH@t{LR(6O_&T4DY$;WXg;gI3zu6;m`aK{whgCC}Z;lb7>(s^Qb9M^v)!`r_ z{B^J6%mq{*!Y7e%ly#;>&V2}~82lh3bZ@21IVYiX0Rhz!!UJ_`+~(Id7i})tQoLo& zmbId6@O&?HHmHA-KV&XEgmb_JTGB?L2hIhZv?NF&yvnzh%EQ$UeQmT3iPtCf>ItE< zUTqOxtMjd-bt~u=b#uLF_pToBQ=|=r15MPKVV-HzbE{W-3%8^@XSfXw>189*^(PzB zSIw;5mfnVI#ym=F6>ZbUDTUOzSSg~;p9%MUWb?jLblchJ-HC6%O>`y7-@|-T zBSrQ25QUIlD*2g&)l_Pt!eT|um-&b$+1`44&+1x)Ca>C4Si_R+c z1v2fSo?~u9FhS$p@fEvi`TJBp;#f~{24U+~ARkrD@MO6KZ3wT*A(}ih#sDQ8k2d02 zJ{Et7MxK}q*~pHHnvO>N;jnibbp{ycNj6gr zx?EEfpf7A0WhIjvTF91&-6ARsXO*Lqnf$ z1+802K{wUv^V7PfJ0{3NOT`6R-bquQq3bO$RCyEiy+G?knw+XXl%J|kNVe)}$}gyW zUBo8|HD9wk3dNYQTWeryI2uEpE5fY~vP16p=G#fhvj4);|fDB7#6C}^yp z$jnz#_%9bc;<`txb!D+=-buaf*2E)NjhhAA;a2yx~}Np2`nHI#%Cf zLYNCQBW$gj8TeimDjL)m8H~SIg(?Q-yM?>RlX8v350I-@QEOBG2|lKq+lzRlh8=s* zk76ZCm9Z>0ibcIrHD8r)Yv;p`%m_W&phiWgAQM12&`|daUami)yG&Ia-?u$E0kuQ7 zIeca8g)0r}GWPt%G^*$WIL4{i);>+&3}MU9V2+^3*w5cDIX+*L5jaJTWVCv zRpRe0fh2VF+T@Ao0N&PH%WxVH|I7E&C_I*8DJKvY={o@~&fJ)BT} zCk}IZT)0s;Gebn*eWxHsv&Z-(rgGTw2)9Wr)ncryngtS+zYvM6`6e73O>hv`M7{Iw zHs=#*-3zp$npQN?axX3Shc`L`o3*c@nt}{u6!fS=RQfSJ0e1Y?J2;5?-)zDRo}e3W zdRrsSV}%urtUAezRCSsRvQh~?waB>R=h3Ri4<~P^3EuDGFMgO5V_px33TWz?hZfFN90-orE#&N;|BX8SCp2&iWE&=AE#5-~<5}fWy@B zbqi>o{{#VA3U`DNljrXsRULThU_Jz>gx>@`45TpJ4r4{p*%T5LiX97RSdTd95m|JX z&Pt$oQxvx6DYlb?VzIKjP;wfTC8HYzimaGP+hT1DeurCJB#5H@3`!{Q(Of~hfEsQp0A@N94o zg%PEX0{j3%O*}HB{#;IEZ(R%sR^=CI1)L@vve-L}u=}WHEa)66MhN~Ws2T7!hmkYb z^e)K$lh6>EB=faU5`$*zvtYkiq4l8pg>dZybt-G*;a8gus>$p=Tb~$tT{I4k#L|R2 z`j%P0QvH!|W3YL!mq9t}kCn5USm1*IcY-Zcb&W<&@kKYUe;(PryM?9?)Q?fJMxt1X zZ5XoUA_OomcEu$LzuB*jhM#CWmlPoklSQaTG9V@%ycz)p`O;SQo+OFUgEalsDtv#W4+-D%fJOv ztMrnAPU4Ee0F;hUY$?+|M)4)Sm)P_q(6bNpU{EI+=p{8v8{J-l9Rqt>h!(L# zSmv<>NC&8VTw@0eN~LBh9YGqx;Em>EfOoN-7N^rGl}(i?@4o)dsjAoC*m!L@`DTZW^c^i_?C&i zzaJ52xM7Ggm<*=LqbDwnF_~|~-!Q}(I0O1(OcQ5M9vzRqZ6+hWN0{Ei_e7(?lrt;W zv2@PdCCfobu$oM~fr~be96NDR(&N*!b8r9S*7x82T~Dx>hVC0Tw&gf}hM~1w(6pAb z=Q__F4R#z4o;lWjJlJtA_|X~kebjR1OzSE9N+Zi#nAbV(D_p> z=TDw()lMHf*KzuzMX{LI2fHvZyxbH8mNXFcLxHfZ>@kiC|>f9tg6 zv$-jc<{Z!Dvh$~|UTD$A$C?*~JD;1Az>NvtX|JUIgG&juyvd%#+YPQsSIAzy@^bbY zlP<}BN|~)ke{j6}xw=`$v+^^&m&ZR~9&hZg>rwuwi%o3cG>fU{!iMFgpL`v>u^P8ISyf-#XuD|Z8IbY8(zcKN6&+3KSHiJg@1WPO~ahCY*;?-}um+fMx zK+r-3;-XBnxH9Y4>?Z>|zjqYfc6?F0VA&Fm0VfO|E}DBgJp4bu{vR#i_-?ObQ?=t= zig%%ovR#~hYq0Xi|Hl2~S-&;z*3?FOBV%h}zWRUg86q2b$w@0dCdm)f2J#!FE!6ih z!D)!wLREw48QJwjlWs=o$}=jE+k`H=$VCm2V|Q5?h6vASa6{y7)152NuEub)k=qa- Z!3f7<$xnV$RTutEmE)ktVb~p>`)`pByA1#U literal 0 HcmV?d00001 diff --git a/files/COPYIIPL.SYSTEM b/files/COPYIIPL.SYSTEM new file mode 100644 index 0000000000000000000000000000000000000000..f655fe8d51b59eae741ebf60e557abac823b75fd GIT binary patch literal 26368 zcmcJ&dt4Od9zQ;N;j&y8@v>>!D3qd>bfQndkm| zp3n2SKTlsjL#JP+r(B@7T&6c(=B?E(L(a=pa$*&?-)iX2_)W?XK6gFG8PZJxl}@D_ z%cxwVPx-CRRA)GDSIqb|)hnk<_g;|Ss>VOhrMNsw@hRtz2P;BCa#P7k?ZC!R&l@b`Ek+; z{!&%RtyP}es_Y4>->sOC=-67_ooW54K7)*NZgqmHn(D268I>6=8Lb&#m!uih(TSrx zX~u+g{wZp3rqajs(V2;s>@*`yRn;wv!}p;V<6Kn6nhZ(ad%+*9jH;7LwpDFQFsjD7 zHQN#r{fSCwx11lBXmkx^6dgAx@u-uwBF=ribh%{WP$gD255;g)B|^S&Xyi>p6|*$J z6#+sa*|IC0%FHfxNnw1UE1Cbu6)#z%_=svgs+y;&r2+nenSlG5i&8Y-Ym(;iXHBz2 zzRx7xN6#vdw^b!OP0Yn{^g{(a?`>-Hwg|N~ZC;_dMNnG2t*ydQZ|lKMp{-eH{lc&C zJS97;R7+`Y6&*2;IXV{AYHQ`j#zwiLgTe&k>E1a1`wD5%&*Nv+IDe)RTc3EMF8;Vt zHDi&!sjrJ?z8!nq;D&~UtvI)nH&I!ju-0}Vzr`dnh87gg{A1pwbe9DN2?m$Jc zE52J1{BGxQe}(e|K=d`rxA~8{6}wCsd4o}+xOmCUYn5aJsoE9qGC7#oap=s%#EnC- zQl$SQj?ann)9wjExNI_ZG{s@bto=;yIN5CMI1;Dy%0b4Ct~lkioD-+s-;o>V{*y2J z?KnBaSQ@I;cE%-`)F}DwIKNQ|K_UNW)rPk)@)wyC$2+TJXWT?Sw+c{jQIlOJeL|gM z#WmI!0PzH$KT~~5W~;4_YhbT!7CM^Sg~K&XZNjH+`CxNHdt;Muu%@l1q4^MB>u*&W zYC2klqK@57l29ddP<1+()sg-Vb-15bhs1*gZH>1^scCO-_a1EaHXUeb>1b*= zq_ll?kdCluj$uz&>1`Ey-s@JyV%Ru5vr!qI&n6Cc|d%E9~b~(19_9;xKYw9?ueAe#n6_e zWQ&tMO=r!=P8^oemvNH*XkJ(f&qYd9QOZ0nsw|Svoae*$#(6YlWyZ|QS^CrB-K(8z zx2;X(&aJtycG~L$>;Cpu-&=RDjo4t{K)*6ynoAm-a=}H>4f9+BIpG^vlKM1KnTC1v zjq~ZB76F;#{hL(Uzg4~6pQTR0#y^!*`NDiYe8Z&$WGJ+2LdIAd`^^jUk=nUnzV%S$ zlk@Xmn2!WBa#>G)a(<$_asf$3nq)pssfI9m*kUB{bLMxxFkdmzD|e8=06ICBdgjcR zk^JO*SR7=Elp1N5CZcBt{rnCl=MqW_LUUc6z*B5|h&5aq z%6)=QA(NFY4Yj32b5BV_>Ba?^x3xRtoGX@=76^v2NOh`zg8Lq>7vT3>-HF`UuKunl zniAddLO62AB&5xZQ6sk%TJxzeu|J;*@3L;QrNk8}-`5o?pR>{&?mX~DGH~b6AT~}f zt92t8T(Qda(j({*kI71mWJE$Z24-RwzKfS+?i^l1TthQHVV>DMIGC~8K&}oVMjJ%9 z-eW&{>|itcGot957jlu@St*(;q<6Ezon-7vh&CMq%iO(yi^51Ei(FB;kv^=LQHsGs z7CsV%FXcj4RK4{Cfs%E}b_RDXQ;c29!A&!jpOd7-lgqg0 zCF9BEoP#C308+QP#t0Oi1{6bmR#8_Z`7aaig8Extno;8O-ZbJBkD1nA>mtd2EGe%T zrRZY7TD4fx^41xB^~zb4U1wxnRCgt11dje1ACed+2?CuPnOSYJ{+3l#@AH9OHav^` zn;V`*GKOW-T1!xKBSABZVSOk|zFwh-uISs7FI|4+oxk)2(JjLu=#7_<7?+xXr zh4K%CN=EJ+gG!--u`DuSU1!EZh%U;nEH#a`hMJ8As_H;ZOY4Cef1CIf7fhEe^c$3L z$s_=Y=qT3R!<^qLTGZikBx?n=<|A3@FLc`unqq;7U$Nq0)Hyj=XND_TuXFpmfjWsX zfG6rabEVE`JZ>rB0Xuw%!ll=9=m_e!_%fr06oG#?46lpYB`rcB>dCdp;#aP?q-3)ueYV8 zxrM?$m?WO}Cpg0i4Jn|+^Gy6AJXQz?8k!G&K~gH{1v^9!zL?wf9Ex7Fh!CA;F4CW} zXj^`#$}SoBcdFzMs<_K?eib(WHsL^m^dNd?4lR;y$FJCnHqdm5KuLFy_p{haCI$p- z!4ALRHv7}u1{-Ua3V@Xk0!ZLdoxj1`DzthV08Vc$!6Ye&P{_MbUu&E`vK)ht$W-UTdEeEb@#iT`I1ustJ|8-kRf66Ffn`f|N;!4;wy&%G=T;WHPDvHZrx z;!r+oagv<5_|l5S+z@%=;!CeC=3?cn#h20+b3@&2^~(3iF?r~q72d7@a-~|zf6C(xZe6J>k66dGEa6HC%X*gFDoOp-CD2osa7omNg?&hZ>o{x z4%X1dNKafWzM`6tCa%zbUbeU)pBhtwnFkA82D)Y*pR)vVq8XS+c0>3Ede0WRVk_O5 zK_}+WXY#>cOk{N%=My%LJ@(}iahPf$Nq6j^>zB~EJ5Ug)GZHV-U$GW48Z;=OJzp-7 z{)SglrhbWN#l9sgg=glwB?OvW7)q*t!nS(ZZ&arhhSAN>g654Pw8?4(7DU7kOEf3k zU^UKSL4pWvLIwJR59s%oIKMarqvfHmw>SZgTRKWX0&5;)n);Q=x27&1zN?g zQB))NA3cXbgh^Au5AWrUpjk1DGiYQegnxU9`Z~R=fPSGMeodkYLw1GG$-fDd{Pq&R z89b~8P0G~NQo~fbWeM$EA~hhvLrwi$Paqt)h#D+DLMu*ZFOreFUb^%5bfeoxt28x-!6wj^Lm>?tMNvLb_dMhY#S>bZ}Ceksa93scG zw|Z-l{CuG!kUUyTZfk}vL5ka!K5rM7FLe}?d}hyLS17^IO{K6Z63H%<#VhU4?=4kj(5~ zawIFkLScirpZkoUczte`b(C~3Nd!#x)5S!7!@nzx;Ef&h&zs#*C=2+Elb(0oMlULm z=7DDbbcSkU)bv?TJ^1+SzuxlXU!Qzx=A&4HActh*%?>(f0~v%7gRnV}9Oa;2#4p#& zdAJI(jrQg8Ar9J}nPYKehdF39M{Fl)p;}tFBggE>j&NiLIexM@l%R$%2ip1dd9)Mh zK;_5Z1yf@7Jx_P$fGuhX;0<4CucR&TJv4_>-#O$E2OsR9nG|OWXt6Y(a65>_yz(2J zvjclR%E2snUJi3KMmU_*J`=PDaEWFUeexxD z4B$lgFaZq!gE@hUPmXdVJ|iAUI%S3a5X42(hqsb$Fj@2}z7KK)ieh3)7GY(jTgi;V z-qXm`vqCh)ErEzzvS5T>i4ojT7Ks@tqans%j><6DCPL^ZxA-osS*AP}U297QMHYhuJh66IDhzvY;gnV^FQCv2-hAHz`mTj^ znYIuO3z1j>Oh)2&4vn?nypUeJ5VOQ$!psw5B8^*=NNFK~-Jk#h3L98kAnNW7SlcB9 z!u_6VW1i8JX+4ijf=jHXCSYr|cx!6?O^3kGPc73|T%sXN^ztW`i5~vsGO>d1TE@hs z43xEGV*lSWFLjQ(a38L=`(j&vkG3}~oh#Lr-Q3l%*H z7yV{+B>e!-%vy$A|2lOd$^RRDAMZ5L?qyJ-`nx<(Au5`>m#INy4~p`S%ea14`l3;) z=l`*c-mna)SpRIQyfii0w$#IUoG8u8(^vBgQ-L3BD!(`tLt2z7S^4KuS0`J~V+ z`oYzZ*&wYwO*R8c;Je{{@aFihta0&&6K|j!pB1cSkphKm?jmHSmZ!~Mp*P(TI=lF(Mua>(0OAyCW{tL-`AFOg(u9^(#`1j3G#KASTNElL9rAkgsZc}ezA4Rlj3OmKiG z(9aZzPk}-W@M%^)jR?QHR`NwFHBLA6Wk|OVt_J#Pn-oiYuh@s(3gqo02ni-9j46xc zo&?hY-z4AlCSe$O)1N6{was}>7>nmBJm2U%$DDi#?9gul{i!Fs5&A8x3oy1H%y=?k zo!w>bocOXD1Vz9VRGIiPnsz`E>VtSQ6w-wiA}L+gr*+bY`o6M0ZZ`RP0%Muu%Vtwa z^Az%t$<5}T;O6KE98BkKX8K>E-`ngQ=YLq4Oy9Xhp0Oq2z^ntRQA#VdZ=t_l?rg)# z9^Z@>2*bSVW%5isAd8-Of~V!R40*en2opmG6{RakeruId zAuB7CsnRUwja7b19VMFWT%;Iehb_rK|Mm~i3kMhE;LHrWB8i=>tVpaD&3w}eF^F$o z0klHh-Ez|k&MY^t;DQJ#Gs->_MvejCyE@K!PQEjl8!jg%b7T0dRjvVlj1#z#b89k9 zt->Z8!)LA{Qy$K5#4jett>QCQ(ci9=BKQrfh{1r;ISD^2m470Ye>#;QG?)qca#1;t zr)s$Te5!a1Jmjla(7^39k_X)#K8wb32Y^IU2-6Z8t| z$a2uO$7fu`ai%Nz0bmRNIS-Q;{hzN`3LURE$CE z$hk>8ftGq-!Nh^c_lRF5-U(Fua&~ITqSVZE-8?c-7Ouo*Ru3rW>mr(-OvPJ}_U2Pf zc+H-Z$|$dhvxA-pnxhVb6?#r8tk6%UigWm%% zQdGhgHZW8E(Nuk|{9~!|qnKXPW2sG#recP{QIDqH@)&8P*`6vtf{8qu%0H4SGpR2= zmdZ0)A9nKHWKZpRJXJC<11pRglcgx_*FYiji)T|=b0C%2y~s*vaG$$U-mpqB(IqQo zEXY?@Lvrzd0N-3~biPVvY)U$1$d*>3kU2_PIgu}333z`*>LnPIr^P3dewJ2pPl$7V zE?&vaL5W+6SKfkbX{GJO4Xb!*r8Bgrc%@?ML0a)jwB-(J`eWAdp8u2&qMZ;0T|LK5ui@7b^l|HLO0W8Iv(Cou8B;d_s{BMI0< zvLGgAbt-sgjGVcO>y zBzOwmDy&iqQ9 zsqqG@h0uJznR#QiW_eks+ze5-bhX%LC%c0%xw1YrEMXn632o%|`IKL}`o;dn($&r` z2iM2KMn((-cm2apfrqzcFn|9C-IpQb-KgG7-~A6|1T?3_AZTRJOFMD_Oe_Rfq?lQ{ zFN{kK=Z8aNpH0^j7qOLd+B=DU@MEr%Jf=Zv8 zZXi-F*k_1!G^0a2c5i`Q0-`lcC>^NyU{vl?d<#L9<=6JCH}>(qmH+=t);m`pd5)KkL5TQT@xHiC@`*sGd+3G4Eo zc6A@OI#0__yVPJXy`?UVNST{2j5V; ztH187a$btnUSQQlR1c-(QBx7sg9)bhrl0j?Q&V9{?kBD+Ga*P*)`A#mcB^Y`RYXOn z&#foB|EkGKG7~4pbgUT4kE2h&4x>9E1k0e8@OQ0+b@%#!4PMKi@K5)r^rhF`d*wUV zs%MlEVugoc3?@s|)C`xf>1rjBG2x$~c$?r3B87XjqQtaGtiJPKmxA~^)&i2ZujTJt z3p&F5x?VD2EGU~8s#&3!8~!OxA_E)4jio=hC{4i6A>ulLZ$`drtr|nd`2zHHj1yw- zQ(TmM$6DA;~cUqbNiWABrb#c>eYZY0Ym&8gF_*>V4w%oQBYogf* z{;jocNkhm<4DoJ`Kx<96u2rHnQ+LiKRMjeV!Ts75C0^66MGcd0TdM{s`t0puj|n4h8Xmu zb^PRYAT;1!`Tqx`0G3f=&mQ$g_VsVTYNi{}pqbOt-vTFakI+qFFbiJ)hVxsP1xLN% z{F-_Ejh;#CAUaK6_XiUe;HbGJiID=;@43J%_&*v^8YEp-kgmZ#Vh{+SxfDUm9{po8 z+MXD+e_f?&z(vjT2tc`Ai7_6vH9o?{)&{txSLsH}~rI1eN6$B5tDOQY4?B_48gJbo=I_WB73GOY3gAyv`XV4*B`QI&O#<`}0M>xL)}V-Y&^PEV$7?jFnhfF_nD1f-jB6h%4}< z5e?;C#CT#jnbnxjeL=u@8V;YpoXGU>Pjfa{bw_i+I?vpk20D#Z3c)HIp;LH5)R&QQ zpLi1+VJ^2<+-pk-CZyfK#TV@L9Cu;I8n&U^E@b(w&ToOH@nC(yx^sCr+KSzl4AqaC zY8Qtm7d!5?WikIsgBs#ViiQ5sx88G4EcB1Q^^jpF0$b|hCF0ub8ZHh;bJbn(KKxZ@ zJ7xNx>#>r3#L1&MNgQNE2GDBYDK&(rVtLBSQ!&KBdE!lsYN~5u&g1LpU)PIg>6_LA z{Z_u|iqOys7=@JXZsm-Ic)%ugj)r}3=Mm1xTuehXum;ye`nBzDqsxqjh?r=Hh*;#H zgVz@xt)#5`(OK|%(Nj=?ANn5!&QtDict7e4)`!T*q!9#6e<#4xxXTGdZ2f?Ut#1*n zbr+(xZiA`z&j8P1NROomC@fJLiEKMWTNiaa(~-s;OM`6e>>-nePk-f`+V-Q&D>><{%W)Bkb3j)agf zJHX)p8^}@Db7xUvl(wTrVWH<;(6*R01#K3|kFU?2U@KMEvy?Wut~hKRf74er4gT5+ zVG1HG5`z&qk>rM|`Bq&V7And8B?~TfK^o5Yub2DR)5q5f$jACyQ~!GFPdf9) z3hH^_nUJK7QZX>VS3SY0VmduqP5*G`H(>CnbfuZd+zErtkWdD30vBl$6H=16D6)si zUy{=n3JBi}tJSc@Ac-~5w(Mxy;cI~DLG|wjg(9Np1dRB|vye%yV;~P0Q5X}`P03Rz zWHLvdB?907h!?>&>dS!U3LCAJC|clU4Q~O3T$BJ3@|OEi@htzvTSOg#OaVg}?HXMc znZJ4}f}GBmBBSB0E=?1I%S%GYR~>nQnRF-#8&X4EcfkB_bOq;3pV~zNilpUWpH#+K zLL#vNd%1Hg80lU(**kmQN>W0)eRX@SXKXCo9SHd(vKocp2A#TV;fjCI$>5))_!S)g z3a3-}iu7wKypo?`m0#i1c;d$-8?E7e%`@P?3^w|gP4u{|E2W)3$SXK?F3}N`d`;K_ zuo{|M+w|a;3ZhGp4H3n^%r(8t0SExK4hVQ+_0fJLn_S=o6Ek4$eVTb`uk} ziEK^GXUsRM)o}v zC+1{2^hSwdZs+La1wAV`X`qnU4cI?gObEq#iK{daiuDqg1hbrGGKq!Qt(#1U^sTWF zB06EbG5T(PS$CZj8ctZ<&y(OaGYMV`f>px(C&Y))dAaVhWo9}**G*&PqxlDkAQS@8 z>A?)a*zjP6yB(D0OS9VNZV;g(icwq;^DY6TFb?oB3O6D6t;~!lIbvQqo46GoWJL3X zNkjSR8G-i0r2!IT0&#JAMpsy27`hIZr)Ma~u}ztC99E1!dZ<%A)C`XX2|m}I7!;vh zhg36*-2@ayXCy!f7M5q}MHxCdQhFJ70ZRzw@4!o<$C_bw)|6^Zqb4HKaiVTxY|3wB zdY0obWk)4k_77D;#PY&@Oj$VM#e5N@OI98F1FiDaRy9B}&UYPF>L2M;73MMg! zcviqd5oD^xmx>hOo;PU@8WPlI7goOKHrJ2BjxT6Vy+J?AC zpY?a?&!Fu+eI|g&PQQG?;crxenUn#P@_z~M&iiUVssGmvViBB%p^_W!EaT&D&rmbc&*cX`ZF7yecCOOyK+iSQ>X;{EVNG2|Zn`BoXfX%uH19KA2fLGgDWi z@8KWL>=r1zG(4Q?BnqX?KhJ%G{{gqPnu7V_762pB8SVd*J3J3sCXxJF-SN^K#Z+nd z8+YD62WFf4T-Th8^TjqN;N}j+YZ87m%T3&g!+MkJSx`nfS5-O^(kkFj><*RaXhL- z(rdCM6A$J0AU`fv8qdeYN|AhgtP~72SU$RupBO72*~llv5`UA~Q*X)}6$_y1>d7@~ z1L&qlYmu~Pg!CYp(P#-3>q{r;JydLoF;+!$mHh(|7=S?<)X3@LW+qR3X#iRY0H{5a4Q079d zrVU1>bV^KSp(Ne1iTezZ zOh`8|_ith*ZVISY2({P*S10VKab3D5lUjHcP#}=M_%6tORMa-%bip+W;+{ z3($TZCx9R!@iz{IC*h`{8naNphav$P8D&A<6cfnXHsA`Kl|2^G*qRME8kQbK87+)} zU}MB4#Z0lU!ZXRM+bmfj>(G2NoQNdGS%)-E{xs*8 zuy%m?d1TWNt++Z^JcyV#PrwpEq+zt(FGPFHL&)@g-DWw?o3(X2?mU1lI}18JP}I<6 ztk#BQu#K9oPUQ#a-q`PXg-6NgRSqbv%ENhd@m1&xd$zg1nCx(FCgLs82#|nhx?x538du$&^t~<>f;> z7SG26SK_WMtZIePI|BYS{6z6Eeo793?;nHzeag>WQB6~FlrFiJPa=l^WG}Cs0-#*g zf)R$$&oWM>p9Tqn@e5}`Cd$WhK*eAL`z1$-g2d3;+}?7~TR|}^a=_w9e03l;gF;ED zs6=fRhgnTTw$nxM^w_df{L!4md%&}Uv52=SCSV+AcDgs)O8SJe?f2#7XoxMiEYeX;PC?_=`fOJAgLWm zWcmrw*l*E%+}kjnN2?B@!SC#)woarh~IN(2^7+nIDpipeOuF-?Er~mo%+K5(IaKI8`%=p012?5@klJ#FxWgqr^%i zuABr6z!FK~2M~2m-n5}0?lf;PWe&c0>B8^lTWZK(t7}Z>-A>g)y2xIH!j(@u(Lm*D z?fV@kLa7br;OOf{j`~IY{ldjdmj{fdppZ~YSa^grW=QPNVdoFD9jHY^%0a*Xz*jA; zilWrj9jHCr+Cn;L_1Apu_v5Xm5h`Mhx8q=2ZBsK!HomDuU0%B#7L6I4LJo}3VZGk&KXMILJv|MX^0Ocf9R#Q*|JeC|XX-JHyw zrk7gSIKjlqPj6NtBunAP$E(yHDO@@2GVOnKbJ?i{Pi#gc zzV)n>skBR*lrIgYpaGgPQ4D;p=MZIo+kew^kfdv%W*N~u9h>EP6Mtm0{Dp}>wpng4@tvFHMic+dX1U44f4doY zQQZbF3>zXC59&k_y2oD%LYJC#>W;%8C6 zZul0b5iKChSu#w9BzfZ&1W`bunz2O+;U6GP9eiL5i?hAjb1k035DN6iKL`Kp76-qO zgk4b9yqC5>%9LN;!f)7u4p5Ri?;avQyTz7bMmfj~3%4wPb_))l`0Ws#$r&*)KLH1` z0s%F|Ne(he-$f34fP75`Q7hd-RB94|P7a5_{rZ)kP+Re9`rdakz9wEWt&nUqS<(=3 zd$puam#0}UWa!NMf=hIvQ@FH8cLZ@^EcV@k#MRz5h$qFm3-29HcGWQ)jo4ILg7GJe{$2&XT zDZ+oBzSD*O3M=ca*1{_0V?1@As8Y>NBlOowW;x!=tNm5*bre~{<dH711NgAAN6uSvRc;T?@A^F z6kOUYe&shPL1+*M2|`$%MYij84@)xwb-VSt-K$H)xz2{D=|^YjhdnXvby$;2~Dzzrv9 zpZ|$M|pO=)LeQ(UXr?%aZ_flTYcJubA?OV5x znfKxLo!dX$uD@UZK6#(`{@{Cx_TF+OKMeIh+^)ZWh|~|Y`d9NcYn>9(HBuZ2Ll#tU za&AvMpnZ^pvo^Ii9`LqQ2uEAIZS5^hoj3sBsF2VPUN7ZuZW^=%9jL)Ex#spZN+hOH ztgnr`j=`~D(>8Ggj3+>UXwbLk;fNXxD2Xt9BmkB-mfoJH{-gu>WT7qNy223|Hf{tt zPOMdFx;>9svW>YpPrS}=az73*qKU8kog}*J&HBeyi zP!{#Z#@q7L(azfur;I0OXa|Lx1};;UdK~%uw|rY(9%Vthf!x6iwQD3p=OMR~vN*%h z41V3FZ}eK-;z-hxNv|y3%(U$w@kE?SN&t3B@wy-X229;RcYWZF`Xg)!N>T4QPl_fO zIZ0i_+~S6bkw~|(`jCgY2CnVztVCgu^|13y#x^X^L&K49%SQ=j$Oh!Xi6AK{iKXQ7 zJP@$CYOkgvloLU7pB{X!M@v^5@r!8bY9k7T)XgoNTlse*hM1T`#P#rNj#WqlT3o^J z^!7Yv*mj64Dm)8rBg74i77i7h_S6OryB-XAGc-+9?@yPT`PA*DV3t!lt8t%1evJ{4 z+{dT6A!FuO8yix$JJp zkwO|2XAR^N?EEiaBji#Cc1WQ?B4q+l{)s@DxnVo00F>4*&mgw?CPZTkMa@lK&FU=i zRg^Ra6NSL9uimgggU*OROJ@TuL4TLh=y|y?*N*%j1Bs)*S?eB?;~<1hTm3NE@qVCq zprupV%13|!_g(Bp`f(ui` zadt7z4r}T-D5Y^=-3~6!!Hr|Zk(^Z*5SD%*TGfBLDA5X6*(lNA4|NU`ttav84owoR zr=p8-OvP|2q!^~)X#TkmU^rXy0olUSp)ZF_Uiv|zQJO)Fm`gs8wG5oFLo6BgsCXl1 zq@Vu)Ca!`HboPc5c{qNjMm9b7fznK>nxq+%rRjF6NoPitE4OnPEryT zhk0g)|FUxkDc++=;?n1!??~0onG&Um`CzeKFYB;tXB~0Y$ZBHZ-zMT?qFEB4u@P?& zy?SBg+Y8A9^q{eSP`fs1Y8PBI8pI#TxrX?tj`?%yy%?^CwRlLUz5Gu%M_X zJQ)j7Mmc748j?^&7>#jsJnclTwLh^gr#U}R`TLI5P9-w%(%$ioQ{7qGoX-^G6EPJ2 zB^*GrsJOSl;-SKDdx3`vhrOfQS8H{4WsGDCDRnAAJ^WpJ(Mb)Mr@yHU#Qd5tJ_u_A zxYNY!qNFB3IAa*|%*BjhtaukcYlnC@pG*+*E|G#>aW_j*a`F!DZh6)Y?k;khg8(hj zPINEYu>+xX*BrwYswWF+lcB!6&f>hKi5xrDexngQMI={51!-ml;2+jh4&RVy!XryU z%32$m+Yn6#8AEFs`~vY|LT!uxD=(5Yp@UfGzb7|SfU$kQgL$aHt{nl9#v@4!(15p7 z_rSt)2^o!GsJ&o~&(kSz*`99&Zj-15!7VAk`osu9ve%gVsz5+`k{AhZK0NVFz z!n#IiBR#&dS3B_AMf{FuMFVq-z!^{igwQ=gBh$IBLNy@-aT-@%Nj<>r%L=sSCa~DF+M(q+1=`FsYs_`Y^hn~Z#1T}X2It)mx0ckD93j`PT;c56HA0#SL4ni* zlQ+78I=>TiQRe64khSaU5hgp8iz{QV&;^GzHA0@s)I+P+6YaJFc@ba;cfC!L9wt+M+LaOQv?DHcqGR{ ztfc#vFhLtA>hbX=L*~{^Sv5zXihSZO_tZ7FWB%mvfcEGXuw@L8`x6ZmH35dYcm%N1 zMk6%_iK9(M>UzpRtuPv>$p!;;lgU7hgLZp^(S&>x@=eI6i~~k|4EUJvp^SLP$AFIs zAGAJT#K(Y-2_MRYcYF-^nD9aOsBb`h1L_-4AMf}W@WG8fsE>Di4EUJvp-gzk$AFIs z9~`4ceG}@NP~U|5c*n#mo&KG17i!!AH!h5+=EnnO({pmznQM znHHHzDPv~3nYRikI;$Z6RWoZB)#RWv$;r!#9V}%Y7zm9Runx5iXn)t!_=%q|Iq{Bx zfuyO^X4sj5*-t$)pIze6^R@5g11na&il?;$18M04nc2>FwtXOIIR!-}1MZJM-Cten z8yIL%+P*$^eBea)Pio(<7a6vg)dO?Fq)F~RSm|5D^fVkJ{ZfQ*@pkp->}tE?^y$-H zir!ubO`bN1bbg^+?0WP|@zEC1ep+g$KP&_%+FmHVi!bER8w=$xMe^N3z8&~T-;jK* z(7*A7M!Znv^ZDw|>}r$>O9`WY6MI@jJo~MuPum?@Ci-@VloYy7Gvh@>4k2zxE_5ZG zG8d6SkR~#5C)2J%cc@(Cf+Dehmoy%-A(f=hCvmt;xw!PfSzr#*PV{2aTVnYIh5UpMxaQ$^)Cv@6^ zLJm{HFf)~Zi|METN@v4n4aNN%v~AkeQ4i$0bzXVY5l2K=?y%+t8@#9E#%U*jEUR^?f1qFdAnRQ(=)9< z7BM?_C9&DryRvue%FKRbSN5u1S(a=*X&3+KF8;Y){A;`ToLvH)sUFcP&T3cs{;Lle zec)P{(w{Jh1r)rIm{YG%teEoVK0cWEIkhw4;%Mq$n5|tX{$r})UwI{uBST9SO3=jT zmj#qI{wt4~ttBEe;UdzD9Q@qbg`7UgZD5K5dwBtzPG6HOp*E+_{G^G`AiYtSCsT~ zZ;^VBJ7m^C&zT};P|D?|GewPOi=dF5ErKv>4ht(vqQ5WlnEplg7s-7^HZ^R*B*oM#mwG}a_&O~Tjsl$pP(HmRGpNQJ+`6q6vS z5GP2Z7D@RT0eo3@edGzIu%&8|bb)_X;ulJeVk}9=o7Ej@)!2~>va6M_o@XWHbk9Ob zIa!3xh@&gBEHn8&%0)iFm+s?FJAZaj+mvqC+)B!oaDP7Ks<-yDIM2%Qg@xW<)et&N zBBxvF@lrakg${~myuYcz9IoU@=WRk~gv}+7^L2EkapN6AB ztTq6ym(G$xr3n+%>F6SpbS0icyqnP(4y#XcG4ok5y3ueF_$VZ%1GlZ?rSZVZ7=o4W z71OOE$g~+cppwL$*W(z0smHEhYSR@=?JhX$sdhDjG*%E}v|3xxPtaA?{FAY$8lWTR z0}twXy91B@b~OY?WxHaP-t-}?G@9G1QP56}4@d)c2M8d<^}4;vIq-xJ3JG@*f~5A- zr$H`pYY~a2DGbn9xCKA_h=z@E$47DvE@x0~2Wl6)V49Q<82uAe8$`^Z;+epTYAcOx zjMz+Y5tuM-2(73wYBh^|kawghe2o#!)m40?+o!Wjad1c=o>&bL`*F3yMiPTe z0>TjTT~c>KBOerFnkPQR@02xv@g#Yt48N>Prm1=4z%yLc#9KY1;F#En#>Jyr%RM_~ z{G(fBcNof};Ralapw*OpvO1#R7yttPiwb~AU^F<0fewL0htLyWFT<_;g$ysVU&hgE zANs3GtCQhQumxPq^mnE12$nuBJA)vs!-j)#>X8BuBBb=eu1+dt||cODj!y(Y}->VYa*FxnBrCKw$!aHT~s+!8oXb(0pZ5IoO)lS~F6 zU(+`^Vnu=x$Y9a^QLd9aW@B*<0>Kdj>EEP(OAJYY>+@g-%iCcj$C%GaW6+O=OE?#a zc!jQS5OVV^)|bQp;)Em%yLJ%BsX2SH8;VTQNzOUSyGb>=hw-<%iz{z-bMa7Sl1^p- z$t_M3Q@0z(AIm#?X;JW`u79gLlK@lc@JbPd}ZRR?nZjtMF)A4 z$=BzI^b+u(|3ll}Oa<1)>D~>BS*T8b9n&!Llia>CGfe!G_cTm$JI`iMf`XF%y4JL^3A|;SB+#M|K%$=b8;^1!7i#pr&y0TiU<(z`55_{v_ zV4nudkXRi3w&DI0=!ji79uk4r2m;T6^fX`kTj_@Mifrluz?Pbxp6)~7R5mpYYquV# z<8A@fkCKfMB)%j9OKRpK1zg;xV&`b5{^k(W$)~1aE%T`dBr4x9E#L5fn3j(tN3izY zn@@pZ-Y2eC!(mh$xDVVLuU+f^5J#A620*$D>I_)E4C+WR5`i9Er1L#;2*w}fJg4nj z6Z4|GE3Gh%Xx1L0S(DJ1A!wAkw{u`B$k;&sz*Hv1t^H;Yo`}FIc)mRpS&Cs@>4oVT zSfNV=J;peWm@GPe1QPah@@~8)y#4<#@5FPzb1GHrxY~T~&LAU`5 z_axZF@9_)HQzu5bPtuiT?#_J5i1qAdR+N#ec$p1l^@(02;2k+RllIt&$)a@99_>T| zNN_N2+y$dRka(Cl${(-9OC^fxuME5mmnxO-!DND=R);BHBknF3vCT>nd)%Nz@{{&x zXU|ksp0o#%<{nUXGbno*j-z#=v1!WTdYGWPQKL!Pt!$^_rNmG~(nOx370cusaATaG zcE-oQZjGNk1_qp=BqcLSx|nCzRh&{^#Eniv50-ycUik4(pPu=2 zOpP%-g+Z*)pq>rb6ybzy%;y>&n{N3tV>{;X4k0|EAU6T~aaks@ z;8BD5NN9ma54nL+QhFcP4uJX}1LS%5pzHi!lWSbsnwxQzOVc5qB2FzTaC@^pD1Dj~ zx#?whdy{t8Bk>coQo4a2<4}Ax2fS_mBXBY5*FIBq%`J^J`n8snekhFk%8yf?dPQv> zjWr5&&{xxR$g4Rd2fdLL7DGEa9VmMc$EMr7{{dQWn{I!_?a0K&NqyPwZ=((jUKVq; z6AI7`tSoudoWnZk0V9mCfjYcf>qmg!<4~KgmAvcS*9Q7Wg3n)D>&2DUK3q0R>DJR$ z#fw{SaAKn!aA@;>-A3W)6Zwz&Pe)2gv(i?owbj<4cw6C55h&d*ONL2ZaT_+)w0xlz z({94iAVBxNG}@zIxMh(k=IJpU|9_k z*N$NDYIkx=5Y^T~oT~+te#;;pt^gKM2k>^c19sQOU~PWhv)O3guU zr`&`U)w&XJfA`>h+gI+AZ}H0dDz~@!8*ojUxAmGrUuppz1R;sNSVy*NvT-ZDw@oXe z-`Y*?2fx;x+zBIXLwn$!aO`YKxcW!7rZ8AQ_@uN<%i@a;N?M&;ULD{n+CB=TV&@>O0*L_#4aVaDDX*jrM%!p< z0Ep%@yb3e2=>*(R`$emOA=diq{MffwvUTDD6Zhbsx2cw3DIqtcCI}(9lB&)RGx#ph z7qSAStsU4Hjk`4b;Hm$B4A#7{<_q``K!bfCPo%~m^ac@Dhay3bMsHI)Fd#4ot!Fa+ z3W9cen3B*+$ZDg%73B1w4<~@G;tQdoz&uj4U1{)x^m+#ah;$BynD#5krIB3ps1s(? zx^f#ufK(6O&rdoK0>!i_5mHm@MK7qOU5RlZrLW~PLI9!|)zOAdaxE}e+|l+{eeR? zaO~i^F7k~8nsP#g!9N^FWNzD;@u1%nnx#7w;mDi?9mYPvS7ulK8E?t!wNy^BxAsUDZWjKRDU|;=_Y}^a z&ZZ})GwF||KkQ4N?n{3NdeS295qgNl?dV#B{~i(_@&`MA!5t!zh6v?F$*dSfm?h#O ziky*MkBE;XyMz5<>Repxmn<7C3DHGm$sI6tZkj#k@fnXlNe}*sEH^`3 z#LZl8r$WcX-#_!=fAk&xa^E8#mlRII4QTr<(ueRB;JRxU#k|%BSFLcpXSOA;6#nV+ z%%hg#;$oq_spbHP4UnLrxu!P2ECl3;PZAsKBw~Y=CTr$bSY6K-PGZG}5<>ju1XF0( zgo)g};={?}L(7trxd*~+vt}q$`8W2^f{pulIrHDO}5ZXwO=#z5xHCYE7QLJ EFMvD}6#xJL literal 0 HcmV?d00001 diff --git a/files/ED b/files/ED new file mode 100644 index 0000000000000000000000000000000000000000..d63a7422bb3872bcaa116972c415031dbbb73987 GIT binary patch literal 3145 zcmXw54{Q_H89&EP;sg>L$FWUGmq!~Ysl3T(qVu-=t3x9vcoKg?6r3%+PDr@Tc=5vjUgP}|7lqH-GKjXV#dXbuHAq}|}$F(g+56afHT}LWm*J(@gfP_B-;(b>( z>*V+DeShwI-=E+2Ij2UbQMcf>{O)X^OxmsvXeEc=!ApU?GkhWN!VIqt{CtL|m|yXu z%&#qflHg%WC-005Qx|=@+m-_o4aCzxeABsi^ekIef=bvS-@)NO4jz5g`}!eI;Rtn3 z{`g?}Sn{9mUAS`o6aOn0{~Dj1PJDEUI{5P8sdXkQvHJ-m;E5dG5`E|7m$5Hnftdf~ z#pv*8JT?(Kf3i6C``B+|LhL9W!iVu8d^UFQP2+r}MYu>;k`+%%fvkcW%(rV{&uWjTXfl&f(LKJfG^ z1YpCxq*|Wiu0AA6fpN)S4!_jHz3yXH&y`v@9cqkm6pzpotQqyN zBhpwpKpN5ovNr7_HGeaV${Mtu?@Y77PrLm}vtm)he)Kk% z;(g9*53Kjt^<@Wu=#&3LM|wd!dW}c#v$zXR-)D8#Z3A|+I^u0i&jnj&cZ-dj?&>Y^ zsd~H4>b0MyggJpU%uSIE9Cg(tKKc$NNUU64Vz(OJQ3(=9Dx{O7OqwFadHG7im1rZ4 z1|Pt~Ymxm#YJQ3Roc$_$m$K-jI3?-s!Air$qP23(>9SG3g_5kRwLK2^rg@coBO@=+ zSnt5q4!!3L!TIN1mJ4!Se-5q*hyT4qRZXI<@w~RX4`~0L-0V0c-bIx$>+ZB}hfUEede3T3T zH&kL@eV?uvZR5q=42ihvcUi008HX?7BHGCU4j^Aq!xHsiiZ5Ege+f^tPEE| z5N>3=PiMTX@*1YiYsZyLGhV~Apg%-#r7hdaRN^(+r{#swyd3L#ZB2Z z=IP)MWKE=%d>1!no0+Z{Ze|)qb+XBZ?b$BI4%;>xZpk(Yq-wnJh&?6Wg%ZrQ<(e|` zB5lLX*+xZ3VF;P~?Tp>u-zGLOZP-4$8nd^chU`Q7iLFD%3C)lpLvo0YIO`NNY&7qEz(a8{O?y{(@yYo*sIgNk{OJe0 z()qm2o)%!T1HBDYH-hRWGpKg{JLaszezMD}o|eW&=EgF*+cb1o=bxjthcEyDNVWic zb=OyMRIWSHy}g30@}XwFBS8KoehnA_DR%iMb7ST5l~n$!I=HaC7^vbU+U;&%a7rg3 zWasjqFMN?bf=gI!?(dETFDp~ZDgG2kp$p--G#2d!CZzM9jH#;*~7#l9|KKVA9;grGUji|E_wGC7a zRJAYEFZ^OHT;X!|&Jw${$R^Vl5)_(Ktbb@RKgKM8)sb!}Va{pc%o7x2oKP_)r!NL_ z=ihyA_Piqa#UlJGl78}O#=8@Q!O{*fT^{TOf0OqkJID2VB=F*OpKci&ZS&=f0h{J2 zv4mGK28dZrv4JdMqXU~9QA4B#W)nAKax+F-)(~TQIm+wehXKRA^_~rqSyZFP?mIWI zj8XBG@)_~lLWyys36OFH8_PGYz=K{7`}>W-Pje>mBmNdwuxj{njxu`{0yIk}#A0hC zvft-Jwit4RYX30JU`hPGmX zKJKFN?v4Y@ZaRa$&x`jI9@qqLdtG?|R|_Pg7%WMj2{xzSrDK5h#1v}pGt;(#zG#P% z1#FNg$IPjyO9A5msZ!*WrU>xA%*oe7mi9ic%SLB1d6-$3X}k+Qw+rto$9+#e*`SV1 z7LPKEbjGUpx~5f-okD(YCb-N8U;{^ZyNI|LOjcoP|OSLcH)#hxafGe_VP*ILI bLp%HX&FobmDezk^0Q#;10zep)aiQ>E_+|q$ literal 0 HcmV?d00001 diff --git a/files/GAME/RENDER b/files/GAME/RENDER new file mode 100644 index 0000000000000000000000000000000000000000..f6a5fd1a3dd5ef17d69fc9d35c72894a73abffdb GIT binary patch literal 1511 zcmZuxU1$_n6h5=F*`1j?cQc8*I!V-A0@b9XrKP6oi`kdLvMj|yB&ARDV!LZG`qGzL zHBd)n=}1--YTLjtWMFS#qp9sf9-^Z*?QRem8v7!}gcg_e#pb~W|JwA-T}z?$!hYwT z^BvB;^PO|IP^29Sk-F06z$=5>Uw?B1#;VV=2M(PCT-p6&V5Bp+{G?fm&%To!V(SCt zJrCHdpD;_4c48{sDi0+a@leNTTto-$#Ob6}gS1A$pwLC3y8`u^*uP3)Qw7e{pa;ne zh0PSURANX*dnC{r$8LtuZX|Mh*j zaUu*cTIeIbtW^|iM)sA9(Ce!gCwncvjSY~dT-d34;6g2>O+$Zc0eXd*6sBGePK5Vs z+at>2Fej@F`sd;TI|L>KCIu=2Re_p7B2X7d1*Qac3N+LL^m|YqlBdDY@Qf}^QzxgP zTi_;5Q}Tm{rXgL<;~J79D$~x`+%{W8qWMo^Pb<7)&0`&RdYe11S3MA#ZM9WKiAxri zPy&?Al^GB>fxrnWuy$f<4SCdP5qc|1eQ^@8WtH>QNUJ{7D%)pq%LUZWJgC^pRII65 zp24pcb`y{Btdk=yoKn6#ja{jS&suBQ@R8+|NLj<>d`uR)R9jidsJ7~5T=?QB;hxw7Cz)-&QVe*5{r+DhAaG%t#WYg5iU8a*t~qyBJ=P)vLsK%_uQ9Txw3h9 zmCRO@>e^^=>ORlnm;5NN_tfr@h`==E;VQw*@DWxiTqG8NFNc!lp>Za3zr#7AbB1|( zD>b3NdqmGSVwczPuIBNc_I-~tyfP|CYDaW!2WhP(!pD&Snoka@Hkq5y*-@R3;u-nb zMd))r)EBdT&PRILJSay2+d$v$nn_)iRTt)sTT@Ig`C9rj$mM+;8hwk4OfHRD*Y9{HZ!38)kIZD8Gs!dsp`R|tB9qFx^C5F7c z;lcOPP=Ck91*z3S4V1t-J3^heDXO}ga>Lm}dEJv)53TK`&ItYVX%WdHa(6%A%g$cv z#gY4Fgz{USPhIb zVZyT_>obwX59Ih*&O6t34&`_?=T+Oz$sB*4^R5bqom1Hpm3^YIP-CAHc3x+1;po|$ z?C#W=(RArC7g)@s!=k#%W_CjFQCpkvlpS}+=WosI60M|J)RlftY^P=lcH^}1YmMjayV`ir-MqeXCkp)y$LJy! literal 0 HcmV?d00001 diff --git a/files/GAME/RENDER.S b/files/GAME/RENDER.S new file mode 100644 index 0000000..3103c0d --- /dev/null +++ b/files/GAME/RENDER.S @@ -0,0 +1,1092 @@ + LST OFF + + ORG $900 + + XC ; Enable 65c02 ops + +* This code is written bottom-up. That is, +* simple routines first, then routines that +* call those to build complexity. The main +* code is at the very end. We jump to it now. + JMP TEST + +* Conditional assembly flags +DBLBUF = 0 ; whether to double-buffer +DEBUG = 0 ; turn on verbose logging + +* Constants +TOPLINE = $2000 +NLINES = 126 + +* My zero page +LINECT = $3 ; len 1 +BUMP = $4 ; len 1 +TXCOLNUM = $5 ; len 1 +PLINE = $6 ; len 2 +PDST = $8 ; len 2 +PTEX = $A ; len 2 +PBUMP = $C ; len 2 +PIXNUM = $E ; len 1 +BYTENUM = $F ; len 1 +PTMP = $10 ; len 2 +BACKBUF = $12 ; len 1 (value 0 or 1) +FRONTBUF = $13 ; len 1 (value 0 or 1) +PCAST = $14 ; len 2 + +* Monitor zero page +STARTADDR = $3C +ENDADDR = $3E +DESTADDR = $42 + +* Place to stick ProDOS names temporarily +NAMEBUF = $280 + +* Tables and buffers +SH0101 = $1000 +SH0123 = $1100 +SH0145 = $1200 +SH0156 = $1300 +SH0157 = $1400 +SH4501 = $1500 +SH4523 = $1600 +SH4545 = $1700 +SH4556 = $1800 +SH4557 = $1900 +BLITIDXL = $1A00 ; size $80 +BLITIDXH = $1A80 ; size $80 +DCMIDXL = $1B00 ; size $40 (one entry per two lines) +DCMIDXH = $1B40 ; size $40 +X1B80 = $1B80 ; unused +DCMROLL = $1C00 ; size 11*(126/2) = 693 = $2B5, plus 1 for rts +CBLITROLL = $1F00 ; size 3*(126/2) = 189 = $BD, plus 1 for rts + +PRODOSBUF = $1000 ; temporary, before tbls built +SCREEN = $2000 + +TEXTURES = $4000 ; size $5550 (5460 bytes x 4 textures) +TEXSIZE = 5460 +TEX0 = TEXTURES +TEX1 = TEX0+TEXSIZE +TEX2 = TEX1+TEXSIZE +TEX3 = TEX2+TEXSIZE +UN9550 = $9550 ; unused +BLITROLL = $A000 ; size 29*126 = 3654 = $E80, plus 1 for rts +BUMPS = $AF00 ; len 64*64 = $1000 +GLOBALPG = $BF00 ; ProDOS global page +MLI = GLOBALPG ; also the call point for ProDOS MLI +MEMMAP = $BF58 + +* I/O locations +KBD = $C000 +CLRAUXRD = $C002 +SETAUXRD = $C003 +CLRAUXWR = $C004 +SETAUXWR = $C005 +CLRAUXZP = $C008 +SETAUXZP = $C009 +KBDSTRB = $C010 +CLRTEXT = $C050 +SETTEXT = $C051 +CLRMIXED = $C052 +SETMIXED = $C053 +PAGE1 = $C054 +PAGE2 = $C055 +CLRHIRES = $C056 +SETHIRES = $C057 + +* ROM routines +AUXMOVE = $C311 +PRNTAX = $F941 +RDKEY = $FD0C +CROUT = $FD8E +PRBYTE = $FDDA +COUT = $FDED +PRERR = $FF2D +MONITOR = $FF69 + +; Pixel offsets for even and odd blit lines +BLITOFFE DFB 5,8,11,1,17,20,24 +BLITOFFO DFB 34,37,40,30,46,49,53 +; texture addresses +TEXADRL DFB TEX0,>TEX1,>TEX2,>TEX3 + ; mip level offsets +MIPOFFL DFB <0,<4096,<5120,<5376,<5440,<5456,<5460 +MIPOFFH DFB >0,>4096,>5120,>5376,>5440,>5456,>5460 + +NEXTLINE LDA PLINE+1 ; Hi byte of line + CLC + ADC #4 ; Next line is 1K up + TAX + EOR PLINE+1 + AND #$20 ; Past end of screen? + BEQ :DONE ; If not, we're done + TXA + SEC + SBC #$20 ; Back to start + TAX + LDA PLINE ; Lo byte + CLC + ADC #$80 ; Inner blks offset by 128 bytes + STA PLINE + BCC :DONE + INX ; Next page + TXA + AND #7 + CMP #4 ; Still inside inner blk? + BNE :DONE ; If so we're done + TXA + SEC + SBC #4 ; Back to start of inner blk + TAX + LDA PLINE + CLC + ADC #$28 ; Outer blks offset by 40 bytes + STA PLINE +:DONE STX PLINE+1 + RTS + +* Template for blitting code + +BLITTPL ; comments show byte offset +* even rows + LDA SH0157 ; 0: pixel 3 + ASL ; 3: save half of pix 3 in carry + ORA SH0101 ; 4: pixel 0 + ORA SH0123 ; 7: pixel 1 + ORA SH0145 ; 10: pixel 2 + STA (0),Y ; 13: even column + INY ; 15: prep for odd + LDA SH0101 ; 16: pixel 4 + ORA SH0123 ; 19: pixel 5 + ROL ; 22: recover half of pix 3 + ORA SH0156 ; 23: pixel 6 - after rol to ensure right hi bit + STA (0),Y ; 26: odd column + DEY ; 28: prep for even +* odd rows + LDA SH4557 ; 29: pixel 3 + ASL ; 32: save half of pix 3 in carry + ORA SH4501 ; 33: pixel 0 + ORA SH4523 ; 36: pixel 1 + ORA SH4545 ; 39: pixel 2 + STA (2),Y ; 42: even column + INY ; 44: prep for odd + LDA SH4501 ; 45: pixel 4 + ORA SH4523 ; 48: pixel 5 + ROL ; 51: recover half of pix 3 + ORA SH4556 ; 52: pixel 6 - after rol to ensure right hi bit + STA (2),Y ; 55: odd column + DEY ; 57: prep for even + ; 58: total + +* Create the unrolled blit code +MAKEBLIT + LDA #0 ; Start with line zero + STA LINECT + LDA #TOPLINE + STA PLINE+1 + LDA #BLITROLL + STA PDST+1 +:LINELUP +; Copy the template + LDY #57 +:COPY LDA BLITTPL,Y + STA (PDST),Y + DEY + BPL :COPY + ; Record the address for the even line + JSR :STIDX +; Set the even line pointers + LDY #14 + JSR :STLINE + LDY #27 + JSR :STLINE + ; Get ready for odd line + JSR :ADVANCE + ; Record the address for the odd line + JSR :STIDX +; Set the odd line pointers + LDY #14 + JSR :STLINE + LDY #27 + JSR :STLINE + ; Prepare for next iteration + JSR :ADVANCE +; Loop until all lines are done + LDA LINECT + CMP #NLINES + BNE :LINELUP + JSR :STIDX ; Last addr to index + JMP STRTS ; Finish with RTS for cleanliness +:STLINE ; Subroutine to store PLINE to PDST + LDA LINECT + ASL + STA (PDST),Y + RTS +:STIDX ; Subroutine to store tbl ptr to index + LDY LINECT + LDA PDST + STA BLITIDXL,Y + LDA PDST+1 + STA BLITIDXH,Y + RTS +:ADVANCE ; Subroutine to go to next unroll + LDA #29 + JSR ADVPDST + INC LINECT + JMP NEXTLINE + +* Create code to clear the blit +MAKECBLIT + LDX #0 + LDY #0 +:LUP LDA :ST + STA CBLITROLL,X + INX + LDA BLITIDXL,Y + STA CBLITROLL,X + INX + LDA BLITIDXH,Y +:ST STA CBLITROLL,X + INX + INY + INY + CPY #NLINES + BNE :LUP + LDA :RTS + STA CBLITROLL,X +:RTS RTS + +* Clear the blit +CLRBLIT + LDA #0 +CLRBLIT2 + LDX BLITOFFE+0 + JSR CBLITROLL + LDX BLITOFFE+1 + JSR CBLITROLL + LDX BLITOFFE+2 + JSR CBLITROLL + LDX BLITOFFE+3 + JSR CBLITROLL + LDX BLITOFFE+4 + JSR CBLITROLL + LDX BLITOFFE+5 + JSR CBLITROLL + LDX BLITOFFE+6 + JSR CBLITROLL + LDX BLITOFFO+0 + JSR CBLITROLL + LDX BLITOFFO+1 + JSR CBLITROLL + LDX BLITOFFO+2 + JSR CBLITROLL + LDX BLITOFFO+3 + JSR CBLITROLL + LDX BLITOFFO+4 + JSR CBLITROLL + LDX BLITOFFO+5 + JSR CBLITROLL + LDX BLITOFFO+6 + JMP CBLITROLL + +* Construct the shift tables +MAKESHIFT + LDX #0 +:SH01 + TXA + AND #3 +:SH0101 + STA SH0101,X +:SH0123 + ASL + ASL + STA SH0123,X +:SH0145 + ASL + ASL + ORA #$80 + STA SH0145,X +:SH0156 + ASL + ORA #$80 + STA SH0156,X +:SH0157 + ASL + ASL + PHP + LSR + PLP + ROR + STA SH0157,X +:SH45 + TXA + LSR + LSR + LSR + LSR + AND #3 +:SH4501 + ORA #$80 + STA SH4501,X +:SH4523 + ASL + ASL + ORA #$80 + STA SH4523,X +:SH4545 + ASL + ASL + ORA #$80 + STA SH4545,X +:SH4556 + ASL + ORA #$80 + STA SH4556,X +:SH4557 + ASL + ASL + PHP + LSR + PLP + ROR + STA SH4557,X +:NEXT + INX + BNE :SH01 + RTS + +* Template for decimation. Offsets in comments +DCMTPL + LDA (PTEX),Y ; 0 + STA BLITROLL,X ; 2 + STA BLITROLL+29,X ; 5 + LDA (PBUMP),Y ; 8 + TAY ; 10 + ; 11 + +* Unroll the decimation code +MAKEDCM + LDX #0 ; Line counter + LDA #DCMROLL + STA PDST+1 +:ONESET +; Save address to the index + JSR :STIDX + LDY #11 ; Copy the template +:COPYSET LDA DCMTPL,Y + STA (PDST),Y + DEY + BPL :COPYSET + LDY #3 + JSR :STBLIT + LDY #6 + JSR :STBLIT + LDA #11 + JSR ADVPDST +:MORE + ; Loop until all lines done + CPX #NLINES + BCC :ONESET + JSR :STIDX ; Last addr to index + JMP STRTS ; Finish with an RTS for cleanliness +:STBLIT ; Store current blit addr + LDA BLITIDXL,X + STA (PDST),Y + INY + LDA BLITIDXH,X + STA (PDST),Y + INX ; Next line + RTS +:STIDX + TXA + LSR ; One entry per two lines + TAY + LDA PDST + STA DCMIDXL,Y + LDA PDST+1 + STA DCMIDXH,Y + RTS + +STRTS LDA #$60 ; Store an RTS at PDST + LDY #0 + STA (PDST),Y + RTS +ADVPDST ; Add A to PDST + CLC + ADC PDST + STA PDST + BCC :RTS + INC PDST+1 +:RTS RTS + +* Clear all the memory we're going to fill +CLRMEM + LDX #$10 + LDA #$BE + JMP CLRSCR2 + +* Clear the screens +CLRSCR + LDX #>SCREEN + DO DBLBUF + LDA #>SCREEN+$4000 ; both hi-res screens + ELSE + LDA #>SCREEN+$2000 ; one hi-res screen + FIN +CLRSCR2 + STA :LIMIT+1 + LDY #0 + STY PDST + TYA +:OUTER STX PDST+1 +:INNER STA (PDST),Y + INY + BNE :INNER + INX +:LIMIT CPX #>SCREEN+$2000 + BNE :OUTER + RTS + +* Make a simple texture with alternating colors. +* Input: Y = tex num +* A, X: color numbers, 0-3 +SIMPLETEX + STA :LD1+1 + TXA + ASL + ASL + ASL + ASL + STA :LD2+1 + LDA TEXADRL,Y + STA PDST + LDA TEXADRH,Y + STA PDST+1 + LDX #>TEXSIZE + LDY #0 + STY :LIM+1 +:OUTER +:LD1 LDA #0 +:LD2 ORA #0 +:LUP STA (PDST),Y + INY +:LIM CPY #0 + BNE :LUP + INC PDST+1 + DEX + BMI :DONE + BNE :OUTER + LDA #BUMPS + STA PDST+1 + LDA #0 + STA :RATIOL + LDA #1 + STA :RATIOH +* Goal is to make ratio = 63 divided by targetSize. +* The calculation is cool & weird, but I verified +* in Python that the logic actually works. You +* start with hi=1, lo=0. To calculate the next +* step, add hi to low and take the sum mod the next +* target size. To use the ratio, increment by hi +* and lo. Whenever the low byte goes beyond the +* target size, add an extra to hi. +:ONEPASS + LDA LINECT ; Init A with the lo byte = target size + LSR ; ...div 2 + LDX #0 ; Hi byte always starts at zero + LDY #0 ; Location to store at +:BUMPLUP + CLC ; increment lo byte by ratio + ADC :RATIOL + CMP LINECT ; if we wrap around, need extra hi-byte bump + BCC :NOBM + SEC + SBC LINECT + INX +:NOBM PHA ; save lo byte + TXA ; now work on hi byte + CLC + ADC :RATIOH + TAX + STA (PDST),Y ; store to the table + TAY ; next loc to store + CPX #63 ; check for end of column + PLA ; get lo byte back + BCC :BUMPLUP ; loop until whole column is done + LDA #64 + JSR ADVPDST ; advance dst to next column +:NEXT + DEC LINECT ; all columns complete? + BEQ :DONE + LDA :RATIOL ; next ratio calculation (see explanation above) + CLC + ADC :RATIOH +:MODLUP CMP LINECT + BCC :NOMOD + INC :RATIOH + SEC + SBC LINECT + BNE :MODLUP ; this must indeed be a loop +:NOMOD STA :RATIOL + JMP :ONEPASS ; next column +:DONE RTS +:RATIOL DFB 0 +:RATIOH DFB 0 + +* Decimate a column of the texture +* Input: Y - texture number +* TXCOLNUM - src column num in the texture +* PIXNUM - dst pixel num in the blit roll +* LINECT - height to render, in dbl lines +* The output will be vertically centered. +DCMCOL + ; if height is zero, render nothing + LDA LINECT + BNE :NOTZERO + RTS +:NOTZERO + ; determine mip level in X reg + LDX #0 + LDA LINECT + STA :ADJHT + LDA TXCOLNUM + STA :ADJCOL + LDA #32 +:MIPLUP CMP LINECT + BCC :GOTMIP + INX + ASL :ADJHT + LSR :ADJCOL + LSR + CMP #2 + BCS :MIPLUP +:GOTMIP + DO DEBUG + LDA #"t" + JSR COUT + TYA + JSR PRBYTE + LDA #" " + JSR COUT + + LDA #"h" + JSR COUT + LDA LINECT + JSR PRBYTE + LDA #" " + JSR COUT + + LDA #"m" + JSR COUT + TXA + JSR PRBYTE + LDA #" " + JSR COUT + FIN + + ; calc addr of tex + LDA TEXADRL,Y + CLC + ADC MIPOFFL,X + STA PDST + LDA TEXADRH,Y + ADC MIPOFFH,X + STA PDST+1 + + DO DEBUG + LDA #"a" + JSR COUT + LDA PDST+1 + JSR PRBYTE + LDA PDST + JSR PRBYTE + LDA #" " + JSR COUT + FIN + +:CALCOFF ; calc offset within tex + LDA #0 + STA PTEX+1 + LDA :ADJCOL +:SHIFT ASL + ROL PTEX+1 + INX ; Note: destroys mip level + CPX #6 + BNE :SHIFT + + DO DEBUG + PHA + LDA #"x" + JSR COUT + LDA :ADJCOL + JSR PRBYTE + LDA #" " + JSR COUT + + LDA #"o" + JSR COUT + LDA PTEX+1 + JSR PRBYTE + PLA + PHA + JSR PRBYTE + LDA #" " + JSR COUT + PLA + FIN + + CLC + ADC PDST + STA PTEX + LDA PTEX+1 + ADC PDST+1 + STA PTEX+1 +; calculate bump table ptr + LDX :ADJHT + JSR CALCBUMP + ; figure first line in decim unroll + LDA #63 + SEC + SBC LINECT ; height 63 is first in decim tbl + LSR + TAX + LDA DCMIDXL,X + STA :CALL+1 + LDA DCMIDXH,X + STA :CALL+2 + ; figure last line of decim unroll + TXA + CLC + ADC LINECT + TAX + LDA DCMIDXL,X + STA PTMP + LDA DCMIDXH,X + STA PTMP+1 +; determine blit offset for writing + LDY PIXNUM + LDX BLITOFFE,Y + ; store RTS so decim returns @ right moment + LDY #0 + LDA (PTMP),Y ; save existing byte + PHA + LDA :RTS + STA (PTMP),Y + + DO DEBUG + PHX + PHY + JSR RDKEY + PHA + JSR CROUT + PLA + PLY + PLX + CMP #$9B + BNE :NOTESC + BRK +:NOTESC NOP + FIN + +:CALL JSR DCMROLL +; fix RTS to what it was before + LDY #0 + PLA + STA (PTMP),Y + + DO DEBUG + LDY BYTENUM ; to see results early + STA SETAUXZP + JSR BLITROLL + STA CLRAUXZP + FIN + +:RTS RTS +:ADJHT DFB 0 +:ADJCOL DFB 0 + +* Calc pointer into the bump table +* Input: X - height to render in dbl lines +CALCBUMP + STX :SUB+1 + LDA #0 + STA PBUMP+1 + LDA #63 ; bump 63 is actually first + SEC +:SUB SBC #0 + BPL :NOTNEG + LDA #0 +:NOTNEG + + DO DEBUG + PHA + LDA #"b" + JSR COUT + PLA + PHA + JSR PRBYTE + LDA #" " + JSR COUT + PLA + FIN + + LDX #6 +:LUP ASL + ROL PBUMP+1 + DEX + BNE :LUP + CLC + ADC #BUMPS + STA PBUMP+1 + + DO DEBUG + LDA #"p" + JSR COUT + LDA PBUMP+1 + JSR PRBYTE + LDA PBUMP + JSR PRBYTE + LDA #" " + JSR COUT + FIN + + RTS + +* Build table of screen line pointers +* on aux zero-page +MAKELINES + LDA #0 + STA LINECT + LDA #TOPLINE + STA PLINE+1 +:LUP + LDA LINECT + ASL + TAX + LDA PLINE + LDY PLINE+1 + STA SETAUXZP + STA 0,X + STY 1,X + STA CLRAUXZP + JSR NEXTLINE + INC LINECT + LDA LINECT + CMP #NLINES + BNE :LUP + RTS + +* Set screen lines to current back buf +SETBKBUF +; calculate screen start + LDA BACKBUF + ASL + ASL + ASL + ASL + ASL + CLC + ADC #$20 + STA SETAUXZP + STA $FF + LDX #0 +:LUP LDA 1,X + AND #$1F + ORA $FF + STA 1,X + INX + INX + BNE :LUP + STA CLRAUXZP + RTS + +* Load file, len-prefixed name in A/X (hi/lo), to addr on stack +* (push hi byte first, then push lo byte) +BLOAD + STX :MLICMD+1 ; filename lo + STA :MLICMD+2 ; filename hi + LDA #PRODOSBUF + STA :MLICMD+4 + LDA #$C8 ; open + LDX #3 + JSR :DOMLI + LDA :MLICMD+5 ; get handle and put it in place + STA :MLICMD+1 + PLY ; save ret addr + PLX + PLA + STA :MLICMD+2 ; load addr lo + PLA + STA :MLICMD+3 ; load addr hi + PHX ; restore ret addr + PHY + LDA #$CA ; read + STA :MLICMD+5 ; also length (more than enough) + LDX #4 + JSR :DOMLI +:CLOSE + STZ :MLICMD+1 ; close all + LDA #$CC + LDX #1 + ; fall through +:DOMLI + STA :MLIOP + STX :MLICMD + JSR MLI +:MLIOP DFB 0 + DA :MLICMD + BCS :ERR + RTS +:ERR JSR PRBYTE + JSR PRERR + LDX #$FF + TXS + JMP MONITOR +:MLICMD DS 10 + +* Copy X pages starting at pg Y to aux mem +CPTOAUX + STA SETAUXWR + STY PDST+1 + LDY #0 + STY PDST +:LUP LDA (PDST),Y + STA (PDST),Y + INY + BNE :LUP + INC PDST+1 + DEX + BNE :LUP + STA CLRAUXWR + RTS + +* Fetch the next byte from the pre-raycasted data +* Note this routine needs to be copied to aux mem. +GETCAST + LDY #0 + STA SETAUXRD + LDA (PCAST),Y + STA CLRAUXRD + INC PCAST + BNE :DONE + INC PCAST+1 +:DONE RTS + +* Test code to see if things really work +TEST + ; clear ProDOS mem map so it lets us load + LDX #$18 + LDA #1 +:MEMLUP + STA MEMMAP-1,X + LDA #0 + DEX + BNE :MEMLUP +; load the pre-raycast data + LDA #$20 ; addr hi + PHA + LDA #0 ; addr lo + PHA + LDX #<:PRECASTNM + LDA #>:PRECASTNM + JSR BLOAD +; copy it to aux mem + LDY #$20 + LDX #$60 + JSR CPTOAUX + LDA #0 ; set ptr to it + STA PCAST + LDA #$20 + STA PCAST+1 +; copy the raycast fetcher to aux mem + LDY #>GETCAST + LDX #1 + JSR CPTOAUX +; set up everything else + JSR CLRMEM + ; load the textures + LDA #>TEX0 + PHA + LDA #:TEX0NAME + JSR BLOAD + + LDA #>TEX1 + PHA + LDA #:TEX1NAME + JSR BLOAD + + LDA #>TEX2 + PHA + LDA #:TEX2NAME + JSR BLOAD + + LDA #>TEX3 + PHA + LDA #:TEX3NAME + JSR BLOAD +; build all the unrolls and tables + JSR MAKEBLIT + JSR MAKECBLIT + JSR MAKESHIFT + JSR MAKEDCM + JSR MAKEBUMPS + JSR MAKELINES + JSR CLRSCR +; set up front and back buffers + LDA #0 + STA FRONTBUF + DO DBLBUF + LDA #1 + FIN + STA BACKBUF + + BIT CLRTEXT + BIT SETHIRES + + LDA #63 + STA LINECT + LDA #1 + STA :DIR + JSR CLRBLIT +:ONELVL + LDA #0 + STA PIXNUM + STA BYTENUM + DO DBLBUF + JSR SETBKBUF + FIN + + DO DEBUG + LDA PCAST+1 + JSR PRBYTE + LDA PCAST + JSR PRBYTE + JSR CROUT + FIN + +:ONECOL + JSR GETCAST ; first byte is height + CMP #$FF + BNE :NORESET +; found end of cast data, start over + LDA #0 + STA PCAST + LDA #$20 + STA PCAST+1 + JSR GETCAST +:NORESET + CMP #63 + BCC :HTOK + LDA #62 +:HTOK STA LINECT + JSR GETCAST ; second byte is tex num and tex col + PHA + AND #$3F + CMP #63 + BCC :COLOK + LDA #62 +:COLOK STA TXCOLNUM + PLA + LSR ; div by 64 + LSR + LSR + LSR + LSR + LSR + TAY ; Y now holds tex num + JSR DCMCOL + INC PIXNUM + LDA PIXNUM + CMP #7 + BNE :ONECOL +:FLUSH LDY BYTENUM + STA SETAUXZP + JSR BLITROLL + STA CLRAUXZP + JSR CLRBLIT + LDA #0 + STA PIXNUM + INC BYTENUM + INC BYTENUM + LDA BYTENUM + CMP #18 + BNE :ONECOL +:NEXTLVL +; flip onto the screen + DO DBLBUF + LDX BACKBUF + LDA FRONTBUF + STA BACKBUF + STX FRONTBUF + LDA PAGE1,X + FIN + ; adv past FE in cast data + JSR GETCAST + CMP #$FE + BNE :ERR + JSR GETCAST + CMP #$FE + BEQ :INCDEC +:ERR BRK +:INCDEC + LDA KBD ; stop if ESC is pressed + CMP #$9B + BEQ :DONE + JMP :ONELVL +:DONE + STA KBDSTRB ; eat the keypress + BIT SETTEXT + BIT PAGE1 + RTS +:DIR DFB 1 +:TEX0NAME DFB 21 + ASC "ASSETS/BUILDING01.BIN" +:TEX1NAME DFB 21 + ASC "ASSETS/BUILDING02.BIN" +:TEX2NAME DFB 21 + ASC "ASSETS/BUILDING03.BIN" +:TEX3NAME DFB 21 + ASC "ASSETS/BUILDING04.BIN" +:PRECASTNM + DFB 18 + ASC "ASSETS/PRECAST.BIN" + + SAV RENDER diff --git a/files/GAME/SHELL b/files/GAME/SHELL new file mode 100644 index 0000000000000000000000000000000000000000..b5c140a226833e72e422aa03c1ab417da48299cb GIT binary patch literal 239 zcmZ2^p!XZ|O6K10%qy?;eq!$Z3F3Ta?)}B=lmB~>#7f4w;rmxIoVxI1?@Q(nYzlAx zzPRvJA^&gJ2WABaW}nRe3m94gSMsz5?POp&F_VGkWbcKq9X$*@D~~MVQs`!08s@Py z)MF(>Yw*h5tsyHpN1w)=n&^Ml?qPj)dd004(mYRCWp literal 0 HcmV?d00001 diff --git a/files/GAME/SHELL.S b/files/GAME/SHELL.S new file mode 100644 index 0000000..15c085f --- /dev/null +++ b/files/GAME/SHELL.S @@ -0,0 +1,202 @@ +* Tiny shell + LST OFF + + XC ; enable 65c02 ops + +* Merlin addresses +TEXTSTART = $A +TEXTEND = $E +HIMEM = $C +OBJADDR = $E2 +OBJLEN = $E4 +ORGADDR = $E6 + +* Monitor addresses +STARTADDR = $3C +ENDADDR = $3E +DESTADDR = $42 + +* My zero-pg +MLICMD = $50 + +* I/O addresses +SETMAINZP = $C008 +SETALTZP = $C009 +READROM = $C082 +LCBANK2 = $C08B + +* Other stuff +INBUF = $200 +RESETVEC = $3F2 +USERVEC = $3F5 +CTRLYVEC = $3F8 +PRODOSBUF = $BB00 +NAMEBUF = $C00 +MLI = $BF00 +MEMMAP = $BF58 +AUXMOVE = $C311 +MERLIN = $E003 +PRNTAX = $F941 +PRHEX = $F944 +BASCALC = $FBC1 +CALCRESET = $FB6F +INPUT = $FD6A +INPUTZ = $FD6F +CROUT = $FD8E +COUT = $FDED +SETVID = $FE93 +PRERR = $FF2D +MONITOR = $FF69 +MONSCAN = $FF70 + + ORG $300 + +INSTVECS LDA #TOMON + STA USERVEC+2 + + LDA #TOMERLIN + STA RESETVEC+1 + STA CTRLYVEC+2 + + JMP CALCRESET + +* Start of resident code +RUNSHELL + ; clear ProDOS memory map + LDX #$18 + LDA #1 +:MEMLUP + STA MEMMAP-1,X + LDA #0 + DEX + BNE :MEMLUP + ; display prompt +:LUP LDA PROMPT,X + BEQ :GETNAME + JSR COUT + INX + BNE :LUP +:GETNAME + JSR INPUTZ + TXA + BEQ :MON +:LOADIT + JSR LOADPROG +:MON JMP MONITOR + +* Load program, name in INBUF +LOADPROG +; translate filename + LDY #0 + STY MLICMD+1 ; filename lo byte + LDA #>NAMEBUF + STA MLICMD+2 ; ...and hi byte +:LUP + LDA INBUF,Y + INY + STA NAMEBUF,Y + CMP #$8D + BNE :LUP +:NAMEDONE + DEY + STY NAMEBUF + LDA #$C4 ; get info + LDX #$A + JSR DOMLI + LDA MLICMD+6 ; addr hi + PHA + LDA MLICMD+5 ; addr lo + PHA + LDA #PRODOSBUF + STA MLICMD+4 + LDA #$C8 ; open + LDX #3 + JSR DOMLI + LDA MLICMD+5 ; handle + STA MLICMD+1 + PLA ; addr lo + STA MLICMD+2 + PLA ; addr hi + STA MLICMD+3 + LDA #$CA ; read + STA MLICMD+5 ; also length (more than enough) + INX + JSR DOMLI + ; fall through +CLOSE + STZ MLICMD+1 ; close all + LDA #$CC + LDX #1 + ; fall through +DOMLI + STA MLIOP + STX MLICMD + JSR MLI +MLIOP DFB 0 + DA MLICMD + BCS :NO +:OK + RTS +:NO + LDA MLIOP + CMP #$CC + BEQ :OK + ; fall through +ERR + JSR PRHEX + JSR CLOSE + JSR PRERR + JMP RUNSHELL + +COPYUPPR LDX #$98 + LDY #$C0 + ; fall through + +* Copy page X-Y to page A +* Carry: clear = aux2main, set = main2aux +UNITYCOPY TXA +COPY STX STARTADDR+1 + STY ENDADDR+1 + STA DESTADDR+1 + STZ STARTADDR + STZ ENDADDR + STZ DESTADDR + JMP AUXMOVE + +TOMON + SEC + JSR COPYUPPR + STA SETMAINZP + BIT READROM + JSR INSTVECS + LDX #$FF + TXS + JSR SETVID + JMP RUNSHELL + +TOMERLIN + JSR CLOSE + CLC + JSR COPYUPPR + STA SETALTZP + LDX #$FF + TXS + LDA LCBANK2 + JMP MERLIN + +PROMPT DFB $8D + ASC "Ld:",00 + + LST ON +END DFB 0 + ERR \$3F0 + LST OFF + + SAV SHELL diff --git a/files/MERLIN.SYSTEM b/files/MERLIN.SYSTEM new file mode 100644 index 0000000000000000000000000000000000000000..3766eabbd6c49ab6ceee38976d93c533c004128b GIT binary patch literal 18679 zcmbV!dt6gj_V39F2}wkZ2#Q*Dt5HGGMzo5LDq3~Go_2hJc4pefR-EY1S8Z+WOs67p z(v%t-XMW5;XP7z7a43f{HqeTK5?O^BkyZ8S7xSz`h z&SO8`N%>sWszbr0BNcEP*i% zNQECv52V77>7kShFFG|nbL&MTeh8)C74q2k1lsiEqU-oi7p+>Tqf9n!v6r@E9YN~dSSX+YEn9^$#iZ^N3eExtLL)69Zb#^P2hvo2Co;TgsnQXTyT_s2DnaQ;I{_$iQ%4a6qjp~O`z(v{78^!S8 z*4W`%At%?%lk64>7AA=6i_*5V;IgB7OpL&9BC@sRaAc^$#gMbXnU z&kq5EqCT{5kO^~4r<$LQd?go96rjuuz%cvWf!I1xXxzkh|)R8e6}+Eg|;g?>bS}DCC6QH zR$q2kT5xm1-qZba&ZQplQE$f` zUq6?7N#MBrqI2JxzO3%KDj1e1 z+aVoAbyB8Z7M$2nfMykvwdfZ$5T~eQN7V9y1M;ZP9Pn#nbf*&wzX&&bVid4`|MQ}0 zvfVQ2#NqzTf?(RNMZ$E_$=cCjPSnlp);_slcs3zD2zkvi}kN26hiZH zXvG;lH?q-mL6jQtAiDkp%`dbJ`AWXHu-^8_sD4oL*9+@&i_Tt9phbnQ200g*=lI)}7#jTv(G9xllpMP0wT3Sv7g=FRTH1>}tXd zuClGmL!sviIj;7R=;65UI(u&4>jTN@Y47lMc-kpVnR*K3U+VR=^~0;b=w(m$oqiuz zGjOXPDp5cn8vLd_9#4MOkxd5gcp_8#E&mHPUI<`gLA9;Y@v-4a>)2Q`?OnxD`LW`a z$WeKmIzKjQyF)E8M!n@w(?+91ZId;AT!?K%)lSZjjr=bDJCPRhlpH8pj&kM4R;U96 zj_n2>I@IQ#{8+T+42pZijmeMAgIq*=f$Q8fly(NqE9AyMyN^qK3G(!3jE*qd1I`Qv)_LGdb{8;uSBe4H@q&ch4b!p19GQFPp z?pt67$s#;#IwKTAll)8Qr!#SB@T6zp560Nk-NUtmAT$%Xa9iXI*gq&z^5q#@qvZo3 zg~;24v%q-kA1|^mS^spAIeo_Rb&Rh=WqZ7EWGj=QTmQlk7MNfmmoeIc0Qn$SU3!_zFZjlw<| ztXE2_t);jc?v2)px=Q%FY%Q((-df|t)~lClt(8~nt!2(jR*5`d)c6S##rwT|{R0mk z4*vAy>CktjW#73gYp>OoxvSx^v;mja;7eD^vdixc6niT`Ps{r zKYUkOQ(szL?YvymSOen00?4odw zn7NB!=1wRal>>!QDGp(`X?B5d)-<;GTna9M6+D7yu=`f<7iM1U zo_~?#&nK<{n3U8tusYXTe`hy=6CtuM2~u`7=ulnK!%+BmC&hDqvq6EsvaJxmW}0r-SzouqLI8qaD=a<%bMH5K=VHv^D60rYiLy&%H zdC<$QWZPu)LdiJ=dbPyXWEnc~toH~30SkR66n2HerX*b|?9dw!eR{FI^R+@z)(JH8 z5qPwDlurx-bq57NWkDsvaX)XXfVU9}>r}hx4f_TuDWbBUo@PJsCcK?qVm~p$9==y$ z&&84oW7=MrFQua_$TJc~VoS14w__bh9XON2vsXddIxtt5L>PM6&rtH@mjRjG6le!~ zZ$fs{47(2fqX?D-I#x^=2G7Z474A{kQGAJQln_Cxl|pOgRv|G;P!p+vj0Z3>>mpLQ zIPyic3~tIxrbTuk5bW}>`ng2voEqldt0{JY+WpE#JNo`Sr!J!MGLMpZjU1Kh5}pU+ z9baOefY5m`SVa<&=NN4ZcR!Esn~vH+T-+~;_F+28p-rGt&=I1(hfzVLQ&gUK&nWt! zRd7D=08}6yfS-*YiY|*z_#V{kX($v#ny2!>5>|ljhdzl;73ad@j(d%)WvtNqcM#ev zy;0q%{R=U8P$St6H7+OH&HZ3ih*W?~4d$!@Au!)h^TdjsIk6R4$#fdjoo^TB5z4+B zCeyUVE^H?6PC{vOAubgtV8AegiMIl(t=e7hr39uQ*L63MdrkdSa>8hg$;RIcFVujkq}(kz)8RbuPZ5+hqeS2*zbq(TBxsaei@6@!!tZ zoX;zcE9xnJ`+Vg2y~W>MzFNBGyXv}E%bb^;wQK7tFJHa%GOl)(ySG(4q35+%E5Y?$ zOH#k9DXm|N%eMhhTkzElbE#Q#V%Mx)_lvmrR})97CGs)y@Wrog-TjB%pY2Zn?A1Ty z{$XqGXSNHU-D;3uxg5_qtE;bWa)15HhH7`MlLJ?})_JMcxyD^tUwYYH#^KW1IMN-M z4oJUR-H0k-=;c@ID%aFsy^1(Tc@T#aN{3JqC!CKH0^*o^7r~&!QYJx4Y)mWI7*1BCYRyzpAnm8* zNDLKBu1tz9k|B^z!D^yt1YUlBq3M;io0#}l=WTv%^Om)*NR#cpJckZ;T>*mL@UVa>VC&n{^9Ve*SWBdrVgrzwuH6`^z>ZH_9Q{PCf zD$*%LxeJ!Nf8|?({a!pVbGz-PeRk6UyJeT)1`S}@@Av9YCDT}C+3WX3nLk(mdq>Wf zM;>znqjk>n{+I06cuqrnCkkE`Hsgx#BfX>X#KgeF#r@$3e;u^Y){ z6S-{WH$HP0(C0~*>j=jonbXM}4JXdW#6hs^_)1{v7zW&~}j_!WW)7#tATg*{88~IHrxR#mS zie9OL4N3@G>4M8uBfr7zuw|&sGgVqS>Zx+!=`Oe##phszQ`~B^Jl?k}Fg%LioK$U* zV7bb6$3le{RZ@qlPlot(f+TWO_%1prflYv&Pa?R8G`iNq4>^z7=IUT@3U4Bxxb~Qc zOj1XFf{AwMVC><1$}XBsG52|o@yFbD^F1LrN*Kxd;t2T@TlGv>ffYY=3&0`&+0knD z7CEkf6@D8;>P7wnoPD(OCG1KfX&yBCk}w29<~tdT#@F(moOUD(z&JT7!_REsH0?ch zGR1ogx+dIl4)%L`yW2YZia~$FK}v!!icLh})odtSM3xOBx2Z7WkhGCpHj&F_J|T`z zj3ar^k=cDfp4{jOJi$DRkI9o1(%@HpAM8Pog@VT{MElx%%mV^~CwO;m#!bRg_9=8Z zgmP=dt!P$Dcv3Z64fmuP5ZKdI=!Y6HoRgqOH9)MDYab9hJ8r|SgrgX)M)Rh2Jjr>b zDx42V8k@(DNg4;!3Ij(EZ|d1ZJ(~dKa5W07?e6KPvU^-m+B*qXmQTVJ9EC3ra6=O~>K?X88K4hpGF^I|WC-5Z?yVX(qy`oZvpd$3lO=My-z!drm{b=l_y zl)0SFe38yv0W0GlUEz2hzg48yT@gm18MSCalMn`XZpeygb(s6SI0??lUe&pZfR8J@ z@o++;LwjoT5US;Zp<|1LJW-k}+Bw$cw0jv=iesRhs|a2Y9w^_czVAZIy%rjZF~zlz zOmfT4n+Nz0utb-A$R++*bhb}(O07N+oENDO6&AT;uSi=AX^{`vLz+VyCR!*yK`p5W zPYO-jMPU_x2)5GF$j{kB{2}m+_z&DW#o%~J-uev9A+^fz!G-`=2BvL44u&TkW)BNg z(ueGa*Q^s-VNm=bbo+_GK{>f#IY0|vp;)hQ3NAT^`43?_V={EP>>&XGHPQop>zADC z!(B{XbIDhI96dioyag+_0xR7}92v#dieZyJ?rZ*gAC{KZ16B z99sO49iMtbV^z;Iw61Mr8~IL6f%Y8><@}!S5_fuB{CIP~PM=l*YvpJsSt0-E!b|xY zak5)#j=(AuUuzxPssvpMqBpH;Y^!<j{)y*1F0+}jB& zt}FY7Hx%w+!jO*ns3FF43$`YFm*+O@O^Cinc7D9+IlEVo+LmQV1Jj?)Hws9WZ$3J>2EeX-*xOu=A98?7CAiGf&}BnsW6B zO|LdkKvxhgj^Sx>l%_^2Lod~u<;;Z}NY=tg?tn1GBk?t~`cC}oaljmz9E%J?WNHC7R_hqR>QfBJaN!Vms9)@`w{r}KVlS&a`uBR;V^z6_7m>BZ6R--5_U@Kzy~m4KpnSf3Hc zQG9ovOhUBO(?~5VaTQIWPd;^3;D@|5K3QgrOolf;lw88#qQ{U6GnA3^G&e12sO*;K zwmHf&hbd^bFj1D#QI=rl_;vKxhIJ>*VU|OnxN(Br4@M;z)Od zD0BZB%frjXouUugd%~VzO>9~PdznuJJDdO(ISiTzWfQ`8j`o#6t-0a@PGM}k(_;Qn zq}|%0o)*)hMvgM~qnBDVqt(g-o4^8XKwmayUlyl26i5)FCTM?=$HdO*$dFPnYc5-JR&@4Lmc=STVU`;+@a&a+g9)wO=bmN8@^MWhaO%t zD$;T_YV8P6A<(ZOLHIgK_#CM_QDz;Vi2hm^Ax;GKR_E8R)5XDzJhZus)Pej6+`**R zvxnIaE#rlqQ5Joa<=uGn$_hID4ciD-UKq7fmQjygw#YI+TF+}$P&dY%X*EdjsoF_B@Ht!18peLSw{0N z9AMGnabUitcfnE)wjNN3%A)-W(F|Q5H~0*H5Zc%f=y42avDP^@DeCJ?Q`6ots@(h# zt;C21I(e747`;#n=9lZ}<~lpN;lLBkYUOKXbu(n56wPY_>jbsElR#O@-WS!NB#BCV zUzEq}tYUlvaHSxbz6pj&)(rfGP*NzDwyeZsNL~h{3RG@h_-?k^u(86hf$)CD2H8egGiha# zeL3B^oE8_5${xtN0xustGjbyHNdqJSj~2S4#4sc@kj*q(O`3q0wbf9`g_|uAz)zmW zqm00^0}AznYzm8L#|@ZHpf!FOrr9gmG~NFOZA`u=fj)R8B5E~Z^jsTifAebN*d?ye_PpB`og}n)DEFQ55 zFwYOJ>2WZe&8dW|>>2b8yH7na`yLC5zASAG*GIzf)J6V;{Q8nB@m;JAlD zFIF&xL3~1ZQYYJ)k<`U@L3J1;KMu=tDE#@(aY8cN%U^H?@g<&-wg8PxyCa9jQ^2oH z1P?a>*bX}KOQJs`dz6TnXQG!uze@NwA{8USkVS}+H0Ce$JFfs4S60yCgmCjXejG4! zT$FqqW2lF&0)aAFqFb_aoY`Yp7G#fJBpe_gi(m&~QJj?iFlyp#@|Z7#nH zTk*CAv9X*2rFSNhVV8p_y6*AC?)bupdwqZBVcmU@yp)FngNO z^+KRGyn{Zg|H>D~hbYU5ZraacA#li6rS+a1^=P_Sh|F=EV@gK26{O{SPuvQ{k*s+nL zbm6I>+-4@sO!OO#XxprK?Rv3Cm%%2o3I3$l#a_48+zpGB3y?!OBYq@HFyFEygUt{3 zLAl+NmeZu{Qo0!yexObRksj{}pDx3gn&^dAh**R9w{^od>rajPqy+Ol8(C`^;#8PL zqY)?}6;$dF-ZbMgJQ7UK5X*vplUi=lS+;}yq^4+ZP@2@bJmXyQhq$PdDesyhKZVo9`fqz7e7`0%l zS|X}_@SIx&PjaXYPyjf!Z^UlS99%A7Rg?m2KY=wKiuFK{6e?$D=lpXIN0pEt4!7Nb zeHCYgKYxOWqhN!Qj|GzsuD+6QF@C7yzd~Nj=L|=m?qd>t3ee=L?+n_;upK0 zV5PHElnE4LsYB&WLNPlZl+n74mZ2|DT$2^zE>+?i?)&1e+}U^v%DRF6R_l-5fy=%A zkw27@L-CSz-4I7x4+9pU$LA=;==0Sf6unx>$sdO!O4&+F&MnyuhC1U_j_8YRl2U$mxyp;PJ&bC^UB{4?V77=2xjHMa+sDfePE< zZQ|i=hvmydYpJ&FE5*REy+Ir0fpb23PN$IkaeUnkNyYe^HzZCmaC~o2%%Hq$&PS`2 zr{?}f-m8?#yKUk}y^=anuINo6bS=3RsWiz)49YLBZj{TEra-#a_M1RaQs}2znJu5( zJK>A*wq>i8#nQ=28$Dr8vGmJ1|60az|FY5@l0Q?~0q7q$QUhSXDUBm|6&#n0V8_H{ zc*f>sc*e0$`4DF?KgKi47UshN-lyXD{19&l1{)7z*e|xF!3KCN{}gt=<8-ApSE#gl zI86OPBBfOIrS0t$(@u_~Pcde&dA`PIf)x%M&lsa8|$=B9>$McYe zw70I6yRT6pjpZHP?T|qIs{!}IVGm7J*E!oe`bpCG#s;6K*CU}SYip|qx^G{tq()Z_ zKb3o_;Hp~ZwOZ=YweOv^J-6=C)PcI1hEhz)>#P#0s&+_1)z)U;0QOE@GO3>0dtNT3 zPF(<_fWE$Q&O#lKfh1bwhHaK1!+h8k%X8QE#XA&VhPZzZPQiH`>WcuM%U9MX1~^Pu zuGy$o3Z|U-&OR*hvGNEUn7yTpJh#s`%om?;Rodo!+wbfXC9bkogE?YY#~;sg#X z^h-Bj+WrokzAupRM)tO{*US&~u$!lq$!?Pvn6u5-{xMeO%<#1zG1KKYU~;b@t_hBU zw#5MH@!tggMCnK{ou9$p$QeuQAxqq@kY#lE5olxXrfp2%;QXa(L~YteEM5T`@-X$a zBO@o;&M%|jR2hQA<8sDATuG#0DL|Whmc=Og1L=E%OrU&EphS%6jA)|wkBn@PdMI7^ z+jiU5hco8uUvN!5Tu0OJG%H%a*fs5NgOr{X4RD@(I*#mn=##XbI5IS73(y%n?F`_h z9+{U05tW*+3)}t;p;}qwD2Xd_*fSj^`b-DJV0sv;N-}Hoaw_~5rGICMUW^vr*$$NG z8|cyRSfnHCr7F3C3WqZ>Mo}gCXpT}L-46RYlv~GyRSicqRU#d;+oWt2($PrFOm5w= zFHl;!<73+!;>T}%46A$3dzUGJTF=JvZ<;nz=uR!fE?CRDDinK76N|cQfDLo*U6XoX z&~231LAnSfU8Fw+9?du#7)YV*tqg_7KfIyFRVzsYIX5GgMb5uBGBQ6J)Wx1DW z^c#^a?n-e~d?W5NZC4*p=0_9Sp)5JhX8JrZEVsh;hFgMF zbH}%08L&~1z(7ySaD`#mJXs`$oRT-;OdEv7hX>fNfLqy%9Uf7h`X#@J<%FNuMS_Q2 z%nkWmP%cv~!4ERRcZJ3eB?;@?QYX(G+OCHT7aEDK9>rb!OT4AyC)7WL9RLl}c>O$cq?2p-J^H9pnZ%mzP{# z`XeA&8pV752`z0vT{YgZ_w^}hwg&;- zFj{?bT-1c|)yv-3o0PlDc8D2wRHEIJ|TYN)RMpllJOKzT~W7UIc(-=mh=tj za_RcOJ5!g!gKYK2Zvr1e{}igP(JWVw1L?4ZK&g52+es2UX_ip7Tol(_7HXqYLrK<> zy!?d}daE(U2JDAfn_mS-R6$b8HZWuU)CRd~)wRGuP%9@T4@Q9H4Z2H3QQirY^mIYkf`NWA!iUS8jbs=^~esrXP`^+O|fXo&S)^k;3AU z!h2-?lG*u7i@sV+Wz+c~CqrHMkvGgc#)o@#!^<;rX~eR7a_LBkQ!S}p3DdqRb#rQB z>OvRzzzYSFX^75Vm`|vaWlCsJsaxEwW;$|8-SCv;f`Q0h$Zvtf z2Tkh|HHjMnoLj{s?xApTD|je#aWHr_@p&R0KiVx3Vt2b8W_s;r%_?0O~SBzqy)tGMP2JVLkus(=}P1Kv^>0&gPV9I^~zE31EEv`xZipmehrhU+2#YE|dX z5Ub!6Bf$Mfv73p#4vbw;kwww?#}hh58oOB?>=W~0xB+meTY*;IK$T5U;~#*Hr3Itg zD7FEIhY63RV`4Pj6g|=YMih0ug-+p4sn<4|KmF`b)mvvCa zerz2KV2=n{KowD3Gg2?;3|qj?zwjh3XA7X9Mu0nfuc?Hh;i}Kp=)fckM!+rYIZdIy zCbvl!(ocmesXem5P0V26phRZdGzjud)+EjLS*Yv_AQ8lN&T1uS#IMCp7`G2b(eCDS z=#^`*>UA)Z4Q|f13g&1{u6B0zjntCtZK-x3<|0rqo8PiQlT(&P4lHs7D(jpK<+=GS z%4GaQ_TsWqQQ`35(XjCt8F?goo2%?&GYL3uW0GNsZfs?C4RI3m)e!LqCas|MF0-Ad zbOuf83`aO@HK+Yl%t0`yw~f2q)7911-OkFsLkhli_xF7MO8z!>IxS+3WMmHXg0lXNU?|K#^~X4?u=b(mFwf=C>Y2BV{2$%HX-`kWmOl&wF7Z zA~CNZlJ(s)bnMU2*py3L@ufCE>Gj-6xy%(`?rCd(Mruk8S6tHuhdjfSr<8KVrK0Eo zetA1OJt<{eaal*-y`Pzw;^c~*5MA!&oMm5wd!2IiS#5AMJ-7RzSi2|10coCoKiV#( ziYu-f=;#N!f(czUe)be|rP)-DiXTBxJqub-UCyRr_+XDJk00gnrvWT;K|2l|u5;Dj zw7(8o(+=fRaIeSPF$jTAG`RpI8=_EX{g9rWtY@d7GdG#9Z+a(#w>3p*fm8VW$&sT} zdFwY$7DpI{0Jo7_cE8!k}NaWjq|TCY&$Md$)TpL5J8eX)98-J93-Ex+)-)0JoZ z)1;Rlq@P>#yCeCN(Ez)k_=2fJ z58!y?fkgKu6d;CH?Bd|S7A3cQN#**lEF_Y0ISAhj#6eP{R# zW%3zls9sV}=~5@=Pi7}rCU6s?IA9kw0LLQG=YS+rLS^it*dgfq5Ol{&4nfOe2S1s; z&QD>R!fnC%lL4igG?|^8ku-&!qO*1C&PddE;G(^yJ41y@#3ug@*TU@uN&JxbgO0z> zPUf4S@LXvd^KBb*we8q2OyOq0*TJDv6f%m6W6s`Y%37KCJxuCtrt~(G(T+52QLIMK z%Jpm@Y{(C{0?J(uQxTXi$M^ZbI9?v7v(P1u_N+l^$@tspRE4@1Fn9!pCp$6Jaz>S< zYOPQY0xC!6J}NE;jr;<8UvpnOQklFi`as~i=Qh#$k0W}6*V?3jyom6N zz*0TCY>y}fp#bd?7|v^Ug|ML5K7DH4MnKo5U&COuTz~ReZRJ92A z`@p3<@q{l^Pxb53iNks{)H<#e;(u7;68;R`W+(}|ho8!R%ui>J_p3!4KU&Ne}$O1ijb(#j7g|0H5{@8w2L44{6#- zkUqT`=sU_&gA~*ZjM7JXn(29#?XrJq{EtDfQoVLowwiHVd(B;0~Ne z@&abUEhxkpaa+Hy8{pdh5`0-4DUpwZfcF9i6br}LvU5n=hLi<*m=Tbw{x;z>uuQ1Q zO0oNVglo_aQCiT#>^AhlEg0L3#ShgcYAeMD1Gs}9MZkNb3LxI%BjXOTZ~tmBWdG&P zjD>6ZVF~6dG!)okBr3oaQvlPHE_}}j%2Z)=i4QZvlN78Xmsi3%QkDgKMwzczo|KGj zNq^|m4hjI-F%JO8fCjPy@98yBz!=!9LBg=E1hS5_`G@eqE#^xYbUR4@<=e1opa{{f z|Bdc3D*LQ6a>cup5P(7oG}Le*q9iYZLL=OE1C$EHR}OVe=@cUc*hf2FOx}i7A=d{j zwsUQLP<{uY>J+F`q8dRD!Y}ae`fZR+NBSa=O&tU)4*Yl+EgjlMnD|VYQXs5;di!p# zTfnyixRLh&`X1n_KvRy#2l2uYar|xnj`mg@2vfd_Y-(@mL3~cCT=85 z_3Q}2&MpxCZi*@p+D&r{bbR}InMafX(}TlzNn}1pbYFfu{Bc*EXv_!313K%Wx2otYZw+foOYm1 z9`ULh*?wSG`p~6zP)iEg%3L4(K#qHdtsr8y>Ey-Z=8G`f;J2>;G6o|&0OzE_tJDEh z>tnZMRC53ezr9_I5Nw!+}HC=EYwHs0O5#>-bcS?e_iu@$`g$r>-~c41#e zg%}KYZJPZ-^Mf{k?^a6z?ogqw6gXuvU`4u~NGKJxgYrq$v(Wq=fwHKxh61xbSHN+w z;eCS-BIA=Iza2h@^{N5019pB29LqO#th{S~X&V;6WNb zoOw4rs6xDNu;P*7e0!1?Ky99+JM10)HrvJDVmnC?pxxjx7!B_j4jS4Ft%gQ8ur(Zl zU92izEjwx52B+g_OA7LdiTzI@EaK@y9=-LiE}r>y+#}dp&Fi!GteXk6Cg;~yXBOg zrNgaS*pkhb-DB?wE!=&y5duT#m3oC)4${;ij@I<5mCy_#k^2CDXy{+{{9P;s8nVy3 zNF*?m1mzw^*p^tNP|>W+4>8m1Att?pKP65fDWhShsum=gQ#L8SwO-qzPSBj%AcGuR zt?)JAGZ+rGmvI2lh$DeY9rNiuQtJ;0=&vSOIXA=rVvi$5ak8u$^W4nK%jw;0v#^-E z4=vHc2%y?_fpIo7i5;Y>BR>SocF#B^c3P-7fCo1zX&KHeVu4Q(Or6KmApuE z!B`DjxZ@krxKZ#51|Znwj>3T@D}dwf*YNupdHA=?4;_&4$h}M)fW%ce(CRPg^8+jZ zpa5Zin4x$iOH??dqAi1yYDBkW!x1qCnlvwiI@{pPVl$3}R^ub_G;^v&>fiUv;u)+A z7Y26&)Bvv(C|M%xJoR<>fJGK%83ADzIPg4Z1k_KDYjlWvk2o#@d-6l##{j;E`HPRg z+p*bA+qMIg?+}a^1rG=4qxeQL`t*A7rui%o5c#HMq8$YD$tiy}f)RFIB- z5Yq`$`uGHxX$wt~%Ec65z;!!u&On^zl-$D7m(|Ptq8t=`y15^g^hMZ5}ONtXqhGF!O zdkHFVvGybOqKhS&N9<{t7fXy-v;>@aE&YWG{7A>Q;x{3@9tM;;V$E9|7tM0~s=Lj$ zp1lR`F+`VX{0I(+t)S^>2cEa{bM4*TyLYn(cnWJs=op}P1b3x5ut6at=N$JQ7Xt}4 zgSpvc*&k(@7G=>yS*Ao;CO{M){p+60C;MDh00Df6bC&iXO)Jyrg?00YAfluMuOQJu zDHsVY1AwXd6#7L1R*nhGaM~PbHroxbjnhEu7SkpVjB;EWd?uLaPs8CfQH; zcGkdG#R= zr3tbSFypY0X0?=uK+CgQhF{3Fx`!$3ga6zH^#!&?aK?1N8BSt zg39aBkr%+~(%usHNKEVXfY*hSw36}*`4>=V7k*>`9lBwn#(4msjYMs~0n1XQajF%t zP?)ri1A}B0tpL#2^8h+pPMn8_*Mw1kvxXH1yqpG@ACr0ggppi)JcZDb>zeOdqW&L*R9VhR|8^hs6smn(!n_odv+WmL-)GjRO!6v zfz!QqOK6nkH3Ea%*gafqG!%Qm{gpUB%GeER~Ulfbk*DOQ2)C6cbH8DFOe;ZobU6$xFKd_y0v77z9{{>oiZYCI1g0H}G z@F0H2j*GDj3DiJh`Z+)v6rX8G|MpB>dZACe$DF8-8fsC%o(m~)#LwUK>g2z(5kowg z9j7^`4)>k~c{vC1>@!8+gnW};f96bjEjS{8OwrB+Fi=Po<w*Y&=e=@iX*A1Tmyau{t$EJ=W@(PO@wCJE<0yD3R@vqKy z|LXMUU;z`D6V_C09l{(~QEKez)OOxl@rFlpc0 zo?rT@+JWBNzaQ<{zwgeL5B8&Ho$u*ev+M2mcJ1G{{aNSHFD&}6yq{Yz{!-uOfE?)vO` z=(>j0-^@Byar1B4$=Byh7~Anj+m1#@#-4kBnqwUJy{7(NQIf9vaa8v8t*6>=uAK3! zyNjp3es@lmqyA%uqyGKL>#m0${?B_6C%4^;n-_8KH*<3D{W?c?&x7N_hEH)7F!Fn$~7%nznBG87XvJ3Q`=S^~X>| zwpg4q^NWd!YngF_nVSx$hr~_{*)}oi+`FxJL;p-s>CaIi4%L4;MjYBUGDUkmCHhy# zv_Bq;{vDN~7{Pfb9#hQ8E?<^1_GQlX>-6yd_)`A+lz`82qW^j<;9ofh&Y#=#Ll$as zq}-#_+iClk+qK6m+oO+jO1XXe2%~*!&^3mhsI!+or%i5sE;`vUbsh8FoOb)F zmkrFV%{s(vX-6o-U~l^<#V*;=&a4j6A4h+>#!UNDJM&i7!k``5WfYa2N&!AYL8+e$ z4G#?p2%b!b$N?`K_4=H-Q|HZmes+>)t!zfbrxf+k-;3>g{+1kz)QSLFLQ!h}&*DXa z0bvVXkS~ai4j8*|k*xPjSYX(~Me^uxL+G*Ju9Pi;`~{G|FgjYc@L7K7v;6<`=TiZJ z!}X;Lp5+Jn^T*Hu{(O1BnBn^J7yq~Vq5k%_ERsU|3*^xu(b9zr7s`4Ug$2T09{oZz z9r{9;EP7G&a6ZuI&j;A=e2ctUtSQ) zAw~pe^zmSI*1Ctp-=mN&cPy5B&bohX3xPJk2T;3GyB)z`akREJ%V=Veq+Q_1j)kI* zkv3`OJlX}?_&%ia;{cvF9$-6rE8UuEZLd%4soLf;s~`~q z0p6{+4JtS9tkyOHWH8Q48)R5I41vaj0NXkWu&rcoGT4)&%opB_qzmi?Gx#Dp@}2&I z)>|ZAaf)-2FN6Bv)PkQBp7d!R6F~Af6KAqoop7|@HXN6DbPD{T(w-bCd{hG-BKXrj z)(3}(j*{#uK#q;CU7uZAwpf&v5ir+mwhE4C;9vswy{_zkX)RGpw!7Ih-!_9OLba{Fy zT&z;J4#Vk;fc^agy8A%=Sf!>d$!U?JL>0J-uKb&M#{8SvAud7#>y;Gl?=o$5{Yu*N(JE=z}8_~F4O~DMz%pEl#xU@qMr!f>8M=D(;S7kflr}v82Ybq{g$P#I CqZ7mc literal 0 HcmV?d00001 diff --git a/files/PARMS.S b/files/PARMS.S new file mode 100644 index 0000000..3915c7f --- /dev/null +++ b/files/PARMS.S @@ -0,0 +1,93 @@ +******************************** +* * +* PARMS * +* * +* for Merlin - ProDOS version. * +* * +* Glen E. Bredon 8/11/85 * +* * +******************************** + +SAVOBJ KBD "Save the object code? (1=Y, 0=N)" + +DATA + DFB 60 ;# lines/page for PRTR + DFB 0 ;Page skip (formfeed if 0) + DFB 80 ;# printer columns + DFB $80 ;- if printer does CR at +; end of # columns + + DFB $83 ;80 col flag (DO NOT CHANGE) +;(Except V-bit which will +; cause ProDOS to be moved to +; aux memory and Merlin to +; load into main memory. +; I.e., use $C3 for this.) +*------------------------------------------------- +* Source address must be above $8A0. We use $901 +* to maintain compatibility. It can be set higher +* to protect an area of memory for any purpose: +*------------------------------------------------- +SOURCE = $901 + DA SOURCE ;Start of source + DA $AA00 ;Don't change this + DA SOURCE ;End of source + + DFB <"^" ;Editor's wild card + DFB 4 ;# of symbol columns + DFB 0 ;Search chr for "Update..." +; (Question skipped if 0) + + DFB 14,20,31 ;Default tabs + + DFB 8 ;# obj bytes/line after 1st + + DFB $45 ;High bit neg. forces infinite +; wait for key upon assembly +; errors. +;V-bit set defeats bells. +;Low nibble is Ultraterm entry +; mode (e.g., 5, $45, $85 or $C5 +; gives 32x80 interlace mode) + + DFB 0 ;Regular cursor if $40 +;Block cursor if 0 +;(Ignored if Apple card) + + DFB 0 ;Default for LSTDO opcode: +;Do off areas listed if 0 or 1, +; not if >1. +;Bit 0, if clear, causes shift +; to 40 columns on a PRTR1 cmd + + DFB 80-8 ;Column for cycle count + + DFB $EC ;Ultraterm cursor in default +; mode. (This must be changed +; if the ultraterm mode is +; changed.) + + ERR *-DATA-23 ;23 data bytes to here. + +* User file type names (change to fit your desires): + + ASC "$F1" + ASC "$F2" + ASC "$F3" + ASC "$F4" + ASC "$F5" + ASC "$F6" + ASC "$F7" + + ERR *-DATA-44 ;44 bytes in all +; no more, no less + +*---------------------------------------------- +* Be sure to change the following pathname if +* you want this on a volume with another name. +* The PARMS file must be in the MAIN DIRECTORY! +*---------------------------------------------- + + DO SAVOBJ + SAV /LL/PARMS + FIN diff --git a/files/PRODOS b/files/PRODOS new file mode 100644 index 0000000000000000000000000000000000000000..adcbb1c1fb873d2780444fdeaa079930b66ea4e5 GIT binary patch literal 16509 zcmdUWeRxw8Arhx-#N~`+?)Ez+_`r~ z=U!hc5~kLknvSBa8Yj0BrYH8)(@~Im%_PW&P32?L6iJ<;MWnQp)&rDpy7T^aTGW|4 z&-`_t=RR+m=Iqb4*Is+AwbxpEA6Ke4c>21)BKetIv42L>3%QMzxniDOyWYXOAVykMIyMIxlJ$THde6?sFsI@wMWpR>AlO{sbrzjJtuP6 zgqFAGuiy(ZO=eTR)o3oXrVXw#ujS32>S1t&P+w#a&BXF62-gK+OS>J=PD;O( zb6&|Mnf8yP>vVI|OSz5S+`)~kn=&YKL|i$;%g|>K3W^KJg!+WZ{giBuR2vMkDdNnR zEfJBMqdpgDq=}|P0`0qr!fBJx1Y|K7suPJSnn2T$gkq4-eu*h0sQPlQo;sMIuHDxG zhFTZ4n?R_2*U4idYRZnNgUnRZc0_F;<(M5&1DT|z@38C9a$;quv_>QP57bLLqI2{c z&|5Y{E!bZzj&bXH|lC+Z2WJ10#B6ATvjrAHPDR`K9xi-v3pP;zp)NoTxZsYHg z3xg^Ht2%w1o&t(XoXCZD{YNfYras5pGxZ`{nL#e+m7C<}c+~7J&n348H{|$vCEYV# z-jG}M+uZ7kT-205pGzkBh31@KMOKcU-kj4A7;h`%qdDF~F3u!_Gge*kPiy&UZk>`+ zVLk6!o!h=^&;A|TJEHsdz3cby*uQUg^pk__$-IA8d;9)&UV4r&}`KY+B311QImI%#_P{C)TcIEAG*$BA)$&k>yV#oZMN2xL-guSnfH|QL|6QE zr_Hrx<76Y7OlyjTJUlw%)ojL3jLi6-|7ym1YV>}6Ws*2--Z!eN%(_M8BGEU9AX_6K zg9|*SZp(my^5Bw5KC1UaD`{AJ(`SO=p4xZ`8sjO@X2qpcz8TNXn4H!f_mo>B50$V>Bv zS%cM=Rwo{Gtxk-5`@`ys5qu?u^Q1FP=+LiI%DYqbDN14Y36j|~?Q*xOk5x!_CE*>C z!q?=GYdq;tFiH@LX&FaIUnxhFAG6e#ikOn_DhDL%51|H9BC-InPnS89%B4pK$=I=6 zx@9kyPEz)AP%{qAV3UmznU63HZ7%Sn2gW%1Qaoccez(OYsRa=^ov96RJr!@d3}q|6 zK;w|w`n1s9dA@B%tWW(lp(S}fHH9U|gx2Kwwx+UVUg#Hjz7=c%>&Jy^^L(GPB;ZNg z2?1+IqoDoH{W1SG|7XojE&kC@^H#O(;2yO_yIR1WJNA>#_UI?A9sZHNJNEA-2RnAP zH~ORdb~H8mn_ITMhc4Uq?C~d?#r*BNV!PTu+qJWaQTytrp4*VKVf+SbTlLLhWvsk4 zPx;uBC62W}@j;&QNiwDV6aq0Ln$Yf(-_P@>HCv&FeffUcY_$tf!9ng41-s2u638MM zo@|Fny*VH4)LZi9EqUVO`T5?dZ4CClt$Fx1Zq4fr-5RdDB^+hpV4wl*vXpT5_bD;3HMf1ZOuEmHP74Y=g=G# zPW!XV3c?n`yIb3=-=M5k&ru7)XxmnhT~S~vE>9-YSnoFLMR8HSZiFJ2T+hx($wu>D zlv^b4&-{?`&Rg@zuqL(C!Ngmr!-r!_gv4&fX!!$e+-@m zG7btMx%*hq^!H{SO|$%5J%`GKKK_7}Wc8AZY?8sy-29BXuv{0GIARj>xmCj2c^q#v zS;fL~TjSjPW{dsH$LHqDbMtSUo8P);em)tfwcyjRY;Jzp7$OAHArO*@!Ll@U6h;MaM%0+(mB;gy zU7jr0?K2rml;GpZmej<4Mk-L#K~g}@A)6#{jd|P#>-sF!9kEl{9q}{rq-g&V1o1pM z>}NdtnZSM~7Ccm`{{u^9du*+?H4{9Oksjxnv}U4bN}K+8b;@j1%BiziXJ6WENKsQN zEAnN2FFlc^#Uu8nl-b>aK2}^bOFU_J-D0;%uBT^-Kc3~u7OFW-|T1;*_f?;S(t1hRMKcm*+-Z#OG$IDYHf2EblkyguFIO&x#5p&CjDs5m?P}$?e{3+cZf@IHb+iO<4-(keY3v zz~Qvn-n7G+v%RT0w9qNZ_RPfDH8#n%293Rj)}2$l{I1C!+xkh8(=If)OG<*FU9&0* zj3p)HqFs>8`IF{Z@-ya*nKkKd_C)?Ko~Bt@cUxv%lRk^p&$!DhNW`E?cv-Wo>l#BHfuK0sGiSfm42T4fD8VC zd#RZ_Vk;ZNy`*qQD!(dt={@eqOYd+;l%Kw&A=SVg`M6*Nj^lE^^tR#ow72=^O>Vba zNpOxw|2tCulO_JT7Vf4xE>RIlkh4&#^V&AdufJi#UA-IbW}>C=o<$BZYX@xGyf7@^ znc=~k!_YknQ&ZCaBqIMyuvA;bi7m0-xMiU)B(zyC-0+b=?$}(Uj1_Mc{6b5+P-h$q zi$tOF0io7Ew(eu0f?TTlSn#K{d?wW8vN{!A9Wkhf`g$3qQq{|TjG!6@B+t56(W?}REn!*ZlmU$Rq z!HwE{%`Cqd!B_kf@A^VuzmLF12N-nGV8TWIqF)LukTvpvpd?)91T`d-XxCsk&mzwP zH7r1776MJrT^_c`^E~tQDXbD%R*5{TMAM{lE$q3wXMrT%EQmYUz*mQCsF_zYzk*zp zqDEp=(#Y6oruXxDE>IMG$eYr)!sQVheWqxZH?=RT*Lt37h!{v}rLD|FvU@9;>IUMq zI$u$1dwjcK5hLFRt&J0J6a1Mi9}6|}Dy$bq#>Fot>d$Om$d@kc((mW;kM~H9b}O zM%W!xePO5iX4qNjtZjNL-1vLshQcm?SjiX7VZ{Kd8^t4EXuJI>rl*<<>lShq2JX=fz2fF8no0cn3r)zkNvum?kzMyJ0{X#_H7p;ap{%gnLmxXvPnsoD!`cl}i8iNR2>stMnE*exP@nAkzu}7JLfC!lAM6*|1FtES4+6)h~prD#IUEzZh1}eo4~Za#h$ZSL6Ss@ayV@G`auF z4-3rxOn2RWT4B9de`yQnJ2u=)E~w9i^%U{^m)?B!d6v5LrFWX@VX5ISy*cV{S&HlS zS_aR3Nm3Mpm>#ab6goD%kwf367sGI(xR(9Y*&4Bu^K9ho#>#Nh3kcrqSGnzK|CjQG zG#3eT++*e8$JT`(TOWRGLs-rXyWMJL*dYbsAE@_6)CAR2uq^h7dSApp6JFwqw3b|2 zN8p;BuC!;GwdjXlzARNfpXR!|yZR3_6d$(8Z&Ul-!H_o02;4jj0xP1tiF#8+Pq#L4 z?At8$=@Bi1r3CPTxUL&db6CPUFhY8gyi{Dz`MK&wTFo75cIvC53t+uh)4^)m+Uzu! zN8cqzxskRi2_JVzZlKjcx~H0IKWv66-P;t-?S<>)s)(#Bs=~jYStcb_HiYTJo1RVjyAYExj0$S zGA1rAy3(|d>N7Vi?d{!A++v6$uBPs#o)V7}V$s=Yi0fA9XVH5~v4E|VG585_ogm9| z6ex0a>!`BGA&KWt0lhW~4B2>g9boh{#fl;#41Su#_*R8$Y@-!5rR1_4q;P3SuDG-p zG!1=7(Oi7tD>X=MWmZ&zzHQcHP@t0dP_H((o>O>nZ-3Ks)SKB5%IYMkKCaVe?X1;A zhD!>H3ev@Gy;_#?z?=Xru%M-A?Ne^_PIHP^zH+zLltv#Q*4C!Y6mzO61*!q6Jm8Sf z8w=eSq)611_O|ZB(hngE2U{P~;3Oaf<#ELAPg#+f!7jb<2ELmCz-2_G<_04O@zQTvv$i z+E|3ZL2spx@A7end&zlLy%m6(Zl`R<4ytr{iWQ@+O*cP&2lbxsDb~(-oc?jm&Zj$v zYn-jM#Wr$=b;@*^jw)ObP)7hs;?|?^=L+k7Mk})lgB6i9a=Ma?fgBaz2Kh?nqwSb#6VB>&uDhG?ke&Wk)LyIm<*|guDxf5$w@X)ceI-v9bv}|Al~f6@&Io*&*IGE+P!4v2u;8l*N)UhCX#b4 zCx=+wa{Wf#u1RZ_Be}7gdEWc^Tt_QVQ^*`?y0FlzSwlND?1Du0IAN z$BB3XA-(=AOtq+0F2M{uOJvaZaKAQPozaES)VwbF1*%@xb>f7Y(^bzoW_b<07ILXO zMK>xXP%(8quK2NfOK57B@6P1vjxGRO@tIw|+gO>TE~JiuN?OJNmp~D43oHR@h;l8Y za^SRxHtftt&blQuG`X{GF>NX0>Pjf% zqYB8C^=lBRDo7>h8mN2+WUhgvvhFXjJX5TVuZQO}Qmdh%>K(?r(8gRR8PoUYp$`#z*hH;b(MRUO=> z@bw&H{_WyBz1l|ruYJI}hHeSlb#!R6o<$Cm^C#HmvKIrTRI`1A&CS?~B-?7p(b@uo zP5H4@&w)JP{%E&14H0a%bwgzvyV$hPjVS9=Ht8w?Q25>l0Qy=V*Vzl}N&Jsv+7j^x zecA#hK$#8BjOS>u#R&D-$rPI#Ps|j%2;|q7-3j^ijq3~)`6hG*miQ)iLXv%xJJtLy z-;_?U4+C+S>#Q!PWK2Uwc@h%xhz9}K8Cz31#k7LJLCa*+%z@#T+@f?-rOn=r6@&C* z)2ZG}K}?WreCIVeon)n$enPIIkjGz9`PUSlG{omO{aPt&GP%=J6q?dGvR3oN{eAnY zXo0z>he$;)I0mYi_(~S9Y4BG}3Y(DEG>Q9j9rtG~brSb^9rw9Xp0Sb28|mO58A|UlqvJs+ z13<)CWYsGWm(R)MR&rtR6*~Aba(^c2EQcueoPO_Nsp@w)dtFs?2DiK~M*K1W+}I{OTDIh~rRWqfBJS2w=X2HG|L z8ZwN^ah;4Xol`sYxh+#WaduWWwG$I%Rp9eiDZ+J__Oy|_{3>nSNDETf#K*9rsht-2 zWi~S`l}+79t!Kr9{hAK8*hnSTI@w09qqe6fcgnBPmMNW3aJA65o`v9HCx{4{R9|Dl zT?^q>H=GcU_6OZ4^cpH9PtgXpz2H=V?8iUPx+z_zr#y?HChmcq55B8sHjih~xBd~h zbRG5cq3n1~VQ*_Bg`5R?%fLxSl=t>JUtqzZmBV^dqR#97QApMQ&K#91Wf}7Ph zpohWKzk7H1mr+b-Pm|wNnK{#d!vLZR(_r}ZfJJ)6d!PsF;R}B?JiaX z*R2DdBDP!YE*3KfwRYABgFkY$;X*W=uNZb01L`DGyv`IaDG`4%;Js5U9>8Q3t^rmW zLb1ik$L?aNiH4dIR4 z9(aPEqZph@dIobU>Ybj2EgaSZZUZrcFeyqp`~`Rwo+YNIwt(5T7(9y^;z>W_JGKL- zXNJGWdk_|veXzbnu`;+Y{vCr_t`c^sgQ%%@Dr3JZ9-}-9fOjM*|E=GZCi=ednv@le zq!WdD^?7EOFLX%t*TQGv;y7BlsH6rNn2?jAprQ>%?Q+VbI9Qh!hvjG&x-$rGH`JNq zc3w|We@jVIH>`h@2J2HHWb5cP>F6%8?rqjVPZ1lZlI6sq%i46Y=ZN}BxNb@utc{@2 zY$Z{b6UX^ESNA%z6~x<4dZ#)WEyl$4RPo*`NG4HpL%V?yzJ`9J(>k%4n9F@xova}j z_ouLU1E^I=VZq7I(}pHYOu+i0l4Mkp{3<|6pn^=RHK*dF7v+bYlvpvpA(%HU$;se^ zvDV(rwps)x;JS%jr<|hswA*d~kxI#Q?+iBF`1ru!@G{^%p)BT`O^?PsP5o_Cj(* zuBU-gPf1|8XDMr0*0Z!{>AtrpzJqVDFEJ0kNg-&(hLU~1rzAss?Ud@H8E;X&gly9G zd`|>DMM-H*@*1G(LbKDhyI51OJjm7COiu-t;`}EW_3_r`I|0e<6p!j4vOm><2@LpX zO<}A0DIItV3D<2WF>^W|PIv9iTni7~I);M%HPWEwB)TR54aORTB%DlSdngSj;1F-@g zfrgQ211n04GaGCjwJ}xnI)yfRrj7c3MJtPW-H0GXZ|GOF6FWdEF+xF|mPGgI&~Iqn z_;~0UrnJx!#RDi6XP(9{ILh}M>Px_0% zoHV2zM}fIKsW-o6q@H4!P{A5#VJOfVCY5#8cqKF+qwcsfD=NX_qsz@0wXM0d##O0L zYb9r|t~KLW;Ju7gBv<_KwiPqjid+A3#q-Ymjdf;=%y3!(O>R?Pr&pI-EWMO3iS1{! zMNF^+OabZJ*%WSJh&KRLpqxaVVa|}w1kz*U;1w#61_rj9M% zGAUlh)lFjcpterVk0^N0BE&!t34xihke$Vvo??;+6_{@e>IMhGA_$IDCL>hj2XYg$ zgQ1XHro{KY684UV>Vhwxa#nMRjYG*O77tq<+z4y>klIA`TO={#tac-t6arcIUeT_H z+XO0b@sZ)-pFoLzF|CuBphoS_LXFxa?M4QQ%>HgT43ol!89xg9gpC0=Kn?dC@n*O_ zKMS;)D2q~_8Bw2KwoHu|q_LT<)nn{2YO-{&^r!*SI=Z`H5ye1Fh$n@cbj~g;8V168aE~Atzf> z;>j};m|HA%lL32cc``~enRTBUw?A7qHICQ^3{aB73{bcOeuER!Pqn!*C&@i(MMz31 zrpwf~b~XvId32{Z&ZO`wKua1VN%@7`p7R^)p(&sekU3w^L+B5`jz})CA(%C4A)K5wgGoW7KwTyl5Q>zK<^*-x>=8O*Mars>eUY9k- zV1K0YdsLJznVgQ10pF!e6m0R~KT>dVYi}iX!4qn8(iA`oa6hvTyDuVr)hc_P7MG70 zWo)POrUl*kl@60roO=%Q{5Fddo)H^*{P%2VreRU)yEYEx>$5P4L9BRTw9r8wRCE@k z;pC(~1LtD?_=P72^#->N{1BhYD}*w}WIz=bdD2bMLNB67WPl;C9RvJ{J5>8L zcZdzZ2ry#(+4bP0WE`}c5F5hsO%EpnfHflsnY-B}*Ml*~^Ct3nXji7RqIv>ZlqDYM*10?L!wX~4V5 z(*P|g)jBXwa}8f)w3eG`Jx*YJ&G3LO;l%L+I(VG%^1kC#8{35T?^1Ev2~B{gL+64O z_Oi(7zI*7tMHC#2_-W7$hcIejKCAji`gBewJX-ZV`tdc z-KlZhF`OEAH@GFpS5(BE8+HIw=pB=E6I>;iU0Iiva(NpS|Mjx=v&L<7&-+wgRiB37 z1)@ij5V|lUe&PF=vYSw-BR#F4#)p)ZSkG5fZKL&R)h+Bi!Bce2$5h`I`gj!gZ1LU8 zS_VVc)YO8;ods`7jqlS|vH7xgjuoFmPREwhsEzPa+)bwE^etC6AuQK`ksVOq1=yC!_X69c`pq; z8J71^3Fk~^P8YFUPlkO@gq!vuh%f@UI04XrbaEdh-`J$ekyw(m5}p_sC9piod$p!d zDJ;Q0gJx*6ttqWsvpm=x)?WV>FYnjO5rc#Eijym5&F{l6vVwT-vL+(uS&j-%sVH5+ zH8ugp8~pE!a5|R5Xhm!|WURrrAyPK?|lP|D=fxK1IT5sCxykl^C1Cbx4NtWaUjn&GELU_0tl#Lon{xFcQi zZ8%nC28R56MC3YTTnmli+`VuC$CW>~ZcSwS$2)fJ+WkpuwC&S9d-v_<$bohqml=3N zA|VKzG0niIS$Km%5R68Hf#(xE&zp`qFQ#1}=N~;^@KwQ)iX$Hku0G%Y&DztC4|NSq zIw_nSI=kfj&MRB4`2Vy1?e!_CX%)pKOXu8Bx_rgGD}Q9*IG+1AcJQCPAHikv?2@aA zD=!qSCL?c1&#+AzKVjlD>$PI}%dcFQd;N6Vq{&m*{mYK+?HyOI+2Rf-1M&aaCx4Os z<~tm>-r%@n!HN~G#Ut3Cyu!JbhH*DRT6oAMbh|RTxyCR4{vY>O_cZ`F32>(4R?}0i z55ibuR~&63f15s7g1__m8@0>0ZaoE;>&Y1PY#4Wfb=)e6xCeL;lepDM(}m5{Bi_?BfCbpoz>bwu=E>f$$$stA?;P^-Ww_>( zrd=sZJ2qG2%Mcyjb|`zee zk$k4*`BN-6-XFuQCX1IhCohFMMF(+f=AOl6h)t2*i`7*z3HR4wT~|F4lOKrTZpm8D zeDRIX#LqiSO0T>YC3{!Lo+q!^UD~nl&l$rY;iIF?i2V+i4nKfBW z#x_0~+w)*d&t#>w7BSZ023T*a`b2E+12OVRU}1?jTO5vQA%!Cjc@+SLDk74|9rO+= zv&jImas;!V6{?E3&J&CLWK5iKKs?nE!VDgasZYjyt7GD=2mC^{v-H6jPB;D~ zwikoCV0b(l!^rY4Vvd1-iRrg%X~l`b2V>rpGP>`<*xpBDUO{~{h6@RNgG2o7ftFv! z*kpeZvjJGkf3VEpqcIY~(*`hhVOGlgV5mH@dA`IM6A4p#!kS})a*8ApQ$?u7>G^XC zevn8w<`v%KbR|~Yx9X?TKe{>5TJS}%pIrfaEV1@qpThG$5{dHliHa)kE46R>Ig>Fx zv8kakp}oKDqsY$IL}Cx=h<9}-zV1D#4_&wdK6{ntxYrzwajUEeZ>+p)5V$`=N52P^`aaL`TMQh(Rp9s!IG%5Tn*I># z`o9F8|A;g2A>P3M3Hm!M82C>)f#1su{62%g?-v9f+kxRTo--UWaE4BSGknhRhClPX zp$l\R)P7o5THCANTL1_L%FgW)(Q82Wg@aKa!M`USyo64%@Ycup8Ja02eP38y(; zIK%V8kbxJ@3cPTRGYIE-gK!bM$R)ubT;>Gf3NHx5*i@(>2!Ex`{=Pu|57in9@~4Z_ zu9-S@?VP;aYgwW`tBni~J{dzGZEXxb9vPJUSE?S3wc-lTqcQz{u&4EM^dR}>#7HVg zPWy$CUHjwcnZNDJt-vWSx?2szWRq}%! z@-I8&7dm8Lhy2Hmz&)E5%kOqnX&sKf`!qv^{8~p@o-9PA{R&D+Iz%{0oG)xF&f@=Z%{>z27h)@Io{vj; zRS{9|aJmmyMc{qpSAek20J{lS5;fV=W(D1nV?+a31=p7cRW9ba6L+g#id<6_@f%M# z-A=c7{9pySpd1u?4k|(RqvEI5e?Ng06?5Cr-5NJRtTRY>gOklm+Dm#3Y;3jV_p##1IF+T<}@d&7V2??q^Fo zh)w>T$%T~uM`c!P+Yh&u_n0;+f&lI~lbj#H}gY-$* zec=9=M?&i&q4kl_2F!0ELOa$#(dH%9_s4W|8!<+0UK|71q`xh_@xL-_@%EN@$LPC3 zcu$DEFf^9EGXylA#ASo}3BFZ$8Re3)UtSf)+g+br$;R*QE9`xg4XMfF|Gl^nhKtmv zn#y^7f_y65Rh6|lwVNYGH0fhowbB6|k2wXK`Y8#1A5%$2%XET?FP6;nCr z9lVAYeL;UU`i8zS>eu&f&eVIO75dibi~1j<8+CuQQhzfllMcYa(rH_PCI)V8&gcc% zcbdFsfzKv$OF35{xL#oIH!|YR1aXl(07{%u4dXyi&<~4)GSJ$D2XOKl-L79|4nNY@gaA?__;W6Q80OZ2;w6bxY=>fAn(^i%u z{^YXwK4!h8ydXt+7i$a*fgTdT?wsOxm1U~~+49+JnHwkZ(e|A&KfkL3Z;|==&RsIM zquswNw!`1C{bPTOY~Qg_HCq8*u@r&G! z`iWq^B%=?4>lv@(j!ie?@nZsX7qf8QTBCnh`s^lT|KA3t9D)gWcN2< zRGl&Ew(l5K-Q3$@rt|$|+GI%pQ zN4z^*{6jXPxJemQm<$5hl1y(S?zo!Ilar$S83$opwTg11s zfg?qoji7Q$bW@#`iK4yQRsGDmbjAQWhDz%FI~KH8@%WqLT2VO-}m14&CF3LLAJ;- zDM>nHP&!UJG?#{|TAHY+&~Q1FwRMYm9+X*=Ife%YTcc!DI)V6-bPDl&8Y+xirURPi zF?-o!oDxSmjZ1|zjJvH3xN;fht+q$Wb*UGp`_eF`d8SUurlg?Gwp75`y4&WUuehep ziaKxIlLcINq10!*L(o z?ZfeR=>mB$kRwvuAf0c${pk^^RMcvDW;R=^*A~LAqNVY<`TESvd^uV?8_&eH ziZ6>d$Wr_k{_D{H8FVea9qZ8Z|NJP~iQh#kpM^>8+TgD+ur>72W==aJtZ+RBk-e1c z#qT3t$il)#i-9~L2MwAqy;aa%+twU|l9P!?$n<4Fb$rG_9;+_Sf{Li`g5_#P5T((! zECs^P(>Y@fFvIj+o~5Lgc!C1`k-&Uaot(J@Q>BU;h%}iGrh{rCUGX(Fn}K0+rlY_H zD%?ptLxq7zAxNP%t(MDyK#Maa%A~6xrkF_JRpL2rAI!qE=^s{s(L~r~O7Ine zS~ZBt+sIUgPr@ZF~IlHfn4rFjUJD4kZ#3sIy5d7R>N%UhsQXmjoORZxlDa-kLD zA#XFZ0ug9=y%#UMF@9HvXqx&Rc*Z%26v$Gx$@ASrtLhStaf988f_1>CuB^P+K-1Ua z%KU|oPd>TA&t^MWf1CE&i=prl>U!p2T?V81U_CXYx1q8{p3^~0;>Xf^DfC+h(X)8R z$>8#J+?asi;nq3>+1Ra^qZf(=&*Czl4x!`k*r4LiNwuQ|DRNZ49&gTmv#a!K(?=G0 zxQ*j-Y&dH>z3o=_x~(iYa<-D-RZNU6g8YW8g^T2_WPMMkKRqOXPHJ6>$`Ca{wa2m< zQGq0+bFNK{CCO=?r1Iv@suyYpVsw+*UMM@D)=OzUACF{+GzBJV8S?$walu(Q5Y~9r zDU#-Kt?C1Mtz((Jwc@MP?Pw3T-o3?QeJp=4kw!=2xo!Px_C$>XPh@cAVyctpzt2{T zz1RF|Yz|<>K+NN@iDpMeyF_~P3Epf6ejvQ-+*NqVdrvXB@f`|5M^vKYuKnPDyk zkT%e}_R*f9FmVII;24zQol!zD(?#Uczw6HGauhEf5;DRKEOs1c0I%p~CeW!ve`mPE zCi@lfWPX&ErzI{s_|H<3+Q?IBrgi)MM*9({)Rhg}p8-sRA9}#7-Cd