From 08c9e7e90e6648e1a0ceeb50ee54769a25cabaa8 Mon Sep 17 00:00:00 2001 From: Vince Weaver Date: Sun, 14 Mar 2021 20:49:24 -0400 Subject: [PATCH] Add lovebyte submissions --- demos/lovebyte2021/a2inside/A2_INSIDE | Bin 0 -> 251 bytes demos/lovebyte2021/a2inside/Makefile | 42 +++ demos/lovebyte2021/a2inside/a2_inside.dsk | Bin 0 -> 143360 bytes demos/lovebyte2021/a2inside/a2_inside.s | 240 ++++++++++++++ demos/lovebyte2021/a2inside/file_id.diz | 5 + demos/lovebyte2021/a2inside/hello.bas | 8 + demos/lovebyte2021/checkers/CHECKERS | Bin 0 -> 32 bytes demos/lovebyte2021/checkers/Makefile | 46 +++ demos/lovebyte2021/checkers/apple2_70_zp.inc | 12 + demos/lovebyte2021/checkers/checkers.s | 98 ++++++ demos/lovebyte2021/checkers/file_id.diz | 6 + demos/lovebyte2021/checkers/hello.bas | 8 + demos/lovebyte2021/fakepal/FAKEPAL | Bin 0 -> 123 bytes demos/lovebyte2021/fakepal/Makefile | 44 +++ .../{sw => fakepal}/apple2_70_zp.inc | 0 demos/lovebyte2021/fakepal/fakepal.s | 236 ++++++++++++++ demos/lovebyte2021/fakepal/fakepal128.dsk | Bin 0 -> 143360 bytes demos/lovebyte2021/fakepal/file_id.diz | 6 + demos/lovebyte2021/fakepal/hello.bas | 8 + demos/lovebyte2021/notamoon/Makefile | 43 +++ demos/lovebyte2021/notamoon/apple2_70_zp.inc | 12 + demos/lovebyte2021/notamoon/file_id.diz | 6 + demos/lovebyte2021/notamoon/hello.bas | 8 + demos/lovebyte2021/notamoon/notamoon.s | 174 ++++++++++ demos/lovebyte2021/notamoon/notamoon128.dsk | Bin 0 -> 143360 bytes demos/lovebyte2021/rr/Makefile | 43 +++ demos/lovebyte2021/rr/RR | Bin 0 -> 256 bytes demos/lovebyte2021/rr/apple2_800.inc | 12 + demos/lovebyte2021/rr/file_id.diz | 11 + demos/lovebyte2021/rr/rr.boxes | 22 ++ demos/lovebyte2021/rr/rr.s | 306 ++++++++++++++++++ demos/lovebyte2021/rr/rr.woz | Bin 0 -> 308626 bytes demos/lovebyte2021/sier/Makefile | 44 +++ demos/lovebyte2021/sier/SIER_64 | Bin 0 -> 62 bytes demos/lovebyte2021/sier/file_id.diz | 5 + demos/lovebyte2021/sier/hello.bas | 8 + demos/lovebyte2021/sier/sier64.dsk | Bin 0 -> 143360 bytes demos/lovebyte2021/sier/sier_64.s | 89 +++++ demos/lovebyte2021/sierzoom/Makefile | 51 +++ demos/lovebyte2021/sierzoom/SIERZOOM | Bin 0 -> 122 bytes demos/lovebyte2021/sierzoom/apple2_60_zp.inc | 12 + demos/lovebyte2021/sierzoom/file_id.diz | 5 + demos/lovebyte2021/sierzoom/hello.bas | 6 + demos/lovebyte2021/sierzoom/sierzoom.s | 206 ++++++++++++ demos/lovebyte2021/sierzoom/sierzoom128.dsk | Bin 0 -> 143360 bytes demos/lovebyte2021/tiny_pastel/Makefile | 46 +++ demos/lovebyte2021/tiny_pastel/TINY_PASTEL | Bin 0 -> 16 bytes .../lovebyte2021/tiny_pastel/apple2_70_zp.inc | 12 + demos/lovebyte2021/tiny_pastel/file_id.diz | 5 + demos/lovebyte2021/tiny_pastel/hello.bas | 8 + demos/lovebyte2021/tiny_pastel/tiny_pastel.s | 30 ++ .../tiny_pastel/tiny_pastel16.dsk | Bin 0 -> 143360 bytes demos/lovebyte2021/tiny_pipes/Makefile | 56 ++++ demos/lovebyte2021/tiny_pipes/TINY_PIPES | Bin 0 -> 64 bytes .../lovebyte2021/tiny_pipes/apple2_70_zp.inc | 12 + demos/lovebyte2021/tiny_pipes/file_id.diz | 5 + demos/lovebyte2021/tiny_pipes/hello.bas | 6 + demos/lovebyte2021/tiny_pipes/tiny_pipes.s | 107 ++++++ .../lovebyte2021/tiny_pipes/tiny_pipes64.dsk | Bin 0 -> 143360 bytes demos/lovebyte2021/tiny_text/Makefile | 46 +++ demos/lovebyte2021/tiny_text/TINY_TEXT | Bin 0 -> 8 bytes demos/lovebyte2021/tiny_text/apple2_70_zp.inc | 12 + demos/lovebyte2021/tiny_text/file_id.diz | 5 + demos/lovebyte2021/tiny_text/hello.bas | 8 + demos/lovebyte2021/tiny_text/tiny_text.s | 32 ++ demos/lovebyte2021/tiny_text/tiny_text8.dsk | Bin 0 -> 143360 bytes demos/lovebyte2021/tiny_triangles/Makefile | 56 ++++ .../tiny_triangles/TINY_TRIANGLES | Bin 0 -> 28 bytes .../tiny_triangles/apple2_70_zp.inc | 12 + demos/lovebyte2021/tiny_triangles/file_id.diz | 5 + demos/lovebyte2021/tiny_triangles/hello.bas | 6 + demos/lovebyte2021/tiny_triangles/tiny_32.dsk | Bin 0 -> 143360 bytes .../tiny_triangles/tiny_triangles.s | 61 ++++ demos/lovebyte2021/tiny_xdraw/Makefile | 46 +++ demos/lovebyte2021/tiny_xdraw/TINY_XDRAW | 1 + .../lovebyte2021/tiny_xdraw/apple2_e7_zp.inc | 12 + demos/lovebyte2021/tiny_xdraw/file_id.diz | 5 + demos/lovebyte2021/tiny_xdraw/hello.bas | 8 + demos/lovebyte2021/tiny_xdraw/tiny.monitor | 3 + demos/lovebyte2021/tiny_xdraw/tiny_center.s | 78 +++++ demos/lovebyte2021/tiny_xdraw/tiny_cool.s | 49 +++ demos/lovebyte2021/tiny_xdraw/tiny_lines.s | 133 ++++++++ .../lovebyte2021/tiny_xdraw/tiny_tiny_tiny.s | 70 ++++ demos/lovebyte2021/tiny_xdraw/tiny_xdraw.s | 111 +++++++ 84 files changed, 2868 insertions(+) create mode 100644 demos/lovebyte2021/a2inside/A2_INSIDE create mode 100644 demos/lovebyte2021/a2inside/Makefile create mode 100644 demos/lovebyte2021/a2inside/a2_inside.dsk create mode 100644 demos/lovebyte2021/a2inside/a2_inside.s create mode 100644 demos/lovebyte2021/a2inside/file_id.diz create mode 100644 demos/lovebyte2021/a2inside/hello.bas create mode 100644 demos/lovebyte2021/checkers/CHECKERS create mode 100644 demos/lovebyte2021/checkers/Makefile create mode 100644 demos/lovebyte2021/checkers/apple2_70_zp.inc create mode 100644 demos/lovebyte2021/checkers/checkers.s create mode 100644 demos/lovebyte2021/checkers/file_id.diz create mode 100644 demos/lovebyte2021/checkers/hello.bas create mode 100644 demos/lovebyte2021/fakepal/FAKEPAL create mode 100644 demos/lovebyte2021/fakepal/Makefile rename demos/lovebyte2021/{sw => fakepal}/apple2_70_zp.inc (100%) create mode 100644 demos/lovebyte2021/fakepal/fakepal.s create mode 100644 demos/lovebyte2021/fakepal/fakepal128.dsk create mode 100644 demos/lovebyte2021/fakepal/file_id.diz create mode 100644 demos/lovebyte2021/fakepal/hello.bas create mode 100644 demos/lovebyte2021/notamoon/Makefile create mode 100644 demos/lovebyte2021/notamoon/apple2_70_zp.inc create mode 100644 demos/lovebyte2021/notamoon/file_id.diz create mode 100644 demos/lovebyte2021/notamoon/hello.bas create mode 100644 demos/lovebyte2021/notamoon/notamoon.s create mode 100644 demos/lovebyte2021/notamoon/notamoon128.dsk create mode 100644 demos/lovebyte2021/rr/Makefile create mode 100644 demos/lovebyte2021/rr/RR create mode 100644 demos/lovebyte2021/rr/apple2_800.inc create mode 100644 demos/lovebyte2021/rr/file_id.diz create mode 100644 demos/lovebyte2021/rr/rr.boxes create mode 100644 demos/lovebyte2021/rr/rr.s create mode 100644 demos/lovebyte2021/rr/rr.woz create mode 100644 demos/lovebyte2021/sier/Makefile create mode 100644 demos/lovebyte2021/sier/SIER_64 create mode 100644 demos/lovebyte2021/sier/file_id.diz create mode 100644 demos/lovebyte2021/sier/hello.bas create mode 100644 demos/lovebyte2021/sier/sier64.dsk create mode 100644 demos/lovebyte2021/sier/sier_64.s create mode 100644 demos/lovebyte2021/sierzoom/Makefile create mode 100644 demos/lovebyte2021/sierzoom/SIERZOOM create mode 100644 demos/lovebyte2021/sierzoom/apple2_60_zp.inc create mode 100644 demos/lovebyte2021/sierzoom/file_id.diz create mode 100644 demos/lovebyte2021/sierzoom/hello.bas create mode 100644 demos/lovebyte2021/sierzoom/sierzoom.s create mode 100644 demos/lovebyte2021/sierzoom/sierzoom128.dsk create mode 100644 demos/lovebyte2021/tiny_pastel/Makefile create mode 100644 demos/lovebyte2021/tiny_pastel/TINY_PASTEL create mode 100644 demos/lovebyte2021/tiny_pastel/apple2_70_zp.inc create mode 100644 demos/lovebyte2021/tiny_pastel/file_id.diz create mode 100644 demos/lovebyte2021/tiny_pastel/hello.bas create mode 100644 demos/lovebyte2021/tiny_pastel/tiny_pastel.s create mode 100644 demos/lovebyte2021/tiny_pastel/tiny_pastel16.dsk create mode 100644 demos/lovebyte2021/tiny_pipes/Makefile create mode 100644 demos/lovebyte2021/tiny_pipes/TINY_PIPES create mode 100644 demos/lovebyte2021/tiny_pipes/apple2_70_zp.inc create mode 100644 demos/lovebyte2021/tiny_pipes/file_id.diz create mode 100644 demos/lovebyte2021/tiny_pipes/hello.bas create mode 100644 demos/lovebyte2021/tiny_pipes/tiny_pipes.s create mode 100644 demos/lovebyte2021/tiny_pipes/tiny_pipes64.dsk create mode 100644 demos/lovebyte2021/tiny_text/Makefile create mode 100644 demos/lovebyte2021/tiny_text/TINY_TEXT create mode 100644 demos/lovebyte2021/tiny_text/apple2_70_zp.inc create mode 100644 demos/lovebyte2021/tiny_text/file_id.diz create mode 100644 demos/lovebyte2021/tiny_text/hello.bas create mode 100644 demos/lovebyte2021/tiny_text/tiny_text.s create mode 100644 demos/lovebyte2021/tiny_text/tiny_text8.dsk create mode 100644 demos/lovebyte2021/tiny_triangles/Makefile create mode 100644 demos/lovebyte2021/tiny_triangles/TINY_TRIANGLES create mode 100644 demos/lovebyte2021/tiny_triangles/apple2_70_zp.inc create mode 100644 demos/lovebyte2021/tiny_triangles/file_id.diz create mode 100644 demos/lovebyte2021/tiny_triangles/hello.bas create mode 100644 demos/lovebyte2021/tiny_triangles/tiny_32.dsk create mode 100644 demos/lovebyte2021/tiny_triangles/tiny_triangles.s create mode 100644 demos/lovebyte2021/tiny_xdraw/Makefile create mode 100644 demos/lovebyte2021/tiny_xdraw/TINY_XDRAW create mode 100644 demos/lovebyte2021/tiny_xdraw/apple2_e7_zp.inc create mode 100644 demos/lovebyte2021/tiny_xdraw/file_id.diz create mode 100644 demos/lovebyte2021/tiny_xdraw/hello.bas create mode 100644 demos/lovebyte2021/tiny_xdraw/tiny.monitor create mode 100644 demos/lovebyte2021/tiny_xdraw/tiny_center.s create mode 100644 demos/lovebyte2021/tiny_xdraw/tiny_cool.s create mode 100644 demos/lovebyte2021/tiny_xdraw/tiny_lines.s create mode 100644 demos/lovebyte2021/tiny_xdraw/tiny_tiny_tiny.s create mode 100644 demos/lovebyte2021/tiny_xdraw/tiny_xdraw.s diff --git a/demos/lovebyte2021/a2inside/A2_INSIDE b/demos/lovebyte2021/a2inside/A2_INSIDE new file mode 100644 index 0000000000000000000000000000000000000000..c92c289f92083ea6235de5848b444fd691052b48 GIT binary patch literal 251 zcmY#z_^lIkK%s(X)eHk&Al3RAM0}Xx<<)8cV(HA#Jiuz;1q2EyKbCyxQjq-d{Sg|G;xbZLZlGn$A~L)Sa&>D7#*lk@vWvtj6A_ zqRuf%UY>W7mbP<p!A}kVL0;j$yr$NDc}cn0IAx94I3{NC>;D1DrCTrn literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/a2inside/Makefile b/demos/lovebyte2021/a2inside/Makefile new file mode 100644 index 00000000..00127877 --- /dev/null +++ b/demos/lovebyte2021/a2inside/Makefile @@ -0,0 +1,42 @@ +include ../../../Makefile.inc + +DOS33 = ../../../utils/dos33fs-utils/dos33 +TOKENIZE = ../../../utils/asoft_basic-utils/tokenize_asoft +LINKERSCRIPTS = ../../../linker_scripts +EMPTYDISK = ../../../empty_disk/empty.dsk + +all: a2_inside.dsk + +submit: a2_inside256.zip + +a2_inside256.zip: A2_INSIDE a2_inside.s file_id.diz a2_inside.dsk + mkdir -p lovebyte2021_a2_inside256 + cp A2_INSIDE ./lovebyte2021_a2_inside256 + cp a2_inside.s ./lovebyte2021_a2_inside256 + cp file_id.diz ./lovebyte2021_a2_inside256 + cp a2_inside.dsk ./lovebyte2021_a2_inside256 + cp a2_inside_720p.mp4 ./lovebyte2021_a2_inside256 + zip -r a2_inside256.zip lovebyte2021_a2_inside256 + + +a2_inside.dsk: HELLO A2_INSIDE + cp $(EMPTYDISK) a2_inside.dsk + $(DOS33) -y a2_inside.dsk SAVE A HELLO + $(DOS33) -y a2_inside.dsk BSAVE -a 0xC00 A2_INSIDE + +### + +HELLO: hello.bas + $(TOKENIZE) < hello.bas > HELLO + +### + +A2_INSIDE: a2_inside.o + ld65 -o A2_INSIDE a2_inside.o -C $(LINKERSCRIPTS)/apple2_c00.inc + +a2_inside.o: a2_inside.s + ca65 -o a2_inside.o a2_inside.s -l a2_inside.lst +### + +clean: + rm -f *~ *.o *.lst HELLO A2_INSIDE *.zip diff --git a/demos/lovebyte2021/a2inside/a2_inside.dsk b/demos/lovebyte2021/a2inside/a2_inside.dsk new file mode 100644 index 0000000000000000000000000000000000000000..95f811c88314afc3e1b52899e561f8c3e8c85ee4 GIT binary patch literal 143360 zcmeEv31CxIy8pR1+s)D}Ewy1Oxj=yyDJ`pI5mMSpz|e(FivkfvE3ztR$EQ+fD35U( zsLZ&{Pzi+siA^b%P!TGK;7|mN3vT0rjv_lj1#u()-*<1)g~dT<=DqR#4K(-Mv)yyg z`PSce?hRMfy-^#qR$V;`AMN(iVcwfdhg$vTg_&W&eO{;u3+eF({$-=7!%cwS8V4tYNaEJm-@s1%&hpcB+e-o(V)*47GMw$43i)1!9( zu7LCPHU3GPLc+<0Ku~e=g@Bi##Cctnduu>kw?;f#>3p!#6SrY_u+8r63Bj*DZ8r>m zYIR`u_Qc>>=hiiq;U$wc1<$e{`-nGw@7*Du_`%DG@BQHQQ;H$wx^$aO+9gNomwa9C z<=p4$-AC*F2kYG**L!*Q@p`Y)eWJel^ZLbQr>Xd>`bTJnd|p;@PFYc`6#rOX8UFK< zWA)D6+b{{vg%$qK>oZQAruIm=PuK59yQYqi`l=pnsObDA>z!Iu@aj-E;|S*tZ^ETe z7#XDhv-;{!>%~BQ^@;k$W{t;m^yvQM^<^ih$NeAI2S0KN#Ug8{RU}(o$r&#H)&O65VSS9oD zi#H4%HI!|ozo*!i!v`mnpQvO{1`k(`Z(v(fhE=ewd(3BC8H>6vDONYQw>K==p8kNJ zZ7uEQ4OcAPo>;csQFPR!bQFo3pIaO}=RF`k_ng;9Uw(W&>6s8zY-U>v!&`g}DbKIz zUV$FUwl5AItLk>NkV%;}#cDZsGuyH|cvP+@Zh6l8VN+MfC>6#Ru8!s|tD^`_aBY`| zRZiHG{vDc5W?OsE-=jl!@9Y*l=JJS(L${!^`MZ$^f?pk#QjNag7oG`n(jWZX%N8%} zww0s#>ovo4CKGpdA?uRZFf+4s9Weux%@yd z6fMWq6msU{bhXm%(n*ifZql)9x2JltobHRwpRWtn%hf&%)-<(UZFMcWy0pi>Vr6J7 z+II67D@(g)eAXk>w^lPUe6mZsmMcw?;!Pw=P3Gxp}kYd67L|)!izd z-n==H(ucYQU&6tP4pJ07R9E{NO17`L+U4_jDlL10KODL)$R8R3QVfP%TzYbn*fFsK zedhG+*4Y+GKmNp@|5EYviZyGWsrJ{bf3|L8U{n3(=eKNacwyTs`}V*3##?W{``+K) z|KP)qK0EaJk)y|spE!Byi!Z-AbN1_RzWwg|AI^vC>O2SQUfR|CUAN2U`E8x_y{oRy zxA?b_@11qEiy8OiQ@moiQl<8+tX#FaN+YcE`m{Q|A*ObN(PXy7#y!_2{;C9P+jiSu z-0{-RT`&K2cjKP+dpjg{48Hcd?ac#Xr*}GcN$T3Id-B1LKl%HoDLt+}+%vUTT6*t3 zq0@c)^&fCe#<_um1`oM*=rB%aF&cV`yjjg(%WH)$orQKh&je=bEC`JCVCLj@JmUq6 zPT-R*+`!JgIh9pRrs`T=)zi#`UQER&Tlw@Zyx2!+;oI;6*HJX4bxFs6Lqd;X-Mge; z((PV0y5cLvEc8xGvCx-^zRVVj#S|OMna~0~wd19l z*EF>mgp^voiZxOVmuWX>&>+6Yph2Mn3;(UUga)z)Fd z`lXmx=4AAKwnP}-R)0pH)TwN-SS09~s2KA5P9n$F@peyQ1!B$W#(k(40DW94V48$k=7@^xfSvt+U6Ki)`%>K zR71x_M~@!W`i>rzgagi9r6U(Q{A_unKd2CoEEkmx(UURDt*&tRjn&SNwvP1hW#`l$ zW!X8Uhuh%rc}%Z4LhT=MAX(&i)Pak5goZL2cknFaTaiz`td!{}auh8&r=l{&;^0Z4 z;rH7;>J5&k2C&`Rb-}Y9#fBlJBRu>DhsTUg)sH%oJxrKhu4;H>d#$4358H3=pDYCR z9u1gtaq!!kb1IK=gF~G7LUPcudW1Q~6T4XA&aV%R2!81?Y;c4oHY!6mP8VtwB74r0 zURJC^8!>xxdD8x3mHA8Xx5MiaAA4c{Idv!*D(svR>Tlu;Mfh`WZCKrFFGNWPs`Vr) z741K#41N~cQ{=TLI~^~C20GWjfDtGKWkrDi?I(T`%Mo zD_x~M#3e6mE>PgiU{r*s!9t9l@GW)tbnH5pgJx{{?fp@|NY}E8 zi-uR4>GhQk^-O?7%zi4o1@i{2g>P>@?#Y{6ngcSL_<|U-%`4OBd;Cy#Rd!>S@;9vIDjIqQjU7D>^OOYn)j8sXt+jXIR%&lQG^}b^qq+RJ z$Fy*m)Y(((wk11fy`1xM4$*4s&xNKI ztT6w>+wmWU06XZ+hMH&YTYc_1KKERoOT1}qSv?L9)zti@?$6>?tDax-mpZT3Sz32# zyXgO)Tt8RQxIB2hI4ZnmNt$J zuO$l-#mDMBhrRW%-o)?L*Tzd3u-kC_Xu0<RtYW%Yz!P(qnkk;oASv^59AT z`Q<3jIAV66U%vm~a+Iei8X4*^j|z1fxsbAv$7$H3#HmN=wcx;MVeI*s4VLHg8=c>+ z_y4dwRJH&6%AC|iy4#zC_^n?Wb%fnAMJU!p{N1w~bmTw6hecH03^NL<83RbvQ z%vq6J6}$TJRl(J#R!^;*Q#r5lNM*aKT~(&4_p2%^pQtRUoLSYUa!6%zWol)9SY>JIb5iXnzC4E`ha zWLvwZ`}{}i-9J2+AdTHKp_mAZyoDk{cT>53VXD`wnWp)>P z3x!u5RQad+LTdLEpR;78%ek!r&Vcg=pEFSJH&s*{D_s5^zUmi!E@#2Iqeq>E-#Vv- zoi~Q*ds=u}SbXhie}OL)@9^L3do;NyUE%BoN2p?TlGpWUG7U!|{&tO5fx8i(Um-sK zwEJe?l8HWN-HI6*jM|Rz>3j{A3P*#vg1T_Y zD0Qx0AsrjtAq|b}j^JcR1`;5q$!m(rkJn&a@l;*qRnd5jYn)H5K;NO##z#V@E0yRX z_%YUIj4#v`hk75D%K83kKjW#A&g*tF9v7JC^V)-IuQ|wj#nj2Zkcn=5*9zPi?h2)7 zRa5BuGj6u}CLgYu;hHgkdEL%kD?H({DZbrhQ++3=_$ryuAaxw%=w8ItD^nH}E?l=; z7vhVA@=$U}+`MpI@U6(j6}UG)$7AejEV}ct70#V&j8lBoQ+&9!a)Xy2sP%?aUK1SH z?dl-Eu&-C7oLj`lJOa87b<~xsuyo8b-C5`n|F|*~^VEFb?(IX$zr;!KyX2q=U3tya z#^C8F1Yhz0(bwn+#rfys5WJoWw^Jc+9)?alL%g1+=J}x0T<-ZkuYpRsiVrb<{&M{zLBY#oY#22rcAC#U&*#3~=bqtn&-97!Z}Us{AGG22zOI?# z^H1|7>b#S5-ryf=ZuN!kg`U6+1>djKePG93?g^d?ZE6_hO&<}1o~D5)Zu8N_EB&|m zbh>p_IYDhz_PgV~PmV&Rr|$4oWj}Sh&w1G6 z@RrCu6{ReQ!N}(Mf=5Ej#gdiI->txGi#033WxfU*h`3{g!vzAw%7Mt#5)oFrXgd=s6=l+#Q2i0q`tDr42j?|`iYSh&xisx5O%ZAfF zEoU8)`2WbX?9%Kfr{$F9l)swcQfGI|aV66N?xH3q8+GNv5l#A`Msw{al}c3K6Z}?% z22N#VRkri7^Z5G1dH-Y|-Nj^|tLHplzCzr+!aHa5JReAv@-Y8(xN`@TY!PIN^Ubwh zmFTMk65?S`K5BP)HK1z&InJY%UPJJ#U#M_51pL|xH{cL0mO|W3MdGSTv20E7JLlX@ zUgkWo3JT;_pMQogsPoVCfx$Q|E>5ZGOdpy8JHf&zoV(YQW>#gF+QqE3V(wb8-CC@O zdrQE%ZjD50p{@kU3=+SWuCabCdZO`4LqmNi3#qQS3?<^9*M`jE`n936qHk^JG_|>E zmCLJ5o#t!QLXt3#S1BG?<-f;QeYa0MxC#TQo*^Zq9A|iyxUSN>AazFoHmkxJTq9K% z?_W&>n8F3sOYZiS-Qz<>&E3B0dwkBhtHnvHz}++M_CcW<6&RCL55qd)^7*PppSZp$XyPy4HfHsP_6se!I05ivYn1<_rr8_VQBt5pL?Uv zpYL-Ad?0lYb|@bkD?{!5vwT6JX0|V6*+1L2f0hqy7Rn&W82ZcwVPAVY6blxn znO;C9l%MNWlTrgyn!pNP#XhL9{azJD)_Aa-Pgwx{1Z5P`?t$>qmOzC}XWjBD;a z9Ew@f_uvO1O_9}A^=|q%MQ{tguOv-Vw*tlnah@W$QeOKgiM|wY`2)VvO#en-sof>2 zpDDiz2x_AWi#~aibJ-@ix<{ccQO&Cl>L5zgUL!vR=tyMtYjj;wg!3p zTA3bjFF5roY85jkR=_lB80PaWxz*P&*Xz)hK+i%Y94<1SaA6JI+XDU~pL=`2KbbFjrRKD;q;15rTTz zTIW4$Nu(q~n!vZQmu6RGmgWSHdlEO;-<|IZ{(Z6eEF`zf&njxTilENZb%Q;m^)23D zUzAyDe+1oau)APwgp8PxUG|`W+1ZsD;`X>kgC%q+3qoq*kl-3u2D&Jf}hew)|yB~Sfz8@Mf!B>=Vq#N=bk4QC3GmA>? z&d=7uz(*$j)9mVtO6Qf%DJs3EbhfLgbad$}MWxQtJ+7*O`xF(SSoZ{9Rfc=K&(Bws zX808qr30(3*{7m{alWbn?y)|Uq|Qd&&|VE{+LxGb61Vdyz#n`&YU-{3y#cvb2wdSI9+i#E;k`( z%*gBBWu4}D=B&h@82RU7oz>#J&g#y}F2$Yaq|dR>v6t9O1VCd-hBWK+8*wiF&3S9* z8+%{h@#0I3ui8bkpyavjo^r;3H8UQ68vKP9u;#yTjK=NE%pEa4b3~4qn>S9(oRB%v znK|6KYYcL8#GEl>@?NL=%MtU()p3RS&E4VpBgSNo7RTk~iO$S1BX-envqz4>n8d8S(W5hSce2L!CF> zHnVu)lfEsfPcRnxq5TgE#aFsyw~nz`j_EmRI@Fj7>0@BKckSK#s^{l@6bsRboxKNC{?t1W(aO@jZSx`*n1U;SA1 zqUvSUly0bQtbV@wWuy2<;aA_L12NljK zb}OG>;;$RsuLs<32K=W36)$gezZP)65%BK_RP5d;UQ;U? z){Ae|i-XpqCZz7JK!a4JL2A^ndn1&h`)r`$^NsG4fri5y-KPRe-VJ!e?t=mM#{vH* zb?)~9R_EmPUgoQKEpYf?YVaI%4k9Dp1pM0r?sEbEivb-zz9nA=ycYMjP_2pU#eVC> zm!9?VsRsg~PU6>SY!xlF8T;P}z-E3o;6E9_`2D8>xD||$W+eF;|JgwL)tHXb;r6s`Ckf%?^Q?IP~{E7agNr`J!rkD z7Hz%um;(X#fdCph|Fpqd;(oeLGWwpT8^DM$ov_NEu9Ih8?n=Hpy5y;op9X?wPkt6~ zw)Z#HhgJ4pb<|W^m5M_ff%3k*aqC|uY;p4Lydk<#ii|r|aQT@7^$c zU6J^EfA#XZ-MfRI``-vuzZt-JM(t~WLU6$h@q=f*tP&y;2F157qBmMFT8XRN6?I}- z4gOc^8`jq$rU#Q_QI7v?eQCBhobvIXR;zXr6rwb)(Ae}*9uMx#%zJ!KqJ?yu9*s^{ z3!L`b&1Hy#6Xd3Y~#zyVraS04M#>N=>k8~{i;MRziXb3{#%wiYcGoB!q#h4s*gy8;Ml zz6K4M8icl!@;1K~K(LN7q`Ov%H`dC5ycg?0oyY3HcgO20Gr&b#>JA6Ltu!1g3$^hm zHhbzSzO17W9?T9NuXF?tiytqqWRFyA*@#+VPOaBc9jaTr7_m(E){SKi8+Rjw2s8G^ z+Ukali_=xX<4=YTi971WQT4d7N)}RA@dK@KcwmLe}wNMU(q@*2I=LNyb&k^{fwyv zGCkb=e!x2Oy@30}0J?O45SaP5fcv8WW)}nJoMURMUkUj42FgMdm92RzQ2jPcCJw#u z)i3#wTfNhV7;nwD0S`lE0W+6eD^xes)oiT`>T0%b3}S4UvL#Q{l|6~Yz(vt@PHoK- zb=5fM(Z;{aM|b|R4;OGPkz%z7_X3ks$4E04jm!GK4!Doh`_Ba^)ci@n{YAb1?*aFh z6kk4E4~Pgr5yHNpYZzXj{$usEoXdZv-lJ(0LxM-yXb$ln;Ypyj{!at@4hCQXeHPgF zaUgU%!O-T8wvXXDy^H()>WW?HTeIjvvrI21W%R|c$AYDPXn_K0fqS+xpKz?Gtt2zNwuB`%tRlbqK}!N7%U$6 ztTQ;aBix&IUS;qquPPV|#MJ0KvF)f=7gWQev6gcg0(3mQ1P1~X$rPb*Sz;JFc^yv{ zQ#j1&ZhN!w#T{?F{^AbLu6+mgzWSEul{Xr9GK)z;mgYbB+#fBsptmmOs1+vXhQoA$ zpZ-U!e@?p#+eF9qGmiYvX!C!niGDc@YfjDP`*2%Nu*cbB(cAmSQ{&<|OSJZ{$6sgQ z*BSVA27aA^UuWRg8TfSuew~3|XW;*v8BqL=*@O}{Nhz@Q=^Tp_IcBo57^RuDzZRz{ z&8Gu!n$&!1$LZBgrzXawwjaqcr5J6FoS7!ZpRmE<3Wra27Q(13Ao)qYw3Dquv2e$Z z(o$D}-6b%`!w)MIW@@U!l@}%@IUM)99QR`fuE>Pu{P*4q1ZGZf%tV7_%aW3s3ls`L zczEW7hf(17-*i(`0S#;6nKQ?Z-R~+uZ;n-~MvQ1#D2=cHJK;Hu5~J(l;5eSg?q4gj z80Rok3A+`!b4?{&xED@RZ@BBbKXiDHW2JZ1eV6rzH~;V^I>QK=ftl>As|pQEShmv2 zxFn`fGHo$4mN1NA46?%$FdOkVpnnCfW#&352Jk#Dwbw-2tEKi?XwN6HUK}57UeMHh z;2n3kIA$=jIJ73f3wcn*#b**ILety=VCF3S$g^oS2E3mD$g!HY=^I$J*4CPL$C}Zn8T5@ z%ptJMg5*^NhgrX?{S%59CvZasyocrBJk(0b@~LlbG1m{Rk!$5Lq(-cSVUqC8onxKT zcP!*G&7)O;d znej?a)aw)I)-i#Rg03h)k141V?t%1${Mm}#+W%wrY|YP@Vu|`qEIu4QbrE|${Uhvo zIfh#J^Z4^J+#VfZ3-(;qf<0-a24Ru?SHhFwoR&-pcK+%L7%@zo9OXo``7!}4mM{UN z1Rf;bN@8umP3c+J4&m59yB*(38&i*Cx|?ODBOV+kab2vToEeLl-o@s%e{aCdz|J4(2@^2E@QkQi{x~p^th)s>#j%k;BkQuT$rJZ%Y;|`ESh#6{`3G|D?u5@PR zR5G{PC2s9A;cEXUtaI`z#&t?sHjhQQ_DSL`h)|FfOK0>zgM+@aXUa@_m>L^cIpf}8 z3Of_P(D_^jI2#o+sGqM%!F|r{}JwNG4&)y`77Z` zsSTJC4Zi=mj2Ir?#EGZ6=B5uYE>0^f+Wa(N#qhqGU z;LSP6htS839#ATIG{QV!#w?}`7Gtn7v{9j6D(WSI94=(f*6el#{Mm}1anT=DpZEU= ze_n>6ekOZfj@zRnY{8%Z>FRT-3kt3PACRvdJOe`S4SlAOm7AF;J==wk#4f}%U>JD6!AH;kTJ6!rb;+;6TlhRI>|CXaAqkgP1%> zlS^@v65P~_xJiW>)iN%goz&^HT0zig)M}MV!M9;EluCtyV{J%*Pco!4({_nJPh7}+ zt$67Qc&|0HMoIfJy0JgA!I*9RJjRcmS!9cs{ilBvF$$x?6pKA8v3#r|RvD{`RmW;# zg;;H@E><6F_)j1I&l%tKV{S;YWao^|>&J}43kQkgbFa_MyCIhu(g&rdi(;B6_8FAk zpMA_FWI%&-0QXy2|Af6r*G1A}k@iN?4jNk`|BV6IP=umi?Ln;v*GFn)`B|v*8^hYx*6C4T@d|(>FR5(i*fj&`Bc!UW( zsQnqCBNK*lbe)LIv7t&h#+Ju1C#mpRa&|a;<1AjGP^twr24lj-F;Zj5gwDb}F>o6u zEIi%@r&6U!V*QholTj~`X{9L$_r{oV@VsVX>QyEK3y+))3mb}A&G^2?7+nvhK`J_5 z;Xc|DZb}UFH7+GF+VKSJt4h$yvthdm150R+3@CUSc0wDZby7MJ)`{MR%1+ZFt`H}g zHWXfGdNK}1ZyNce;qYOZJty&VqbnsXbYubL6|>NaH!T^~iUt=;E65udFOAg_S^TD9 zC&D@!EX-iSb~0qbMN^?X;QpZ_ET=_YN}BpHO?|;}B#HK0WU2%MGs+F8TCjG!Rbjz0 z;A(V-mYzs9Wz8#ia#&gyEBlMIrVoC5 z|G8%hM@(0+yl?y5@di4^AUZsl@CV)Q>4l4sn~-(?sc5ct0r&jm{9@#4ubK04B-bQz z{c)?p-E_C3AN+RCSI^{UN&O|?8to4yOQ)iL{4e47>v&Y2f?1)Rg4{4n4kiGXO=Q1O zn9`&X{E2!sx|kxCnOv+L3T>u?oF9{v-ihNTGv<ux6WRERwbarbH__MeVhk7Z&P zOc*GfkEL%hIbX^Z1~7;?mDni@g%hdJG!BpOVl53!Oq(qAKou~}iI`C;;5qb4c|uQ4 zIt*a~u508>YO)ZyL}Hf`ZiIjfO_Z*j2wyJ}DJXocR>F_0TYAj^^j=bL}+CU*8l^PZE)3COK`RxqLORb7zZEFEfCPEHi;=f)e1t6Qf-&f+w^?%mX2sf!Ij3bn>K|CrzH>nnI=1?<~4= z`du^bl1haenW-2|D6p!fS(*0Ctn3_MMDdu>LTV`BF>+9hz()VFsQ4SDOuH@3NrRD1 zA#2jWv9D~{qpP$*WhiZ6OQj9oqSB_pmuVh%#&k2MGG%kB1T{oAK%)c@(S;Olfiy{s zP`Cxmp9l^BgtfC}WgH zC3Y7nI0b}=La9(G)C!G4P-qo8h5qN*ng6M6VE>L+jmEC~LNUuRX5`p$qcg{1$Gez= z#{vq6=Vcd)ofuDsaZaXq``xo=ika9(KPG3aID3{@n3*f~9yoBI*r`*e76xpK6{fB%v7C12y8D`OypuY!~rr;yu1E6}_ z9IQqkd;nUA>FMcaaA6yJ>cUv#kmYKYTP#2D(2pftz2>CU$Q* zc)JXG;|5041xZ#SpmU+e-P(gDms|OcExOottnNP(EP9n+Sc*?1SOkt#G_CYP2R@ey z76tH$1dEdJX>K6k6A2dGgilieCOLKCF?=Gyq7nGCERiC{BG*Ctw~vNr`Yq zOr!%jdKAu9ALLM^WV&L!w}v&7n?z?9lN(WCl-x+ESKGJOqS;Yv)Ots40D(s z067tBQ#8gdQK-6^E?Z77tQV{eGjM{vWspV*!^X}!5jP`nK-e%MWrku}M`Ek+sSD|O zWJ~Guvk|0-nRHQjh5ZP8dpW@MXX3Y)L4%`f-U7b;Ukh;8_Ne zK(MVj5(>f~9KSc}&ySEArV8I`mB-1l43= zW`sLrg%v}{sU1o!nf))i>`R+Hk9adIgOZ4w0zbVZ;=RDLDTz2W@aatsX4OL7!lfV1 zgmI*r2?aw$#tgrGLByxo2sb5(&6+%Me1UVsa;ez3kq&95jm)B*#|^vvmPt;;t`Vi( z%>iuFYu{nE@g-b)>TECTh^on7C?-!%n>=~)h!I_&1qus$78Vx9vZ?v`$@%&DvC*LP zFT{s8r5h6204BNJz!Tyi%;TWM;|L;40`>u30O2Gt4Me5sMBvgB2@4>6Q)&$|$Slgr>Xen0B|&av19Z4RIn0eHheWn9q8kct7(WIE9pbJ@BL>(7Ae}SM|@oo>UfuvSm8Z{Gu7uR3)rqrsc@$ z53OxQI0FJ14%jb5`5dO;EuqJR7-nJ=^yfO4Dm6TQ$?cTQ>MZ84q`x&n;T)}ub2RY2fW?W0RdrWS_9)CIs*9X zd2=LoM(oV6OE#+nj%AW03__qq_>K8o$F9x%n$KB^@(DRt3HcO)F+Int_y;t68#RBk z8fx&D#kTEX;SqWl2Od%kwW(QC2D5Du+>X zXa3_TDoi;<$1jeeKFHpUMp0=KfYT^PQ4t%<@6;-E%6C)nvlPaBZ2n;VM+_;1^9u#0 zSVtjffRB3P5X#W;&oh3a%4k=j8X}rhhk~WqpQt8@#3OU_~1-OPJJABMf>Sb}g(#kc8BoN_9aH^q&q9o%?rC z?^Ov`#a|WQCcaHvo4DA;Z7e)iR?Vi^dU33u4f_uI*>dP>D88`TVI!fxX4_)=LaLt) zh+qeKWyjbM)-}UWnI`S4=nHQ>e8^@@LQMmttErsbxV_~rsYQzZ^K*MCSoRAaX&yJQ z1sxtY?wdcUOu=*yc6jLczDa|T%zpDkoF8R|-zi_Xb?gHQro^s?2MzN+d0}jCoWXPb zXy@N`6=Qp!n0;zR?$Alp_{5ZVRt)WqWOmhl_7EDYA7b^Ba_7s9N2q6iRG+}ny=*qB zSzFi|-8c^WK=IaZ>`EI7bQc22!P?QL37zgT}!HkbG+<~3iHN>cytpb2j!WP&U z$E4u0uuht&L8wLIgWCUJQD*;0d1yp1lORncw%?6ubjn)7{66%`ktw639d-4p#_GT@gWxJYpdLsaFx10qJ8iehcnZ4 zYCV-Y;8S*t;t+f;&GIz5{8sEQfY&&`cW*$&8Mv!-d|Q|n;tudQHpfV9Gci3eQlmm@ zwBrjU6trN)E83F*F(YuH(P%)Y-(tf9b`fIx0!k69L>lk^K{^Qt2X-fM7)F=bF(}q( z9861nm$RFsVSKHG=SLA!ic~6L#v=HD9+#mPhzN91%vK%9(^o!To?4v};+cW*{qqn1D|SZo@V#OeZ$DD7$ft41Nx%K353*Mfj9F3zD+o zHfSm^#cW$gY?1^rIez8? z!Q0PmAg9~pnl?~EB)NiuyBsHlc+m)9?E6;8Ya!(~v0&yl6_Z6U&9 zrCu@B=&F4<{2ScZtxX}}dH;@(Fs(9nvuV{jwO(yd$Eb~JlL~OEidQLASbCLOrBMkg ztxBiTs|>0bl~H9v43JauN-4IkRAbb?un*$D<5YOpT-LZTBeTRCGAHDS@+Y~Un3dHX zLBtfMh{S)>nu5Q*MEaLG|Bm_h%oF>dQj73!pF#cmuz?)yD@*`rn*a|U;*hW-l8TFD zBO2a%I!gd*ONV0A0_clk0j5SeY(tSt0kA}tJ5=lF1Z=LL_AnbQIQPr+91j2KXYF_? z7&g6dgo2GS%~FEicvGw)9R48MW%x9CnYLtj*bAf$j3e!|+375wM`~XJfK013;ng6< z`w}4HTJ8x+fVoV&H7S~G#(mMYFBc)yPyxa^1~wR0n|K2lAP-ti_aI8_GTa+n|t0x<}`P^$oB(Eb-3|A_Twv@;Hv1%VO-Ss0)Q)7fZ(D{=|` zXadtM>QQ)vO#;)&#bWn!%7-}RZ#nXRjJ0GeZ#$2;mb~=`GKUn8AZWGNwx>53Vq%O& zklv*UXYRklK8)^?yY!0lTv{LON>Z?dH)0vsV;DA(4q(cT*zbwk7cc3(x% zZQC8^g5V)&lU|IwMS&R$hyQk&4Nz0L@IZOTWgDyqd7W536BueJ7F)VjScHQ`EM{P4 zP)Mf^Fzn~j&*NCFZL#&r%I>zdZHrL%z))=3z=0r{q}9@{%oKT}ChfB1Sj!!p(B<;} zZmDO^Ks@*GKzWyy8EoHT$L8C#-6NdW2ZajO#Rv*RcNgvAY~3NO4waR~8q?Vv?Bu|9 zE5v(xBY6G<_N$u0;SXEx%)E?K(jQjHU^t8*$8b@^e7Mxc%#Oc;VIaoKY)PhV^PMgo z)Vvb;*D|I_Ax>z3l86L^Q2%E|;4f?g=Z}RjNzQ^xt|(|pkX9aT+i4cJ*xE^(@fBCK z@5KG9UG|*+c>d5lp4$tqF(gn+2b|n)$pNSQ*3P%=Z^QYXD!bwE-N?t7BKZq2NMOpt zCCeiX20S&52cU2joT*s@;0DNb_a*d#kOQIsVGH}k0v{NUoWSU?<-*NeF)Al>NJihZ z9^C;SCIEckGfDD7BhdExyXf17M{dlv6nw#y`9kr)0}o7^G^wJZ0*NhKwjcpR@_Qz< z6u2AXcBjyST#9PIfRx$5pOlr0_eCf9u%Iqnh99a|LAbY#}y@yaG| zosgyb$YTc??g(pTzZ^b0HoeGBx$KZ*j94Xrbx@Wc!N!yQa_m2bhpFUYAG;VokWG=? z@^Y3$(2cSjPADsaz6;3aR zX@iDl#Z<;|NjlvP3~0B7yn$Md9!?@NgMEjWZ;0IOC`lybWf!IuNfF6P+RE5!A(qUAxWWSZ?|M>WRci_1P~)I+GQA<-^ES6kgF3Qe}wbgg)D8d^P>TF3HNid z^a3tN55k!FD879LZ_!8x!?s}C?8~z3k4pwK0Yeu`2EyrJpf=-lyU*YWB_3Scf_Je2 z`69mkIg;U`i@gHbl1)tC#{hTJG(fE)mlXb0NBC_Zb^-v)5$BN>U0&@=BY53=_wL9v z=}hZjBmf*N0qqnx-XW35-A(-eBhcM?k__NR9GWBp;Jg`t^UB!>c?^=c3YHT%Cvp4? z)(2=Eyk-NNCkqCBCsqqF5ar$#YRIN`gC!1M1|UzCB%RnS8L(T(^yQ2=9`XGMn`X99ik}o+#m@UqevswH?h5Nu&q(q4qTJg zS^#vA=0xUw8O5U~7h9otdlf$@=L6-Qlq+-aWjOc2pQPC@Cnezcc7)MDquNKd({R%E z@>?Q!HQs+B=~i7tQ6Jvv$h{s#0c`_IvpD$@IU=Y<) zw@liyWzr;UfqG!*0}l)xiY-uOIb~%zIp9n&3>)uwbzP@WE5XUZy`ITt{J;#&DgOJ_gJuqLjQt6QA1tJN*g*nMx}yc zf!UG7evan8yci%pmlppkXbT2%2V>*ANtH*g`I8j*=<@i+h}frldqeTJI#gXja4jfsLzwK7Ey76ZNPU=xYO!IDz_FYxS7Gvi)T z=bLq1*=!lC2V?gl_#C}-MHdzsn$MJaB5+t4;$$|K`4qez6N7hnXz}hPVsxyZYy@EZ zRy=qut6@Eo4|Y=d3**h>cqO#F)|KOTYP z0oB9i15LdoSx8O%Ocr8?<^Y<+)bOn36>Vnc4mP1T9yM!$$$z4}9P3?~g8pf2PLtUN zj3WHy)TvWdCk8x3tc2H8slYziT$4u;wIZ%}Higden?lW3VChq*ntmWz#8jjnZFoqv z9WCKItaAc#e}r*iU=eXk-#c=<=jL|rKB?EFNxgch$!S3TtfGEJMg96=*izJNw#?z+ z-l;F&`tr-S-rAyl6A$Ck=#=ia9luzGM_JizJZ$0wMCB4H;Jlz1W(MMOHst6aHxSz9 zC81$MCf@EtNYW6~VcMdkHa*M!`|_Nd4Y2^z1^r#9!GDf~pdlM6#L~}#&>f*vk+24Mw2ayO#QXbLZ>*>X`7r6-)MYL;v^gObYuiAj{# z1yTBcg|i`i!N^Kz5gNQj)rS9#Kyf%TD12JTAUh*1-H;|RmB6wn0tkwO@7sgoffOeM zt;w;#$Jq#&ED&%gZW1@+2wzUYZg%0*R*^vj)_P*XIw;(17)+T@nM!d72Wdrbl86eU zv~VmUhjMVOeY>{p+FILM6RZhL5$+YYjAQX#VRbB9)`n^0@vKDrGZ1iXSbY2#&^eAJ z$g)dWDe?P|zFkh6tQ_88MvtmdFL3YT;VeSYw_!OjVz_uRRNFA@{*bEONpXQb2XAX^ zY}bGKfdke)NHwaND{)Bi>C>n2^Ehpp`GA_KJJKZ~Ik=T^$@v~ne9ywVIvq26P}Mf< zp&x$u0lIi1<06&PtbIHP4dt`p1zkrymYS+#Tqc_ki}Yi-UKdt?%Pd=_V+EbE78#9g zsc+823edCNjv>!tTyO3s6FPp##BMTRWYbOTG}GeJ`8r&>(13B?hVd{%cmQrHOk%GK z_Rp)BzAZCe&rDC!8)y{a-rT)0^f)c{5+ugb2KB+D_3fL?t}&>nZXD`FL5?6i{J}-WAIu43Og`Iz zQ0HQ)jk2S9m>J zeE2s&7Hm2l3s=$XrTjbMwy=!;B_Ba4s06iucnju5C+G!(5F;1`lZI(H4X;sXlo}Nr z1q5U?T8&Pl*BCT08lwiJs^-+ZTA?Q3gH`WS)}*Y1qf7+@yB6N@;qnhmClS<+~8&!r5O-iVTr zS*9dxAp%C?a4`}|R-6*=13@7_O{xhH5{4sTkxC0d4029zRWdEeNR*&W-pI*7*a#Sr zWFjJng@MrKZD{ex1{|)d&UP=S1x@Zr6Q(G9DOn>efC*?;uC1<91Tf^@)=CPT-j_k!cW6RkGbe(9 z`P(U(n1~V}SV&5)y(KaRpp3BbT4IfnF*NxrU=HV z>;#n(-4m8zf#$;@4J#z6e=KG=+&>B=O8CJr_!~_?;&H^HTtnM4ZK_xHY;F?Fv^SIL`439C9+%& zXhb>ugW+s80>=m)JMhR9D5MQ}p+FBMrz8SPB0o{aAcZ1A1rl29_34Rin7*b>J^h+D z`cP-a3$X&)EptlR=M&k3hvBT1iQSr1hkmOqvUH`;JT2+kR`ys2q(O}n{g3#_bX8N4}rq{U;Ie?fS4V4f*cNj3o5;^ z4SbF*ek60~#Y2z^O<=;dW-x(p3a~Ws{@4ppg&)XHzamrtjcwR0ND6{B3wBh@h8@=w zFd+3L?b5wa8bCrsq6U{i4qy8PA&0Y~5I~dj9|8IR{mB?0V7w~_0(f}$YE~qJhIf-X z2d;p9T2MQ23bnmH)b!<>fHM6N+Ck#Q%8=k?{JtvbK+adf>0wvdVAX&5LJZ zW&b=D8+h+xHUN?;dTkt;08Ih_F${SZ?=UbX@Iwj>W7jrWGv#=e=1x4vCPy0)5u~gx zRD?iv6C5d>m$RtHe*9;{hatrkTi22`D(^q+MQ)m8DCol3in9uX(WKSk%l^{Db7!^2 z*aVx3y?WZ1I_80AKIt%VwN?l3lUz>OyDbF}5Uz=Xa=S~~y@mvB8=nFoiop-QxTYPR zV+q_$yPe!jzz^|&A>d|mEf59{oyV9w?6G(c$~^3**}w`u`Q#HI0PuwckxhroKXKwj zoVQ_m7d(7y%fg8ck*MF&;cB&X;Lz6AmE*3Zm&Zx~g_fWSG{SQ)bhw%>VsI;Q7|+io z^nos*72#P7g-9a!ZI2O$B+OGU>5)*a5GJa2ApM=ghV_h!x}@F3t-0W zx8DvZLxMHx(rXRxe*RW^Iz(5v7Fb*u&GSuL5II|s)YBp~w;qM^X zRG@yDcFe88G!0>c@qG_s{v5l(B=CE%9D42@HQ^lmCu$W?jwdxh9)>i791DpI*2nd| zB7|c)_Vj0D^aco$PCNVsz%0q^44{vnfO^PuCqo{hG3Fr0zUR)WE`@Tyod7tb#$>m_ zmMt9%m>yv>P20I;O~^+aPz^#i(h?JiCINz|H?>7=t_92yCCRXg@QiCB(mW3FxHMfD zFb8c7j=~(f`86$>=QL}{J>V=bPXx9h-f6`*8Q_~ZD*lRCjEY-~faqIMF`zj;F~X0L@fwMYC03CLd8Nc15@L5{q-^G{W9mapEix10;JBpQc$5R* za^)P?nN#oJT0w`Hj+wM@f4YFi!$Aqbvl_UQ^h}UuE0H+XKtk?l4K6_KA_PmY9ZIWD zGYO=V;5y)LP$CRK;B*&^lCoMxxj7Nf2xs1?!-=GJX;OeT>}$YL{Dr(A+mTXKN3%wSUK zLj4htMkkO>aovq7r#-j_CbeuV~8a$94RXkAfK{hds_);^G|QSi9!KL(FiO5m}C zMbBc-NOb*=0c7_BW8Q0mM=|B`qL~P@e*@GmOJ?t*J|JnqIWEpD))zXimNNX{RXg5-& z=39+gDbZ~c;2b4g3zQ*NZXS`ZhfS9zG(y67J_0XnR-|F81QUorIUhTJN%;$+4MCfO zXn%)#j{bOA2o^0%UNoZIT&pC2E~dG6O9dF|d5>JxOM}q#H9w$To*e7lhqS>}q<2CF^#n%HyBGr#WK6T`FSIOx3Mz z8xITb&$|ug1IIKg@n#{92k#l8SO`_W)VNG+LBQe;G;Wr;JaQxy<;2;RUfm=G}>U`Cu~lFcI7R*2g? z^NeI!OhEjkq$HJbm_>+ew8LhwYghryn|=GFUdSZ5p6n2eF<$={>Xk#lC6$@uvy|#< z7=#!?JzO8Uq8yx&Ixdiml-`^k4MDfP(7=Y^kV-wCu3|>O2tpoHLpPn40@#rmq1f>w zJj1XDr45TU;j&m-Qz~3;58e@W29wkUl!?B^VFr6?N?=?uZh#>YtO5VoFvS;9!99KP zkzzCPEsYtl6<9_l87@jPvo2}KD85of12@rl4Fz-BU=xFm>DsW4h|9gx};JNPAXG_aj%n%3k;Aec!+|7DmEN%K{_BY z&~UuGYYBQD22qWk`#{O1$rA(W3rfx#_cLGbb2uSUVWl{o@coOa__Z3T4C^SR}5c z`fB0hq(rqI2~O6-C8!kg<% zeHc$y%V*ArUNOfVSDE88)xF<+*1-i<57nBr97Og>qI zjaMeSrmNywB7Cd94>N+<|El>~A*i`mFd9jN;mWv>CS8p>8%dKzNs1nEj)&9G#B_P^ z9@3LpJ?SzyOsG%c(@WZFzdNmN$%|3`lo=8YH<5-5;f}X5}Z!AvhiW<(qh-r`=%_p&xOPiByd`cQ@kK)d|0z_ zY4OG-_th;aMxqW0oG!hu&dP4Y#@yo+Ef1eE{6#$!QK2o^KhNwW>G0;w@U*z(Cu8s? z7Wzd3{c|xWQsGsE$+TOOil;6F7$ZGREwC~fSfzLWNYw<$6sj|aX<2_ndIa_$J>P_l zVp4@`qnEgCiuAM`_Tx*{XVZ%b5Ob5?FE7t?)st#VvY`u2ixR{s4ufFjBd~4UvIGI>)%AnWA36 z^mt3REM@s_Zd2nRo}b*i9TYK6gas7%}fUarnq_;thFYoY_o8 zk3WwcKW0QuUapv&>CCx)Y)+x*96xSsPVV)2DXisB#q_xbZ;oiC6ffZ~LC#3LNBZ%{ zo&GJLijr1(<40`C8c>z{60SUFx(%8MhGLDRR8ohNf@@N^kg>x_fgwXykjQ{y9Zs4J zA_}Fl0v;}_UfOvxoXk{|UB`?NW**iFuUX=7ycQOz4Lia0NJoF>Lb9^dY6~|TFGeD1 z-t1B1DhU?6{Qw(rfx#uSFKre(cke_5Zv}8v4<-yW!JtFItW;#A-|F5cZr zm|xzM!b;GODw13&llH!ZN6L(CQC^uF-M3&4H*pRWZ+e&HSOr^(DM^k6%faY?W3Xo8 zoP2K~H_>jlV~Z-R>nK54xf7T1xzlGWRlE|qowcD3@Oo&5P!%k(i#llSke5K!+99O~ z7-~U!#xlBYIGn?Vs{pH}5Uh$iBO*2m7cI}jJ1J$VDv%5=6YC3FYs)SoL6|RCb5K+( z>Wk1^gaT32n)={rqS0lX8PF+A7fjf{%b6*V94&HZ0KZ<=oiUpSb7sRha%arNsf_yr z#~tLjzj54N%Az6S&T9Z!F?BayJ&4Cra_X@>&bb(#dy6wKs$%BpRb*_UA)2T&VUI{A ztYn&6u|KVkWOAC=nojVYvLv$=S3-lw8DKH)K`DJ5(*Oep0j&QCPE!N-7$neivjvpX z*^qCsVB@)xbyZlfRWAZE&=SZzXN9iRNpO36^+cwEcgo$yG@q)C9%AAz(i93icP6`U zU*gr3;MG2TV(DG=@Y&+pu-@QgNDhe%BHa-|w87JR41op^mmZzQ_bJ9+D;)69i6k1% z$k^Mle+XS<6iAHRhSf^D-@s7VoU&Abe&f+^l!?gtvvNlx&_*|%c9{xGM8-Q@Rp4O{ zO@Y0khu{f^d$h(cTJDJ>!SD!S8BKhU6Y;Aq0aPsf*+9iCOwehawnD7ypPQKzzD5@w+qqv%Qx!C@M1 zYF*w*dzwiulZ19i9+ZhqkVv|}c7h})l37SkZrlO#28|bj6_d1P>oxyw_BOXWL_VfD z{tUZ-yUm>viC-aD%;rKcHvn0c&0xc37N&c zC`6g9O9T}$6)V#4ZcpOAW9(k56rXv-+A=oNQa?8n-4);(M#X9FF^L`}ij!!Mpf8EB zJhWF>;xnu|WI;>3tB&G%600@gUC?5u*`=6KhOi1Gegxty@jxn*DgFWl65$Gvku20B z5*{2+q6)i~^;K}8x#L%0YL-F{F(_$0i(rV7{0A+3hz?FnmV&kM^ok0+0uAWaQqwvG z859u|Cu&<X zZlAm*{L&#MbDWhUPy@2rocx#+$HcEMBWjBN!aYo0ZY4L16XKZSMm5tj?tBYc2l?Y3 zTf+*V*m%(HboyNf&CM0avaXoB%;CG`^SY}OCC!XQ!qOW_VO*gzUdf*{HyQh-{9TGBBC7!+H> zJ4b-jnnel)*HK+TSBKO2CSD6hPR1}4+rTy#DMoj@bO|ca1V5-F%umU=qN)63$Kcc)1 z=@bu_CCc7p2?Dw&olLJ#Dg!YOmrU+Qz#uk^vcPnf4~I*BqBpJQ^$NXGkLQB)8oi*` z0<6VLcl0rOqaI_%!2S<@;Qv}-fsu>b!jYAJ!YP)I1A1zkf}Le?g;*6JH3s~K#&n#U zS=@bcP8=LT8`AU>hS3@eq#8=l7n;PKLWLDn!5*!EC+(<*7vvtWz1T*58ZtNo#$abi zC=qQ&TR=%CB9;?DGG!f{4^+Qz7&1eKdw{gG-wW7QI?JN74_lo9^No}l*Fn1Qf2Ut~ zGJ`@4@zi?+k-D`ba^!j$5brYq8U65cp|7yuDkz?kf8UgXVpqX^NZ{0k(|id|1H^VY ztc`9oikWF7%uLffLK!nNG+|~2X=?u6m>Cp;1Y$*AP8Ru*)Kh%ZdJ=KaNDQC}5Wyu@ zG!CQ_twAJ$H3wwyv&jBmM+t%z!>*dPtDCHyupo?Q$T}WCHT>`o(VTRHCy8b*L08SRLv#h&Ye8krG#8;Odf^*46xvgwM0-b& znOuD^5fiO#SPO#qS(Nc}NfB55x1z8!4Q7qg*0EzEUbdFlp+kGT7Jx7sGi{3n8=Ep1 zC$?(~ezS6HT*6gtVxb0%C9G#+2f_-87EqCv7|V*6`r&tI(5Qs9B^ETBwER!OcTHyV z53pqt+Y_Ez&ZW{jFb(Z-LF%7={5Oey^tVI%tFY=w^dvl(ejC-em_yC>&0x*(;GXb@|=jjq5aa$!=SGXbw^YNe6vJ83ZB^shZDgw6!HEE2zjN+ zDh^zpp&o-_{JEhsu`yHeUb7pU`{p+6A|s>$WyE<6P48{$Jc#mSNj^heG9eOk>AnKIth>^ zZGil**Z*hB|IkL&*2^nm@T2WfUR(9IFZ0?X-$DS1PtCM@R5&6Sa#5D*|F583u=+ak z-JK_&?~3T@-~EZ;oI9g_Xcgp=tTy1&+JKKvYzyNClj$#J(2DwI(3*db8MI5X^J5+M zM(H{a7J$Phe_$^?pw1Kg1>i=QTnqPzC|;?X@-9u^YW<=%h)6Ak@g>!!GE0B(9v&J!DACX^{K!9>-+Be zBbvxxFKo0zMa=~*Ij$8y^;K6*Gw%A(hpxEdgCD&7@-?Uye*}Fv zOPnV{vOB&Pl=kT!S(3bDh?+Z`8VgyxtEwQhmG-}z3YsClk!N<#acNSfJ6o96B|0^! zrVSv-}|OMZ@aVkK-_G>FPSeYOHH-FYr#Qoi0<4jj5KFcdYr-TV=A6xk7J?n$pzKvCg_@ z@25ZW;Qogm{@lTJG8xbruVRnBHLA0`mXIEn_E$COMf~D;1<~C2VO$Qxq7egox%S{4 z)ZuDRs%h<;Bvz=gYv<1Dp!jULhN1+ZwA$LMi^pVbJAUYgAMOBvSzSP$feylelAdWT zgzEL!?76mcdRJ{`g#b@WMACS?1;OKi(>nL!H|*W}zypi<*0E^szH?Tbz4Fn+8;Vuu z;;Vml{0&-13=h8V$+LIwDkJ)5mYR3}rxq@nDh-dEcAEN`D%-Vt&z?D)Zl6UyqggF# z)~6qQ=#f*6>aH$ZT!AZum|hBh!*<$LwWlp$EVP*zk*;-&JLqwlyGVp*iMu2oKGoog z^PJbsFHW$%m*!3i1jt~J%FMo&QBedYB8(GV`VlTzXx18%&x>Uj?mVkUHCl~Ku_l<7 zEl5SYC^YF@ZzBmEZ=70EQn9KwSu4(bS0W!` z@ped~4C9M($C3s-&~K_(6*p(fhdJbeSmon{^6KA4!VEBPd9C5ytRgkX6nEv)1vss! ztfY!q+Fmt3*0@dFSjSknkxNj*0GCyQDld=WtzveEc%9|q!1A}K4m>*{0)J%88}M2o z18>6=!J)NWLWF!CwMZ5edsrgYKxQEAtddmDOobB5Yvm~WQE9A93*{0rB#zP!^BKcK zJ-upqF`lu^G}34E)&L~;Aoqf*2!~TvtDaI0ZkC*5IX)I7q*Ro8jkm03W~FEn(VhHn zA&od4#y9-5~>Hq(jr0;hk$7l*H83#QJ36*8xPB7usP|1OscmQ6G+8 z?m&VfdOJf916^*AQb7qGwkF;ycu(Ywz2q|a`q*G!9PK>;8oa*vFz&ewGGrjH05wzG zbA4gu7ugT=KEC?+w%*6qK7FT0wcoRH`!-q$^>;8=#e;j)owv@r`SNYM+7EZZl`Wdj z#v2!EMuwd?%Mgse^To|?^d5eBQ+Ow3y4*5Bz@c0gJ;b~ZNmUSadfK85)7!dg4e3M1 zCkn|=XQyt#yAN4q8HU6}Bu+$F3E(|z&Edm`4n1nCThdMwLBMUTpSr(y zZ)az3@1lhde5Pxa0L_N=e|zZgqj+h-h*|gdc61UM*FYAVnY(tCmELySuHCa|@7gtM z=Iy)MXQx!}-hKN&+tPLD&<8&7aaDb;AVr%&yf=b76C>{(Z|OJJtw$lWzh9Hv*b^=cOEu+w*@u?fQMtS?1_4&Pf zMTvXhGY3A~QmXO}nUaen-+h$cz4}o)X14Fzbz9PYP*ld-Z^Q4iJEi6~owFKYL?7*T zx;;09@9{dek_A6!B#a&_545_MW2$sWM2!qJ)Fu!qv)P4JOAWaG{D{mcO!la%$@OjxQR06S1TCf#^|vXNNEWC- zqJm>3_1v55IiM1J_mnhiqB9~zgm)5Y;F9hk*C8+QWqyxp2GRtHWQ4i6Q+wZOGnfZL zMni~$0`+QwpJT=l%OW(~YW%%PTRor+ouHAbJBl>j4FGv%cHCCigH>f_gzlOQf)Rnu zL({Shcto%|BY{#y(i4)SsR>Gg@N89CU5PdLvm^sfELvLVViWa8SkO^U#5^C9KEj-XKoniMfT=px6Tyg? zrV`+!0g4nDDG4Y?^e~*Fk@u?TW%wfv{$T!;lE8j|EsS(5P&bh3n2##yH9@p`Q`Yb; z=5CFPTp()slL65%;5fWaIRaGDjgQG7PagtJlf~zShw&+S+KQ~^DHNNTClUn~WRn>0 zHscVeacbi5H1BC?^Y9}FKJ&m|f9$S3AK7uk_N&-d!dA8IS6sUQag@QYH^~7q0KmF{ z<3l%kM?kPPV*~`d{ZFJ2PSu!e8n3yS%b=Fs30lCJgpxnwLj!f1F6lpG2QUZ1ePqTsfRr6-NXF@fxw0LaXP)y&K)l0>@;Q$3^- zXY{Hu$82;x4XlH-$OSU-skskn02}5w61iCC_jf29&l(p3Zp#hX0!pivXR>IV2Ly73 z`Fe%Fjy?1Seb~YejYnfNfZKVgx{XZ;Iafneorfazv$4x(-)YX zqO~1%)wY#_vxf`R#|B_@Gx!YM5ORU3q(ypGH9Rd7w|&hLk;>?4EVKZ=i9#+=^IXKV zQlbecJwNR!Rv|}KiGG)vUw#%k+`!v4cZY|eOi?^8vOd=Lh6j+LF$~BgF|zbT^>L-G zIc?f$OAfuYfFQl0_Mez`kh-YnBUyJh3Nwqox7_PmcoJj2-S?9qW(XQNG7XgiC0``E z+`9++U=bP*XQD*OY5T2Mp?e71u!1c6tT#0Z(`bfSnNe^emBbPvGp1nDGQ!_4{Iv{U?B(#!;m(y*c~&U`ssvVmGZLm%)=7i4Tm57!r!gL z{_g5J^tb1B9sa_K6^9Qsvqb*Xi!OTkEE%$c+SF(fTLWv0WihdXni4z2M9Ch}rbd@g zZRUvZbwK3>zbN{qQE3>zRbHQ#_2PTj*!N?=8SfgQSVo6gMTopW?ezUjZkqGy^SWxqC-H&im4K30 z{f+Y!1P71*F+^|pLGiOa=p^kz57Ks)1kt>=w9P|fn}%x#@2U8CYOIXgWg2dmFjS&5 z8BA-{jv!hZ9Nyz%Ymdu*D_J{zi&FQfWL48ms#{#h<+bhVS4J1F81b*{anGxw!d8u* z*8FA`z25Z6Zs|=U(sU~6g6|jWYJfbyTCF)W$hgW_ByZb`@m;g;!Y=K`?z2gH|K<@8 zV-jv@Reks{UJR@<{R@i2CL42quNp5WA<5UifWP|CA!ex`#T05Y;Au24d>NQNMwXdPM zNbIweq?$|$6Q!q3cL0Q-@}LPKNEaDt2?=-JRL|uhc&mN{E$C2XkaxFaScHS5qrApMwU|Ay@NB3C_TK{Lh%~1VyL}st zDq@e7QpRE}uJ2O~#OmLq8uiM1$&SQQ6@w||4)q1WLjZxh(eqrvo=pF2)P+I?>Y+UU zdUK0-cRbwlVdDi=f*B;e;fh)nwl2Y*LX2{mp_WVFFlK=G&2*C;8Zh4YPg6bPxd1;5 z8v(NSV*s%i4y{+yQ2+>oVxXsy(B7Z2>^ntRi9MCn=-6*hokn<78LRiCcds$X%X*!T zo)vu;_#9RUzf|m9=Ne3XdhYNt_^hnj%qplp8GK=arE~4lX)n#saA$ zrE(uq0o%Z67kdZ)CmriI34Oam`CwhG2ylxtA}6B<3zsR9XQd|Q?!#gVrqF_f^&reS$|5O1}_Fn73%T`T6_oB;MedX zbnj3BhPyAOkuE=Ta7g1CjUMnqpMxZKojMzBAK!OM&2@>1(O#~j9ceaZ303yTIZBlo zU+<+%@sn{k++e|2cBddwV3wp7Nc8cyXx}~J9}zDLl+5$(m(nMy-|6o}a+!1t*=k5E zq<2d3FzWXSZd>hK97>|7XN#JenivznAc8_34dE~qpX~S0zfd>-#(tvxs50VSC{U{*a$`Wn za)Yxt%hT>zbPjxDt8MqUH&y06`|LB%JZG!sHBUeN)Kgz0a_8WqpZVBM=E-|*xB+u+ z(M>nsz6Y!3xYFvd)^@c%jGpO{&h6BSF`rs3)*=qNrv+Y4xtLg3smNBWiEI>9R~MfB2PGUi}WuKxt*oy>=l* zs9z@Q?(<|O>*#^`f?qr&jMUh&X7#-}9Gld-8exDwUe^i`T%VxlTAa<`=5mQ^Ug>$k zmgzF@RONljLvC)6L4@jUELkwrTY?pQ|DbpvP-srEz5nd@@m%N&bhDv;;l-EsisAid zLrBwkOkJIaL!i4d;~!|n+$m7FUlqy&b9=Efbk(c#8*Wsy#L0`+QbP<(m9EdFtF?)$ zZEA~ZGu9`?mr)PpLwGTh&a=?;L@98cy?WXA*w;;jwoEm-?gDlZCrr9PEpdGV3Fvm+ z`K~YE9(5Xk!UW?GI_449h=tB8}btFX6Tw7h6Aba;J#7mixql`C29SlOH5!RUSRpujO^uZztxb9FPV~ZKafRn}e zn3C!l69O^**))D`>g$lvD^z7T+^)61&^~vDO6IOsSUse#V}C2Jcb+9a;0_hH_Os!- z$`fl3Sjlo9hTmZB%K#JImykK7EcaFM^+fJtU}FLc8J9sG2-ONI2|(3zF62C_Tz_up zE1nzsm4nD8?+MBXH|!QJfFhYk8Zt-Jhz3IU6sWytm*%Wlw|K)xKGdUU0>x@<$xMn` zYcQkElbI*kVY_8fAn%P-0TF|Av2$(4WxG$5i~KtW_$&tal|}Di$n}3jR(Ox${DUz; zR3kQ)-j?-KBxuHUFoZEfv_p^jApgaa5m66dC-&hWLxY_V$TlvAD!#GD zFo!HjML?*iJ~f#=-1W6HapB>MW5b0Ucx#-~4FT>x0;6LHloR(Vc?MNnk@qNjrF4=6 zoys#ta>>$)JkV*tS>UV^Eryz(m?!^$tNDo;=#1vovh%O(oGj~xWv5j)7_U3N z&27#Fu5aW6W@~CpF?%g1##w59U8>Sj^AZy+HKjF`EVY!STb|*Z-E8LaU%ZbK;03li z#4+zCUE$Yxf3yD(?OL##n5w_!{e`~6)@^I9zyHhMOD9@=@;nQ6PnSVZwzsJzkZL=m@VVy)kH2IWsD8eG^o1teV*>`i z?^yrPPtP0+z01fm-eU4F$b1YlqT^-;Fc~!!=j5rGtoXp7U>xNKDGN53YF#j)yw6YT{cEGD=Yxw*Kzt{+qO)O6} zEc1)Ny*fpa5e4diwCvroue0cleZ5%9sQjWk*Wm^16r%*vZwq$&$;qJg#{L1-n~z`} z_g|{2^B2hu)qO`S!nQnX*f?7D0iG>2JF^)hA-4qNNXNKTCx#(ACcA|8bE9dT5LzN- zskRY_EqtXW+Lal46NF4(^2ee&iNJ(`f9OdsH#ZwuLi(v~{|^z5bAISF2HKGk{O??7 zK}PoOkc_j8tc?1@^2_|YP*au^GW0Q!aCoW=IpKoHkeH?)m-M8lP-Flff)sTzi25Z9 zaYz*l00Kl!PER1cc?7!Y)O2%MVF_fTBUny;)Tg}{yj*Ea)nrnn$Na0}gDy^fxz$u( z{iiQ|=}Z6grSHA=+H2o?Eu=Q@d7!~t@l>Z@a`tEhT`NL%$P@C0azeh4A6XdGs#7@R z1ph)hf%SiG8S#Orko|#;>aL)3XiUI-T9MqtdcQ@L>`~RR7%tP62hG5~EMdHCIP)Y5*=ul6PZkewh@rtc9aIkDs z6ESmhey;k>T-!K}$8KEn{jG<)2u+O1L?j6XR0Kg9S;u>#p*}xh!i0&FCV`!`_$?w# zS9Y&ow|>J1m{ECf$BrF4Z@z{2^_@(hFpc8Q%f+Zcua(Ux_ycC<@Q`TL9}@uE9Qz;Vl@JtqUIyb7|LG7R^Z?EdA75g!B^n2P8%1$l4>S=aD_89wHR=)9)Rf4Qy zxWXTl1ay`|ZUzFZBHW-|M`GNAwS>Dayuuq^=XJiCvrOBiz3Scsk5QGlo9u$dagUcQ z(p1wa|H)S16}n;kmr0h?X0hSUv7HU9s;84_V!l#_`t#uPQCi|5r)_xA-D2{;AOU;YN>h zomZ?>pz!at`(mq+?yAc{|Ew%2W7d~hl=W3LsZF|#s+}tJt|ulJ9}4~w90?II$WN0EpFL}I4}=H}eMlN3ZVvTHBY}@` zsGCCqX9xH>7Rjs)LAAz>O5JTnJ=d5*@9S8Fsz`R=v4rNNXN}L`Z8L@J9BVOJ7#g>D zk7Ag0##J71L~*YQzyF9jlSwK7%25@?#lQ8O8jr{6UW)w-!EgrYQc4W-myEhmC$mb+ zgstegd*S!$F+RZ1P=!*ER07|yqQ0B`biqpPYk@B*MCU^FjdYO8AtdA)>mr|ay*O$O zn~!-(H4)_2!o=_f?wTA=og}FW8oyGP$u@#H!C<>x;met)R?FVy5CR3`_T3V9Y4KHJ zPsBZHO1S z_xheAT}5m)sht7EbYVg)f6gQeAc8mwf=q8`4sj%ybu=U~XQrhrt6o3DmhPq%W3v!k&wG~zqdwRvgvn4nFtIh^?a|I}9*v5BU zv9tg3FE*A%jp->uV3gm`qf)8yyiUi35%J1K<>%MepMLu3nEuhES4E52LQFL` zSF5q7pU!5lie}E%-U0hm@<1$Ax%Fy{pDyUePTj9m?jC+Vp?_LS)uiU;{fZq!!Yl#y z9aH|_=zCr=1M-JFAfLKOq)4Ubit#6nH@*JnpfqjRF|&wWIpis&)aJFWg%}ZqZSeW= z`g}Y=heK7kF`D8jzO&9%f3M;@2%*2%@IB^!my5#j3M|&=eF@srR}g<0$`H1ihEn`5JR43wE#IeU(q`T(@rBTHYIcs;8r)V%m^p$@!@Ne5rJA&tUkiM9E$#@OB+(c~@FEE-@9cLtqe~FSqh?E>0s}D( zHB}}$Za*nslH6v7>!Qr~(Or-Bc=_m7Ki3U?cW=^rPkD@j)994gyG@CG)4Zu4k!(~~ z&-(QnHhka%8#i8f;iii&&Y%o77i-9UvsF(Pr+n(Be)!_L?_|(t=`sQ|&PC<-VL|&< za6fyrsoniRmYGYleCI0PqbhhCiL2E2GKhEH>eXwy&%aN3Y&1l_>gh*KUz6h^rZ0hap-L%OTW6(BhR1}|~?_w>fvAQo9W_p9*)Y@KPeCNk~&(RISX5 zCAC)^SKSyK0rEf}cs7&TM*{zX%=$BPpxqN&w61v@qWLwBqnW$LxQ?Lm8QnKLfaMkM;piw`P-h8Qdr^{_pa^uVyGda!l2MTK)Tjt|A8#Y(eO02cI$xy2exh3%^P}H zQH{&49v|MRk<(8PsoMH_HdXhEb1ojC0+^;=dE)i(FTb?6E*RcVD3l6oUtQ3WxG3?| zML3J64mY6bR(?shIO`%28`rdW&eC%kDbPc1yzw2&%^mJ0M1_Oo3(tVRnV|zI2^wNV zqxg#XCC%kI#Grwt7+k>_aGQP1*=Z@A%{-c8#Fow>gEqMp4M-|)Z#m+V@-b-hfHycL(q7dXOA;v&QB_t!J=4bbes@s zD^om0t}nV_Tz$P;;zZBz0Ae#63e9aXb4*N@=Es>!i9#_^Vw8lu5>Q&9AS%A_1^Q;s z3H^u@nWZwQrJ7^kgN{zdQJe`&>vImT%J4!aDD7Em#@q4Jmeat8dB*Y$Z@UnE-3+r* z^7zD`UgtEo8e{BLW?v;OcpE&c-GjQgi08!aB zPIfy<%5pSVcAN%PI;&o-A6K`UQ3T3#m`zX)`CgQ9+$*sBn!4(|mFKNqb3VDsa-%AT zM3xu3$URPw`>IK`lj`al>a!=uMe)5~Rhg`;svcKOp0a2*1 zLFf<)4zntVRDle14{N^1$Wzue_L7Xc1q#y;bE)2`oMxUX7nI{OK(Ar83lQ!DY%ocp zkva@P=Am^vm?+#WTw*{XL8rusQ|($7;H_?LJpsJ+M2fky54Q!7WnM+kuhp}Bvl|=( zNCoB)5Bggjuv#uq3+~c;&ez!U4v;_Qe)Q;boCuD9;vqG*xjCf#1VN#xp==c@F&5 z!icF?*To6hjiajR3AoIm%rsBDgTn5ioGm4%RH3%{+Dqfra0kSpwQy8A(Zt^vNcj=;`NhtV}?u5dV}ZDQh(h9TqorwMz6e}ho7R-tdAm*x(q zP?e9e@c%sv&kAQ%uTd8MA7J5}pBA~y`Ss!qb6%I7sU5(=Q2YX!mleG&KK*2Q_MG2a zjl&kSl=|I!&0t=gEl>*ZR}p}b{hfYY0Uf{J+u^n+b?vctFPCl0@9{~ti1+ymY5u-` zMc?Nn5QY8U&#$O#h4(&wv9;U#`W0mv*ZcVu&3QjRy~5sppFh9#W+B0AI`f!DO zQI!taPd)vWmyR47wvOK3oC=lBwZHws%P&25^qa$%@l>j`W54>9SBATVJ1!PQEc?kL zFCP8&OV57g+r!mU5L0O%ZF%nU6rOG_=^fS*qf8xkzj+m;{w7+ub zdqx3`im%CWz8^y^1nI{Mt# zhiyDD$q?Ez-+l2bBi*Mr%y7}s(gDL(LHk36s+>TxSb_-OGwZ4d&A^e&baQJ}c_DFY zt+iEgPA5v_9|Z{$mG5R#Q%bIAZDMh7__U@J7m}PG&qdTHwYGt6r^<%mlczU1uz_Ay25Aa722<0nZd2*RCBW$wHf0FN2@FUc39n zskzv_Fpi6uTDk1TA(v$WI?Br3W%`?$&SQbKb}25wwTr9OY~p~vWsmrapKt>Twyeoz z1vs-CtbsXmx2tP593HDID>EU=p)is zx*1B!cF^#Yl%7Blp&=@|{&- z_T~X7b0z%)&+g^nv#3%hsA3HOln-UfSdV4Poc}Jwkgd_vS9(orKz1ISTNenwAa8T>%lZ$Pygk zZW>lH~BBJ2#+?pHy{#lj4zTu$F)=ipoGgeXP@6*Qwm7XOrj>MA26 zsNS2r5HMBIB~T%t)CWi>imsCpR5wauPsEc8UQr%&fhIDD;1VsHgl?eVdJD4pg*!hh zbS6YLkWvyHOXnt#S@SdeGlKS!KHFsoU1|jBFu6#S4r#)>z`+Qzfn_#79-<{MccN8= z5jp#pC*Sn~`GWvn4rBzzfT0*FEnI0m5`*73KwBWHLU)Z;3Ra^SuwHa9-NPlyLaZW$}ZsVJNVz9(7618x) zsCtkq^j8eAZs2o@+g}4U!!o_`s7QPl+@(Y&IC7B4KcqMOTv3-svZw7t&p)caNi5rB zJnZ&IUBdHa^>6|Xm{4~;|I_I$&R5OaOlLXY@+?ZIFWO|-Hq?cQFYGj~(p|8&j&yL8 zO@A?g9+`eC@zAf-o6eVEhxEb3Lq$f~lSnUCZCu2Wr$zQ$n^?A3on3c5Uk{PiG(FSp zN@;0tosa+NWeK&(_=X!NaItRlcK10}Nn=RVPEPXkq@jG38)aV`^qHSZLpbBl9@hCr zSf$GiI}*z#kq|X=RX44=BH_E7O}x){@0K;v{*<5jDxXY4`BuK2@5%S(=j8kH{rUf& zyNAvpj>)4QQ+2H6f2?x;qNy|HENo9MUvN%x`s_I!OP4L2ne3Q9wQJ_$z5ltJ?8iw7QQqvX6L9c#!jvS8+#Q>V|aR5$6` zD1G~%a$HZg?z}!%z{y_mmtRiuzl7&4TD)l5%sC6^%$uWpOBYX_K5yoXtYV;O#mZeUWz@b zO-->a7r6yETqdFSkEkf|fzhqJ3CI%xlc1VP;Is>LMJ|Y_Kvx7cFw+KT0j^0&oIU|l z9^W=a_?hoJDo!QNcZm7jjz%Jt@!K}>>O5p%fI9n(C^wZ*;^4&+&yK?DB4|24flY2F zek=d@CdOG){F_ul+hrNB?R>}U5f?-JI(?bMXgCq`I;Yw2pgNb!Dd+P>lYIKhObK(7 zOs6)#CDVh{mkv8^p3iZi)N?6M7)|_GZifi9<(qrYk8w|uz$EAqJMph>4W9U~2i(+m zci#tU@fdB~-WSb;ywBOz~}ZUSRXDB6X`42x&jHYGH7EkT|Td5{#kUH;4%+ z#@4%P3V}?KfsN?FfvD^_R3-6`-%-vFbO<70dqNN#(yP-K5R4Wy|6biWZ{EE2_V(7+ zR&sNEO>Jf&*rG*?w5=Q#B}LR3t;A@RMu<-9IX#AXrzEiuO{c>^b1`32(RK98ZOsft8#oF^$dM7vnZ6HwC($$hv(tJoK-9vy3g{s zEva&z@h@-+>?%#l@aju}>oiXYheRYJ$ljd1++Jx#l#Yr^pfxFGJ$)YGpF)JU}VF|*Q;^EZ(3o$XH5H`INjlv^5g8m9`n3w4$!yCeu zP>%Vc7AQPQ6#g(DbHNnpz!gD|pbDUlQ}s8U*;bPzt1X9|X~KH3KPj^&3ay*>NU$%< z-e7m=O$JU^5DoW$Y${yN{5>GI z$N8XLo)KK>^nna%7Scum<3N!3WBja8U4DX23=qnm;8`le3xztH!pG#sQ^|DGPs|Y6 z23`3cwOD*t_;eGw>&)@cNSF-Mc*BdGReUG4G~Z`%Cmz3wCnbB5cLTNBe2W#7A95RpREfTaHm2$S%yJa{!GvngFrd>o!oh71L>Z9GCs1R#Nb8Y^)3?@$ zL#+BD-@bsbSE2J#PTYA1;)5GC0j>^;?(={eFY?m&*J10j{2}K>3jLB^?g-YgziF?PG`#6LZ=gaymnTS~C-pWfJ$-ImmxKTsf zkN$jy-Uw=YekeaTKb%j>vjU^QEU*gf0#AXrAg91r;4cUi1Pek1xdq_@vK_{Zm>IKT zcFYs=#&Tl5m_POxYA5RakJDyi;!RCXpSgJ6qUFiyQ|Hf5E|{5|+CFv8!by`R4U_+| z;Z$|pEbKMZmQVim{k@;O->+&5!Qd^Au#wUuhvXNE{gxX)ykqB$JLK0ce*G0oga7I_ zevt_6cIS2DtU@4t%RZJ4@7vGOL=VzI@)44jEb%PbtBY})MUzg+=4;LjbxN$_%XVke z%e=^hmzsp2SDht@x=n7bKn_+tXpi$FG}pv3sPXaizf}o$c%zqZ0(W&3siqfiBipXXEsW z={;uWrY5!{r%fFx_SWUfrV@9zbIDn!mbX{2jqZSF>)h7DZsip3s%3K--2ttXxyY0L zt{U&5Wh8?;!-*O9k8Q{GwB=jhe(B|Jy~JpY?KsZQAOG~&@gE;^hb%p5Y!`U2#UBiX z{UIr`nz@H9@#505cqu;%U;fA7mTR|P-*fHtepM9P#7dZ1s_^(f2e%yg<}=S8dB#ub z{Do+f&SoktiFahb8{N3g|`#D5(s-|4~L z*^)>Japk*2hyKqH07^e~1=Z#RsrDNZfeuySLeu}U;}E9o87MS5gkDoZ7LrgqrT2lR z0OeAd%>@&8%J-x0g%8VjdRvID$cT}K5XFQQL2N05@+lcQu~lQGbhc>cLi)l3<0x~U z=-w%kt+Mr+JjH*IR`g?z&#XIc?%Jh?9va!XGfNuTF?UHr6OK+<=sj%+*~tFSSxRyF zMtDflcnYyc25>?uKb7GGq02$MP#de&ywFnKGME?EHLTOTuygv(0ld&q)Gz`s>}qFs zX-IZy&C&x0Alc!VfgK`Z-iguz+9+8Y;e?uknx#wm)g>I|o}rIo-h;}RX?WL~9HrZQ z6u!amepL#2_ZjR;C8hPVn#){|zzTRj!x?uO>Ez3rexH5zSs~6IH3as?_n* zZ#bHFv9L{%hFMaV)g8w950tab4Cy-SLU>Xtsa{AtO1*}=P) zTWdNgq+xaJZ zCK~1pG*7l!gF(o+NloMVS$IE*ZP{Mluq?S-uiE0%cbZ8V!+FAcxP%1+Ea#S7oZinH z(^z{75hsie)k3`kDvE{gk=Dsx-JQ}xQCvS;TohJkw3NZY98%sX`N^W@Dpf2jKtD)& zQMVo2q}?Z5z8DCa(6IfZ@bVn zsv2d?^%+42)TERoTdU)z3LJf&R*)H1#zH9c196cIRTM+Ntw_fY+vja zz`LHxZmu~Dd`zeB1U@RnAkf~NIBK`-=3r(FF_#6OEY`DY5 zq5mS+P=TwW06tkX&dP1->&)Qi$_$=*ftL#*W))mycmXwffu}M4Q#L&#hioJRM>%97*5Y4$B7BwVvd|4|@gFju{U>*l^*?4?gs8(C;gVMf?c2R%vI zz=cxXC`fO-@dg2ACF?jKhaPzOTSbkVzV*_}B~5A1w9nB=d~BtM(w?bX>7FAZlh}zV z=a~4BjTE_A1geF+wOB53k@{@=6o0w-jO}F`ZcT0~e6`r)&I18~AlWv%vcL7SX?+08 z(rrSHS1&?Osic$kL}T7xc#1(oeqk#?B=bQi8sA`>txhxJ`0iG6K$g zvt35_Z3xCtouQyV$MY|u_tH(InxPFjLI#jWqC!v|nNc8;gIe;) ziqNQztkIub^Qg1OXarb{bA?znE>CnNmEdv}*MG@0yl?nKZZSr@m=Vx2rx=+t2AM;5 zhNP!Oi(W@R7xH5<2M^PG3E!Kz4Si6j{3}QhU)22EOl?3HO#14fQXvXYC7W{*;p5HO&LZrjv#IumE`-)AQ1X5Gq zu&1PMxb;e_&#Dd&MDt+0ZuR5WzQYGd5AyBfL!&v_WlEZA3ndM>5v%)&6>IvG^&ViJ z^QNla0+g$?TCb73ial1jTtoRR7s_d6$#Hde6Bg;Vn%3>xcQwqt`)&l9mYS{s+qtev z+o``+t1D3ax27->=9XwpNR1_?hB=Fv4%6L>{ z-pZxr+S=d@V~yBcIX$k0+{#wj13G(ey3APKoL}D3!WUHfHX~OEv~jF~Hamt*jv>W# z`qsa7wQsGrcvPp>+1XxPqk2G16a8ERj$o>0evPjB)-k`90N%?5V`Zy?EckP;tAjTS z4Yl)Nv{TLn?+{@%$+*A>w;6mZE;YCL*ATdCS~sxKrmj8*Aas?)4uE5hH&8G3yUZx>r@YZOI@4)!p z#*|$LK^bjEjVq)?G*wGqaVt70;ptUngKktjwy0=JK?HtZUPRigW_*VQscP8U7r-ch;gO_#Ehm|lsw_hKeEHF?a zyDRX-%R2SGu0PD7a@l@$OEmCWSavFvHr=MCwUI3i{c{ zJ{e2(*HQO}-JX~iW0MdL6%HN^bEh)megWf{SP>a~7Bojy<3Jtn8USYi`inSFCBT0K z;XsX9fD~Dwyfw0Mr-pOS)ADGAKwfMGpvDt*=nu5-7*ayRBSwil+dyy>FB;APuvg&m z>qZRe0`I!;82oP|_&dW}Yj|;{oyo!P|y8@vt3ZfzqakV>NP3NE1e{fd4##fTu8q-%m~YmICZ7a}s{iz-1= z^p>;ihGR_B!V5D<CTALZJt*9PRgEq`W~y1~;SD7$RXg zqCz@D{6}Z;Dd~VCus;!!4|vVu^zR3-$Cb8tv;!*RnC#5> zgF3Y_1*~U{s6pPTAUfStwKD5N${1K|Sj$ivQ}us@JDTdveSV>=iPV|(FC7papBrTS zM_GFb4U0+;+QK({!B(PgZr4Qj?q?#_ks+@FI2Esg}I>3c-i}=wF0~cdtt%=4bZiEuJnTf zKD~cAm@ow51AWzf5&(27`sY39TLZw}NXm0&Z2`Bb+K~j~3XK5ft!(wvCxsewb*17W zy_bgwFEZSEUzHuqLHEvLRPEEL!BO2>)h}9{4@-7x(BF`cMJi-Zzfm{WuNeT+0G}8G ze2CIRvEV(5{Fdftl>IAh7@&4??Lh^!xCTW$QP#`!a)&yF<~+OzNC%`n16(_R4>#dn zw}ZVAoG_yEPm-}rsc&=5osXcOyWkC&yC^)#3p6Dt-w8t)(ZKK6K~JMThthBFLAf6Q z!|SxR4DE zoPt6ErJ&GYecDCfFL?!P!RLPD)OtJn1G6s@dcH;=K~HKBq!xXB001%Z?q!$qu+~~% z6$4e!KVW=Oa3_JJ2xK4#xu9hP7ep@Ny>vJX%AoT;VAdxSb^%~oAR2_FS0bXe-w}RO zg>eM6fJE!m7*R-4>IFvS_6~i?16CjS2|5Y_Yu!Dg$7k{HGS}h2HpUiqIPL}95gD&U zfjZdln84CnlSN(qT3{qts&+i2=dH#R!nAx`G`3&!5U!+3o9_&8kT^zt4epsjd#8q%= zZ<{YJwtZbkFZV9h_m-ZWpb-d#&i*;OiAq!bkoj7br@MWEF8AO-MEXKM9067kfUBkg zY+{;fox4~q$Kx!nIAJc2@_{U(=(62dC>=Q)@Oi~Ek3KN+l^As0zExOWxX{o#TB0YPyX@@;0t zSTE@2^~~7+es-w>Gh&$B2Qvv4W&dO1m}ja>%}DqXGl8bkXgp=Ae>4+-ca&aNhuE$) z;LnkCp}2_(@QIi!{MJQ9^3dsK#@@Btukg^FG3P-uqX=e460F%I>lX1pWX92Eou&VG zo`~nSGG^Rd^KwME7c>zqZy+}(;O6v=jw($%JoWW@A<-)F1FI6aS4{3g>5cSX|BuhR zLtp<-ykenPZY&&g3fY{i&@8kH?LtqXw=k#BSLiPc6b1`Jg}H^{LMP5D%eWc0;&$8< z_r`PLzPLXghzH}Lcy9bJEQXl*|0d6jnNyd}PR?4iIJscb+)0ZjN$l_3WeeMsIW0XZh2|n_1M*qpe&$ z_axpBUCby~AaR{#QNS~HUk^Epvopx$y?H9fuM(dwRXMHK_w<%3&)8Np=#AM4=khb% z0%uEs(y}w9z_a@((EGrr_w|0-ugZ_O1)h-tpWb(b0t8k~4gAoy2u{gSV|upAOx@h{ znLSrse)0O%=XB1U-ZFXODHVnJxnA}2V?X-AcVBto=#zhc_;dU3`@~(h?Y#O!TQ6F( zYI(<;vrliFRGBEw4;jCC`}mu0{Oc>vKlSw^N1r;vjK5#yr<;$y@XEjb;6IPQllF$3 zqGYOOO3U;)^Ov2s?gN)z)wA=j?)=2weUJRzm!5q3xmR9${XgIOZ)FDaOUqMrr=Hb1 zyW`vy>n^_h>T7r0e)q@r9yQ2l3WMGe{GmO;Fk+%>Tq5!5}uDCyewY=TnwR@xav<|EVJZIIMDB#|mv$ zck2?x;J;O>@{oBldLLTG7I-U-*pa#JBnO|g$=1;S{q zY5aH1Y_c9`R?kqhQR*AtA)d+fe_3}ueY}s@2k<~m$AL2S{6M;IR!JuZ@!Cv|ROyC) zyZhHSY<}tJ*k2#N`!Ii+2%UOUI`|4!Fd1q9iy(8$(eCL%cw=xi} zdOuBqbD?~{Duj*t0x=5ubt;xGpVijWQ>Km^qM2-X_TG$o)d2-nOov)3HldJN&=E=v z|EpA>OukgCgPPp0bVx-zTqsAkz2Sa#;gTgJ%5=HPx=RJ;0Mwnu(vnoZS<3SPzE%Sy|zCFvP zk6*SX8k9DOO78GI;R(f*??)aE1f6?~#|j|(JjmYMV2u|C!9bqqGRy4oclI#~r_8WD zegijmOUZ%B_V|Z+)V8M!P;l)!$?^}>juGPOn+jRVHy`ebUds)rkGHB)%eR+E#-6cm_DCF_&P zib1t*^XXb;VyK>oPf8s#gTcX!$uoVbk7vu&?ktZEA=|6H1hYOtG`U$J^^^w5qTA2E z#qsd9B;#GJ9`a{1Slf)SejsbBvMy1Q@FIQw_2p%nt2IxT!pXwd9~Hi?&cnVc#+vFI zC-HJs%V3F7RV}JgwI(GPjbP-dt|5~L&%^SX@~YVDuo-}$^V8{8v?3V3%6`j|D2;)9 z{q%9&t^vH?q2=FX>3%L|9C^eZ;eCcHFj+C=5suspnx@Ml+@CoW2)f#G9}vAa6O>WL zIV#94l?txSRFK;!736Z{7O9~0e9ZGQVLHvOrx7`pVbP)7Rw0bdL6_yS{OU4yV5`gW z^57%!p0!f09$N-`Y|KV|6~#$NU8C|QwyLp`>``!LD-mA{I6)6^{I|zBo(&x< z`fv8^n5@QrAI>|2@UmIT(x4L9uTEn^`*l@+bluUvHtKsjdcUaZ!#l%Oa?{iB;Y?Pi z5xllmO+MUp_>f`7RGWB=;NzIOTDyFX9@XPekF)4r8AN%q1uklosQ@^%Uj)I#>kVG` zX>!=|cA>=s`{~#@o-fH)Jysm?w~A$Oo=Ag2T)kr$1n*V?_*rtU^3+_sp6JQyXC7;>UF;&K`J876ob2-qhLe$z17Z-`&9O7m-1{R>4j5TvoENyq z2pkd|#Af}ey@FD?2kh|MHYBl&^XE7Ry#(DO!wCS-B8W>`T4bNCRAWwVA6pN zgsS3H@nNB=#No-4*?}0q&^W=>Ful(e;s{$Hgp%9oI6DVVc)fe2OVDpwBA>CD`i!Pv zFpNf^e&=z`7m1PS$Bbqb)|Cl)dmAay*ATZlh9J+mHH7Outp0rsu{aWW6QsETP^cN~ z4Abv92#y+Me^BKGAn_8Pq{SC5gWovcgUlXxy@U$_7OwaQ3qm8nL?1c`mQ+iBJNt>h zm;TIX2j`36m-Vm3v|)@dt7WCrpVg+5@7vZ@ZY-BSNBw9pQUHkBc;9OHo0(71L`p*^ zN}s14sb3~o0RxoaQl%CVw4$2TY;#D#kK@}O$Z3@mkw{S(^W>O~Zn3V!7mPQBotQl^ zGfa0UFSw4H+lFJi%VE0>ynZjft2oeWsLH!{VUg~H?+FB+VvLnzodk@$e3Z67epHnl z1_`Da))WK86pWDaXAmZQk1_Uf7=0Ph#8UPQ)a!fI*uw|-y6?gLOBJ2xAG>uEKbZ^V z((M8}72CG~iL1K=7YQ=4T%h4UY_(R6l@kri&N;V6yNGmf@i3ge$sUH&!xsu|%?`tY zqM#PhhoWDDIB;SfKwJ#q^@*K4kU#?1`VP=Mq|l;~8Q)bI^4hqrPd(x4aFJ&D>M-t= z9B+~%&h@q_2EYZ>HwIp~FJTCkTKNiO7Hqzu_Iqz2gkinPT{b+ks#z?1JIPvewggy&DCbaG4shUpBnhms!I7YF@wO*SwwW z(F|M5e3Qt3t@9_)T18b)rw_UQke^#`sZ>VM&#*89o}>P4FoU~{is|D@{blm#u?XRw zlR6GSsb%Wfe3*Q9#`xu{iDExM_;br5SKfEJmohUDCa48lV)9NQWKHOu5V~-ONb|6& z6k!^LGx)AK4OM;YE*NbYj3(!nA!vo$8sWBCszR8F=4nZO2F%~jZOh;`5!cHQ*YC-4 z0hdvSa9iMNLnQhjMr+iJ287mXqkb7iy(kNfKp1_9c>on4Vk^X;uUOH_gH)-Ccb?^8 zmycy>WU{gvEC&&UcY&^YGjHORqBm4t3%7~qc-exEPJzJPcmo~=$LQA{y#YC2(({Vx zU*Qu&%m$gdjTfU!Lq}34R0tc_=7&FHTZL9eYxlNT$XaWsm6g4pmEVJ{XkqAAtP^-W z@Kismi?%t4&*_47&O1nyW;NFOjYq6+>b2K+oJ%}nZHGVR;Zz+B%Gw3X`Tde}i*aS1 znXj3cK0q$@n+E(AEx(WAG}*}W$(BiwTOO?KvNI*c24C;;Il&`ZsB>k^73WJd!S!Fc zCu?idoT_kcSZ#EfzD#{OtJG&CJ9Xr{Qrsol?U_hew*{49Cl#WNS8L%N3<6w;%>Wam z!u$i68gC38Wa90f>QteNY*nAQ-qr72shy8#)_S|<-Vww%lD$vMFQdBky1yYMG4w+j zR-dfJcOL9ZCy35FM&J}~|6ii=kEJ7abm-ZQ2dp+XT6>^NYmxAOnYv;)*3W48%@~f_ z_wfPh`kk}U+>lY}#n;6wu4!_;>+Z^`{ah)keKWBL`pR`O9EM!F42pgdG1B_rfrsd_ zu|nycP`Yqxg{b4g3L9VpnT}otQ^~RGVQgWAt=LtwU@sV^Mvd+5G1MH`uLCw3$a;A@ zUsM&lGMslHKMU~gCS+7DYj|L<-C?ThTIcb zc`tSkmY(Q{eGRFo1)eIJdTG0B;LCCo8HkGMf@APn*HVpc`Yb%ep$Z8%<+GKwD27UU z=E>y2O6N)mmw>SY<%Ez5Xk)S_*uKwz%B&)n(W5XrJu8Z$6A+PKrE2|irA-}NFRRWQ zodsOMJjwlW>_bvq+eAOIBbrHqtL39@;Seq9L>tLpTd7 zvuP9)m}P3~OwrRFXin60t&yL2(XqgA>bKU_>H0FYZ75e~l<^a5Qa9oE3vCfqTmZmi z-27M(^q`*}O{cx;6v9S?z0bYgRl3Vu0SyPX2=6|lJ#S8Le_x5%F2GvP@aKn6u*JRR zs@K$S&ifu5pDWYHaE_jty|}1P%5CIfzPIcl`K%6&ob%q;W(1U?(WG+_Q<% zOi#-BidAepZ;cVZwxPPi7upEV5Tkw{MJDMYFuf?XV#A8R@9phkVzL#oJbcA(NX(4D8m^Ml+-BO5H>^j8PVl)MOS*itEd)RKgybzt`$0r5lX+_ z>|%@vnY44FJ~~P4Pm1lxn4Y@Wu)d!P5l4R{=TC3H9Xf*d=MOSNH5rK}sMAxOti30m zo$!~m@s(uhQ&uIcv4>L$-`oB>K`9)DPjo}W4_dkZXyx8viEL@NMITDx@7Y2H;y!ez zX~eE0N0nH73}}`mT;pTV5Lbvr7lj{AF!mDlE;WdmC&Qd86EANW7;%G4J;Z7$u3f#q zCBUzpHaBrkvGL@xV7^nIoqu_E|BRh8*uuL?Kkf6lcXcP3Nze{a~3aY$;PiI(M8 z>kzh=U)Dda*Q;=kBPUEo>TWf;{K-Z7}@43_n1ugR=FtL%P?bQK_GtkW%Po0XY9@qS7h zS|Ccs5~D5=K`6F^oFUrba$CQp75Irl)1#y(-|!U~BC>`UVhc2pg+5?8S6C+Ig@D@# z6EGrWgsy5K+Ebisd6&+3YXbK+Asl3lEaK0p2rMnab#(RJS~F1-Nz7hj(7 z`_b5}Ua`6>-q0}%oz%0y9mo#hn%$3_5?{~$&={_$u`+IuhC2)z? zacD)Hbc0>*2b^D;>7Tm#&mR^#G`jwCbp7Y(`p?nzpM-vnuKyfe|2ew;^Zp@=`A64( zj;{Y4yy9?l{iiGj7+wE4y8d%?{im$`9k9TDbp5BAG`jv%Rw|6H{~TTaIlBIHbp7Y( z`p?nzpMRK#_Rn{9v9~y<*jMZ?4ipEAL&dqp;bNyml^7*viB)2kcuKq_IVHXle@UPu zNbbDcl5mMrs!EMgv(zfJOFgCD(wtJ?pRc`tj60q5`p)SB|>@A*1U*iT4;?|Cx_{{u8ZYbp7Y(`cK5f!1%<`^`E2bKiQzKe--EG`cGMJJG%aJbp7Y(`p*HY z*@i518(sgYM%RCiuKyfe|2c3Dsni(w>;3WlA(i9vsE?@$ik9Rm8!>AtR6e#vC59cGnXt$PF>iQoHw&8xpYzTlxd5XE$pxOluC7rZ;X0;YPH(s zt5T1t{iNk}>l$3J^3O3Er6i?)-@QV=R>`(s*Dih{xh?e2$LlBZvEwcIckJVH=kBPN zZ?zvE|AeQ0F0bUeclI5-HCg=558nFWo?m?andJW899YM{H-Gj(^nicI@mq`5|7`5> z7lNPpnUsFPJYdxxP+atx<3$$+_upD{p*N}C`P%WwtKW#cQ2+E#t_^+ehS2`;t=+2b ziGMdH1U{J>KmDcZQ>MR?teW|1Sz`8gs>bE)sy@YcXCje%XU&A^OKT_2T2ec);A6hR zvir=EIp4910(X1k!GhTM$_MgOmHYAwR$UM)TzP(cLf7|;k|oK?niBRoTiHEs+{*4m z@_UK#HQ!4Vk2!C3RqDLerWJoRee%h(hFvK)y8d%?{bzrgXYI5cUH^GpFHFw3^WVeW z@2-a&UH_?9ld~SSf5q~jY5nIwc~=@J4VH#Vb4$ae*hghXnOSC)*<~I!iv0ig75Zi< zdG2qLr~dkY+VPVe)jP)SxNFB-J3hMO#skKVTMs<3<97!Ncchc2I?1=3*NtP513z$& zyg8O*^ZzZB+~g#$a+3e#9QfYtzq1a+{!e?~0vJ_!ru}|r&RjAxIp<6+B!*08asek4 z$cPCBjS@&8L4%n0!C0A;x+V~Be{owG!E_lZ7R2t~x-0VUq9s<` z{I0axRSQ;G+FvkuD+)UQ^L}S07cNTsYj?Zx^64VwIh) zJ+V7FwLP)jovC~H`0t(So>+aSb&vmHPfU#*^=BEek0PT{_W7tcfTUvoNRR)Jo9}Z& zzI%1gxBHbg>gniDN5X3VE2)Q8$Fyfx_kD=Vj-K!IyZjHQ_S_#?i_7oC;zjrMzZiU> z4Hx%uV<=MOA8YvYG!%Jt-Ggql6lv=tZIRm|w?|e-z7y$)+#mBt?uc0;?a0Xw#4;nJ zVn-7lo}>H!kQ#HCsXZqnpY?o-VL<9u4`QM_MO=Ke4R!6UiRXXk&`{2 zqQpL-lH^f9`kepbCak=qEEY1Pwa)|bk} ztESAICQoK_nt2wQ(|F~KE9CjC`loJ8Q=5#+g$R zS5s!z&zW8~rN*pg`iz>|#u-=E$eeSooH2LWtl8$B#%WcxSDGy#wOu7^J9ctvw~@Ww z9#FTa0qYiPz_!H}uy3)4)IK$2?X!k#eYTLj&)zf2x#ef?Y1xa5B7vX1uPr_rDGvJ! zFwK3(XZ`k+_U^#mz7NBDF>D}2j26cu@o>-4T8!9HNQvb4iF!-qXgC({RQ7aQ_H=%G zPv>2G!ZGTkJu#KPsI#5V+V_OJ#GbA@_r&Z-HPhs=r@K?^=|sA1czSq~hHAR~UHbFJ zCnzw|9kZwGj@%nnHz_;1BcJT(E`R%z-s64N=ll8(hhO{`z3(@hsQ)9GQ9XM`3yXCn%d(2-e|wIWs~T0w-oDr7NkFh|J{K@0SI1k z?V(S4K6$oi{n(yB@87LQLiW(cpFvT6ZqXLkw8!J?<|pGBz)5H zeBU$u4>JOVcZ@zX8s(fHMvKNyJRuKrcaNRDdu(WT*#DX(vUp81U?c`kOM}3llnnS8 z7%&#?{%r5=yuLp~9kEz<^WIomck?FUx20_#;g6)PcSW5$ntQGNmbw!j|1D|T-Qn1W z#A#b%A5rWNwCyEJWKopXEZniMcJGdbkwq<$g?+Z3MSV&+W6;8WHCoj7+gMxoLewt0 zo1;pMP>cNM|E*~NHNg54O}=leb$OzjC4_eX;0-RNT7nz(%| zj8r|5+HXGcez*rHt47owvDgY6o*%J*YD$#+-RZU5<9?6-q4dZ6&!qRzv&i(eb^9dT zia7Wy1zdu=*-O>O`c*K5y+_pcP;GgS^0osC!18uVMBvGm2(?5+-6iQQ;T?2{zycz? zNp#qOy+*`_f*#9WY~#ld_xFc!*{vK>kjWDE?@WKb?~~{3eIJFl(Qe~>aObGv1-+SY zKdXBk_@2`1!uM6ZPJGYq9oc7(HPjtvt$J*%u{#{a*@kr)YmHB0M<4yJ|LTm`68?ID zzpDA`b^hAGU;n^g9(+a9f3_~8--raiSL=+Pzh)c;;@{F*wyZS{KltpNHSpRkhB(v7E@bm<>&hIcj?y{mXiD`dcw7`ld@d?%K4vW6h?09g(`+%uOC# z7T~hFBT`#FBfd1d{Oz`&yB{jBU+uXIEOS>&GX1uJ^fgFdGmw7!Kzan}k(RpUnVYEN zu8uVwk?5Q?XbT3-%`E|dLP+0E>$wXj7Mu*GtT`1!@( z2-l8P_w?L{TR#|aXnoK6-U)rBsAK)ngZ85bUE2=2dY|emjBW2cXzx7eI(oo<^nh#I z0ax$e_i0ExVDCKOI{JqF=o_v_@83i_P8zNQ0t_S~_tpKwhy$s8YHz$hGtynGKd=Hi zD7)v=#qE(#4+0*TfW|jBFsiTO^QrYyW>x!VT&$iKx^q)=wOrjxUg1@|7O(2H zdTn02*Wpd^I=wEh+neguyx6%uPvj|imOM4jnrF+i=Q;9H@|=0DbFJ?`Lp~VrR|(V3 zzBsYxm%o0xf9i}z|5f#~>Z<+IXVuU2Uom6aT(VAWy4XK^&a9eg^;h}>Q|hK&F&jbc zx;c%rr(JnPeKEO*{6eH`64@J=^Ge(WNe8#AIi;C{OcT~~6GI8IA4g2;zv|-P1+Cb& zZSK~&bGMq$j>Haq>AYHaqCOigp;Z!>dOO9J8Ojy3!fI8pma{M)GAu~3*|6NIC6R)R zH%6-JTSHZ$){uj0@jcZbmxHdVL1K-8Wu_N(G>4iynsF1~^#+zKrjx0G`ub2PaDJ$8 z`t+Kb!h)LosZ*<}^8HmcO>Ipo!*bEyOD?IX@Omru z^TK5N_iuI3%n!v!@1%~ua>G-<+5hZ;PcrA-g1YoDjkDB}8YXuOR)z%~{JinA=JHeO zE2LLar@Kv99CA6{Bl$wS?9frtN@20u>~_ZrqNh}cSqhzAipSw6O_s6_rGVI&eesS? z_9ILtb49uMyFL}GaIV3oP0mz9u_8~1%G?;>Y)UoT!UyfA+?Ky_A14)U$wn~-Ru7(x zO~la}6p)82bfv_(h)T-Kv&k?YO>OoQ>-<*Ivz5HqrPbhmw(~;C*s0OxplX*BIZ3~oE>N*;`M`Lgi)b;o(QS^Gew+j$ zBe~H{bsS2JKc46-7A=$O+{J%qE>QNevKzeG4hi^^n6+{)I8~*}L1x9c#*bDi;6)@4 zvSTIoio(X4*dJ;LJI3S7KS&v1hy<4;83QBX2WJ^PAP=hL%&4;LevzQOlwX!&{Hkr9 zMID-d1M>4oaa;b3EPvdZWcjMnsiCT}siBV6jwl2pn=Y&>t#XiJ(3<@7{DJ%&f54y* zL}==wP*rn%RkUMow4--phl8_{g~~!zivqMJ;J+Y{KjHj*&RTOxQ%yzF^op*wC%W2p zcD5z6RwEU%yQa|lwLDc<9U!CT^ZfTYZz^` z5cZpOy2$D$7dEFgXl3tS-lK7^g%k`m8nANb6Hn~ix$}vE1RqxGcCXy^^wYa`?RpxP zl$BO?b#``jb#=-MA6DXar?;8y8HtrAS2izN)ZE;>NZ!?JAxg+lN$%~~FAZR^MYs*& z4iQ~pF%->m3FK&4OXbKO@u48M8nnnxwp-jQEcyXUDi_nQx+|^j1D2JsEn8x-*cP*z z^}MR0cp5keIKqzKVy0U%+BhsEimR`g^g6mc#jOj~j`cR~dd|)eVggA_I{(XVX zs#+AA=dkJ!yNBXrwmshlhRs!jLJjcvTQOiiVEV-4{b%8b$1GnyN1OpUw-3?sual3J z{PrO_9!ad?&xo2wKA*R&Aqq-RHNGzBEd)+Jb@3(Pslb6_ne z$N^pe#^B2xq+x7^nb4cF&GVZ+%BD)z?G;oBeZRkwN}=Ji87<-|zkm6I%!!?k|2&CxN$jhnOx&Ni zbIZn6G`X?b zhC)&N-xzgJWmQKx;kshT3gFhf*}bE+0ndrZ*y}m{_pKYm3~sMCI}qb z!#A6rc%lh=$2B!Qu@l8qw6#@0Pn4r&^65&dZQZ}W6{cJ}Wv*-7vZb*xhRvnKk&yr& z>(wleDpm#LMvg+3#ggkk^g*INU>sjJh~r)iM5j3h+HQuYe`8^Li?@MKF-QXp!_-2a z2c-Q%;=N%)`0g;lGaTu*t89sSS?C^6$@#BI14RP-0J^7wJ|U(;5gSzPbajFbBz_N@ zj7`uC% zYK=&f9lgF3jkYEm{rT336?lQ+sx*6BuJz+ejNs84#`5QEvB1CtTCk07mU!XNrl_B5 z#>C@4J`3;nSk9nT&fR%DU9*h*Dh#1>W%^~c@3x=6d2(h;9f=Ru54Z}cmV}O&X9W=H1D-{~Q?Mf;StQ-8)+rVt z%o0-qY{ne_Gs?pUo}@rPn?RqGwnE83%}nipmg(xMncf9k7FsA84b?{tR^s zFX{~>RFC^=cmdEbJ9k3IwDtENJJ!~AtUaN6poyTMP6{X(sF>FM&@QnU^b2$vvYp_K zV9R-S@^(M?a|0bb=iZa<5dc7EAnih(+!s&rq% zKZwE%`vALM;DrJ!dRA1hE5!{yFapx&`}$-1PtR?_1x!@_=kK6aY$tC?tFd>1tB z>t_+iMV9xK7`vhP`tl^`0{B2}q?+>cC97rTOYV$1OwLjvXE&a+r0z&MW~U3-NwGEI zsr^5Q7!*a?EFWd$LSa<0+<@aiiNRppktL>AR&2FqTiGZ3LeW|w{PZ<}FPALP>f!=f z2g9}FAxZG{pa9y=MF4I8Ap-bI2om;}W2Y!9 z_dIxF2pDs0ODAm}X$Upn!NYPt%9n!@ABYkU4IUr3HxXEa46)vV3{f6E13fn=Mn5TV zV&*(r+-@_Iuq>PnzMh4EX+<-;QeI|9BQ5J0V84{|5%uHYULz$|QHptXvC^ zQO{s-<3CG4&53>71^q@2;(wj^*{}a&BdT9|Q0r&IuoK$*bi_1GMPS}N_p(QkbwM5M z3leWLW#4%$8IQtCL{|PO_o$YMswrR(!Uo2>aJD6pUEQ1V$3~pw0|ODK^#g9eI9nxq z0YO`-bOMafVJc1f07mmGR59EIFq-z#lV^1RjMitVlFk2DY!#~{aDmV2uyIsv?Q!1hm|4}5Q{n=Abu z3<2}C-G?Kwr!FeXr7(#KHp~CpP{$^ofANNTK>5lIbyz#_6ntqKEJ{H?Vo868A^QxL zHrw8q3}89(Ra?^h?oL*eFql)$-4~VGhTF)dF-O$7Z+P>-eZvcWcK)8jIc!H80PZZd z==YK1T`q(XdC=l~ohLcqxyR3BVZI%5W1509Rjh!2hv11lL!>*3tQXk$-CMPa58jya z`%mnm_V}hjXf}j3TY*@g$sT>2GauECLpvb2@|vFQ*{@UDG)~252U;1A|NhK7r{$&Q zX?eO2aS5N|v-nh>)d$nt=kTTYoIaP&?MwA(K0t|I_!Ym!4`1JJ^V|Ine~RDfclq7) z7x`RJty?iswJ#_f0qBo>r;mpuMa z8yT%7wksceV;54}@ID|w;wMebAMCsK$a8Z`p23#Vwg5GZJyG>HFN20{R8)pH<(aKf zvSX{s00kPhZv6L>Tb*RfAlqIlssg_?v`xCRH*KOQs(2PpyDkIt_Y z!b##H;J>)>8EiUjQLQ|&2NLT-fs-SuYQ@wT2fz2qAfG)1hiV*YZCqQ1c~F#M?t#^M z5w|DA#8!W3`2#{Kr2O~Jtq@+oPv&7 zU0EgbcYW(P4v6Fyw&HDlNtY8N($*GebCT;kvgrs@&YwTuH@~T=$=ehPg>pg|4vby} zO5|?2HX`^twgZo1NaBGKp+qUZV?^0pmlHn`$snWR*C{xR7;Ct!<6W5*+g*6bSX_fJ zU~biF7b>t#=W4jW7@o|saZEzgfZ}myK>+g+qDa7yWMKEmoHAMJ+zQN`sLY_uvG-6> z$*1U6A>~vCxIwmCYQBX}6<(8mQQ@9Ii_j31#%NcHMf%5O{OJ7Bk zm=Gbl6kWk?9X9OH=wjX%2-cS>)%L2Y!>!xXck>^ZVDP z`tnia_xyoi@Pc5u-ya}5xH&*t9@jNnY>-SBrEjQ}A~QHW6F<$zrmGi-M21T@N*^6F zyi%NLZal#SXT>c#HmGMfm6N^fnCxXmEns|jt*~;p;34d3$vB&A_X%|Ruk{n2c#-8Y zaVH+toS4jKB>|p6gEl#Bh1{SzbgdKPV2_gMP^O>)C+?>1q_>M(b%DV;f)?lsuh`bUErmgoyWN)s0Kc;;^M_X34PPYLHTO zI+L7Io;bmBF0?4~NLb2V{AiIAYI{6?<$yJOaVO>ZoEbC~y=}{MXHbq?GK_*g=J{}4 zj2rP(Q*%tRR^Oe&z!R3h19+3jvu6+z8MDHz40ixW6Sy+gCE>A+Quho39%EE^egY6p zpc24HjH75KT)Wyx8H#+nSR}<>G5`~N0DHJ_z>uSbmm;?>zm2S-QW(Gp9JmO4qc<3X zu*6lySXrd-H3bj>0%gM;b00o59a^P}!4 zRofAg7IYoFQ=tGi7!?0*5L`2;9ycH(=P!`(3LylBRs(fSBs4opZHz+pJaP@i(gzt! z)4{ajp9#|theBzF0zGnxZ~;myg%8CmltZ8n+B*W>P(5N;kQL=r9hq4^f;1U-IWCpuE0>S2jB^2Y1a7Bst69YxW`2upr0*9(l{UH)5Of4G# z#7y7E=?yDZtQfUIwyeXXbk3*mMG24y8cB?39V$hBseQU{*1ZXZcsiboY7|^ z|2#L^f>be%so-L@8$tjLtci3$lPIeB7N+zS9&;t~p=m9mIS1q1m1B2Wt)#T4AYU=C zS2!n-y@Jaj{eN0KXvkdL!K*&;Q`Ub@Sw$(5;Iv~JLIzD5t*Rqws)^KKOlyyZhi}KQ zp)xc$Q#nZE6#xme5pEnsNpWjgd3kVcMnkY%qAHdz(VTp9Wl=C_B|49X28f)339k~7 z-{yyuRfEv=dL);FQt^k%pgCcFl#s(^V0FfY>tMG26oJ2L@} zCS^|nK%P&D7My{wne#x62?_Db0X;K;D#M7G8A4tBJSdsTcw1>Mf34V;%2zwS$u$9VF`#ptcJ0e_`c6M z91^V*4mBKn>T)QCS%G$ZP~72Q+wQ0YvIc2s&LbN}47G-v*mg8AE+jGcXFmDy&qv zv(-WZyQV`%!Gjm>+}|fiz)uh9zt^L6k7aPS~Fk zEF}UrHa6xq7V?dTs;a8YD&&DMWU!?wfJ!<6`&_F?Opz#uTTA6Fd1=C8g9LF%xJ=JIE)tCt;D&u?%-kS?hke3p9@V_rv7gxf5nN zz=@-xFu|LeP?uzuxm6u_TRx5&@_D`lIx%n~6ooB|8-Wni!H^s1c^9nY@)ZXU9)z8~ zYZrQhqNJ^yY3pVV3>o44s8`L849#=>ZI(EXei}HNa1(saZe`qb`5ofd^12 zw9(BZdKjC>NqeTHL|95_B%)X#Hd?3`QW`4KZYF)Xi0S)CX=c1-y=Q+;lTmP9QdfZO!dxXR8L^5o_e+hs%(~k-Mu>b1s;~kq@mxAPR4Em1 z4X_@9vdExK+G@mKvc)ETR`YUGuD=N$xv3hIS(qjClT;5Rg5?%+fKdeOO0(1gx%dcI z`2un?88$u?>M|n;kuo)e5iCb!f_J^N;7O?^%m>*_k`MX=POA6&^I;OkZ+y?n;6JD# zq}D(~OQ9E>>|&x2Q&S8nr4m*(CcEE@pJ}k|u4$K0KC20a5$!xi@AxEbG{M^TFOZ8F zq8L+ceN)@ZV^F5A5w;>-ca!!lHp=6Fl~5T7P_eGa1jsVlv!XCyRfAFJjU0L>r>v%C zD8#pcG|ME-lSF0_U$B5Gp|P>z*gpnep<{F!A%@)P8i?K z9E@?Z?F~#}8e+PzFP=d!u}8rQBOVK}Mv14ZOLhW5ckT!7eF1Ww0=!@!;1qCyjkYKO zQ-`5w15k8D7g+yb?yiHm;EGj57BX6FwBm^*7T^4>+j-5Oq9rxPtcp2SglSUXr(}C zGBra*-pQaww#@4T_`C@q5)=dw6{n6;l?z0HB5q5y4EXH{=IEzw9Bh5FFo3Oi5KVB9 z2bcxRb!fEULkU;5EE38~N)|W`3gqW?$=>O;1G|mtb}1zcCV|54JBZVZcD;QU_T@wkN_Nj zbi!;}$+%(~MK`mLh|YlZZAQgBH)k5~-~oNfV5#_9vc1MF{M;z4sF#s;GYA&XR~;!L zHD!dzPqB_p;Q-3>(ykiedfo{xM3tF3)H$nloQKi(9B>3IFT25=oK!`Kw~lpz;q1;QA?CcVG%41ykUY0keGN5IPgJN`mDm z<0k{b(fpt-1G;j+89!#?*-u3}iue>kpqCNWl-{<)FKJ)>IO&_~$aCqSQ_dk%h{828 z3K}Ad32iA5wVw(jQQQK!@)~T+CIH+P0>EJ%k}EjoDbbfLu4ZyzSc-78VOZ+mwihJ^ zb#wv*)7dCW03k+C_+SzcBO)l6G@cj;*>^whtiet8#ZD*dDW3f)R84?pql8H-702V? z+YDL@vr0i@@AmGHMigHAJs!VCJrL={ zSfE8>Ac8s&3E-F=9BY$T&fV?jxO)+JlZj$_^ti~>*9+f(i+)YQMduf`H(W>TAWO_t z6vauH%+CfC`aYs?z=k@X{0bz{4B%$rC+E}H*a~2AJhSyaSeDt~hLH)*&KACuy%HT; z#HIQH5#UhjdXevH5&ZsvEH^$PgN_L|xk38OGBgPBZIDi~PS;51`66nN{xYmr2nUQR zsh8#^eP1Ahj2fC@$_8L4zxF}s-t?dWB4%LnbK-}{35$h8{ksTZQ&hmdbR6?=xxR+a zan#uYOM2;u1DP(mYd({}CZ@UI0@D{ zWpF(ZPl(5t8e$9s+OiD99gVTyb)r8o;jT%%GLpeZ38_Z@j>J6_58AnSm>`{|6?Yxq zV+l-v>p)(FK{+(qAcK&=+aZ220*lg=L^O5*b74BpgdFP6a-&7i zY5QL(*1nWHafDxtmZ1p-=x@Gv`nr#zR5ZkY?Kk~b_Ytd}tMI6;pdT=@A`svl652#T zCrlTCUHsG&!KOoG! zDF;DI_C*agl0m|I5ShJ@yQqo+Jbnl*(@!WZXP!*(7dRKdule8lt@*Zm zd%hz-CExiM*qL)|GUh+jJ7?dr^oAS!Gne1A*gt*g*IRB`y6o#jJnJEF%T3oUx_)u9 zf8lM#{<%w+En4iqYVmd7SbUSe;v0Nb*}V8V8agwgG)R9hyl~3Auj6%X5n8D4J9)0=cm!E$6=_j6eV&le*Py+~k-YRH5|5)Gf&Bt6EFI-M9n~_BTBAEE7 zwHC)uAa@>Z499Pu@vgw_c~s_o2U$jPE}lyz&tah)W7ih1wG6b+OE!Xw>cixU01RliHkDf!pUb`R;p;m-a--CFRDz2# z?Mc$ps6anytJPO19^EgV)%_~}wj>@e0lIF(d?2=g;_nTcqWO~G(F&O3h7mmM1GwZW zbA<=(0s)k4^3>xjB=`(5031NWEWnimXEK8_k!j5LVc9vZBp+@Tc=434iK)SX0}W$g z_VH2=^9dM)`6a<29^HZjSFr> zZWbu%0da>@(gO+kGDz8~JS;q`Q9780xdSl~@I#02G~yb93vPHBf>BE@W|B7YJc#r( zQi9CHmne`xbP~HDcrc`1jIB9tM|sRj87r74&PL9sxTGXG^|#0~l$v+3%z8dT-A|HL z3n*wpq4J{efaMgYg37PgP#Z{E%A~#+G`2bv%um8tj`BcWfk_GK*OFKJH4Iri0bRP= zwvA<@z>6UrfEi;L#pB%xlIuZ>cLb=zgbttqQ*fT8P2}NVKq}P_s5fW}1*H0@wq0oV zDeNMWvEIR?x^JRu~G3T6n1LV{2r>Hwj#@G?xfJ3&wo4RY*gB#_OE3RoHprkxj$ z_>fTQLj{HxHF!}2!$7Hal5|aY7;1UYN_hwkheL8Ga880if?gg-Dh40@FOv)ag0Uh< zQVe$kj<=*}k5^vd!7l-TwGX*V^)=*W8D=svL7mzPNq(sZKt@nu3KP9llh0p| zvDfcL@_I<2-yYX|;Mh{%(_E73@@Q#pFGh&*u~dJB%6w1o$&=u=v5DqG_=W;DQ~MJj zb_s)uQ=f>}iM0&XH=y|S)C5T0L>cue2QI@z3Dp$#2<*qO$v+Scs%4*8Of%!x-vttY+ zH>xU;!iZZ2Mit|&y}?QdEwi$;^J6%$&@vzzgOBmp89a6dkCXg?E6f9akBga1KtrN&x?V0k02EGnP5*mwmo~!X znCs-m>QM*O8=KUndWGAnM_uvwI{mPnMNmuz4|TI#jNA3m(IH=^(zCDzu(6L2_863@KZgFfh`rBa=hDU6dg!)__v}R=HSFE)z|SfijdA|FcJ0`TFzF`OeVv>I&7G)p9WJ8 zUs;UtI6lu8kYN$GYn2MiO8obdOel7^y#wT7zV(5L4M~_GLk*lXB!c;_;Irfqmr88C zRE{wgL$bs#iPB z)&Av6{PULn^(~99KmTTb-EB8rH^G1N@?~EiKYl!L4xZqY8y2@+x2zeS->l^~-?IE0 z=T|pkldRyRA>QAG7Y9q}Dy2Ga@&edgSts!8|7l%$Fa`A>d8@;BZUVDswIg+HUpSds zaqVi@16j~olbe53kxae$z9(lO^--ig^ybZGs;BIovS+ig&Vq<*jv!@0@Nj@GKc z_PtHnnDJxbrJ&D4Jw7NmuGgOdUn~ovHd(OSB9oBf3d&`fa9`g|QxTAXEsBC&)tDI% z9T!m53V3X0{`5>M6eR$5Q6pT-#zqzEuCYGIVwa(jeg$K#kns`Ex0vXARKHz~x3Fu8 zw1rai-epO*VZ~Sr8Wk_)*>1SEq@4nRVtgh+f(;u-+poizPMVYgW$lL$pJ#(&Dladh zQIjU|I>Kls+l@g|C;EfIMoJA*UJ8aF3?pL;QR;eJ!g0W*rIE&$mv6x@zk~*J+|f@d zu)k2}jn#NhV4yKhDlv>~ieIjwJKT81mhvLPSp(CjPwOS;TDH)fWsRRQpL)Mo-p}%3 zz!{sesVpmi^NhrKAUx>p*>}YJOS}WYjNCn1Ek(C<4ZM` zuZXd{vIW)S>sNrKvY?YE&wY5jNqX;}$Wb%7NDs(#`O4X|R;`{h7b-#8Om4~oyThi= zf@zk8)E1McKzfzz7|<{kFKWdMs#ac?%ni?VjC9%@H;{f4{3kHse1tgW&zDRHlk3(k zKim>~Y%3I5%RPT{PuIHju*#QE7LPA<*sZf0W;f2cYL3GsaFRPGs8%hcvV(EE>d_{s zQhnl78LevNd}Y;_sV;pgS<_bRRa9wRNy6R;6h zDPTUvtF7z=A45~K%!0h|`g{TWM#K^3(=2}&Kd5hHXM&m5>)1lddKj>6qXFlmig z1{U1z%`PJ42A0_@tbv^e&gTlhzK`G7W70pr&v&`Wl1ix(590Bs{5G2{b6E~P=Rt^; zXJ=<+jTph<1UD@-ncPMNyhQAD4(UP`Y_>4g{DVk`X#oayIdby{rXad}2ZBu_M~=*a z2`hU_DHc3@%h_bW1NriRzdeuxR5%-R=HU8Bx~Br0a7UDkz_$&R&GtYjtT)glhGE=< zY3tko#*++>pb2O|ij*cMDxhsDn(lf-MX~0Ho3g@F1bl8AOzn7@G}1Cr#x|cj4KW6e zHTZ>W^-@!iZvLtS$+Hj${ph2Q!i)xSP6z3Q0BP`q?N7$(e2ZlaDQ5BRGeWfolgr?G zwNEG+I|d(!fX8=}1tiGIt*}7sM(30X@@JJmcce*<^zF7RzpUc2$(2*WR6Fa^%Bj^g zQ^{WlgAXFNjMp}uGUX=nz>bTqvQ?xi|%e3lgxKTl6Rg)_kCE%5% z1t5Yei_b3}^R;nbGsSONLi|ouBW!XH$h=5^D!gzoswwM3?KhS9nbntLeYVtOU!=XV zAo85ilt{nSUN&g2w@jg%`c;`l*0SzbTeE4H=q>vb)mGU={N5{@hKkt@lU6sjT8LLJ z!E{E0(qFy)jyc!2&%NQ!JFkkit{!&hj_CF6kKAzQrcF0uX5o-K=e@eJYu$pI)`f3g ze_d<^CVLN*mL-XD7P%NSuT09A8Yc^E3ZoAU4LhoKw19S!g;Yb`fJa9&qfQP6gW0B~ zHDPoxXy!QG?is>t%7hV0Tc(ni>A@_HEIXtD9OFsBf3TMioHhb%wGEb@)a=V%mvNFDr+nH=v{)9VA-BsRjm|Mbs+Vh zky^YmVdbtmqrttZ`rHj}Z#DK@fzHW+8Q~ImCBXF(6H25MH*SYsm@N=RzP7i1g{LBGPs$D$H9exHxDC*mk)B&0AQPi zBkX;qvi0x7;801+5db2Wo+GkQ?83>I^e}nyY2eKs`nyWU0B$oNv)8~iqWTmxHp>AZ zWtf9{kY^=;RWD8%cQJbUbMX5C^8MzaUEaeD*I7{9O{2=o-IQV@NRtni)d(MO-2BQ5 z${H@_8hpWi1aeRT`x@=S@EQFGm!Trm;4|7q?x{c?f3*RonFeDALWU>|!?txKO<_|F zX(>{!z^MoyvlL3yj{h2FjhF2{FiE5QHB>siM$3|Dt%7n6QRH^RoPmXjs|r%@#ImcT zqNQWNpkZ|T`#eBa?J0r>hqDXi0L>K~Vn_S6=U64^DBcHQo}C4Q&N0GbUzeR-h5!>} ziS7R^m}=6!Oe7_on5+;on@RTNIp-I`Y;)9%%qoI(fH|be4U>+|ZZj7aR%U6IssLOk z4K(D0ZEA}16K50WGl}R~#90rCreU7&nS{0sZZR4L9Y5# / dSr + +; For LoveByte 2021 + +; 223 -- initial +; 220 -- update end +; 219 -- store color already multiplied by 17 +; 215 -- load Y0 directly into X +; 211 -- load X1 directly into H2 +; 208 -- add subroutine to read byte into A, no need to save Y +; 205 -- optimize end loop +; 197 -- only update color if changed +; 194 -- pack color in with the other 4 bytes +; 191 -- do more common stuff in load_byte +; 189 -- change how end is detected +; 260 -- add sierpinski code +; 255 -- optimize FRAME count +; 248 -- realize PLOT doesn't have to be fast +; 251 -- add pause at beginning +; would have liked to have more elaborate startup, no room + +; LoveByte Rule is 252 bytes (there's a 4-byte DOS33 header) + +; zero page + +H2 = $2C +COLOR = $30 +X0 = $F0 +XX = $F1 +FRAME = $F2 +Y1 = $F3 + +; soft-switches +FULLGR = $C052 + +; ROM routines + +PLOT = $F800 ;; PLOT AT Y,A +PLOT1 = $F80E ;; PLOT at (GBASL),Y (need MASK to be $0f or $f0) +HLINE = $F819 ;; HLINE Y,$2C at A +SETCOL = $F864 ;; COLOR=A +SETGR = $FB40 ;; init lores and clear screen +WAIT = $FCA8 ;; delay 1/2(26+27A+5A^2) us + + + +;1DEFFNP(X)=PEEK(2054+I*5+X)-32: +;GR:POKE49234,0: +;FORI=0TO29:COLOR=FNP(0):FORY=FNP(3)TOFNP(4) +;:HLINFNP(1),FNP(2)ATY:NEXTY,I:GETA + + + ;================================ + ; Clear screen and setup graphics + ;================================ +boxes: + + jsr SETGR ; set lo-res 40x40 mode + bit FULLGR ; make it 40x48 + +draw_box_loop: + + ; get color/Y0 + jsr load_byte + tax ; Y0 is in X + + tya ; check for end + + bmi end + + + jsr load_byte ; Y1 + sta Y1 + + jsr load_byte ; X0 + sta X0 + + tya + lsr + lsr + sta COLOR + + + jsr load_byte ; X1 + sta H2 + + tya + and #$C0 + ora COLOR + + lsr + lsr + lsr + lsr + + jsr SETCOL + + +inner_loop: + + ;; HLINE Y,H2 at A + ;; X left alone, carry set on exit + ;; H2 left alone + ;; Y and A trashed + + ldy X0 + txa + jsr HLINE + + cpx Y1 + inx + bcc inner_loop + bcs draw_box_loop + + + ;========================= + ; draw the demo + ;========================= + ; screen is from (11,6) - (20,23) + ; so size is 9,17? +end: + + ; A comes in as $FF which is fine + ; actually frame value doesn't matter at all +; lda #0 +; sta FRAME + + + ; pause a bit at beginning + jsr WAIT + +sier_loop: + + lda #100 ; Wait a bit, we're too fast + jsr WAIT + + inc FRAME ; increment frame + + ldx #17 ; YY + +sier_yloop: + + lda #9 ; XX + sta XX + +sier_xloop: + + txa ; get YY + clc + adc FRAME ; and add in FRAME + + and XX ; and it with XX + + bne black + lda FRAME ; color is based on frame + lsr ; only update every 16 lines? + lsr + lsr + lsr + bne not_zero ; but no color 0 (would be all black) + lda #3 ; how about purple instead + +not_zero: + + .byte $2C ; bit trick +black: + lda #$00 + + jsr SETCOL ; set top/bottom nibble same color + + lda XX ; offset XX to tiny screen + clc + adc #11 + tay ; put into Y + + txa ; offset YY to tiny screen + clc + adc #6 ; put into A + + jsr PLOT ; PLOT AT Y,A + + dec XX + bpl sier_xloop + + dex + bpl sier_yloop + bmi sier_loop + + + ;========================= + ; load byte routine + ;========================= + +load_byte: + inc load_byte_smc+1 ; assume we are always < 256 bytes + ; so no need to wrap +load_byte_smc: + lda box_data-1 + tay + and #$3f + rts + + + ; 4 6 6 6 6 +box_data: + .byte $00,$2F,$C0,$E7 + .byte $01,$2B,$0A,$9B + .byte $28,$29,$43,$D4 + .byte $24,$27,$43,$D6 + .byte $20,$23,$45,$D7 + .byte $1C,$1F,$48,$D8 + .byte $23,$26,$07,$8E + .byte $24,$27,$08,$92 + .byte $1F,$1F,$0D,$92 + .byte $2A,$2B,$43,$54 + .byte $2C,$2D,$46,$53 + .byte $2C,$2D,$14,$97 + .byte $08,$16,$1C,$9C + .byte $02,$1A,$49,$D8 + .byte $04,$18,$0A,$95 + .byte $06,$17,$0B,$14 + .byte $15,$29,$22,$A2 + .byte $13,$28,$22,$A4 + .byte $13,$14,$5C,$63 + .byte $15,$16,$5B,$61 + .byte $17,$2B,$59,$E1 + .byte $18,$20,$1A,$20 + .byte $22,$2A,$1A,$20 + .byte $1C,$1C,$5B,$60 + .byte $26,$26,$5B,$60 + .byte $1F,$20,$DF,$1F + .byte $29,$2A,$DF,$1F + .byte $19,$1E,$5D,$5E + .byte $23,$28,$5D,$5E + .byte $02,$03,$17,$D7 + .byte $FF diff --git a/demos/lovebyte2021/a2inside/file_id.diz b/demos/lovebyte2021/a2inside/file_id.diz new file mode 100644 index 00000000..d06c2a76 --- /dev/null +++ b/demos/lovebyte2021/a2inside/file_id.diz @@ -0,0 +1,5 @@ +A2_INSIDE +- +A self-portrait of an Apple II, running a demo on its screen +256-byte Demo for Apple II, Lovebyte 2021 +by Deater / dSr diff --git a/demos/lovebyte2021/a2inside/hello.bas b/demos/lovebyte2021/a2inside/hello.bas new file mode 100644 index 00000000..4fc3367d --- /dev/null +++ b/demos/lovebyte2021/a2inside/hello.bas @@ -0,0 +1,8 @@ +5 HOME +10 PRINT "LOVEBYTE 2021 A2_INSIDE 256B" +15 PRINT "BY DEATER / DSR" +20 PRINT CHR$(4)"CATALOG" +25 PRINT:PRINT "PRESS ANY KEY TO 'BRUN A2_INSIDE'" +30 GET A$ +35 PRINT +40 PRINT CHR$(4)"BRUN A2_INSIDE" diff --git a/demos/lovebyte2021/checkers/CHECKERS b/demos/lovebyte2021/checkers/CHECKERS new file mode 100644 index 0000000000000000000000000000000000000000..1574bab3024d1f30075995c458b25b8d9eeb177f GIT binary patch literal 32 qcmV+*0N?*0K>OzWq9>pynC1M1@rp(9AY}N8AOQG?5bnwl=`iCh;Su)$ literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/checkers/Makefile b/demos/lovebyte2021/checkers/Makefile new file mode 100644 index 00000000..3cd0c661 --- /dev/null +++ b/demos/lovebyte2021/checkers/Makefile @@ -0,0 +1,46 @@ +include ../../../Makefile.inc + +LINKER_DIR = ../../../linker_scripts/ + +EMPTY_DISK = ../../../empty_disk/empty.dsk +DOS33 = ../../../utils/dos33fs-utils/dos33 +TOKENIZE = ../../../utils/asoft_basic-utils/tokenize_asoft + +all: checkers32.dsk + +submit: checkers32.zip + +checkers32.zip: CHECKERS checkers.s file_id.diz checkers32.dsk + mkdir -p lovebyte2021_checkers32 + cp CHECKERS ./lovebyte2021_checkers32 + cp checkers.s ./lovebyte2021_checkers32 + cp file_id.diz ./lovebyte2021_checkers32 + cp checkers32.dsk ./lovebyte2021_checkers32 + cp checkers_720p.mp4 ./lovebyte2021_checkers32 + zip -r checkers32.zip lovebyte2021_checkers32 + +checkers32.dsk: HELLO CHECKERS + cp $(EMPTY_DISK) checkers32.dsk + $(DOS33) -y checkers32.dsk SAVE A HELLO + $(DOS33) -y checkers32.dsk BSAVE -a 0x070 CHECKERS + +### + +CHECKERS: checkers.o + ld65 -o CHECKERS checkers.o -C $(LINKER_DIR)/apple2_70_zp.inc + +checkers.o: checkers.s + ca65 -o checkers.o checkers.s -l checkers.lst + +### + + +HELLO: hello.bas + $(TOKENIZE) < hello.bas > HELLO + +#### + + +clean: + rm -f *~ *.o *.lst HELLO CHECKERS *.zip + diff --git a/demos/lovebyte2021/checkers/apple2_70_zp.inc b/demos/lovebyte2021/checkers/apple2_70_zp.inc new file mode 100644 index 00000000..53e9497e --- /dev/null +++ b/demos/lovebyte2021/checkers/apple2_70_zp.inc @@ -0,0 +1,12 @@ +MEMORY { + ZP: start = $70, size = $80, type = rw; + RAM: start = $70, size = $8E00, file = %O; +} + +SEGMENTS { +#CODE: load = RAM, type = ro; +#RODATA: load = RAM, type = ro; +#DATA: load = RAM, type = rw; +#BSS: load = RAM, type = bss, define = yes; +ZEROPAGE: load = ZP, type = ro; +} diff --git a/demos/lovebyte2021/checkers/checkers.s b/demos/lovebyte2021/checkers/checkers.s new file mode 100644 index 00000000..0447930b --- /dev/null +++ b/demos/lovebyte2021/checkers/checkers.s @@ -0,0 +1,98 @@ +; Checkers +; vaguely based on a scene in Hellmood's Memories + +; 32 bytes, for Lovebyte 2021 + +; by deater (Vince Weaver) + +; 42 -- original +; 39 -- not fullscreen +; 36 -- now marches oddly +; 32 -- colors now rainbow + +; Zero Page +BASL = $28 +BASH = $29 +H2 = $2C +COLOR = $30 + +X1 = $F0 +X2 = $F1 +Y1 = $F2 +Y2 = $F3 + +TEMP = $FA +TEMPY = $FB +FRAME = $FC +TEMPX = $FD + + +; Soft Switches +KEYPRESS= $C000 +KEYRESET= $C010 +SET_GR = $C050 ; Enable graphics +FULLGR = $C052 ; Full screen, no text +PAGE0 = $C054 ; Page0 +PAGE1 = $C055 ; Page1 +LORES = $C056 ; Enable LORES graphics + +; ROM routines + +PLOT = $F800 ; plot, horiz=y, vert=A (A trashed, XY Saved) +SETCOL = $F864 +TEXT = $FB36 ;; Set text mode +BASCALC = $FBC1 +SETGR = $FB40 +HOME = $FC58 ;; Clear the text screen +WAIT = $FCA8 ;; delay 1/2(26+27A+5A^2) us +HLINE = $F819 + + +.zeropage + +checkers: + + ;=================== + ; init screen + jsr SETGR ; 3 +; bit FULLGR ; 3 + +checkers_forever: + + inc FRAME ; 2 + + ldx #39 ; 2 +yloop: + ldy #39 ; 2 +xloop: + + ; calculate color + + ; color = (XX-FRAME)^(A) | DB+1 + +; sec ; subtract frame from Y + tya + sbc FRAME + sta X2 + + txa +; sbc #0 + + eor X2 + +; ora #$DB ; needed for solid colors +; adc #1 + + jsr SETCOL + + txa ; A==Y1 ; 1 + jsr PLOT ; plots in (Y,A) ; 3 + + dey ; 1 + bpl xloop ; 2 + + dex ; 1 + bpl yloop ; 2 + + bmi checkers_forever ; 2 + diff --git a/demos/lovebyte2021/checkers/file_id.diz b/demos/lovebyte2021/checkers/file_id.diz new file mode 100644 index 00000000..5eb0f62d --- /dev/null +++ b/demos/lovebyte2021/checkers/file_id.diz @@ -0,0 +1,6 @@ +CHECKERS32 +- +Scrolling lo-res rainbow checkerboard +32-byte Demo for Apple II, Lovebyte 2021 +by Deater / dSr + diff --git a/demos/lovebyte2021/checkers/hello.bas b/demos/lovebyte2021/checkers/hello.bas new file mode 100644 index 00000000..3528f3ad --- /dev/null +++ b/demos/lovebyte2021/checkers/hello.bas @@ -0,0 +1,8 @@ +5 HOME +10 PRINT "LOVEBYTE 2021 CHECKERS 32B" +15 PRINT "BY DEATER / DSR" +20 PRINT CHR$(4)"CATALOG" +25 PRINT:PRINT "PRESS ANY KEY TO 'BRUN CHECKERS'" +30 GET A$ +35 PRINT +40 PRINT CHR$(4)"BRUN CHECKERS" diff --git a/demos/lovebyte2021/fakepal/FAKEPAL b/demos/lovebyte2021/fakepal/FAKEPAL new file mode 100644 index 0000000000000000000000000000000000000000..379c550efaccfad5a16be538ab61f124a31d9000 GIT binary patch literal 123 zcmV->0EGV_K>I9Gz@iVJ4>+~kdD{R=jQ|4Xf`}0D$`I`4zNMzn0f@d HELLO + +### + +FAKEPAL: fakepal.o + ld65 -o FAKEPAL fakepal.o -C ./apple2_70_zp.inc + +fakepal.o: fakepal.s + ca65 -o fakepal.o fakepal.s -l fakepal.lst + +### + +clean: + rm -f *~ *.o *.lst HELLO FAKEPAL *.zip + diff --git a/demos/lovebyte2021/sw/apple2_70_zp.inc b/demos/lovebyte2021/fakepal/apple2_70_zp.inc similarity index 100% rename from demos/lovebyte2021/sw/apple2_70_zp.inc rename to demos/lovebyte2021/fakepal/apple2_70_zp.inc diff --git a/demos/lovebyte2021/fakepal/fakepal.s b/demos/lovebyte2021/fakepal/fakepal.s new file mode 100644 index 00000000..42f4ed35 --- /dev/null +++ b/demos/lovebyte2021/fakepal/fakepal.s @@ -0,0 +1,236 @@ +; A 123-byte Apple II Lo-res Fake Palette Rotation Demo +; The Apple II has no Palette rotation hardware, so we fake it + +; For Lovebyte 2021 + +; by Vince `deater` Weaver (vince@deater.net) / dSr +; with some help from qkumba + +; 151 -- original +; 137 -- optimize generation +; 136 -- align lookup table so we can index it easier +; 130 -- optimize indexing of lookup +; 126 -- run loops backaward +; 124 -- notice X already 0 before plot +; 131 -- use GBASCALC. much faster, but 7 bytes larger +; 129 -- run loop backwards +; 128 -- set color ourselves +; 127 -- overlap color lookup with sine table +; 119 -- forgot to comment out unused +; 121 -- make it use full screen (40x48) + +; 149 -- add page flipping +; 144 -- optimize a bit +; 141 -- smc DRAW_PAGE +; 139 -- from qkumba, remove php/plp +; 138 -- from qkumba, remove SAVEX +; 133 -- run from zero page +; 132 -- make lookup 8*sin+7 +; 131 -- re-arrange sine table +; 128 -- call into PLOT for MASK seting + +; urgh lovebyte wants 124 byte (counts header) + +; 127 -- base YY<<16 by adding smc, not by shifting +; 125 -- realize that the top byte wraps so no need to and +; 124 -- re-arrange code to make an CLC unnecessary +; 123 -- qkumba noticed we can use the $FF offset directly in page flip + + +; zero page +GBASL = $26 +GBASH = $27 +MASK = $2E +COLOR = $30 +;CTEMP = $68 +YY = $69 + +; soft-switches +FULLGR = $C052 +PAGE1 = $C054 + +; ROM routines +PLOT1 = $F80E ;; PLOT at (GBASL),Y (need MASK to be $0f or $f0) +GBASCALC= $F847 ;; take Y-coord/2 in A, put address in GBASL/H ( a trashed, C clear) +SETCOL = $F864 ;; COLOR=A*17 +SETGR = $FB40 + + +.zeropage + +.globalzp colorlookup,plot_lookup_smc,draw_page_smc,frame_smc,sinetable + + + ;================================ + ; Clear screen and setup graphics + ;================================ +plasma: + + jsr SETGR ; set lo-res 40x40 mode + bit FULLGR ; make it 40x48 + + + +; color = ( 8.0 + 8*sin(x) + 8.0 + 8*sin(y) )/2 +; becomes +; color = ( 16 + (sintable[xx&0xf]) + (sintable[yy&0xf])) / 2; + + ; we only create a 16x16 texture, which we pattern across 40x48 screen + + + ; I've tried re-optimizing this about 10 different ways + ; and it never ends up shorter + +create_lookup: + ldx #15 +create_yloop: + ldy #15 +create_xloop: + sec + lda sinetable,X + adc sinetable,Y ; 15+sin(x)+sin(y) + lsr +lookup_smc: + sta lookup ; always starts at $d00 + inc lookup_smc+1 + + dey + bpl create_xloop + + dex + bpl create_yloop + + ; X and Y both $FF + +create_lookup_done: + +forever_loop: + +cycle_colors: + + ; cycle colors + ; instead of advancing entire frame, do slightly slower route + ; instead now and just incrememnting the frame and doing the + ; adjustment at plot time. + + ; increment frame + + inc frame_smc+1 + + ; set/flip pages + ; we want to flip pages and then draw to the offscreen one + +flip_pages: + +; ldy #0 + +; iny ; y is $FF, make it 0 + + lda draw_page_smc+1 ; DRAW_PAGE + bne done_page + dey +done_page: +; ldx PAGE1,Y ; set display page to PAGE1 or PAGE2 + + ldx $BF56,Y ; PAGE1 - $FF + + eor #$4 ; flip draw page between $400/$800 + sta draw_page_smc+1 ; DRAW_PAGE + + + ; plot current frame + ; scan whole 40x48 screen and plot each point based on + ; lookup table colors +plot_frame: + + ldx #47 ; YY=47 (count backwards) +plot_yloop: + + txa ; get YY into A + pha ; save X for later + lsr ; call actually wants Ycoord/2 + + php ; save C flag for mask handling + + ; ugh can't use PLOT trick as it always will draw something + ; to PAGE1 even if we don't want to + + jsr GBASCALC ; point GBASL/H to address in (A is ycoord/2) + ; after, A is GBASL, C is clear + + lda GBASH ; adjust to be PAGE1/PAGE2 ($400 or $800) +draw_page_smc: + adc #0 + sta GBASH + + ; increment YY in top nibble of lookup for (yy<<16)+xx + ; clc from above, C always 0 + lda plot_lookup_smc+1 + adc #$10 ; no need to mask as it will oflo and be ignored + sta plot_lookup_smc+1 + + ;========== + + ldy #39 ; XX = 39 (countdown) + + ; sets MASK by calling into middle of PLOT routine + ; by Y being 39 draw in a spot that gets over-written + + plp + jsr $f806 + +plot_xloop: + + tya ; get XX & 0x0f + and #$f + tax + +plot_lookup_smc: + lda lookup,X ; load lookup, (YY*16)+XX + + clc +frame_smc: + adc #$00 ; add in frame + + and #$f + lsr ; we actually only have 8 colors + + tax + + lda colorlookup,X ; lookup color + + + sta COLOR ; each nibble should be same + + jsr PLOT1 ; plot at GBASL,Y (x co-ord goes in Y) + + dey + bpl plot_xloop + + pla ; restore YY + tax + dex + bpl plot_yloop + bmi forever_loop + +colorlookup: + +; blue +.byte $55,$22,$66,$77,$ff,$77,$55 ; ,$00 shared w sin table + + +sinetable: +; this is actually (8*sin(x))+7 +; re-arranged so starts with $00 for colorlookup overlap +.byte $00,$FF +HACK: ; use the $0200 here for (HACK),Y addressing? + ; in the end no way to get Y set properly +.byte $00,$02,$04 +.byte $07,$0A,$0C,$0E,$0F,$0E,$0C,$0A +.byte $07,$04,$02 + + +; make lookup happen at page boundary + +.org $200 +lookup: diff --git a/demos/lovebyte2021/fakepal/fakepal128.dsk b/demos/lovebyte2021/fakepal/fakepal128.dsk new file mode 100644 index 0000000000000000000000000000000000000000..5c08deedbbaa777b4aa26c25f51c1f5fb7921694 GIT binary patch literal 143360 zcmeEv31CxIy8pR1+s)D}Ewy1Oxj=yyDJ`pI5mMSpz|e(FivkfvE3ztR$EQ+fD35U( zsLZ&{Pzi+siA^b%P!TGK;7|mN3vT0rjv_lj1#u()-*<1)g~dT<=DqR#4K(-Mv)yyg z`PSce?hRMfy-^#qR$V;`AMN(iVcwfdhg$vTg_&W&eO{;u3+eF({$-=7!%cwS8V4tYNaEJm-@s1%&hpcB+e-o(V)*47GMw$43i)1!9( zu7LCPHU3GPLc+<0Ku~e=g@Bi##Cctnduu>kw?;f#>3p!#6SrY_u+8r63Bj*DZ8r>m zYIR`u_Qc>>=hiiq;U$wc1<$e{`-nGw@7*Du_`%DG@BQHQQ;H$wx^$aO+9gNomwa9C z<=p4$-AC*F2kYG**L!*Q@p`Y)eWJel^ZLbQr>Xd>`bTJnd|p;@PFYc`6#rOX8UFK< zWA)D6+b{{vg%$qK>oZQAruIm=PuK59yQYqi`l=pnsObDA>z!Iu@aj-E;|S*tZ^ETe z7#XDhv-;{!>%~BQ^@;k$W{t;m^yvQM^<^ih$NeAI2S0KN#Ug8{RU}(o$r&#H)&O65VSS9oD zi#H4%HI!|ozo*!i!v`mnpQvO{1`k(`Z(v(fhE=ewd(3BC8H>6vDONYQw>K==p8kNJ zZ7uEQ4OcAPo>;csQFPR!bQFo3pIaO}=RF`k_ng;9Uw(W&>6s8zY-U>v!&`g}DbKIz zUV$FUwl5AItLk>NkV%;}#cDZsGuyH|cvP+@Zh6l8VN+MfC>6#Ru8!s|tD^`_aBY`| zRZiHG{vDc5W?OsE-=jl!@9Y*l=JJS(L${!^`MZ$^f?pk#QjNag7oG`n(jWZX%N8%} zww0s#>ovo4CKGpdA?uRZFf+4s9Weux%@yd z6fMWq6msU{bhXm%(n*ifZql)9x2JltobHRwpRWtn%hf&%)-<(UZFMcWy0pi>Vr6J7 z+II67D@(g)eAXk>w^lPUe6mZsmMcw?;!Pw=P3Gxp}kYd67L|)!izd z-n==H(ucYQU&6tP4pJ07R9E{NO17`L+U4_jDlL10KODL)$R8R3QVfP%TzYbn*fFsK zedhG+*4Y+GKmNp@|5EYviZyGWsrJ{bf3|L8U{n3(=eKNacwyTs`}V*3##?W{``+K) z|KP)qK0EaJk)y|spE!Byi!Z-AbN1_RzWwg|AI^vC>O2SQUfR|CUAN2U`E8x_y{oRy zxA?b_@11qEiy8OiQ@moiQl<8+tX#FaN+YcE`m{Q|A*ObN(PXy7#y!_2{;C9P+jiSu z-0{-RT`&K2cjKP+dpjg{48Hcd?ac#Xr*}GcN$T3Id-B1LKl%HoDLt+}+%vUTT6*t3 zq0@c)^&fCe#<_um1`oM*=rB%aF&cV`yjjg(%WH)$orQKh&je=bEC`JCVCLj@JmUq6 zPT-R*+`!JgIh9pRrs`T=)zi#`UQER&Tlw@Zyx2!+;oI;6*HJX4bxFs6Lqd;X-Mge; z((PV0y5cLvEc8xGvCx-^zRVVj#S|OMna~0~wd19l z*EF>mgp^voiZxOVmuWX>&>+6Yph2Mn3;(UUga)z)Fd z`lXmx=4AAKwnP}-R)0pH)TwN-SS09~s2KA5P9n$F@peyQ1!B$W#(k(40DW94V48$k=7@^xfSvt+U6Ki)`%>K zR71x_M~@!W`i>rzgagi9r6U(Q{A_unKd2CoEEkmx(UURDt*&tRjn&SNwvP1hW#`l$ zW!X8Uhuh%rc}%Z4LhT=MAX(&i)Pak5goZL2cknFaTaiz`td!{}auh8&r=l{&;^0Z4 z;rH7;>J5&k2C&`Rb-}Y9#fBlJBRu>DhsTUg)sH%oJxrKhu4;H>d#$4358H3=pDYCR z9u1gtaq!!kb1IK=gF~G7LUPcudW1Q~6T4XA&aV%R2!81?Y;c4oHY!6mP8VtwB74r0 zURJC^8!>xxdD8x3mHA8Xx5MiaAA4c{Idv!*D(svR>Tlu;Mfh`WZCKrFFGNWPs`Vr) z741K#41N~cQ{=TLI~^~C20GWjfDtGKWkrDi?I(T`%Mo zD_x~M#3e6mE>PgiU{r*s!9t9l@GW)tbnH5pgJx{{?fp@|NY}E8 zi-uR4>GhQk^-O?7%zi4o1@i{2g>P>@?#Y{6ngcSL_<|U-%`4OBd;Cy#Rd!>S@;9vIDjIqQjU7D>^OOYn)j8sXt+jXIR%&lQG^}b^qq+RJ z$Fy*m)Y(((wk11fy`1xM4$*4s&xNKI ztT6w>+wmWU06XZ+hMH&YTYc_1KKERoOT1}qSv?L9)zti@?$6>?tDax-mpZT3Sz32# zyXgO)Tt8RQxIB2hI4ZnmNt$J zuO$l-#mDMBhrRW%-o)?L*Tzd3u-kC_Xu0<RtYW%Yz!P(qnkk;oASv^59AT z`Q<3jIAV66U%vm~a+Iei8X4*^j|z1fxsbAv$7$H3#HmN=wcx;MVeI*s4VLHg8=c>+ z_y4dwRJH&6%AC|iy4#zC_^n?Wb%fnAMJU!p{N1w~bmTw6hecH03^NL<83RbvQ z%vq6J6}$TJRl(J#R!^;*Q#r5lNM*aKT~(&4_p2%^pQtRUoLSYUa!6%zWol)9SY>JIb5iXnzC4E`ha zWLvwZ`}{}i-9J2+AdTHKp_mAZyoDk{cT>53VXD`wnWp)>P z3x!u5RQad+LTdLEpR;78%ek!r&Vcg=pEFSJH&s*{D_s5^zUmi!E@#2Iqeq>E-#Vv- zoi~Q*ds=u}SbXhie}OL)@9^L3do;NyUE%BoN2p?TlGpWUG7U!|{&tO5fx8i(Um-sK zwEJe?l8HWN-HI6*jM|Rz>3j{A3P*#vg1T_Y zD0Qx0AsrjtAq|b}j^JcR1`;5q$!m(rkJn&a@l;*qRnd5jYn)H5K;NO##z#V@E0yRX z_%YUIj4#v`hk75D%K83kKjW#A&g*tF9v7JC^V)-IuQ|wj#nj2Zkcn=5*9zPi?h2)7 zRa5BuGj6u}CLgYu;hHgkdEL%kD?H({DZbrhQ++3=_$ryuAaxw%=w8ItD^nH}E?l=; z7vhVA@=$U}+`MpI@U6(j6}UG)$7AejEV}ct70#V&j8lBoQ+&9!a)Xy2sP%?aUK1SH z?dl-Eu&-C7oLj`lJOa87b<~xsuyo8b-C5`n|F|*~^VEFb?(IX$zr;!KyX2q=U3tya z#^C8F1Yhz0(bwn+#rfys5WJoWw^Jc+9)?alL%g1+=J}x0T<-ZkuYpRsiVrb<{&M{zLBY#oY#22rcAC#U&*#3~=bqtn&-97!Z}Us{AGG22zOI?# z^H1|7>b#S5-ryf=ZuN!kg`U6+1>djKePG93?g^d?ZE6_hO&<}1o~D5)Zu8N_EB&|m zbh>p_IYDhz_PgV~PmV&Rr|$4oWj}Sh&w1G6 z@RrCu6{ReQ!N}(Mf=5Ej#gdiI->txGi#033WxfU*h`3{g!vzAw%7Mt#5)oFrXgd=s6=l+#Q2i0q`tDr42j?|`iYSh&xisx5O%ZAfF zEoU8)`2WbX?9%Kfr{$F9l)swcQfGI|aV66N?xH3q8+GNv5l#A`Msw{al}c3K6Z}?% z22N#VRkri7^Z5G1dH-Y|-Nj^|tLHplzCzr+!aHa5JReAv@-Y8(xN`@TY!PIN^Ubwh zmFTMk65?S`K5BP)HK1z&InJY%UPJJ#U#M_51pL|xH{cL0mO|W3MdGSTv20E7JLlX@ zUgkWo3JT;_pMQogsPoVCfx$Q|E>5ZGOdpy8JHf&zoV(YQW>#gF+QqE3V(wb8-CC@O zdrQE%ZjD50p{@kU3=+SWuCabCdZO`4LqmNi3#qQS3?<^9*M`jE`n936qHk^JG_|>E zmCLJ5o#t!QLXt3#S1BG?<-f;QeYa0MxC#TQo*^Zq9A|iyxUSN>AazFoHmkxJTq9K% z?_W&>n8F3sOYZiS-Qz<>&E3B0dwkBhtHnvHz}++M_CcW<6&RCL55qd)^7*PppSZp$XyPy4HfHsP_6se!I05ivYn1<_rr8_VQBt5pL?Uv zpYL-Ad?0lYb|@bkD?{!5vwT6JX0|V6*+1L2f0hqy7Rn&W82ZcwVPAVY6blxn znO;C9l%MNWlTrgyn!pNP#XhL9{azJD)_Aa-Pgwx{1Z5P`?t$>qmOzC}XWjBD;a z9Ew@f_uvO1O_9}A^=|q%MQ{tguOv-Vw*tlnah@W$QeOKgiM|wY`2)VvO#en-sof>2 zpDDiz2x_AWi#~aibJ-@ix<{ccQO&Cl>L5zgUL!vR=tyMtYjj;wg!3p zTA3bjFF5roY85jkR=_lB80PaWxz*P&*Xz)hK+i%Y94<1SaA6JI+XDU~pL=`2KbbFjrRKD;q;15rTTz zTIW4$Nu(q~n!vZQmu6RGmgWSHdlEO;-<|IZ{(Z6eEF`zf&njxTilENZb%Q;m^)23D zUzAyDe+1oau)APwgp8PxUG|`W+1ZsD;`X>kgC%q+3qoq*kl-3u2D&Jf}hew)|yB~Sfz8@Mf!B>=Vq#N=bk4QC3GmA>? z&d=7uz(*$j)9mVtO6Qf%DJs3EbhfLgbad$}MWxQtJ+7*O`xF(SSoZ{9Rfc=K&(Bws zX808qr30(3*{7m{alWbn?y)|Uq|Qd&&|VE{+LxGb61Vdyz#n`&YU-{3y#cvb2wdSI9+i#E;k`( z%*gBBWu4}D=B&h@82RU7oz>#J&g#y}F2$Yaq|dR>v6t9O1VCd-hBWK+8*wiF&3S9* z8+%{h@#0I3ui8bkpyavjo^r;3H8UQ68vKP9u;#yTjK=NE%pEa4b3~4qn>S9(oRB%v znK|6KYYcL8#GEl>@?NL=%MtU()p3RS&E4VpBgSNo7RTk~iO$S1BX-envqz4>n8d8S(W5hSce2L!CF> zHnVu)lfEsfPcRnxq5TgE#aFsyw~nz`j_EmRI@Fj7>0@BKckSK#s^{l@6bsRboxKNC{?t1W(aO@jZSx`*n1U;SA1 zqUvSUly0bQtbV@wWuy2<;aA_L12NljK zb}OG>;;$RsuLs<32K=W36)$gezZP)65%BK_RP5d;UQ;U? z){Ae|i-XpqCZz7JK!a4JL2A^ndn1&h`)r`$^NsG4fri5y-KPRe-VJ!e?t=mM#{vH* zb?)~9R_EmPUgoQKEpYf?YVaI%4k9Dp1pM0r?sEbEivb-zz9nA=ycYMjP_2pU#eVC> zm!9?VsRsg~PU6>SY!xlF8T;P}z-E3o;6E9_`2D8>xD||$W+eF;|JgwL)tHXb;r6s`Ckf%?^Q?IP~{E7agNr`J!rkD z7Hz%um;(X#fdCph|Fpqd;(oeLGWwpT8^DM$ov_NEu9Ih8?n=Hpy5y;op9X?wPkt6~ zw)Z#HhgJ4pb<|W^m5M_ff%3k*aqC|uY;p4Lydk<#ii|r|aQT@7^$c zU6J^EfA#XZ-MfRI``-vuzZt-JM(t~WLU6$h@q=f*tP&y;2F157qBmMFT8XRN6?I}- z4gOc^8`jq$rU#Q_QI7v?eQCBhobvIXR;zXr6rwb)(Ae}*9uMx#%zJ!KqJ?yu9*s^{ z3!L`b&1Hy#6Xd3Y~#zyVraS04M#>N=>k8~{i;MRziXb3{#%wiYcGoB!q#h4s*gy8;Ml zz6K4M8icl!@;1K~K(LN7q`Ov%H`dC5ycg?0oyY3HcgO20Gr&b#>JA6Ltu!1g3$^hm zHhbzSzO17W9?T9NuXF?tiytqqWRFyA*@#+VPOaBc9jaTr7_m(E){SKi8+Rjw2s8G^ z+Ukali_=xX<4=YTi971WQT4d7N)}RA@dK@KcwmLe}wNMU(q@*2I=LNyb&k^{fwyv zGCkb=e!x2Oy@30}0J?O45SaP5fcv8WW)}nJoMURMUkUj42FgMdm92RzQ2jPcCJw#u z)i3#wTfNhV7;nwD0S`lE0W+6eD^xes)oiT`>T0%b3}S4UvL#Q{l|6~Yz(vt@PHoK- zb=5fM(Z;{aM|b|R4;OGPkz%z7_X3ks$4E04jm!GK4!Doh`_Ba^)ci@n{YAb1?*aFh z6kk4E4~Pgr5yHNpYZzXj{$usEoXdZv-lJ(0LxM-yXb$ln;Ypyj{!at@4hCQXeHPgF zaUgU%!O-T8wvXXDy^H()>WW?HTeIjvvrI21W%R|c$AYDPXn_K0fqS+xpKz?Gtt2zNwuB`%tRlbqK}!N7%U$6 ztTQ;aBix&IUS;qquPPV|#MJ0KvF)f=7gWQev6gcg0(3mQ1P1~X$rPb*Sz;JFc^yv{ zQ#j1&ZhN!w#T{?F{^AbLu6+mgzWSEul{Xr9GK)z;mgYbB+#fBsptmmOs1+vXhQoA$ zpZ-U!e@?p#+eF9qGmiYvX!C!niGDc@YfjDP`*2%Nu*cbB(cAmSQ{&<|OSJZ{$6sgQ z*BSVA27aA^UuWRg8TfSuew~3|XW;*v8BqL=*@O}{Nhz@Q=^Tp_IcBo57^RuDzZRz{ z&8Gu!n$&!1$LZBgrzXawwjaqcr5J6FoS7!ZpRmE<3Wra27Q(13Ao)qYw3Dquv2e$Z z(o$D}-6b%`!w)MIW@@U!l@}%@IUM)99QR`fuE>Pu{P*4q1ZGZf%tV7_%aW3s3ls`L zczEW7hf(17-*i(`0S#;6nKQ?Z-R~+uZ;n-~MvQ1#D2=cHJK;Hu5~J(l;5eSg?q4gj z80Rok3A+`!b4?{&xED@RZ@BBbKXiDHW2JZ1eV6rzH~;V^I>QK=ftl>As|pQEShmv2 zxFn`fGHo$4mN1NA46?%$FdOkVpnnCfW#&352Jk#Dwbw-2tEKi?XwN6HUK}57UeMHh z;2n3kIA$=jIJ73f3wcn*#b**ILety=VCF3S$g^oS2E3mD$g!HY=^I$J*4CPL$C}Zn8T5@ z%ptJMg5*^NhgrX?{S%59CvZasyocrBJk(0b@~LlbG1m{Rk!$5Lq(-cSVUqC8onxKT zcP!*G&7)O;d znej?a)aw)I)-i#Rg03h)k141V?t%1${Mm}#+W%wrY|YP@Vu|`qEIu4QbrE|${Uhvo zIfh#J^Z4^J+#VfZ3-(;qf<0-a24Ru?SHhFwoR&-pcK+%L7%@zo9OXo``7!}4mM{UN z1Rf;bN@8umP3c+J4&m59yB*(38&i*Cx|?ODBOV+kab2vToEeLl-o@s%e{aCdz|J4(2@^2E@QkQi{x~p^th)s>#j%k;BkQuT$rJZ%Y;|`ESh#6{`3G|D?u5@PR zR5G{PC2s9A;cEXUtaI`z#&t?sHjhQQ_DSL`h)|FfOK0>zgM+@aXUa@_m>L^cIpf}8 z3Of_P(D_^jI2#o+sGqM%!F|r{}JwNG4&)y`77Z` zsSTJC4Zi=mj2Ir?#EGZ6=B5uYE>0^f+Wa(N#qhqGU z;LSP6htS839#ATIG{QV!#w?}`7Gtn7v{9j6D(WSI94=(f*6el#{Mm}1anT=DpZEU= ze_n>6ekOZfj@zRnY{8%Z>FRT-3kt3PACRvdJOe`S4SlAOm7AF;J==wk#4f}%U>JD6!AH;kTJ6!rb;+;6TlhRI>|CXaAqkgP1%> zlS^@v65P~_xJiW>)iN%goz&^HT0zig)M}MV!M9;EluCtyV{J%*Pco!4({_nJPh7}+ zt$67Qc&|0HMoIfJy0JgA!I*9RJjRcmS!9cs{ilBvF$$x?6pKA8v3#r|RvD{`RmW;# zg;;H@E><6F_)j1I&l%tKV{S;YWao^|>&J}43kQkgbFa_MyCIhu(g&rdi(;B6_8FAk zpMA_FWI%&-0QXy2|Af6r*G1A}k@iN?4jNk`|BV6IP=umi?Ln;v*GFn)`B|v*8^hYx*6C4T@d|(>FR5(i*fj&`Bc!UW( zsQnqCBNK*lbe)LIv7t&h#+Ju1C#mpRa&|a;<1AjGP^twr24lj-F;Zj5gwDb}F>o6u zEIi%@r&6U!V*QholTj~`X{9L$_r{oV@VsVX>QyEK3y+))3mb}A&G^2?7+nvhK`J_5 z;Xc|DZb}UFH7+GF+VKSJt4h$yvthdm150R+3@CUSc0wDZby7MJ)`{MR%1+ZFt`H}g zHWXfGdNK}1ZyNce;qYOZJty&VqbnsXbYubL6|>NaH!T^~iUt=;E65udFOAg_S^TD9 zC&D@!EX-iSb~0qbMN^?X;QpZ_ET=_YN}BpHO?|;}B#HK0WU2%MGs+F8TCjG!Rbjz0 z;A(V-mYzs9Wz8#ia#&gyEBlMIrVoC5 z|G8%hM@(0+yl?y5@di4^AUZsl@CV)Q>4l4sn~-(?sc5ct0r&jm{9@#4ubK04B-bQz z{c)?p-E_C3AN+RCSI^{UN&O|?8to4yOQ)iL{4e47>v&Y2f?1)Rg4{4n4kiGXO=Q1O zn9`&X{E2!sx|kxCnOv+L3T>u?oF9{v-ihNTGv<ux6WRERwbarbH__MeVhk7Z&P zOc*GfkEL%hIbX^Z1~7;?mDni@g%hdJG!BpOVl53!Oq(qAKou~}iI`C;;5qb4c|uQ4 zIt*a~u508>YO)ZyL}Hf`ZiIjfO_Z*j2wyJ}DJXocR>F_0TYAj^^j=bL}+CU*8l^PZE)3COK`RxqLORb7zZEFEfCPEHi;=f)e1t6Qf-&f+w^?%mX2sf!Ij3bn>K|CrzH>nnI=1?<~4= z`du^bl1haenW-2|D6p!fS(*0Ctn3_MMDdu>LTV`BF>+9hz()VFsQ4SDOuH@3NrRD1 zA#2jWv9D~{qpP$*WhiZ6OQj9oqSB_pmuVh%#&k2MGG%kB1T{oAK%)c@(S;Olfiy{s zP`Cxmp9l^BgtfC}WgH zC3Y7nI0b}=La9(G)C!G4P-qo8h5qN*ng6M6VE>L+jmEC~LNUuRX5`p$qcg{1$Gez= z#{vq6=Vcd)ofuDsaZaXq``xo=ika9(KPG3aID3{@n3*f~9yoBI*r`*e76xpK6{fB%v7C12y8D`OypuY!~rr;yu1E6}_ z9IQqkd;nUA>FMcaaA6yJ>cUv#kmYKYTP#2D(2pftz2>CU$Q* zc)JXG;|5041xZ#SpmU+e-P(gDms|OcExOottnNP(EP9n+Sc*?1SOkt#G_CYP2R@ey z76tH$1dEdJX>K6k6A2dGgilieCOLKCF?=Gyq7nGCERiC{BG*Ctw~vNr`Yq zOr!%jdKAu9ALLM^WV&L!w}v&7n?z?9lN(WCl-x+ESKGJOqS;Yv)Ots40D(s z067tBQ#8gdQK-6^E?Z77tQV{eGjM{vWspV*!^X}!5jP`nK-e%MWrku}M`Ek+sSD|O zWJ~Guvk|0-nRHQjh5ZP8dpW@MXX3Y)L4%`f-U7b;Ukh;8_Ne zK(MVj5(>f~9KSc}&ySEArV8I`mB-1l43= zW`sLrg%v}{sU1o!nf))i>`R+Hk9adIgOZ4w0zbVZ;=RDLDTz2W@aatsX4OL7!lfV1 zgmI*r2?aw$#tgrGLByxo2sb5(&6+%Me1UVsa;ez3kq&95jm)B*#|^vvmPt;;t`Vi( z%>iuFYu{nE@g-b)>TECTh^on7C?-!%n>=~)h!I_&1qus$78Vx9vZ?v`$@%&DvC*LP zFT{s8r5h6204BNJz!Tyi%;TWM;|L;40`>u30O2Gt4Me5sMBvgB2@4>6Q)&$|$Slgr>Xen0B|&av19Z4RIn0eHheWn9q8kct7(WIE9pbJ@BL>(7Ae}SM|@oo>UfuvSm8Z{Gu7uR3)rqrsc@$ z53OxQI0FJ14%jb5`5dO;EuqJR7-nJ=^yfO4Dm6TQ$?cTQ>MZ84q`x&n;T)}ub2RY2fW?W0RdrWS_9)CIs*9X zd2=LoM(oV6OE#+nj%AW03__qq_>K8o$F9x%n$KB^@(DRt3HcO)F+Int_y;t68#RBk z8fx&D#kTEX;SqWl2Od%kwW(QC2D5Du+>X zXa3_TDoi;<$1jeeKFHpUMp0=KfYT^PQ4t%<@6;-E%6C)nvlPaBZ2n;VM+_;1^9u#0 zSVtjffRB3P5X#W;&oh3a%4k=j8X}rhhk~WqpQt8@#3OU_~1-OPJJABMf>Sb}g(#kc8BoN_9aH^q&q9o%?rC z?^Ov`#a|WQCcaHvo4DA;Z7e)iR?Vi^dU33u4f_uI*>dP>D88`TVI!fxX4_)=LaLt) zh+qeKWyjbM)-}UWnI`S4=nHQ>e8^@@LQMmttErsbxV_~rsYQzZ^K*MCSoRAaX&yJQ z1sxtY?wdcUOu=*yc6jLczDa|T%zpDkoF8R|-zi_Xb?gHQro^s?2MzN+d0}jCoWXPb zXy@N`6=Qp!n0;zR?$Alp_{5ZVRt)WqWOmhl_7EDYA7b^Ba_7s9N2q6iRG+}ny=*qB zSzFi|-8c^WK=IaZ>`EI7bQc22!P?QL37zgT}!HkbG+<~3iHN>cytpb2j!WP&U z$E4u0uuht&L8wLIgWCUJQD*;0d1yp1lORncw%?6ubjn)7{66%`ktw639d-4p#_GT@gWxJYpdLsaFx10qJ8iehcnZ4 zYCV-Y;8S*t;t+f;&GIz5{8sEQfY&&`cW*$&8Mv!-d|Q|n;tudQHpfV9Gci3eQlmm@ zwBrjU6trN)E83F*F(YuH(P%)Y-(tf9b`fIx0!k69L>lk^K{^Qt2X-fM7)F=bF(}q( z9861nm$RFsVSKHG=SLA!ic~6L#v=HD9+#mPhzN91%vK%9(^o!To?4v};+cW*{qqn1D|SZo@V#OeZ$DD7$ft41Nx%K353*Mfj9F3zD+o zHfSm^#cW$gY?1^rIez8? z!Q0PmAg9~pnl?~EB)NiuyBsHlc+m)9?E6;8Ya!(~v0&yl6_Z6U&9 zrCu@B=&F4<{2ScZtxX}}dH;@(Fs(9nvuV{jwO(yd$Eb~JlL~OEidQLASbCLOrBMkg ztxBiTs|>0bl~H9v43JauN-4IkRAbb?un*$D<5YOpT-LZTBeTRCGAHDS@+Y~Un3dHX zLBtfMh{S)>nu5Q*MEaLG|Bm_h%oF>dQj73!pF#cmuz?)yD@*`rn*a|U;*hW-l8TFD zBO2a%I!gd*ONV0A0_clk0j5SeY(tSt0kA}tJ5=lF1Z=LL_AnbQIQPr+91j2KXYF_? z7&g6dgo2GS%~FEicvGw)9R48MW%x9CnYLtj*bAf$j3e!|+375wM`~XJfK013;ng6< z`w}4HTJ8x+fVoV&H7S~G#(mMYFBc)yPyxa^1~wR0n|K2lAP-ti_aI8_GTa+n|t0x<}`P^$oB(Eb-3|A_Twv@;Hv1%VO-Ss0)Q)7fZ(D{=|` zXadtM>QQ)vO#;)&#bWn!%7-}RZ#nXRjJ0GeZ#$2;mb~=`GKUn8AZWGNwx>53Vq%O& zklv*UXYRklK8)^?yY!0lTv{LON>Z?dH)0vsV;DA(4q(cT*zbwk7cc3(x% zZQC8^g5V)&lU|IwMS&R$hyQk&4Nz0L@IZOTWgDyqd7W536BueJ7F)VjScHQ`EM{P4 zP)Mf^Fzn~j&*NCFZL#&r%I>zdZHrL%z))=3z=0r{q}9@{%oKT}ChfB1Sj!!p(B<;} zZmDO^Ks@*GKzWyy8EoHT$L8C#-6NdW2ZajO#Rv*RcNgvAY~3NO4waR~8q?Vv?Bu|9 zE5v(xBY6G<_N$u0;SXEx%)E?K(jQjHU^t8*$8b@^e7Mxc%#Oc;VIaoKY)PhV^PMgo z)Vvb;*D|I_Ax>z3l86L^Q2%E|;4f?g=Z}RjNzQ^xt|(|pkX9aT+i4cJ*xE^(@fBCK z@5KG9UG|*+c>d5lp4$tqF(gn+2b|n)$pNSQ*3P%=Z^QYXD!bwE-N?t7BKZq2NMOpt zCCeiX20S&52cU2joT*s@;0DNb_a*d#kOQIsVGH}k0v{NUoWSU?<-*NeF)Al>NJihZ z9^C;SCIEckGfDD7BhdExyXf17M{dlv6nw#y`9kr)0}o7^G^wJZ0*NhKwjcpR@_Qz< z6u2AXcBjyST#9PIfRx$5pOlr0_eCf9u%Iqnh99a|LAbY#}y@yaG| zosgyb$YTc??g(pTzZ^b0HoeGBx$KZ*j94Xrbx@Wc!N!yQa_m2bhpFUYAG;VokWG=? z@^Y3$(2cSjPADsaz6;3aR zX@iDl#Z<;|NjlvP3~0B7yn$Md9!?@NgMEjWZ;0IOC`lybWf!IuNfF6P+RE5!A(qUAxWWSZ?|M>WRci_1P~)I+GQA<-^ES6kgF3Qe}wbgg)D8d^P>TF3HNid z^a3tN55k!FD879LZ_!8x!?s}C?8~z3k4pwK0Yeu`2EyrJpf=-lyU*YWB_3Scf_Je2 z`69mkIg;U`i@gHbl1)tC#{hTJG(fE)mlXb0NBC_Zb^-v)5$BN>U0&@=BY53=_wL9v z=}hZjBmf*N0qqnx-XW35-A(-eBhcM?k__NR9GWBp;Jg`t^UB!>c?^=c3YHT%Cvp4? z)(2=Eyk-NNCkqCBCsqqF5ar$#YRIN`gC!1M1|UzCB%RnS8L(T(^yQ2=9`XGMn`X99ik}o+#m@UqevswH?h5Nu&q(q4qTJg zS^#vA=0xUw8O5U~7h9otdlf$@=L6-Qlq+-aWjOc2pQPC@Cnezcc7)MDquNKd({R%E z@>?Q!HQs+B=~i7tQ6Jvv$h{s#0c`_IvpD$@IU=Y<) zw@liyWzr;UfqG!*0}l)xiY-uOIb~%zIp9n&3>)uwbzP@WE5XUZy`ITt{J;#&DgOJ_gJuqLjQt6QA1tJN*g*nMx}yc zf!UG7evan8yci%pmlppkXbT2%2V>*ANtH*g`I8j*=<@i+h}frldqeTJI#gXja4jfsLzwK7Ey76ZNPU=xYO!IDz_FYxS7Gvi)T z=bLq1*=!lC2V?gl_#C}-MHdzsn$MJaB5+t4;$$|K`4qez6N7hnXz}hPVsxyZYy@EZ zRy=qut6@Eo4|Y=d3**h>cqO#F)|KOTYP z0oB9i15LdoSx8O%Ocr8?<^Y<+)bOn36>Vnc4mP1T9yM!$$$z4}9P3?~g8pf2PLtUN zj3WHy)TvWdCk8x3tc2H8slYziT$4u;wIZ%}Higden?lW3VChq*ntmWz#8jjnZFoqv z9WCKItaAc#e}r*iU=eXk-#c=<=jL|rKB?EFNxgch$!S3TtfGEJMg96=*izJNw#?z+ z-l;F&`tr-S-rAyl6A$Ck=#=ia9luzGM_JizJZ$0wMCB4H;Jlz1W(MMOHst6aHxSz9 zC81$MCf@EtNYW6~VcMdkHa*M!`|_Nd4Y2^z1^r#9!GDf~pdlM6#L~}#&>f*vk+24Mw2ayO#QXbLZ>*>X`7r6-)MYL;v^gObYuiAj{# z1yTBcg|i`i!N^Kz5gNQj)rS9#Kyf%TD12JTAUh*1-H;|RmB6wn0tkwO@7sgoffOeM zt;w;#$Jq#&ED&%gZW1@+2wzUYZg%0*R*^vj)_P*XIw;(17)+T@nM!d72Wdrbl86eU zv~VmUhjMVOeY>{p+FILM6RZhL5$+YYjAQX#VRbB9)`n^0@vKDrGZ1iXSbY2#&^eAJ z$g)dWDe?P|zFkh6tQ_88MvtmdFL3YT;VeSYw_!OjVz_uRRNFA@{*bEONpXQb2XAX^ zY}bGKfdke)NHwaND{)Bi>C>n2^Ehpp`GA_KJJKZ~Ik=T^$@v~ne9ywVIvq26P}Mf< zp&x$u0lIi1<06&PtbIHP4dt`p1zkrymYS+#Tqc_ki}Yi-UKdt?%Pd=_V+EbE78#9g zsc+823edCNjv>!tTyO3s6FPp##BMTRWYbOTG}GeJ`8r&>(13B?hVd{%cmQrHOk%GK z_Rp)BzAZCe&rDC!8)y{a-rT)0^f)c{5+ugb2KB+D_3fL?t}&>nZXD`FL5?6i{J}-WAIu43Og`Iz zQ0HQ)jk2S9m>J zeE2s&7Hm2l3s=$XrTjbMwy=!;B_Ba4s06iucnju5C+G!(5F;1`lZI(H4X;sXlo}Nr z1q5U?T8&Pl*BCT08lwiJs^-+ZTA?Q3gH`WS)}*Y1qf7+@yB6N@;qnhmClS<+~8&!r5O-iVTr zS*9dxAp%C?a4`}|R-6*=13@7_O{xhH5{4sTkxC0d4029zRWdEeNR*&W-pI*7*a#Sr zWFjJng@MrKZD{ex1{|)d&UP=S1x@Zr6Q(G9DOn>efC*?;uC1<91Tf^@)=CPT-j_k!cW6RkGbe(9 z`P(U(n1~V}SV&5)y(KaRpp3BbT4IfnF*NxrU=HV z>;#n(-4m8zf#$;@4J#z6e=KG=+&>B=O8CJr_!~_?;&H^HTtnM4ZK_xHY;F?Fv^SIL`439C9+%& zXhb>ugW+s80>=m)JMhR9D5MQ}p+FBMrz8SPB0o{aAcZ1A1rl29_34Rin7*b>J^h+D z`cP-a3$X&)EptlR=M&k3hvBT1iQSr1hkmOqvUH`;JT2+kR`ys2q(O}n{g3#_bX8N4}rq{U;Ie?fS4V4f*cNj3o5;^ z4SbF*ek60~#Y2z^O<=;dW-x(p3a~Ws{@4ppg&)XHzamrtjcwR0ND6{B3wBh@h8@=w zFd+3L?b5wa8bCrsq6U{i4qy8PA&0Y~5I~dj9|8IR{mB?0V7w~_0(f}$YE~qJhIf-X z2d;p9T2MQ23bnmH)b!<>fHM6N+Ck#Q%8=k?{JtvbK+adf>0wvdVAX&5LJZ zW&b=D8+h+xHUN?;dTkt;08Ih_F${SZ?=UbX@Iwj>W7jrWGv#=e=1x4vCPy0)5u~gx zRD?iv6C5d>m$RtHe*9;{hatrkTi22`D(^q+MQ)m8DCol3in9uX(WKSk%l^{Db7!^2 z*aVx3y?WZ1I_80AKIt%VwN?l3lUz>OyDbF}5Uz=Xa=S~~y@mvB8=nFoiop-QxTYPR zV+q_$yPe!jzz^|&A>d|mEf59{oyV9w?6G(c$~^3**}w`u`Q#HI0PuwckxhroKXKwj zoVQ_m7d(7y%fg8ck*MF&;cB&X;Lz6AmE*3Zm&Zx~g_fWSG{SQ)bhw%>VsI;Q7|+io z^nos*72#P7g-9a!ZI2O$B+OGU>5)*a5GJa2ApM=ghV_h!x}@F3t-0W zx8DvZLxMHx(rXRxe*RW^Iz(5v7Fb*u&GSuL5II|s)YBp~w;qM^X zRG@yDcFe88G!0>c@qG_s{v5l(B=CE%9D42@HQ^lmCu$W?jwdxh9)>i791DpI*2nd| zB7|c)_Vj0D^aco$PCNVsz%0q^44{vnfO^PuCqo{hG3Fr0zUR)WE`@Tyod7tb#$>m_ zmMt9%m>yv>P20I;O~^+aPz^#i(h?JiCINz|H?>7=t_92yCCRXg@QiCB(mW3FxHMfD zFb8c7j=~(f`86$>=QL}{J>V=bPXx9h-f6`*8Q_~ZD*lRCjEY-~faqIMF`zj;F~X0L@fwMYC03CLd8Nc15@L5{q-^G{W9mapEix10;JBpQc$5R* za^)P?nN#oJT0w`Hj+wM@f4YFi!$Aqbvl_UQ^h}UuE0H+XKtk?l4K6_KA_PmY9ZIWD zGYO=V;5y)LP$CRK;B*&^lCoMxxj7Nf2xs1?!-=GJX;OeT>}$YL{Dr(A+mTXKN3%wSUK zLj4htMkkO>aovq7r#-j_CbeuV~8a$94RXkAfK{hds_);^G|QSi9!KL(FiO5m}C zMbBc-NOb*=0c7_BW8Q0mM=|B`qL~P@e*@GmOJ?t*J|JnqIWEpD))zXimNNX{RXg5-& z=39+gDbZ~c;2b4g3zQ*NZXS`ZhfS9zG(y67J_0XnR-|F81QUorIUhTJN%;$+4MCfO zXn%)#j{bOA2o^0%UNoZIT&pC2E~dG6O9dF|d5>JxOM}q#H9w$To*e7lhqS>}q<2CF^#n%HyBGr#WK6T`FSIOx3Mz z8xITb&$|ug1IIKg@n#{92k#l8SO`_W)VNG+LBQe;G;Wr;JaQxy<;2;RUfm=G}>U`Cu~lFcI7R*2g? z^NeI!OhEjkq$HJbm_>+ew8LhwYghryn|=GFUdSZ5p6n2eF<$={>Xk#lC6$@uvy|#< z7=#!?JzO8Uq8yx&Ixdiml-`^k4MDfP(7=Y^kV-wCu3|>O2tpoHLpPn40@#rmq1f>w zJj1XDr45TU;j&m-Qz~3;58e@W29wkUl!?B^VFr6?N?=?uZh#>YtO5VoFvS;9!99KP zkzzCPEsYtl6<9_l87@jPvo2}KD85of12@rl4Fz-BU=xFm>DsW4h|9gx};JNPAXG_aj%n%3k;Aec!+|7DmEN%K{_BY z&~UuGYYBQD22qWk`#{O1$rA(W3rfx#_cLGbb2uSUVWl{o@coOa__Z3T4C^SR}5c z`fB0hq(rqI2~O6-C8!kg<% zeHc$y%V*ArUNOfVSDE88)xF<+*1-i<57nBr97Og>qI zjaMeSrmNywB7Cd94>N+<|El>~A*i`mFd9jN;mWv>CS8p>8%dKzNs1nEj)&9G#B_P^ z9@3LpJ?SzyOsG%c(@WZFzdNmN$%|3`lo=8YH<5-5;f}X5}Z!AvhiW<(qh-r`=%_p&xOPiByd`cQ@kK)d|0z_ zY4OG-_th;aMxqW0oG!hu&dP4Y#@yo+Ef1eE{6#$!QK2o^KhNwW>G0;w@U*z(Cu8s? z7Wzd3{c|xWQsGsE$+TOOil;6F7$ZGREwC~fSfzLWNYw<$6sj|aX<2_ndIa_$J>P_l zVp4@`qnEgCiuAM`_Tx*{XVZ%b5Ob5?FE7t?)st#VvY`u2ixR{s4ufFjBd~4UvIGI>)%AnWA36 z^mt3REM@s_Zd2nRo}b*i9TYK6gas7%}fUarnq_;thFYoY_o8 zk3WwcKW0QuUapv&>CCx)Y)+x*96xSsPVV)2DXisB#q_xbZ;oiC6ffZ~LC#3LNBZ%{ zo&GJLijr1(<40`C8c>z{60SUFx(%8MhGLDRR8ohNf@@N^kg>x_fgwXykjQ{y9Zs4J zA_}Fl0v;}_UfOvxoXk{|UB`?NW**iFuUX=7ycQOz4Lia0NJoF>Lb9^dY6~|TFGeD1 z-t1B1DhU?6{Qw(rfx#uSFKre(cke_5Zv}8v4<-yW!JtFItW;#A-|F5cZr zm|xzM!b;GODw13&llH!ZN6L(CQC^uF-M3&4H*pRWZ+e&HSOr^(DM^k6%faY?W3Xo8 zoP2K~H_>jlV~Z-R>nK54xf7T1xzlGWRlE|qowcD3@Oo&5P!%k(i#llSke5K!+99O~ z7-~U!#xlBYIGn?Vs{pH}5Uh$iBO*2m7cI}jJ1J$VDv%5=6YC3FYs)SoL6|RCb5K+( z>Wk1^gaT32n)={rqS0lX8PF+A7fjf{%b6*V94&HZ0KZ<=oiUpSb7sRha%arNsf_yr z#~tLjzj54N%Az6S&T9Z!F?BayJ&4Cra_X@>&bb(#dy6wKs$%BpRb*_UA)2T&VUI{A ztYn&6u|KVkWOAC=nojVYvLv$=S3-lw8DKH)K`DJ5(*Oep0j&QCPE!N-7$neivjvpX z*^qCsVB@)xbyZlfRWAZE&=SZzXN9iRNpO36^+cwEcgo$yG@q)C9%AAz(i93icP6`U zU*gr3;MG2TV(DG=@Y&+pu-@QgNDhe%BHa-|w87JR41op^mmZzQ_bJ9+D;)69i6k1% z$k^Mle+XS<6iAHRhSf^D-@s7VoU&Abe&f+^l!?gtvvNlx&_*|%c9{xGM8-Q@Rp4O{ zO@Y0khu{f^d$h(cTJDJ>!SD!S8BKhU6Y;Aq0aPsf*+9iCOwehawnD7ypPQKzzD5@w+qqv%Qx!C@M1 zYF*w*dzwiulZ19i9+ZhqkVv|}c7h})l37SkZrlO#28|bj6_d1P>oxyw_BOXWL_VfD z{tUZ-yUm>viC-aD%;rKcHvn0c&0xc37N&c zC`6g9O9T}$6)V#4ZcpOAW9(k56rXv-+A=oNQa?8n-4);(M#X9FF^L`}ij!!Mpf8EB zJhWF>;xnu|WI;>3tB&G%600@gUC?5u*`=6KhOi1Gegxty@jxn*DgFWl65$Gvku20B z5*{2+q6)i~^;K}8x#L%0YL-F{F(_$0i(rV7{0A+3hz?FnmV&kM^ok0+0uAWaQqwvG z859u|Cu&<X zZlAm*{L&#MbDWhUPy@2rocx#+$HcEMBWjBN!aYo0ZY4L16XKZSMm5tj?tBYc2l?Y3 zTf+*V*m%(HboyNf&CM0avaXoB%;CG`^SY}OCC!XQ!qOW_VO*gzUdf*{HyQh-{9TGBBC7!+H> zJ4b-jnnel)*HK+TSBKO2CSD6hPR1}4+rTy#DMoj@bO|ca1V5-F%umU=qN)63$Kcc)1 z=@bu_CCc7p2?Dw&olLJ#Dg!YOmrU+Qz#uk^vcPnf4~I*BqBpJQ^$NXGkLQB)8oi*` z0<6VLcl0rOqaI_%!2S<@;Qv}-fsu>b!jYAJ!YP)I1A1zkf}Le?g;*6JH3s~K#&n#U zS=@bcP8=LT8`AU>hS3@eq#8=l7n;PKLWLDn!5*!EC+(<*7vvtWz1T*58ZtNo#$abi zC=qQ&TR=%CB9;?DGG!f{4^+Qz7&1eKdw{gG-wW7QI?JN74_lo9^No}l*Fn1Qf2Ut~ zGJ`@4@zi?+k-D`ba^!j$5brYq8U65cp|7yuDkz?kf8UgXVpqX^NZ{0k(|id|1H^VY ztc`9oikWF7%uLffLK!nNG+|~2X=?u6m>Cp;1Y$*AP8Ru*)Kh%ZdJ=KaNDQC}5Wyu@ zG!CQ_twAJ$H3wwyv&jBmM+t%z!>*dPtDCHyupo?Q$T}WCHT>`o(VTRHCy8b*L08SRLv#h&Ye8krG#8;Odf^*46xvgwM0-b& znOuD^5fiO#SPO#qS(Nc}NfB55x1z8!4Q7qg*0EzEUbdFlp+kGT7Jx7sGi{3n8=Ep1 zC$?(~ezS6HT*6gtVxb0%C9G#+2f_-87EqCv7|V*6`r&tI(5Qs9B^ETBwER!OcTHyV z53pqt+Y_Ez&ZW{jFb(Z-LF%7={5Oey^tVI%tFY=w^dvl(ejC-em_yC>&0x*u)0tAvi(|0C)L0V`_X=^Fa77!_~HauDop)DY& zh>~LAwxHD8qF1?!D4<1oiGX@lM6Q4Is#n{qB0lH;UHi=B)dYHd{{55rWHK}7?6c24 zd$09dkG=NV&B}gLp2%}7{s#9+HxGfnHq;$f8Su>#`3QJsCmfCi&tTa5Hz4HYCaXAb zc?P=;hVfU1&cwz{#oNtpZ0w!eu#1hL29#mvH8j1qsk0%<<0bhFb;*QC)TR3}h`p|u zf9Jb&U#@?o$GYU-{FmdsNPxCoyj~UPoln$2clV8|jh$w;bgQ=WdGWD|*Q+Ezmb3x# zzh3{JCI5r#Ra+0Qu)&YEhk0$*-@d?Ww|ol%BtA96?pC3&V95Dds{da>yI}RzEJUG=f zxwd0k*Z9l|0iKqKq;Yr)0!RHPb?(7$*t6%}dl&L8)v;&q8Ou&z{_vr7C8~4b75{Ve zHCjgu55Dh7vv%z)C;DfmntRVj7j#UKhKEl&N&Sy1-??k|?%AAfpGiKWnJsGO$L@dN zp%aaot}a_#fy;!LUJQNJcG^{qr_FCHu$dT2aC6h=*p1yCfDm(cp@+omb7z zo?v?~%A4r-lffXFnSCv%qA*NE7$>^)LtL=H9B)WIFP2@n^Q<1#Xf-m$8fRLzFkY2Z zNrKs;`7J8S1P=XHiW?}J&u2l4y426qPGi}rg^EN64N3e-C91|`tvK^t@dAj&o03Ku z#%JY@r44wXUsus;ZqAesbI66!sz(Xs)xV9n>1W>ZD#N=`g=>!}?#iX}aavJXX*IF5 zJ!)RGaf`UIj<9eekD!EpE~_|IUJ}Jy#q198I!ncYmD{3Hcy@vW{>Yd&;I%>q-i#@N zLu;vo2>Cp!LlzW!SR&RyW+3gXl2p!2g%Zna;H z_Psrit~k1-=aJP<-sVy5cP`($g;qlSDdwtpaF4p}rnxs<2=pC zu=7S4f^oOKu<^B?LoaOz?VwDTTP6TFRLG)-sP_S>3ZhO=?N~Rht!um?eaQGkAo*$R z)NOfGmBDI@JcraB&@j=Z0gT5&)m=kEQdK9@$m1B)$r1%kHK%&^nG8V?wP|!u8y(fH zJ4%D%C>4E;BS(4nBC9OJkeG=0u?Q;xyr-=^bm-v0hi!FZ+G!#PxUKc0_w?-P?Ck03 zSa9!sU1tf~o5!{&=dG9z&$7w)ajAfK*b=^J<^GUTF{E!jb zO|-d;hF^`XlQmr9oc~g@j)vP#61W)1*b{G1Xux~+WB>Mo9_ujI(>f?Z}nHQQd$Nj!)6qnTR3(Akpnd3j1lb4`!BMaFh6 zAoC$J$_PGoN_C@5LrWw{l}9bD72MJ^*y{%mQ&+eVAP{G_uteMffl90SQq>|z$PGtT z>yU_A8EU9aAW~+ti>enJaQ!)9nNyhLQPq>`-5Mgq|HcVgOvdYPRw|w-RQ`A+$4cwD zH`jAO#rf_jZPr9*go_F9B+|eo-Gi<}UgFDgJ*pW<6C{!m=HgE6eW%S}9tar;A`S}G zH{<*qHHugkq2X5J-%Q%-0d436jZ~{5NYh;akXL5MZM6-oDmTM)*CY^(2y7mjmSw;r zjMW+Tmno8-kQ_~&pd<*-R#nxx!Shv{`1a{5moF%(-TDb*tEXH>Gd_un=dIXvH|KJ$sC!L+M7i?R05mJOY zUKkFtcuaRC*1*rw3^=i9X@QGP)E{O+M+Fh{d`x^Fa}EMgbme@e>R3+%BW9XPfRhF& zQeY${pd8V|aEeCWqaqjMk2Ls$`IAZl`vJBP(y>roL#ksws-@Qi(dtcE!?%#THFmf_ z)bck2q9MR>Xq|ExsH7VokwKm|2%08|&kGOZ6Y{i`S*@Y%5`_@$HvhH6L-5!LQfJ0n!h^x`5+@*LsIR zur^~D1iR&Lq!3Qgm}?rZxR}eJmhyCs(Ea<&TEB-`JUF1i$u~_=1<_80x*`-iK@c-I zK~1o|*90M;sG}Lcl$=&6_JWopJ%l(g?-e1|Con}*-UG^eKv#Ks=v6`0`DVYtmli;qTs*#M)(FEf$3B@tVU4T7N@3k6acwsF)YNqN| z-dp7i>Og17$<(cxYn7>E{?J9iZ5KE98Hc(PMu z`D6ska%~mD7zc;vNn9>l!AlA&Tiv16SXYO2daNtQ1p?IuLwZXA+ktC@K1UFmMuW?c z^B}d5k~ML3nC}mP#S)5@iFoScv164)A)rS1?THL7OF-oJwF3C-ln$Og*X$In?U1Xs zEf<_URH#1C52KsGXXu8I3rr;~(zB}JNtw9qD;J4WMo(j*1@KK2a-o{*BBqrTO+e}S zX-|m?I;vXqyUhIZGtl8W-mbYjGz?{m;&GAnvA)+lfDDacKqiWjr6;P7Ds9ba(@tA* z=&gkW=?%93#I%FdMLi$Ry1Q1GS@gZ7Uf05t81t>Zp9e5Q(8!T#s1zvqBGKjE-Pi{m zXgr*W5GAMWw-SZ!A#B46vh1^7*C&lr2CP@rk;t@Z@3|(yLS1FPN;m!sxLfx zm5x>tJ!kd>FTebtwh{mqg0L_QX%maxG4rXPP6$*hFH6rnEa6>u=;2R&dO7xYSJ%OR zJ+tf3r>Q0rYZn{pb5*KpC_;&R>ql;IR_*eG0=T%v0t42?2K{Jb9 zulw*S=}jZtbRy}3?-A>&pFF=ptvoouxXM^0Z`cd*U9<1PPVL6-wMlyZ>6lyczX*4i=8JIpsn*k$p!NeKu zd`+s;Fm~DmpG%#yJw>6fTFzciRiVLb^+zrLvjNf6&QfJHrdr6RyzT?lDdXgz*k?&e zHJK15N>82U00;r)K@&ufb{J_133pys&*md|Ykd5R7yH$nh?9$wQjP;00MWT=edGCnZ9Gx1%rj^f&ARH<|gs( zc(~_-#`CHaGe~;F6}2j4U5Gt}809iUEtSAw%mDG5=_WfgV4U$&Q$6iD7e5Re0kZd_ zAF&q-u2s`e00@I(pr?}1J~wIEw~4S4dn%#PvCp15iSVj&R_{sgUTKh*^=chGEBY?* zIiwJNvBbN^HJEz!+@WRgX<4lQqFWOU;GS8NwXYaqUaEnvI$D;=f0iINM8Vj0feOLq;RlPsjYN$3c&}7wx z)mERnFu>m;Yh_X}Iv4Gs;SXv60vcuU_ZuG9ZPEu*_w@8alJ=4u14*u%1dl6Io zWZVrkSTL5|DM;j>DX9hGz5FfOcenUQ#LEID^L+cH^s(x9`di^VCLM#e8WaoZom?`6 z`hASsRy!95lPKz0M^jT1V*(h2QOF}f9H!!v{XY5^>gK=LkF~$FL0C@nUar_K@K|To zIdZH&cHU9X_STi}yZ54xKlI$k-*=$x(4hnWcEd9WN$EOlAC%Scnolo>;5T$m8#{4k z3&%w7X)~_Krzy)bE)MQ9kNb!xejN@B%XMJn;vv&D1z0N9BaQiCP8+~3lN)~Lu(~q8 z9Q6p^vm$ab6qU%d78Ms2P_HP;axW+2;+BQ?#&IUf#1of`V_+?x0dbEcRa08&nWQt9 zEMC}|nm@aJI?lw7GtTT6rb>_MtUdkm z%a5{veMZ}9mv7l}`Q=w!*&qr&mwOMZJ$U?#kiV#MTuE1N8B_R)AnzoStiOHiDZ=C9Zk7=XqPE%e+&R z_X!WVxj_aIsyDM_!BD>xtl;~*#RGvtGuHNgC)dYwp)b(QhWfP^U)sxt_dAA=rt^rp zA|Hpqs;Z2CpcQkcP~m=6C=bl-MNiRHuPA7^R?QSAFIr12F)-D-K9{am$E&xfO{&dU zn-E_{J(LgOMNK--Lemqaz;*WOW#6M;H4)k})#SPh*hQQ$=|Z*0^$oNumg;m3nviPpK=y zL6YX$>Z&-|yU#+rlp8t9cwN-N08|=gjR{|6J~B!lbV$H;N(GHgW(WgL7UN?|s;5l| z#Q2VB{L0kVA)}Y6s!*t1Yk$GL?hKX8T`jY^NngkQR$lL1OMJj76|?rS;kwEfYY$k- zavz4@K<>)`6Wte=Ii)Q3RrB>&?qgu10t*?JK|To83MvUe)pIWBJgi)QZt#nqYx|Ug z@CNTO$_O`HC0qbSGLJN9j;Ik0gzhO+drmLQS-EE6y7#@OTh9cF)!34m6tz}jMx8A) zPqM>yONT%IwPYa?gLJWTRmNqzSCor_+xqz|`uUYb?_tRGe?(Sjx8Z!oI6+h+HkRI! z^;5)Y#?>%{Fv+6IEU=WlPOQb*Vz7r90B1V44 zaCNi0??r$z_k*7$zaM8N(daT2#QjedvKa(%upI8KRyJteBM0DGso>epmWI@64XKvK zR7(SSvN>Fd${XsXW+ua7srMRIq=pPXB7mv>oAOtAy7fwtK=C}F-6{^?3L0<5_Brh7|tV0 zEAl|60hh;fuV;a?inkbQUVN_n1Fq)9r=v5PSIEx4vU9Sm8`u`OuLm;dH{lmIWV)gg{~7wHPW z!uxA|hiKRQUBp!VEALPB9=2{?x$T}Wd_Ns;^~v+h-!);vgcEqz^_D2phh<3!?@;ey zizOuNJ&0xg`1_k3NKS+T9?&bv54ALEdzI;2E%>lq* z@;u63;N}c=1HcUeiP$r2pi!5pCxiKaJEWC8!D0uzdbWly2=j}LFxkZNM8h&a3*4)* zii{{w2c%{1l6{>;Z|v>GGDhXstMVLPz)lHDApJIfS1vgjwBFb^pnCHWtmD2*RZT&M z>`>i%#3F3VvyzRYWgp;KQnNFQF%oi%LymNeOLbxxvSYGKXumR=#t5Osla^{5hS)-v zX`)@8p*KOu^o4&ds*?yz82HDY^ip%9ktL*G*!Dk&c${;BCo#~DjNotcpamJ(JAyLK zGO{x256Um|)4`@JDP-uQKjH9H8FIn}ks&cnKQ8G>QK3jbJ_IT1Vi5I97UG~P5dZ{; znw)NbdgCy3)2Zp^;-XT>Mn|w5|EN!WJ9xRwn4-y~SdaOa#0OoH_(H3xzV!LeeeQFg z|J?UqdF7SwzYzI9rOgf!JMEkn2Rh7Xw@kcbOQe*oxu9P z6FpNBsVNH=OV*pkvt}fgESSA?#==E25+_frZ=QJai9@UooP4r6!@FrS8QOMC^Qs0X zik6EV(RpFZ#F;zt6uV=HTf;R)WmaUaxL(~{Stks38FFD*tgPFX)|Z{Op=*45HR^T~ zQykQwm3KGV!TbN970g4~ZH8ds$`0{h6sm;wUZm7xq+8~zN4#Ro4IC_6)CA1joL{Lv zGuJjw;;|c7{$TT=E&g%Ph*;>e^&7iQ@sf_pb7avx4};PgYJMT7qbca2UT4MZ1Wp9Gl+wTn%agBGas!datPzCA>pOe74_w6*TJabhlH)gIXP-nGu}{q zdGy=3c=2IXjY%Ds3`_Q%_(m?xlV$yJG+-rL0x~-cv7$3i^vl0oejUL{GdM6;n$1#j z5@)E=T&z^>RH=78F?sk<@R#672#Y~}l5F_wS*d#rPsUX{7Iht;V}QUOqosw^q_qvym}EJpWI?7IZR8Kg@oHO${I>PDT+DlHMVqUY{{ z-)lzs07F9+NkLKxeASBhZpftzmTO-Nd`TfX7piZhgH#S7Ay-=G`?TxDQ7hSe%uA|? z0JjzXQvkhn{WuM&GA?on&v znvb_&tz#5dOuyvzhi9`7=3X2wcfEFrdo3mcOUL5 zW~)i<3@D)s<6`-9CQ%3x#841qdOLH7BY~`=A%QtFHECJ(y5hie%a&eB+BQyG_I{Kq z3ZkB36{#}RxrX<}B?Uuumip#A20KuSVt41*@=A_bHXPo1c&ApVneEvAKDlV#kmWOMrbxRPG=2 zJujRN`9mI%PhB`%tkQGD_>;z)-rQ%QG;P>1vxr?e=qaVt#?`Kc7#4+X;Q4X-d@N3f zLshsjnqo=5v(8n2ujV@lp}*JiJ?eg!i$bwVEY|0IaoW@5+JIw17=h?E>2xNIa#JSA z#?|fK{(ruh?Rp`6Y!lz%OP-p=cMrMZIzyO;95+^2S|S+iy}?{z-aol2z^@LuRsf3k?a zI)nFe-gtYKpLN#R^{N}&KjQ1*Msg=4Y_ZY>dxYnPhHmsUtIUC4EiiyLV(7ZsQf-G=v)=p#~y8J zS09jN=F%+RnacOD3fxTMD)s#g;+?%>#mZIZ+^sw|8e*>M?n6yqn>)cbf@m7!{@RGv z-G^ul^gI{Md;qRHhy<>>kaQ{sV$L`s446*?qTFe)cQV+;_LC;xHL}A>zi>zdiMp& zw@$^x++1v^%NBJmTD;_pC5RUUMz68nV0%I-w&+oq?U7J}Emp03HOJVYX8GlDHrfFs zk|%%jW2}3W#&OgynYDtMf$KB!)8~ZTDfIP`aDILy=~cx_oApHT6lctOwgVFv2G%a2 z3GrkN$z@i_*H~he8l@Ji5YLB6U*X%Q%-yO2hgaxs6(9)Ac8{dfk3^V)+gKs#vC6z^Q)y`l^IAL@^LeXXli#bV zWZHM8xTpN8&Fd{K9j`)^EiR75ii+H_pcd^~Nk?zuki3x6bV0a$l2KPiw2cilYd64n zm1|MqyNB}o`l@U0#qx@EbM(gNS7nd3oV|53NAYR5 zzMT(iH9oApd-vjn=WfTku~y^8f=s-BwkNC7vT9``S*boaq%$i*L@dD6-B35amKR(R ziC-)``NqmyEV_S8X_KK6u{xMcZ!8>(KW1$@z2)@QwkfOBtc9nwO`YC8l@$-|nhQ6! zwzjoRnR2m;mo8R*bC%lCK5O=zx$~sn9WJ*?$@Md)&)`IhY9fcmV(k)Y%1^?T7mXV` zuJ(ipC%7D$)v^aW+9XP#7Y3NE76yg(0n*hj`}b!_j)tbH)tmS4-@kct{}Y3F)f^*J zXNGpkLES_NFD3vLX^U4axLei9!j2ff;W=m}0KLiDLpDqG5Z_l2IwE(GZeG{TifUYT z_4x2k44-^*P>rvzXH#{rIOk$vDu8L~l_ypY|ME+F>jI&DghHv1_SFR}iHj0XU6`|Y z>Tm;^ZsixQ5@%gFY~z|1&slm7BL#ZMjn}_vxw*sLgs5*gHV!ROmud|dv$7*0ni z=yGI2`}e$P_&;=+ZmRh=VmeRLt>0!BnE|Y~@y~P>H=XG5Fs0a^%`P~3>*5UrABJ^I z7>Omneg3v3=XWo?;F@dB=-IG!z?p45=XdY9;F^2yy>RD>&Hc`leEXuEyH;MjYt<#Y z&)L6uXTLM-sK=mWbhW{J(dU46N5&OGF~}6RI0TKSdDa+1=KQ3R=`4zcM#l)Dwlc+2 z?E0b`#?;rlC64tB_aip5p~&14HAh8dX?~2kln4|PAx25aD-NX<38LZ)pRaHBtk91* zky$E(TBLKC$>gmT1=M~cpJ>ejej!?F^_OFT~#=Y|Ij$>yYy8F*O6e23S#>j3b z30aN?%Z|~YN@vxpwPWg5Fp5B#4zmf!A>Ru!j(Y@_Us0Ezz5MJIE6*WUSzbitkjU}^ z7rDm>a$i1i{KUHYhWhNuF%f+4mscgKs%ys7kf$t?O*tBFf7vQ%*UA%k6`AQqVGufm zg2Su|B2^#*-NTyaG4hplrM)PlZh^uy#9XX*DyNwz$^{kp4A5(s?E-{*0UJz`Xrz=O z$UL}i2NQ+6g-Q)bB;b@9F{)k70=yNit;c}3o=7ow^y0PvvdpXK`L%kMZ>|Ez08)WD z#Do4;2dq{I)PlS8p7Uk)yaVKqxF6m6947+9pmNKknYn??#&jFuc=(7ak{fY{c(~gr9}MK_Z+D`tg-~{2GG-J7wmoHP3Q-#Z z+qs&rpj6)|Dd3`Z`ae)>Dnu28NEV}L5!0x?t2SoQ+NO7XMoln?I)l>5uNGxrqtr5G z)6!Z9bQO<%w{(yv^oU}Pi*Jp(gO(bh)uJ%%34K|Z)uH-0%)BUuQs*nOa&{FLH(|uo ztE*##?8Z>l^aNbyP-dDZmZGqGC}&gY*lN@^Uwc`s2JV13v=)v^Cz`lhs-mALfHJg# zMoH9FS0o%9j-7{M(P7xxNf})O<_d>m+9oCrX&5rTZA4q&D-%mdkr$tQ{P45G)l*VK?A=?}Qcf+# zTh}tGCfn-2^fQu|ts!mMFFpIz%TK=WjVFhEK7UfB1NP%zeCD~AzWnqTzcN%IUqq#Y z_7hKj@x{XjhpeNgC#O=S^XzXt|I&-kKJ~Ss%Xq3(+Ofa+#g~V=g*z@5MJ)UA!!JDb zjTfK!;x~q>r!cD0KHBoka}N)7TIz{L!2eM8`OB1f_{pcAe(up!FFXUO4cYFVo+`R1$NutDUpf5ZQ_p^7$i@?s z452;!?H9f{+O_O9WZ1SJVCHXRS;+vjT7N}YF#y<890)eZf>ovC?amHb$oS< z)A3UIM?u0w<-6I`l$0x4n^+tiI;knig(T<4a}miMZ`6(Fr|DxleUuOa`o| zPMQ}z8(OgQ*^ta|UrAiRWxM|63*Y>OZd7&TX%4QVBeI^)>d01(FuC3D$SWNAMeh-u z%HqT38DyGiH7k5rYe5M-^AX%LG*!ClHGIA@$tb^8v9e72##wYQ%8JaTAk!UG!r7(> zNyZ{f8b`YN4lyTfZdH+vz9V(|h)m_^t31?Mq3@rWD{HMA4P}^3d_o{|TkC`rXhDlQ zX?!)Lqp7IrR3VYp_EX3A5!|tamAS4-lVWSK0!lQS>XMM5b3Kl9f;0Fgk3KAYrJJFo zYzGZZN$C?PA~Zxr7rq76q#Jl(kK5N5yfu*+^vN60jSg+tsOf~2Tm9%n*2$Ms$em<# zo$&)GWmJ|@G6O!4Qi#`yy~HqNDJ8~aGe3GM2%gH&)L;q{dhxl8wf>?Ey)d)UM=aM0 zE3&gTlL;>MY!0Q|c#hV3Sp_hsbxAu>sLku!o9g)s${3P-VoqzDAiMrA;2g(_P4uvBSNUA4f<_-cWg{zQ_!vRa5>2n-q{cfLT=!BN@7*xuL= zWiF$C;MqMqd=^#e1XZklfbyP98S9a3nRDKP7_v2bdP}ct^~=tKbL#woS?XjaRk-17 zhPXo-wz(t7f??U|t_EglQ4K^PaL%NR>^GIZU(*OgF;DiT>m!tzx<7}YkF&G%!Bfb* z&_MbafFuw`24wl-&IpcDz0i^+l?tHiROT|X#4#M0WN?>CS>HPp?h1&Q#g^a*chj&k zUXxTWVl+hrR4y0{D#FfC?LHNdTrA9h%H{M;b`HL^PKaVeP(d>~Wbtn)s4h1$g6i$b z3jtFdSp*dVO1*$|tmrx(L3OPp_C!3n;1%IP=W8N^2rksJN$3U&uD2koU%T_OLT5r` z{V65Rv2

nKduNKf`Dr>C;_?(4~fv4wH&S>5wM81sn_`8(3!Zvq4${b0=C=7?!ht zbNpQ|kUs$6PY^c#x7(!!P2!!h`^{j>$5DsGqebKWUe;BJ`NLy1`e3STDnM~ZpDIsyZzN)Gc4B|kBY>1!CgvZf+Gir{DXSK&t-LKBzxM9cmKQkm-vzm#)EEu z)WtntP!Go8fD`Jr@jsp3zqrMj+4N`Q z=#lAP#vk~ddfoW~?2tYXf1ub%d*bPZs*Q^{@}$U~tKv%*s?+PX@%12SP17^nu9TMc z)cN?IUJ_RujIX+J0vG5uZ*`yJENKjh+Q~_NwltKla--~Poj&smX$WU>vxjxQ5mxDP z!&H39L=vKAu3AN_E{*#xVH59j+`DCsw7=zNfhr)=P=Qro7kCQ11vv%2g4}}t&)q|3 z5XaOe~!=aZ(lg^fpj> ziEoX1*mrLmVLn=aiU}Q6I0`f$_#HpqVIPC&mkqaU!&=o-q%(Q-5fNN3`r%%9?$G1fh ze&+j@icyL4En8#@4%P z3V}?Pfeq`yfvD^lR3-6`-%`#GbqFG1dqNN#(yPPwv|Joq=-7Bl^Ctk2+?Uhr`s@ZlOz_R>7)!a7xNVrSwp}4L3tuE*M1hG zS%+ro$N4Yco3>^GF{IJTToQsdN*UMEU$Nu(zZ3GN6pFJQf02JeH%{2&iF4e}j;SXO zJK1rH+wsHl55f?iLaYKJ)YJ*7qGtJiX80DU7}+^vP>g8e;$4yx+Laf2NX#ma#G+~{ zXGf^ek4^I?VK3i!L!$4T8q4E0bxJAJA{KOjK>Sh?U&?xpdUZgENbW7vJWuGW&Dl!e z9%e?{S{?HaS~d_KB~RYIxW$wtYYL@H{-2a~8{n?zTK`ORAlx zbLTsScC{vDc=bj9)tV=SL&A~~WKT|hUXQdQLPy0U(3%vp9_LGjC-i{q51&r|I>Q#d zByS7JpBZPVffy>2g}^6Qz%MXBJH+jE8jSK*6~aR`C5|_sgL}(rgY2+4KQ`Hx#@azA zGc;U~_DLLWE-BB0xImTlHE!Dkvq>Ml!YM*Ft#9h42(zHKNvkrM8b56ccZ9_l5Cjz@ zT}>b_>mDIhjC~kJfLhQLDxD>|xbH;q2?BJhLdI_X+Z>#i9n-~ z@9Ado{2uc?vM5SY%*w~rSc34ScsRBHLQKpEgiY^6BJc>0pufT!=Eb_n@P@D@lw-c2 z1qzQ6fj`VgTrfpCa76$ls0OHGRQ)w)menN5YAYaTny^;vPs*%}KOu|GikF@9F4t{_e)`Uz!^^DI@N1wx%op(AqRDP+3oBW8$fovwVh zS}49Ne7fIBt(X3yx}FzS$rq8G~cIlCmz3=CnbB5cOA9be29pqhAU7l-&NJ@?VbX#P`pbd@ee;3*f_W#7A95RlREfTaHm2(T%yJa}#e`~3H=xrP!oh71MCq5zCs1RBNbBK<)3@4)L9F^B z-(J74SCR8#PRw}|;)5GC0j>y$?sKmiC-Tzw@jwL_0YA$k7U&?8k#eonk&*sxrxYRG z#|Ys=JI6;-aYY>$j>>B@g-Ygxh8XK+rg5BBl5-&30 zB_|^2Rc9%pZiAaEkb~9t+hj*0Ihs!5raD`W^5XdTke9;7NwWW%>;crT3_FBKCN(w* zA%!A8xR@Uk<(B0Clgw-dWC}<@vk$Og;S@P(u)&zzJBku1TaH(3;YIZ%kf#{CBR7y` z`zcOLW3@?$6DxJ`Vlox1;nxki*qu_+xXj>^&USW<(Fp?GW`0vYfiBitXXCWWY29Y$ zh9nY#x#X-_=+BP0LzbR6x(htmlp6?!a)VN2 z1#=IZVkKqeu`+%Zz4Y&aO;>H*)_v8sTvZ(1z)F~zs_5u<0-Fwh?dfL@Kb=eJ{x>}7 zZ@}x#-1Kyyhh3{?GB24z-e?(-P1IfBRQNk&^Fp>f;A}b_$D=D#xq2lvi>b@XN=r+5 zmz0#qnred#kR-(?qaUdaz0XVHQR5}Or-|NS=OKnfTxM+g5ooa_@n1?6INjJgo8n0! zt^$|n(Ek|%K!K%vn=^qLg1kc8Svy$>`6D3{D^ zE*QT}zCYz&_@I2Jw}t46jVNgdQA}77#FjEBpOT>yTQyonXNz_&q%S-$hB9Z1?wusr zDqF9~Q~VccML*#9%(|oI&YgPbp^+UsvZRq6bC)zU;pmiw-jfEAjqLxNr4*NMgoh-J zrx1I%A15RWk{M1Ax*Wg@FSJy&4CIA14Qn(n?3lKrA1^c%Hw?oIJKNb^8j@XH zyLkV8NOmY@V26mPcY?HlHcHk;IH9(%cJX3QK3vfLup6+52{lvAiRu2PCEiTrIOTSP-V8yV;^tNa)R+%9*LXM$l) zNAqNxH5i19o76OppGEhO*p}_(4a<_d^{7ogeWw|eF`UP|he}yMz;bTM#p(T|F_pEa z5OLf{sTS((S5Y){r?gJ?>h6>lisJh5lH!m$rKKDW=8*DEE=UwNSE~|X0s2AGi@Gft z%g=rl%v%{Qx(hG|D54E%HbPpY2o}HeN^NRyp;7fRj_)O8y4F z+Q)7ztmr~frK9#9auxK%d9lhm$v6H+3nx_Y|-m@tC5OVJ*IZXwqdent7oITV>3t~Wz?2IC?attE%kTRh`*uKOqfOkEa z-CT1B_?SlD34BzFL7=@kG1P9^%g?0MWxX8{XM3w<+`QJsw)c5umcot$PKAPEDuZH_ z?-a1&Gmt?i0X9GMEubc!c%+K%%#itJu=hY8Wsg$h`jIOXLr;-{G~oT6@~$ufyN$rb zhIg?+C1F&AFe-%fk#~+ETayTOf->PpdG3QIS4Ma6jXhxDw2f+|h+K%SP2iBLmNTkx zNjEGQxo!u0ltAY;#OymP^f?w3$P#jrEv?*kI4jtCZoLt@*l-$@k6I*Y@l^WB^8*p9 z8cj8xX$bAq5DU^|WpD=3r(FF`0NKxY`DY5q2HBj zsK8ZG0G})xXXQ5ab!PB$c?M6tz{`0MvkG(=UOBh~Hg z)L9xVZ6XmsC%SAc35b6!zc;+};8H%q$c<2WGar=QS>C#tk6f_6r>&ch@uXRXw`%Gb zq-fJBPfatb8^n5lkE{L>N(Ql0g56iylCUPZ#ZaTGaS`0PZvA;1)}4of=AIv2xrSX0 zR<1sGO^HjLwJy|tRqc&hP2LLjp=@QG9yh*h)cWt~g~hY{8NxA~EBq!VZRlP?tkFHrVWUag$ozz!;G+@4tNr>feWQp zp&-5X+G_-um9F7{9J=?VuNOCN`1*@4l{Te4Q$Il`@v)g6N_(bkrh5*HOkyXdoFn2# zHj?CK5vUgO)?&HTMe5V-vAGrI)3%pwxHY+{&=q2jJNNnpf@It5s=n6GqV;|(OScI* zUcCrCsS-}w6N!3%?I{5bjl(K*MrNBbzpzqDT}^`E4Cs0%t0-XXvY3Gck)S-boRZdT z!5l;Xp{LsEsT}Tg5<*|{aEufEBj;gNWqERB49)8z7BBpS?Xqip;D}^|Hf|OW^HR0@ zXy>ba`S%w$vs;h`;?L#R6a(k7$yPD@E+S?yGSSFrA^0th0CYU=J(qirlTnJ+QyaUA zPJ(DhsS`R#jKC2O^;asMlMPE~iK_G^f2wA%HoF2C*6mnYLe7tq$$re`JXx`UDns3} z*HM_e^R?mDUd?c_eSd$^u+|j}N!6@XMj-=Ni&`Ye!Oyken;`pAjqKnUcrHnO$Za!9 zP1vLbLmpR2T53NMp1rHWH0*Vq_gVbwB4q?XmbFPLpr5Kbw)`Ts`3d?yY+x1R(Kkdx zKhs-n1)y6$+fZJjMg@K+EkDD5nc=UsLuc7et(`|Iq&R);_FZ+qahvG8X!xCZX1k2; z8xV}4I)j1S9M5+}@1>haHA5S6gbW~$c%`5^GNVu=3%S5G<8r1`WC))c)e#yp6`@fb zS))I>=3!^I(Fm{@X9}@uT%O=cD#7JyuK%2Acwh60++vJ)F(aU5P6;w+6f%eI3`$Rn z7QKdkF5<^R4j!WS;=b2$8~UJ5`BxYxzPKxu_Tv zuZSWaEY(TP>22EOl?3I3#14h$YsTzB7JSB7%kQXj9@61a;#tVoed&e`0;$Qb+LM#l z+;o}MYgLE(qj?}!x8l*O-sA(M2l@8$q0yY|GNn!9izE%W5v}{V6>a*c^)_Ih^SY|p z1eB|^TC0(~nmtyzTtoRx7s_d6=}~n@6Bg-~+SaXGcQ(wq;|>IxmYS{s+qtG%+o``- zD=Ja^HzzR?=9FqfMD*Cww9Klr0{2E>Lt)sbG0(dVGjFqhlvf$6Xt_a*9G}O+6 z(M~xRxK)JJMB`i|)MoIlq|DrsyOO|N)4GO@Hg)wm0HLcSrU2?K%!j7b4r<#C{Mber1&U-}Qg$@_r1lDEqz8_ruk~279|ethsLvY6_Niae^s5jOjV&ZXD2GXP zgQ(=_`{!MPPS49JEgmB~RBNrjUyLA&T()1`6!E_jlATJWO*gBlZDfne+heZMhz;@4T+9V`;2EHdb%2#epNyvZ ztEu~Xwgsh*2WX)*l?ji-vOm>=k(YiV;P+ zz`HIy27YS<{$zN^8(y4gr*bjqn2{N>?Om815u#`S(KI?VRwZ1T&JLW9J}Ha)XbnSl ztMj^6W721d{o+r5*we;K1OZ+aI*j4h`=}rcwETFDPe5Db7Se;R9%Nw*5)Od+GFX`l z=$;;~bx~cU4c-Q1x3-buNF`Bt1sBl#en&ryHNr?H>6!rBS|i)Ug@{b~tcueVz2z*s z;TRLN@WKodITmi^{Ww=`K~6m*1gTvvZN@DfDD>c!qy0XNlsACL;AYesMIKYJhhtfKE3>EzkOpG6ohK)-sgF6#XCJj;4A;uU{x@B6Vi{OZ!E~=LQ-7QPy5U z!=e&|w(t#Ku$AbWTQt%AY@7iHvfGC2F=QBDE&$EhpWeS5 zOc(_5{@&_73;?K898fF(OM=&vilA{DZy->94GSM~#GfKQA8K1AuE zSl~`YeoON{W&cha2B@7}dq4p#u0autm-jHeoKjRmdkFp91#iIIMd68FpeauIP8h<7`2WNXdK&dPlzwA3%6&f=UZb^r zAbFju=)0q$u^EKsE;-!aGXQ~kDjv}tbY=Le<*qCr@CB`j+Dt)bUd2uDy0 zNVG+TsnK8t@ByAB7oF}AS7aWCMG$ap0R)qy_8 z1eR7uGS$|N?pCW^^?8DiN*qD*rBEP{xP}J9#H3}nPWHxS>{?0mP^j{9T1e7IfixZv zD;+wj`uye@q{=#;-wt5%b3fxTvu(}y8Qw|0aHZBg*nP;m(2qa-kWTxFtKh_*HeXC^ z`?^#Q_b$`-mYzL9BM=Im{VR48m8RxG=Brh{?)DROx%>Mg(x>|12(W?xTs0M76Vp`d z+$Cx$9%pgI33GXr4`dNVm+i)a>G0`*&&!^<^np>Ja`A=fOI^t6=Qhh+8rY%;Q&CKy z%nzefHUc>3`KURIGiX^NkK{i9(+R*xAjLI?<&E(6+G@1d!nosI=e0b*8hdEelE6>^K*wMNW)WmN7GC#q5|T=8fgV ze6ie^KNg4uV|lTEvKV6K|C>D1XG~c1WUVPgKoITJf3O6>2PB@5c8EF61s(=pTk z4W~3TsyEDjQ(L#E_Gf(lxDd-#`k`MOUHbXjyRW-w&9c33E`9Q7Gm9F!wUw*qp2QoX ziy0LPB(Ae83V25E?Ive&b_ThkCtu~{s`$ssR8H%*?w&H`8QqEoy*@kPTyd&f;B+Za zR(`4!cxEpJdhY$$-ky);s*1yIfv2Uw$Mznk0D)Cg{6DrWf>UzTsP4@&Q#U7lYWL-r zT(EY<8J%;cwM?2Ywz8-o&#QiQeySg2o@-IQsf)-+TGFC%$s{sV5FIKqlXTC4)I#qV3FZSiLHGx5EqeZA9ac^Kl7R^0DHiYV$icp!_)fq+m{fwMlC2@~ja zHmh`%|HlShVAB*HXu`G(xaWXzKNbb(ESW|-D^4@c>V4{ra#JZvO^J_Y`9o-~Y5aH1 zY_jfeR!>v3QR*9)63=A%zpdM!Jlad_{dk}@wZB|F*Prg2Rl>fly zDLF979`_)R+k3aoPf8sz1A&2z$uoVlmuJh>t}KrZBHJsx1hYOyG`U$R^^^t3qT9#6C9%*| zB;#G79>~pRu(lat{Xo`cWnHKw;YIqKZ58F3t2IxT!O6nc?-#zV$;ZAb!J6tFC-HLC z$Y6<4RU@iWjV2`+jbP*{t|5~T&%^SX@~YYEuo-}$^V8{8v?3V3+Ww^_Q5yaE`pKiZ zUHy1JrRCow>3%L|9C^fU;eCe7KS?pWTIV#92 zlM1fNRFKyw736W`Mya6e9L)1_VLHvOClNW8VbQ_dRw<0lL6_yS{OU5df3wT-^5Dbq zp0!-A9$gN5tj|V$6~{``!PD-mDwIYAF_{EtUDo(&x<{w;fU zOj4tN0Oy@Tc-c&4X;AU+QztQ@{i>>eYRyw0G3t9#J)c$ep&g-Wx#>yxa3-mf2wq#Q zCLQWJbkH!Ps!cpb@NraKpAGKlhI^Ig;^SAKA4p9q2p+YDa#X>!=| zc7eqM=hCsWJ)e`WdaO8-+bWj9*&+>!aP^L25WJfS;AhFX%2#td6GZ^!T_%->LkFbn ziOkBFc%mn%|M6IR>=G9_&1XG>;AF37Ae;=391w%hZjPOi=H8!pa=^%9<81$(hX0`8 zAU5kS>}8b7+i!>7upx<^oIk@k;3eoD8BPFr7C~In(jxnGr5bbcdg(HRP5i11B2*Ql zitB}{;)fa0wFX4 z^(T*OzDSHrA7(VGkgiO~+uKNq-iEli}Fa4R( z4$cw5FY8}RXu~L9R?AAKKdwzD-#4tw-B_;N9QBidNC6;f<9)M{+su55CQ=$YLHa!H zNc}Rw3K*aSmnqdj(28nSv&=ySKZtL;Kc`hqKq5t8%;RG=y2ZK-UohSjc4GFx%rM<; zyx=-&ZX1g2E`jaV@%pp)u5y2mp{nlKiAA~tz9$fPvN2kYbrLZ0(o?kk(Wg}DA&_9I zVNEtbOu+~#e+ps3cN(J~h0&J~O)Og zlv=KyDS*j$WsG0Gnke@Dgg>_|cIADidnq#mA%a@4B_{0J$jvg*V_qaEyNK)*F!XB|W!<{uMqk z#B7kM+gJ&@G;}0&LWQvL_=3=VwpCT{ZI6< zx@emN_?#|Sz0apJ~8v(eisKPLqv1pKO@~x#h#!E<00FZ1A-%pA$Tyg*sP8U2(oh6I|bwyR)`7 z&8ZIMh17bN>C4r}vPykMvQtNaE5%)+-I|Gnbz4vsa*{#Xc!d_;fdIgT*z_|&D$L)H zsqx0pK_=erDNZ%I$Y%ASZLWUrO6>wfv)0=+_YNbzk?g%%emT{x)%^`AiJ>3Nu=*q| zzVl&UIze>aQ39uM`+tbaKbnr%p3<`$_gZakw03`&R)_F^xw>>H*3W48%@~f__wg?3 z`jfNXT$fSl#n;6wu4!_;>+Z^`{ah)keKWBL`pPvj9EM!F1d4tfG17Yf{s-u?(L(8+ zP`YqxrKsb=3hQ74nT}opQ^~PyFt)J5X6&k&uonzdt48;98)`P}mx7J@vtIs=7gXiW z4CfsZ&UOTgIv3PMN)v@u!ZY~N=R^xN$NSjhzFRRWQo%vkB zJj?7K{NM*Xm3Rn{=&t|FW4+Of1m(7SY^Q1U*X=WoHaIm8qWeE+oTJU9IW^)s2z7dL z*LnP>WgKb$<@~|Blyd1Ap7V8pCgC#WX0b5qrmVnbY@}a6JhWl_MT2N5if|TIX45Dp zFw52E8KS2<(4460S|dO9q9gvH)Nie;)Ai+Q%V4g~DC5W0q^`s77u+POxB!63xaDF+ z(1W@3XgcjxV+k7(_C9l)t8|yU0vZl%5#D`Td)}O$zP=K%U5K@w;m`M=V2gXrRj;Yv zpcm?dH^u+9h#s!z^Z$rs_NDWzi}cC~X+u9FyhDuea`o%u<hx5{Yww9?C-gaO zd?i@=lvN39?V(h{x3~X}Q3{9P6W!3z{Z`(;TY0xyB3s&R(T9@wdp1#lxDVZF8nNrh zQ6&~11Da(C*Z3GP#Fb*vMc{{HjJG1D>7`NA$HJA&DacgY$gavlfIsiIhIXiIwslcoynB_KkL?I9Fp2+qGkCNI)v?| zm-LTqdKKxf2*q}QGekRFZtko%F|($A0+CEBV&N9i|7B82C9 zO=i0nHu(Kc7g?3GTVfp+%eIUe6++wUXFQ=Qp=k?9jF!$HFf&q!$jKM&suJU9kOeiU z=d!aTZg*vb&XAKM+*}w-D{42H!%mCz^a&&Fv&DeVpp*YqCRnh~|2vH0b<7lqc75U= zp}gx4xjy$*o!jE)YhS{hA>O|wc>lx;(uvnX_yy;@xEF<6`n-YKb=ZjO@VH!pLsE5r z|B3|K{1S@(u|)0seBpzZBBAC6Zw!cFC+!Fwy;N@d zYqFPR0p2A<7yPH0F8B}VSzXakPJHS{vWxZ5>&M^DNa*szy6#trOV7{##g}CKel#}g zxW6uY^&&9`oVlU8JbVRSC^du)Fcb6BCC%}C=E-f2_POF;cb^xV{cXMR61c?cD6}F@ zx`D3u{myU9^eN7jE1 zTyZ$E{!eIiuHmwC#(WjSTOzh8U*8h1MG^`D{M?O#ULe~zsG99jR#qObwsXCv!B z^<4SL`cIh{mKphx^`A@t_pW{(S^qh*{&QsgCqYxgt{is*LPpkq67Mmx{xchQmRTq| zvi@^q{U=(*$okKb^`D4|{_%+;>pw@d`4R>Ly>cdPLnz zN?y07{>7^Pe&bL^Qu=q=YqPpiCE9*Je&J*HIz3MFr@nLfchsC)l==F#H-?XXHT<*J zAHDDX=Zza5UHXOD_V)Ylt-EQ~9ABdS&HKilr?!v1?~(Ju+aKu}n@o7${NOm}fzKT6bCcvL)=IW7;|XV8~%_s2tn;hj;gJ zC(jykrQFE+&yn??eQBPx({g0}=TW^dIpfZM8+X6E9&%*;r(R9Ydf2`d%YUc!pZx*2 z%wHBL3zp@Th03sx%8hcf+$y)rJ!};D|L-gKwP51RKO|24{eHFm=i6(xkKTU!_Fry) z|MqM58{2Q%|Je3F?JwG%PMqi@e(Ahw90~9Lp?l=@(HxuipTWciCvmxx_`I|K`?vhb z+8@0oZEe}#c1z%i{o8H{J+c3>Tk@We&mY}lKe4~#7S9ujk4E;}+kc*jh4;U)eGGMv z<-SP{jZ2h860Zm4yfoy!XWn=15p#>WZ^w}W4s1<)EAREK`$JD|eg4<{+;QIxM*@kD z=H2)H?KkrChW+W9+mAe3|MV7q+%An#+iMcz!~eIvZvl*|Jkx%^GiNTDnVfSb7ZO7z zGr52h3S`6tg9ZsCkf6a#AfUk&MhG-S<)UtlRxqwiYF!hEx4*b;8NqZJC>F%--?}UE z@1iAE-2ASzbyqD|WoduG;H@a={LlNHnOwLi?XTVK{yTv==X~co-|cO=T4EXgr&D8@{FTLD6`dh_XUG*B*V$n2Y~bTJ?493m z#V+r>&E9#ND@u4&pi__D^7 zA;dtF#Jv$a&vWEXU-%X9(i^F@Vo9G!b zzDLH9zTczZAKVu!Ma5d=(}UFS+_&>o-^qP1)LFFeWG^`tIo0ziO8hi@_`aU|di6dP zZM!f0{C$Rs{P%@tUe!3ee!_T6AEPVlXV=RUxs8CCRy}=IeW^^mdg{FC@?;LDnP;K7 zjaSXQQl8JQpH|-}&+3|HPd%-`A(d3ko`q`W$edNRvuDm}oHaFZHFZ|~+!=LKYs_k9 z%&e(xoOxA^%sKa}ne(R4o@3r=oL*IXmDvJP+f}l*V<)F}8`<0K0d^-BLTYmbUmc67X68P!++LB|D;;_E})7*D{)^AT~?+)zi z`!Ku@!v;dcXh}R05BD6a#fTk)lt_M`sJBFpg=6tfWpAftZ|7g_?Yw7iI7XecH>UCz zb++?a``&Pu*xPmY-k2S!W|}P))bLOMk)m1O-OAWA>Cikq4sc zCS_-L*X98z2+7kIB zGRoiO0ibkwdLQe*wzjyxH`;G)*(CbhEya4D1?gMyzdLX^0KqG+J^V?}C(jkFAKMe? z{oD0O$X<3$Byf1t;ZZ%KdiC`TZ==e40>AxLB+yg*TT7%E37@pQ(D!Wr_Zfl0J4YWL zjdCswqeWvUo|K1qd&bV$Gd8p*?0?M?S+cenFcJf&r9t3NNe2833>b@cf3|N=Uf=Jd zj##X_d0#B8yLprF+tPN7@JG_tyQ0pW&ArxsOWjG2|JJk}?r`iw;7wueByKm>B$l{jBqCQ*C;y$IEF=$c08ZGMkO{}eZ5o#CR%~2&rs73zs|HiU5 z9JxL!c80IOCu-x{JHt^cj(J?(o}%?9qMnxS=6m@|`OCk=k5te2tUYPU=*h_FeGpa% z>4jnc&(g|!#=U)V>yaq+1iLY`FZ6vpnA#I8?vDh~yV1qEHF5iR7^!+9wcmW?{csOZ zR*k4VVu=+vydYu$)s!gtyVGmA$Ne7vqv>1y&!+d#bIA0zb;l&!ia7Wy1zdu=*~`?e z{VEv3-Xm&zsJ6UEdD{U6V0k+wBJgBOgjyn^?y~fj@J>2RU;z={Bs%QCUL#^dL0hwz z*!b}y{rzEFb}NS!WU_?)yV76i`{a3h-$&u?w8yv*+&QXvVQ*%i607cY;CpJX3*T4w zI`KWHcVwSE)=+nXwd(P)#-4B#XB*aK+-rOiJNEdu{nuo~mh#t&{8i0guk+Ui{`!0V z^583){?m0C{YE7Comyw~!nNZt5dW6evIXw$7&+84er9~~x(w@~@2$%SpVijFmQgml!|8IT zYP>&_xdt(Bj^wc0crT{UPM!St#P2`+;QjZGAMZCm$B)1F{s$la{=~;8Pkk1f3xc|1 zIgxQO8=4w()b=|1m-puMw_;TEO_z1tvuRDo+D-dAB6Yc$n>@HIz-4tuq_%u!d|7t+ z+igL2KU849+H((B=AM>h`t1YhYmvToApMSk^a#=;Ep;n0H&Mqu9cw!x(Yb5U77Uu3 zTLJ)ukiMPPa}Q1|I2lY?dqzs+^c3!wd>mt|^yWgiKgbx;*Id*Pt{toH?RgNlzBl6V z`kwW@6Z%R~$NFQ3?8gqdwjXl!KHXOs+tGQ*-g(G%?4bSFLD%+!uHL`v(~x-3-g(e< z><#;|H(ZZDw25|}GF%4*7)V4Oto!>B2UGjh-gtjzq`O#ucqMdDcF(6v+9RJHIj99+ zIs8!H=UNKSt=CdnS6_c6G`_ikQGFGk zPph9gyV^hVD*v3i`bK|YDP4ubjQY7(Ra0I4)N16Qz!S9DsNC$wKkQz=+3-BxO`AQ{ zn+x!d9`E*SHn8rRr@MQ@=2u_ezkd&THdhzmAMM${|MktUZrI%IF*cLY{VLzxeB)*t ziOs5lf3(?#%l)sv`f9g!Gb>}{@jOm@ zHdFQHxz#;$tLILiTm4Y=Rrvb>?Li07zCADQ!CCTtBi~29YCOd^kmK?1ZKh~ibu<15 z7xJl|{J}?1WMgCXQv4Aioy){v4J~o{^y(S-+l+L6np;+V^%i+%-KOq+#r#vPoCK=5 zQ_a7vd^y_iJa$&>IN!uvM{Y{4GuM^t&P~nLa&<3xg;()fysFpgwR!Dchd0IR^t!xm zZ>m@GV(0oik*DNY^3*(Qo-NOw=g3RRbLP3ux4!=j`C!0bElfN6(!`!${`wjIX)_!B zSJ%(3tM<>BT|diz<;>~x$U3d*QvaN}vumc;U*!)>t($)39E7#&<~Gime$|!r#pE9H z3z4!(WVfsp_!%f-8^S^9?O5;BAgeo@)?P7lCN$>ED;%_LR8i5WQKO1RCGI@BVZ)=3 zKDyyi+}Z!)i~INQe{sKgXXJLQdN^;O2qA~C1v~v6QdE4gA+h#sH5qDWyg`vV3GiquI3u^MGO{=QP z_gB?4wKb`fHzR|rDy4^1QVptaZEUSk=>oM4%SC%HyR4$Z>#aDz3zHoe9nB&QeQinA|N`85VT#^TyAb%TKAlBE6D2-EG3+kjwEN z%@^VohmMj~35(Tcw>wr6J*_&-Qs~T5JPto;vXpfw1;obei+6OgA7wI`E6T;+^{H5e zb1gP)a;6%J6?sBb=EeYLQ>xh(K4?GTw*0mG1gU6CHi|K@dhir%B97LefIM8GD<#gw zR8n4^O@{esn!A$J$^7WUsG8RCP9(fmu>%X%y?aVHQ&RAce}-p6Z|rug^IJ*JRq|q& zR)hQ5&I=`Dr$(EDs$EXxB>ft?NX5401LK7*qQ%@sw>e(=2@-^i}>`AZ36d5?qpG42*;yoMrHUJffB}qsp@TMS}8Dep!m~tG4+Tb!h$#$j>9iZTS}uQ9*_O;&ja10)+CuNw z3cX_syqvdjMr&gY{>3^E#JUb_>5_S|K_>=t?U;hnF@C*eqp8z zU=2J!EFLNO z*d&)jlD8YnTPJGxKNg4OtWr^Hv7(kAqv9Y0=mOz$f~$XV4z4zok>Kccl~blvR#r}_ zgdB6%lYkDyf54P(wU*WQ#xR_X zJqyAFbiGb%kt6Wd&+pps)W_)-6ZLEiInLDaJV=kPif-gJj5b;b`^`FCWc8B^o6{P! zs&^mn(YVh-3WgdDShefPCwJ}I_2fW;536;%SM7f0nccg0KLbn3N~^j$JG;8NI^~5A zD{;Hi+syWi#LAPaninr_Zf;&I@9MP>C1j{1_YUlr2C+=g(6h_194ie|YCax|=^ za%7MCP>@>T{h%e4i|JS0l~(sb%c|IxEwNZ^i&@QjUR6;%0~`b#VaIPV z%Pkpg92OGA)z?gV9b1v&)`e=vdYkdzdcaOTuoX5!9L72SzR+e>EsD)^M0JQgLvb?O zo^J!g=Bh!V26+6f7_jd#ed6){b8y5~%a_j)XF<*#L$v(sF^5VNAW2>VQ)Pk$Jd`I*0ZFoT3D)QWa}M$xSj!1=fER!<_;Lqn7@Ohd zNZ$GY)LWY%D^@mr=tWl9EAIO>))l>#wRXuB%~D?bl>_^p*%gaE+|{wBZDniof~JqM zsgiYj1yw@dAE=~KX!vYKi+IZKUilz%V&@Y-OJZFT`zk3D_b2Y!vT-#{X{^73uT3~; zCoeM-Q}ms+*x>*^HsHf%ZMMNK0bYO&4S~|968sO=0*|VA5{fd7*`kt0`>d5TW}vWK z6t-L4=R$32yEQ4nK!SA%+3RINuceWI0rg-qL*fC4E%Ct4(8i6SP!#_+MjcdH)zML< z(w>^m&YGI8&YH5;)-sh~NCHE4Ht*Zl+}w-*`+8BOpVVsz0*ChS&88=xY{K4gO-)bk zLNOI>Z57ZHl(LgX>5#Pb189jB*4dd4a=j7RROt?qmX5Z zit#i{CTJbkw&y1tzZx2BLz%MWn>$H1$fJ?FDm8v^LCKr>mj(=gN*RWUK zSq-Ft0%n>8T*7N+B@6fyT21ScMiX0WCQBSsL#fQiH<^5l-91jXMx@D(UQb4&t;t4z zzI9>+USPN?&EA%4{kRe%c(jJG{CQg}Fff4@Y@?ecUOcoZ>gSp<@%WF_|u!Nw=tVibV*s#FPM=F(>|n^6-Ht zDG<;m&?lv>P%=<6(>kDKy1Hs+bitN|7K%ng^-+VB`2El{y}iwgdjkp8Qw(EX-KXSZY6 zkOE@-rRLzFd;jyLQrdoQ_juASX9_-;c<7H4NkAI;q4Je%CwSvHNedF-k5D{ZXIP-{ zKA26+NT{&mpFrU$&THppL34J(%zhqACI) zeJ%zplS}x6$mGCTyF#GBzJy_ivv)W=jp_M2=UB>+Um&CyM){m6q}zr{=izk&zL_}u zhk5(Q3sl8$xw93hckErVE-9{+^n}Z>u%DlgohJNhCR!NZ4Nd#{ImB_X<$Wc_ZYaLK zJPEo8K2RH}ru=-#YMJ?xJEIPhvsB30P3JACJClytnF4l7Y)g1*{|_PtMUgh!M;WB@a0>2OIcD8s!!U;~zQEg~qVTd!Jpz!S^80G=2E#vI$yNt;g^ zLd|#ausnqF<)Fj|qQpak#|Ixs1lAx!thXRTlt<4(&kc&vj|-fbz0Zx1!|jmt8;rL7 zPB+w3W;iVazQ5+TquTgCoI}V?ijnRAfkCy6N$`g<$sIF0*TQ4eGZ@_X&k|5`Vjp)w zzm|jeUnhR{um7@vK&{is)03&pSN|Qc- z(fli_814cXP5bDnb2vL4e=Krs36{lJMu1hQ#BAbIt*0Tqh;;F*{FQFGO67V3< zZN#Y1_5A~pCX|wi-OD)^%+_~^2j=~uMnrsgpsC!JW-GDz;8vK8Fe6P{laVI2@7dsT z&~cUDi6sGVz|1dEVA%Z+1gNn87T0xHKVgk0gA2TI&#-r3%lg4` z9~Qi?PDz^Y&?95s$D2oXyZ>0rz10+*0Ny)b`zO!`zPHuQm3{|?fce_)!;#oi7nS8w zm_!Ae<^OG{V-wFmdqX{-eC38ZtQ~j?zBCOMrJx_Oq`$+EeHKfbZEs8lupIrWEopvt zCo4)A%qi#Zi%M<7ZDiA!BkJ5Yym{ch;RQcCf6wC_wxbOIcMeHqS@Wh@W(j7(Ci){Svty;wgZ%q09Cw5VLV$&cr8^W5c zK&;PZk3PYfk7*~M9S~f3P0#im&?#*?r{c2{t&GQiclMpr@>27(Jl%)5girBVe5%jt zgX!&a_)>gMpUda=rTR1z{${ND&`rE?JKh0_g=OBQPkhuyMr(=fsz=_~jnp>0 z4+xO>aZ~dL`>#9t{JfH9v8A*vKn-J0R{ixWpkW&omElc!W^0t}*lIFBfrf1x|Gnfk zC)qN{wvURcz;6w0lkV%A=ts`Xu}!;{?#Cg7EpV4(s4yC6rD(M0WFn7K&~d9Pt7O6MJ5S(%NN!;( z-qx3NIWZz_ZGko?xh^1^jxgnd1q*x&nwpxtO`%XICxqd^=vAOZ?v`sKg1=)s@F<2P z9w-q?l;S%_l+ANF@e`2@GAjNx1&0x14VQJiE3;yU3lAAfY7hp@ty<$k1-2Pn4fhwr zlUX*7Nr)OyJnk$EU_L?=2^f+L>>im@CQF@HfteGP8I(Epekv;Y6x}MMoXP+<$aZVZ zo%mGYHR%@>?g_LA4MAy)cBNRXe{5N#PIlSXxLo(Rw5MJAYMR7^2+?G81-o_FutTGZ zt-}mjfad1t{|1J!C^^g;Bh=?HXF#%Y1MX+mS-~c_9iVG>a467bwF-+(SuZk`hefd> zk{obthWI!Yh23HQkpfcdEyATa6sBa6pJyKUO+Mo?M|{oizcZ z0NKIK0n+lgt~p|ZWV$GQL#-5#D@llbfP{`SjIY-R%CZ3rPSy>tBwXeQNMDvDtjnaU zQHUF@XK;*dfMHPb3^LN?s0$Gy-k()BE;Eb6mads4!-}gxO4XT6a$0%fB+I$bqRb;< zDSPo_MNX*g@%)to*6_uhl;?A1&@}Y6Ez_MrIc~`?3i_Dm!*wxk#8XYpG09qeUk(FL zSOO2=O(M_UK}clG3b!)c0US->%2=0#$2LmcI|z7;QQ-v%Ks13$03$JuqM2~*Y9nPR z^6h4k6#K{kOz;8h;lcq!juuWvZeM;ISw*EVfDt%w5%@-LFa}|XtBkR-Na1S=AOZx+ zhCAkc|L}OgHX5sei33=>Hd|zd7p#c?vIR73C^>--$kWe{x}#KWM@U-G_3%!G0^DFw z{M$ir&7gYRfQ($YP{J#O5Exnw)HRXN>?pM{3fc3>H55x9VJuAt(~5s0OhX(Br5OtJ z$YsI>D6JGe6t7SYfjVgK2y{dBh~1ci~-j@m_>&KOnE(wnk=p;7k^aF{95*gvZ zp=*VNQMy(lC=xCqF@feuxV(~EiQ6tDPhd#mJ2f>mSv51L0oYa+g~&w7_AMUDa!7+q zTqKYg?DiN0n+ujuj61>=CEiaA6cHB+$QcV9szUXLNu)5fYyc25eLtr+tX#Qr)JoaH zhBa%}j9D`ded5P#HwoKqqNIsIJAUk~rArf_xpe8$ib^RIMP-9<3kfV5%bdzk>zWU; zodMKF;I}EvBB_CpN;$r+-M6@#W<#di`8xj z0W`2C(g97PsOC;g=_@?$O5{V+T10aW#)mqYshw0O{v zdANgDec~sq|D3XlQY67?$25ctnlxHfN76JCslk}m9t{uQfnh^sXmF--h{h`b5@;jb zIEIqq*0S>Q;Jq0Q!E%YJSinSc^37F6!Jw7s0v;M5atbEAN<@B}A5u=(Q*476s_RL( z&7c){ivTnZ(CUkkXr;UPg&IRC3<6mUjDuv|mNaLJG7cEZ2#WTrdIhCvR?^Vv8JJ$h z9e#>u%ZRtMX4YHZgmTlH;aZsR8my`U<{7}eOcN9(K%(x<1UQDL zp*!&n44(J9og|^tJ;z!V=Q~#tmij@WJy*R0p@&LKLonc&@~l{6$yu4?n1|QsJ&v3kmF+4jBaxUcBo- z$FS=IT6Ea;?n7Mz*NTVRlW}otN7FzW)OUJl;EGFJ*|lKkHF#y50SuT5VO3ISHhutO z0pC?CibZu&siIJTvP^+s9x(9|(+^Zt4i__-e+D~SA@YbvBzlGbrv2n}K$pOz1%xx^>8x2)e zRhd=D17XNuOH}}sbOQFdR+E@2Q4Y73$y@T$gvAC4;*fBeX8R>0r|tfsIQf{w$s~}E zlO;_h*OmMTVA*zvPi#-YBBkRQ?wqpL19BH=91k3T$-QeA%yNJeM@3w-8jM13TJA-)ZySuSawBr;pr ztQL|49;yX0QBo$*5e*Qwze*A{^9B?GY-NtXRYrgl|TV-#!0#(3K4#U@ z9KE+MI}DlQn_c^_oA`VoG@aBKtcj$?fLi4f*km{SOyB-s<Rjhq$d^Gw5RAdVr=goChdhflX$zdo{!W{$RRdI)8A0L5**dlN5+krTF1- zSF6M{iGC6XC89~Z0~(zUYbULz!I}h232ZQp#X>f{9y=31;n09B9+Q9v*ex51cnc-s zp@<~d&68jUDhgVe!079xdbFhr*N?c>J5UP-3IK@m|^{j5peBE3hz?v2X?^ z^4sq40Vg#8qK;>dln{O|w8H}s^XKn+$U=Zc6ZrB|7=_RpiDv%7-R*o+CNN+bWgC(i z(yG3VS99{#AY$3{`=#}RA4ZAz6QU#~N0c&pUCOWptJUcPtrQ4Nre>(fI|bCpmU(>u zpEm(Sf`R~|;?z;9a*-%d#Owb~xJ==HYA_rMp7RhS zO2QS0J~XoVP$EXkF2B+(gQHQZKw~n7dG)sG;&hkZ?1_uxsOE5F4D;cSrwiC65_Tj2 z4T8o{lrRx;o`j)sGyoOHNg75He3GUSObJ1ECylx^TJ=Z9M6Z=rCX7Wu=v?;sx%oJA zeNF&?CAgRw_v^4CaEQlORl=|;d%#u1h7vGFwxtBw?rnleJYUX&&co?a}}MSO}N&?^XQN^e`@m$a{bl=RJY`c+0B~4`xFN?MZY%TqVo&e8?PsJkR@g+isB?p=4S&6eIHRc zU_)IEx0)3wrhzJwa2zYOaY!U3a7>ZN%}-xtUrqlRXf zvH=*%uYC}@H$7;8h#8ptocJMf!eZf2|87Fq6cw;99mhOeuCL*99Cfz9l3qIEK&FfC zTEHZ*iK(&)PY4tb>su7?2}mKtIKH8OEQIR{flw)EY`Wk-N`iGx8C(y<6XNk@h8V+u zwmbuIM`P@_o#+otxN8%yjAZapLaLF!GjR{agLW<+CP=4g#a++$SOOE^I*=D(P!5eY z$RH%}c8Fh$z@juI5sh8Q9GZE$>$@IU0R_zbTPYeCA&2_2+-MPW+WuFHwJ#-49OW0I zWoUu{`kU{azV4$a6%FxU`%VAVeblPwDm-c{>3fW<2n0BXgf>yoNs|dsZ`_BT4fw0z zD!KOoG!IR`;Y_C*agl0m}z z5t+T1yQqo+JboB0(@!cbXP->)XE+zYule8lt@*Zmd%hz-CExjH*qQTe zGUh+jJLlZG?8Y1YvsT=^#6M%%*IRB~w*2cvJnJEF>&@3MzF|qTf6?v5{&~xmFJ9um zddc8agwgG)R9Zyl~3Auj6%X5nIqwoP@8!w73i5sv7vCkEIb`-SR`5pLxcu_VVOM_o>8Hnz9ed@K zSDtz1nJ1roa^uF0Py+~k-X>@P|5)GfEx=qHFI-M9n~_BTBAEE7wHC)uAa@>Z499Pu z^{&M2`Bdh82U$jPE}lyz&tah) zXV(_5wG6b+OE!Xw>cixU01RliHjP^spU1uN;p@e0lIF-d?2=g;_nTcqWQAm(F&O3h7mmC1GwZW^MnWO0s)k4^3>xj zB=`(5031NWEWnimXEK8_k!j5LVc9vZA|GxRc=434iK)SX0}W$g_HnX@`2-BY{F2}h z57;KbA}A5vz2!E{RQBxh43-34a92m`q0##t zFrHd1Gs09)f>)SlcNW4Xvws?m}zChNiLo~;sa$1v0A_k{S6#pJ%C9|L8A(759u9Z zHrRu?XfVjgc>4AiN z8Ki7gzArp$P&$}~xdSl~@I#02G~yb93vPG?f>BE@W|B7YJc9HzQi9CHmno1ybP_uu zcrc`1jIB9t$9T+287r74&PC3rxuhgH^|#0~l$!Uj%z8dT-A|EK3n*wpq4JXOfaMgY zg352uP#Z{E%A~#&G`2bv%um8tj`BcWfk_GK*OFKJR~WK*0=jgMZ9B_GffqwM05irg zipRSXB-eu$?+8$b2^~NKrs6zHo5;h#fK;jc;Ro|0>34cTAAAevN1H2=cDoklf|3Qk>BZaww2ii1`6I1m^l_emqKm2D8kBTe|!GLtIWEfIJFk+?L zR>Suxe;wm59yTwAO^3?$Zoy+XFCD}eQ-#)ZCP)s8lp$pc7o}YN4|#+Pvms6%U#A@3 zAQVU~Xo+FPAWLxq5e7z@b!2j=cZf1%#Trn`-zb+V%H=|-RJhP@uq5eYq}F;<(jir! zAn5>{(Eh=xhny}i`lKB( zTPgorU!+Roj@8)S1b8xVN)gf-4JN`KL(AC;n#E-J7l#e=_0wSL;VX+V9>*8>0x~S( zcCAujS&9Enk_p8Qw|9U%%(p%;u^|aFWT=6YhD0#mm3)>Q;!=sNljRs=F(ga;a&G9I zY-5x%TN|vVSiLx4plu=#T0O)7cHB%bb~U`Lkxybw@8rR}Q`^LvK=#eN!*s_GvA8#3 z{yCwTmz(4`&|k>9_*F5m^bRGW7Wy^Qxr{-(a$Gfm&cKuoc(~90e%_&H`6~yCAhdE5L7_ z3}GmS#ZV2aVKeN8!$>ilhRbjpsfK3YjkPXxUWe_^-~<0}mUZ^5Yy2yg`sXkE%UhS+ zaN#Zfy4!EQeuDp&70bUqe*Adg96Z6PH!f+ret9!Izu7Bpxpl=iF05|ECRxErL%hF> zFAbK`)k<~Vl!dUlvQFaH|I@qjU<&F%@>YlM-UMdPYDen5ec@zk#dT|74`e}WO=j3C97KmPQ(1UcLpt{1O_>aYsL;!2Uv=H&x?3fq}+2 zsl+g{DSm~9?r`H3TgpoaXAMlBKBJeMYxyE`mNkCLeER)jc|XgC0cUK=rn0O6&NC9{ zg*Z=3oR7hIrg_c}X<7M*G5@o}hxU{q@&v>it;KQQ4Ul@nj4#z#z9Po*$`(|QuU`q4 z%7RXwGVlB2P11Y+NRFDtMS4J{D^|^!y?V{uc~A+`W^z*&*c~=?7EH4&q_&tm1=6cz z$AE^ZcyTLcP_^>9WNvt_W2DpOxRLam;Xi>17a+v3V1Z;pm|VAQ`N5Xh)@@K^E%*QR z{ax$U!zy1&SvbVY+z)9|$pjx$%$_~aIsz;lkO7)3TWwff5^OaR! zuDbMTWKCPSN5z_jXdF_V;3KrjD#<*^)$!}KI|Qe-c#O0(Pryc4rGWVuueP!id<;#^ zG7Iv;>+=Qh8xcpCPqX}C{Gh&(oe5@IuVV`->tVpQk@j8E!lX4~8CY<;H@k?G8(C(v zum*M>IG-#0`hI?6k4gXhKHudgODd&GJc!4i_S%;h=wTmT_jk)55DHDUyZ6Wp}O zWO5r7@Di~zIHU_%u-U>`^A92&riB>T70AsWn1bl?T?jUf962%vCaml!rC9Lrt>=;f z59G@O{`No)P~jZRnS<*i>7EK~!W~gE0^c@NHpc^@u--_Q8HRB)rmb@W7*8@hf+nB= zDN>r4sDQR==9?0v_MZ z7LXt-x55Ik8=X@o$e&dL-H|3a(znO5;_`~ir&LZ2Q|;`@mD8$grjfr81|LLjIj?Ox zb?VLJ%V{Jhc%!4HcIFjV)^WKVrf6}_mg&{gaifCDs-{#lO28{i3qS-{6<=69=4<1= zW{ThPg!rAVM%d&Yka>{+Re0fGR8!W6${$h@tXi}Z%do6mIrPS9f~ky7MT(FL2V9S7 zk&WbWLw!JJxYxs|rbj3X*AOu93ImG={06Vbsy|KXT0hsqR9xC_l$S#x1)-rP8j~iu zX>2fv*o}=54 zZ<#_j?W;12tYzJ=wr0~X(OdpUs;#n#_`O#)4HdH+C#`90wGgjdg6WI~rN4T^U2|_} zpLgTkcV8WCT{G;?UC|rbAG`7HO`C4Q%)%jeE_iiS*Sdu_uM6L@{`%NTO!giqElU#R z9C9&eUYV3JHBJ`T6h>0gsMmMx7E22D42|Yr^PY(9ChB-7|#Q zlnEo0woD~2(}P(YS$0SRIL4EL|6ng4IBf*jYC9}Fso9sm#LJ1xUzk}{_5Aqge9R!c z2^?nn{6#_*7Mnb@-%|Zi)+P8|Rn|82(Yq8Y!LmKOx>_ly>Oks0A+>l_!pdEJR)c$W z_4ymz-fHZ*0-cis%N3l>hU=X^=xiH*J$$BJ-9FT=&VXIrG`g%6Xj=p01R^_Hf+_|p zNJ~bSAgY8gKl2o%mXjQCi`&Ix49joO?bls<-GYVJFLY3)l{Uhv>8Q~ImCBXF z(6H25MH*SYsm@8*RzP7i1g{LBGPs$D$H9exHxDC*mk)B&0AQPiBkX;)vi0x6;801+ z5db2Wo+GkQ?4l`{^e|=08Q{$x`nyWU0B$oNv)8~iqWTmxHp>AZWtf9{kY^=;RWD8% zcQJbU^YHrt^8MzaUEaeD*Evw!O{2=o-IQV@NRtni)d(MO-2BQ5${H@^8hpWi1aeRT z`x@=S@EQFGm!Trm;4|7q?&&}tf3*RonFeDALWU>|!?txKO=VLJX(>{!z^MoyvlL3y zj{hsn8ZX;@V3J1pYp8U3jg}|TS_S1CqR8!rIRgt5R~4k*jb&F!MN7wkLBr_wcX@!U z+S3FN4rdq30h%W`#E$lB&$CLzAihv3;`y{65Ib-Fx8}cnMg`F zFpIwj;&eh2{#1Ih%$Is%Z1KVv`Rp%?S^S>(J>8lMwux2bQIBO8% F{{ovN-Jbve literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/fakepal/file_id.diz b/demos/lovebyte2021/fakepal/file_id.diz new file mode 100644 index 00000000..cf8da08c --- /dev/null +++ b/demos/lovebyte2021/fakepal/file_id.diz @@ -0,0 +1,6 @@ +FAKEPAL +- +Lo-res Fake Palette Rotation Effect +128-byte Demo for Apple II, Lovebyte 2021 +by Deater / dSr, with help from qkumba + diff --git a/demos/lovebyte2021/fakepal/hello.bas b/demos/lovebyte2021/fakepal/hello.bas new file mode 100644 index 00000000..101bfc65 --- /dev/null +++ b/demos/lovebyte2021/fakepal/hello.bas @@ -0,0 +1,8 @@ +5 HOME +10 PRINT "LOVEBYTE 2021 FAKEPAL 128B" +15 PRINT "BY DEATER / DSR" +20 PRINT CHR$(4)"CATALOG" +25 PRINT:PRINT "PRESS ANY KEY TO 'BRUN FAKEPAL'" +30 GET A$ +35 PRINT +40 PRINT CHR$(4)"BRUN FAKEPAL" diff --git a/demos/lovebyte2021/notamoon/Makefile b/demos/lovebyte2021/notamoon/Makefile new file mode 100644 index 00000000..e27a9dfe --- /dev/null +++ b/demos/lovebyte2021/notamoon/Makefile @@ -0,0 +1,43 @@ +include ../../../Makefile.inc + +DOS33 = ../../../utils/dos33fs-utils/dos33 +TOKENIZE = ../../../utils/asoft_basic-utils/tokenize_asoft +LINKERSCRIPTS = ../../../linker_scripts +EMPTYDISK = ../../../empty_disk/empty.dsk + +all: notamoon128.dsk + +submit: notamoon128.zip + +notamoon128.zip: NOTAMOON notamoon.s file_id.diz notamoon128.dsk + mkdir -p lovebyte2021_notamoon128 + cp NOTAMOON ./lovebyte2021_notamoon128 + cp notamoon.s ./lovebyte2021_notamoon128 + cp file_id.diz ./lovebyte2021_notamoon128 + cp notamoon128.dsk ./lovebyte2021_notamoon128 + cp notamoon_720p.mp4 ./lovebyte2021_notamoon128 + zip -r notamoon128.zip lovebyte2021_notamoon128 + +notamoon128.dsk: HELLO NOTAMOON + cp $(EMPTYDISK) notamoon128.dsk + $(DOS33) -y notamoon128.dsk SAVE A HELLO + $(DOS33) -y notamoon128.dsk BSAVE -a 0x70 NOTAMOON + +### + +HELLO: hello.bas + $(TOKENIZE) < hello.bas > HELLO + +### + +NOTAMOON: notamoon.o + ld65 -o NOTAMOON notamoon.o -C ./apple2_70_zp.inc + +notamoon.o: notamoon.s + ca65 -o notamoon.o notamoon.s -l notamoon.lst + + +### + +clean: + rm -f *~ *.o *.lst HELLO NOTAMOON notamoon128.dsk *.zip diff --git a/demos/lovebyte2021/notamoon/apple2_70_zp.inc b/demos/lovebyte2021/notamoon/apple2_70_zp.inc new file mode 100644 index 00000000..9737f2d1 --- /dev/null +++ b/demos/lovebyte2021/notamoon/apple2_70_zp.inc @@ -0,0 +1,12 @@ +MEMORY { + ZP: start = $70, size = $90, type = rw; + RAM: start = $70, size = $8E00, file = %O; +} + +SEGMENTS { +#CODE: load = RAM, type = ro; +#RODATA: load = RAM, type = ro; +#DATA: load = RAM, type = rw; +#BSS: load = RAM, type = bss, define = yes; +ZEROPAGE: load = ZP, type = ro; +} diff --git a/demos/lovebyte2021/notamoon/file_id.diz b/demos/lovebyte2021/notamoon/file_id.diz new file mode 100644 index 00000000..99044354 --- /dev/null +++ b/demos/lovebyte2021/notamoon/file_id.diz @@ -0,0 +1,6 @@ +NOTAMOON128 +- +Mouse-text Death Star and Tie Fighters +128-byte Demo for Apple II, Lovebyte 2021 +Requires an Apple IIe Enhanced or Newer +by Deater / dSr diff --git a/demos/lovebyte2021/notamoon/hello.bas b/demos/lovebyte2021/notamoon/hello.bas new file mode 100644 index 00000000..05a5d1f5 --- /dev/null +++ b/demos/lovebyte2021/notamoon/hello.bas @@ -0,0 +1,8 @@ +5 HOME +10 PRINT "LOVEBYTE 2021 NOTAMOON 128B" +15 PRINT "BY DEATER / DSR" +20 PRINT CHR$(4)"CATALOG" +25 PRINT:PRINT "PRESS ANY KEY TO 'BRUN NOTAMOON'" +30 GET A$ +35 PRINT +40 PRINT CHR$(4)"BRUN NOTAMOON" diff --git a/demos/lovebyte2021/notamoon/notamoon.s b/demos/lovebyte2021/notamoon/notamoon.s new file mode 100644 index 00000000..10d45211 --- /dev/null +++ b/demos/lovebyte2021/notamoon/notamoon.s @@ -0,0 +1,174 @@ +; That's not a moon.... + +; by Vince `deater` Weaver, dSr, Lovebyte 2021 + +; based on the following BASIC program + +; 1HOME:POKE49167,0 +; 2FORX=0TO64:POKE1024+RND(1)*999,174:NEXT +; 3FORI=0TO1:COLOR=6-I:FORJ=0TO1 +; 4HLIN8,10AT6+J*10+I:HLIN7,11AT8+J*6+I:HLIN6,12AT10+J*2+I:NEXTJ,I +; 6VTAB6:HTAB11:?"()" +; 7FORX=13TO37:POKE1063+X,90:POKE1064+X,91:POKE1065+X,95 +; 8FORI=1TO200:NEXT:VTAB9:HTABX:?" ":NEXT +; 9GOTO7 + +; 167 -- initial implementation +; 170 -- smooth grey support +; 152 -- make death star data byte array +; 148 -- make death star VTAB not hardcoded +; 144 -- move to zero page +; 136 -- index into ROM for "random" numbers +; 133 -- optimize star placement +; 131 -- note when X already 0 +; 130 -- optimize tie erase +; 126 -- more optimize death star drawing +; 124 -- use the DEC CV the instruction before VTAB + +; need 124 for lovebyte + +; zero page +CV = $25 +BASL = $28 +BASH = $29 +SEEDL = $4E +INL = $66 +INH = $67 + +; soft-switches +MOUSETEXT = $C00F ; (write) to enable mouse text + +; rom routines +HOME = $FC58 ; Clear the text screen +WAIT = $FCA8 ; delay 1/2(26+27A+5A^2) us +VTAB = $FC22 ; calc screen position in CV, put in (BASL) +VTABZ = $FC24 ; calc screen position in A, put in (BASL) + +.zeropage +.globalzp grey_smc,ds_data,star_store_smc,tie_data + + ;======================= + ; Clear screen and setup + ;======================= +sw: + + jsr HOME ; clear the screen + sta MOUSETEXT ; enable mouse text + + ;======================= + ; Display Stars + ;======================= + + ldx #64 +star_loop: + lda $F100,X + eor $F300,X ; fake random + tay + + lda $F800,X + eor $F900,X ; more fake random + and #$3 + ora #$4 + sta star_store_smc+2 + + lda #'.'|$80 +star_store_smc: + sta $400,Y + dex + bne star_loop + + ;======================= + ; Display Death Star + ;======================= + +; ldx #0 ; X already 0 + lda #9 ; count backwards + sta CV +ds_loop: + ;============================ + ; draw grey line + ;============================ +grey_line: + jsr $FC20 ; this is a DEC CV line before VTAB +; jsr VTAB ; position VTAB based on CV + sec + lda #19 + sbc ds_data,X + sta grey_smc+1 + ldy ds_data,X + +; inx +; lda ds_data,X +; sta grey_smc+1 + inx +grey_loop: + clc + tya + and #$1 + adc #$56 ; make it an even grey + sta (BASL),Y + iny +grey_smc: + cpy #0 + bne grey_loop +; inc CV + cpx #6 + bne ds_loop + + ; draw reflector + lda #'('+$80 ; 5,10? + sta $68A + lda #')'+$80 ; 5,11? + sta $68B + + + ;======================= + ; Draw Tie Fighter + ;======================= + +tie_loop: + ldy #12 + +tie_move: + + ; draw tie + + ldx #2 +xloop1: + lda tie_data,X + sta $428,Y ; line 8 (vtab 9) + iny + dex + bpl xloop1 + + ; delay a bit + lda #200 + jsr WAIT + + ; erase + + lda #$A0 ; space (the final frontier) +xloop2: + dey + sta $428,Y ; line 8 (vtab 9) + inx + cpx #2 + bne xloop2 + + iny + cpy #38 + bne tie_move + + beq tie_loop + +tie_data: +.byte 95,91,90 + + +ds_data: +.byte 8;,11 +.byte 7;,12 +.byte 6;,13 +.byte 6;,13 +.byte 7;,12 +.byte 8;,11 diff --git a/demos/lovebyte2021/notamoon/notamoon128.dsk b/demos/lovebyte2021/notamoon/notamoon128.dsk new file mode 100644 index 0000000000000000000000000000000000000000..889982b359dc8f02dbfafdc932e38057fa210b7f GIT binary patch literal 143360 zcmeEv31CxIy8pR1+s)D}Ewy1Oxj=yyDJ`pI5mMSpz|e(FivkfvE3ztR$EQ+fD35U( zsLZ&{Pzi+siA^b%P!TGK;7|mN3vT0rjv_lj1#u()-*<1)g~dT<=DqR#4K(-Mv)yyg z`PSce?hRMfy-^#qR$V;`AMN(iVcwfdhg$vTg_&W&eO{;u3+eF({$-=7!%cwS8V4tYNaEJm-@s1%&hpcB+e-o(V)*47GMw$43i)1!9( zu7LCPHU3GPLc+<0Ku~e=g@Bi##Cctnduu>kw?;f#>3p!#6SrY_u+8r63Bj*DZ8r>m zYIR`u_Qc>>=hiiq;U$wc1<$e{`-nGw@7*Du_`%DG@BQHQQ;H$wx^$aO+9gNomwa9C z<=p4$-AC*F2kYG**L!*Q@p`Y)eWJel^ZLbQr>Xd>`bTJnd|p;@PFYc`6#rOX8UFK< zWA)D6+b{{vg%$qK>oZQAruIm=PuK59yQYqi`l=pnsObDA>z!Iu@aj-E;|S*tZ^ETe z7#XDhv-;{!>%~BQ^@;k$W{t;m^yvQM^<^ih$NeAI2S0KN#Ug8{RU}(o$r&#H)&O65VSS9oD zi#H4%HI!|ozo*!i!v`mnpQvO{1`k(`Z(v(fhE=ewd(3BC8H>6vDONYQw>K==p8kNJ zZ7uEQ4OcAPo>;csQFPR!bQFo3pIaO}=RF`k_ng;9Uw(W&>6s8zY-U>v!&`g}DbKIz zUV$FUwl5AItLk>NkV%;}#cDZsGuyH|cvP+@Zh6l8VN+MfC>6#Ru8!s|tD^`_aBY`| zRZiHG{vDc5W?OsE-=jl!@9Y*l=JJS(L${!^`MZ$^f?pk#QjNag7oG`n(jWZX%N8%} zww0s#>ovo4CKGpdA?uRZFf+4s9Weux%@yd z6fMWq6msU{bhXm%(n*ifZql)9x2JltobHRwpRWtn%hf&%)-<(UZFMcWy0pi>Vr6J7 z+II67D@(g)eAXk>w^lPUe6mZsmMcw?;!Pw=P3Gxp}kYd67L|)!izd z-n==H(ucYQU&6tP4pJ07R9E{NO17`L+U4_jDlL10KODL)$R8R3QVfP%TzYbn*fFsK zedhG+*4Y+GKmNp@|5EYviZyGWsrJ{bf3|L8U{n3(=eKNacwyTs`}V*3##?W{``+K) z|KP)qK0EaJk)y|spE!Byi!Z-AbN1_RzWwg|AI^vC>O2SQUfR|CUAN2U`E8x_y{oRy zxA?b_@11qEiy8OiQ@moiQl<8+tX#FaN+YcE`m{Q|A*ObN(PXy7#y!_2{;C9P+jiSu z-0{-RT`&K2cjKP+dpjg{48Hcd?ac#Xr*}GcN$T3Id-B1LKl%HoDLt+}+%vUTT6*t3 zq0@c)^&fCe#<_um1`oM*=rB%aF&cV`yjjg(%WH)$orQKh&je=bEC`JCVCLj@JmUq6 zPT-R*+`!JgIh9pRrs`T=)zi#`UQER&Tlw@Zyx2!+;oI;6*HJX4bxFs6Lqd;X-Mge; z((PV0y5cLvEc8xGvCx-^zRVVj#S|OMna~0~wd19l z*EF>mgp^voiZxOVmuWX>&>+6Yph2Mn3;(UUga)z)Fd z`lXmx=4AAKwnP}-R)0pH)TwN-SS09~s2KA5P9n$F@peyQ1!B$W#(k(40DW94V48$k=7@^xfSvt+U6Ki)`%>K zR71x_M~@!W`i>rzgagi9r6U(Q{A_unKd2CoEEkmx(UURDt*&tRjn&SNwvP1hW#`l$ zW!X8Uhuh%rc}%Z4LhT=MAX(&i)Pak5goZL2cknFaTaiz`td!{}auh8&r=l{&;^0Z4 z;rH7;>J5&k2C&`Rb-}Y9#fBlJBRu>DhsTUg)sH%oJxrKhu4;H>d#$4358H3=pDYCR z9u1gtaq!!kb1IK=gF~G7LUPcudW1Q~6T4XA&aV%R2!81?Y;c4oHY!6mP8VtwB74r0 zURJC^8!>xxdD8x3mHA8Xx5MiaAA4c{Idv!*D(svR>Tlu;Mfh`WZCKrFFGNWPs`Vr) z741K#41N~cQ{=TLI~^~C20GWjfDtGKWkrDi?I(T`%Mo zD_x~M#3e6mE>PgiU{r*s!9t9l@GW)tbnH5pgJx{{?fp@|NY}E8 zi-uR4>GhQk^-O?7%zi4o1@i{2g>P>@?#Y{6ngcSL_<|U-%`4OBd;Cy#Rd!>S@;9vIDjIqQjU7D>^OOYn)j8sXt+jXIR%&lQG^}b^qq+RJ z$Fy*m)Y(((wk11fy`1xM4$*4s&xNKI ztT6w>+wmWU06XZ+hMH&YTYc_1KKERoOT1}qSv?L9)zti@?$6>?tDax-mpZT3Sz32# zyXgO)Tt8RQxIB2hI4ZnmNt$J zuO$l-#mDMBhrRW%-o)?L*Tzd3u-kC_Xu0<RtYW%Yz!P(qnkk;oASv^59AT z`Q<3jIAV66U%vm~a+Iei8X4*^j|z1fxsbAv$7$H3#HmN=wcx;MVeI*s4VLHg8=c>+ z_y4dwRJH&6%AC|iy4#zC_^n?Wb%fnAMJU!p{N1w~bmTw6hecH03^NL<83RbvQ z%vq6J6}$TJRl(J#R!^;*Q#r5lNM*aKT~(&4_p2%^pQtRUoLSYUa!6%zWol)9SY>JIb5iXnzC4E`ha zWLvwZ`}{}i-9J2+AdTHKp_mAZyoDk{cT>53VXD`wnWp)>P z3x!u5RQad+LTdLEpR;78%ek!r&Vcg=pEFSJH&s*{D_s5^zUmi!E@#2Iqeq>E-#Vv- zoi~Q*ds=u}SbXhie}OL)@9^L3do;NyUE%BoN2p?TlGpWUG7U!|{&tO5fx8i(Um-sK zwEJe?l8HWN-HI6*jM|Rz>3j{A3P*#vg1T_Y zD0Qx0AsrjtAq|b}j^JcR1`;5q$!m(rkJn&a@l;*qRnd5jYn)H5K;NO##z#V@E0yRX z_%YUIj4#v`hk75D%K83kKjW#A&g*tF9v7JC^V)-IuQ|wj#nj2Zkcn=5*9zPi?h2)7 zRa5BuGj6u}CLgYu;hHgkdEL%kD?H({DZbrhQ++3=_$ryuAaxw%=w8ItD^nH}E?l=; z7vhVA@=$U}+`MpI@U6(j6}UG)$7AejEV}ct70#V&j8lBoQ+&9!a)Xy2sP%?aUK1SH z?dl-Eu&-C7oLj`lJOa87b<~xsuyo8b-C5`n|F|*~^VEFb?(IX$zr;!KyX2q=U3tya z#^C8F1Yhz0(bwn+#rfys5WJoWw^Jc+9)?alL%g1+=J}x0T<-ZkuYpRsiVrb<{&M{zLBY#oY#22rcAC#U&*#3~=bqtn&-97!Z}Us{AGG22zOI?# z^H1|7>b#S5-ryf=ZuN!kg`U6+1>djKePG93?g^d?ZE6_hO&<}1o~D5)Zu8N_EB&|m zbh>p_IYDhz_PgV~PmV&Rr|$4oWj}Sh&w1G6 z@RrCu6{ReQ!N}(Mf=5Ej#gdiI->txGi#033WxfU*h`3{g!vzAw%7Mt#5)oFrXgd=s6=l+#Q2i0q`tDr42j?|`iYSh&xisx5O%ZAfF zEoU8)`2WbX?9%Kfr{$F9l)swcQfGI|aV66N?xH3q8+GNv5l#A`Msw{al}c3K6Z}?% z22N#VRkri7^Z5G1dH-Y|-Nj^|tLHplzCzr+!aHa5JReAv@-Y8(xN`@TY!PIN^Ubwh zmFTMk65?S`K5BP)HK1z&InJY%UPJJ#U#M_51pL|xH{cL0mO|W3MdGSTv20E7JLlX@ zUgkWo3JT;_pMQogsPoVCfx$Q|E>5ZGOdpy8JHf&zoV(YQW>#gF+QqE3V(wb8-CC@O zdrQE%ZjD50p{@kU3=+SWuCabCdZO`4LqmNi3#qQS3?<^9*M`jE`n936qHk^JG_|>E zmCLJ5o#t!QLXt3#S1BG?<-f;QeYa0MxC#TQo*^Zq9A|iyxUSN>AazFoHmkxJTq9K% z?_W&>n8F3sOYZiS-Qz<>&E3B0dwkBhtHnvHz}++M_CcW<6&RCL55qd)^7*PppSZp$XyPy4HfHsP_6se!I05ivYn1<_rr8_VQBt5pL?Uv zpYL-Ad?0lYb|@bkD?{!5vwT6JX0|V6*+1L2f0hqy7Rn&W82ZcwVPAVY6blxn znO;C9l%MNWlTrgyn!pNP#XhL9{azJD)_Aa-Pgwx{1Z5P`?t$>qmOzC}XWjBD;a z9Ew@f_uvO1O_9}A^=|q%MQ{tguOv-Vw*tlnah@W$QeOKgiM|wY`2)VvO#en-sof>2 zpDDiz2x_AWi#~aibJ-@ix<{ccQO&Cl>L5zgUL!vR=tyMtYjj;wg!3p zTA3bjFF5roY85jkR=_lB80PaWxz*P&*Xz)hK+i%Y94<1SaA6JI+XDU~pL=`2KbbFjrRKD;q;15rTTz zTIW4$Nu(q~n!vZQmu6RGmgWSHdlEO;-<|IZ{(Z6eEF`zf&njxTilENZb%Q;m^)23D zUzAyDe+1oau)APwgp8PxUG|`W+1ZsD;`X>kgC%q+3qoq*kl-3u2D&Jf}hew)|yB~Sfz8@Mf!B>=Vq#N=bk4QC3GmA>? z&d=7uz(*$j)9mVtO6Qf%DJs3EbhfLgbad$}MWxQtJ+7*O`xF(SSoZ{9Rfc=K&(Bws zX808qr30(3*{7m{alWbn?y)|Uq|Qd&&|VE{+LxGb61Vdyz#n`&YU-{3y#cvb2wdSI9+i#E;k`( z%*gBBWu4}D=B&h@82RU7oz>#J&g#y}F2$Yaq|dR>v6t9O1VCd-hBWK+8*wiF&3S9* z8+%{h@#0I3ui8bkpyavjo^r;3H8UQ68vKP9u;#yTjK=NE%pEa4b3~4qn>S9(oRB%v znK|6KYYcL8#GEl>@?NL=%MtU()p3RS&E4VpBgSNo7RTk~iO$S1BX-envqz4>n8d8S(W5hSce2L!CF> zHnVu)lfEsfPcRnxq5TgE#aFsyw~nz`j_EmRI@Fj7>0@BKckSK#s^{l@6bsRboxKNC{?t1W(aO@jZSx`*n1U;SA1 zqUvSUly0bQtbV@wWuy2<;aA_L12NljK zb}OG>;;$RsuLs<32K=W36)$gezZP)65%BK_RP5d;UQ;U? z){Ae|i-XpqCZz7JK!a4JL2A^ndn1&h`)r`$^NsG4fri5y-KPRe-VJ!e?t=mM#{vH* zb?)~9R_EmPUgoQKEpYf?YVaI%4k9Dp1pM0r?sEbEivb-zz9nA=ycYMjP_2pU#eVC> zm!9?VsRsg~PU6>SY!xlF8T;P}z-E3o;6E9_`2D8>xD||$W+eF;|JgwL)tHXb;r6s`Ckf%?^Q?IP~{E7agNr`J!rkD z7Hz%um;(X#fdCph|Fpqd;(oeLGWwpT8^DM$ov_NEu9Ih8?n=Hpy5y;op9X?wPkt6~ zw)Z#HhgJ4pb<|W^m5M_ff%3k*aqC|uY;p4Lydk<#ii|r|aQT@7^$c zU6J^EfA#XZ-MfRI``-vuzZt-JM(t~WLU6$h@q=f*tP&y;2F157qBmMFT8XRN6?I}- z4gOc^8`jq$rU#Q_QI7v?eQCBhobvIXR;zXr6rwb)(Ae}*9uMx#%zJ!KqJ?yu9*s^{ z3!L`b&1Hy#6Xd3Y~#zyVraS04M#>N=>k8~{i;MRziXb3{#%wiYcGoB!q#h4s*gy8;Ml zz6K4M8icl!@;1K~K(LN7q`Ov%H`dC5ycg?0oyY3HcgO20Gr&b#>JA6Ltu!1g3$^hm zHhbzSzO17W9?T9NuXF?tiytqqWRFyA*@#+VPOaBc9jaTr7_m(E){SKi8+Rjw2s8G^ z+Ukali_=xX<4=YTi971WQT4d7N)}RA@dK@KcwmLe}wNMU(q@*2I=LNyb&k^{fwyv zGCkb=e!x2Oy@30}0J?O45SaP5fcv8WW)}nJoMURMUkUj42FgMdm92RzQ2jPcCJw#u z)i3#wTfNhV7;nwD0S`lE0W+6eD^xes)oiT`>T0%b3}S4UvL#Q{l|6~Yz(vt@PHoK- zb=5fM(Z;{aM|b|R4;OGPkz%z7_X3ks$4E04jm!GK4!Doh`_Ba^)ci@n{YAb1?*aFh z6kk4E4~Pgr5yHNpYZzXj{$usEoXdZv-lJ(0LxM-yXb$ln;Ypyj{!at@4hCQXeHPgF zaUgU%!O-T8wvXXDy^H()>WW?HTeIjvvrI21W%R|c$AYDPXn_K0fqS+xpKz?Gtt2zNwuB`%tRlbqK}!N7%U$6 ztTQ;aBix&IUS;qquPPV|#MJ0KvF)f=7gWQev6gcg0(3mQ1P1~X$rPb*Sz;JFc^yv{ zQ#j1&ZhN!w#T{?F{^AbLu6+mgzWSEul{Xr9GK)z;mgYbB+#fBsptmmOs1+vXhQoA$ zpZ-U!e@?p#+eF9qGmiYvX!C!niGDc@YfjDP`*2%Nu*cbB(cAmSQ{&<|OSJZ{$6sgQ z*BSVA27aA^UuWRg8TfSuew~3|XW;*v8BqL=*@O}{Nhz@Q=^Tp_IcBo57^RuDzZRz{ z&8Gu!n$&!1$LZBgrzXawwjaqcr5J6FoS7!ZpRmE<3Wra27Q(13Ao)qYw3Dquv2e$Z z(o$D}-6b%`!w)MIW@@U!l@}%@IUM)99QR`fuE>Pu{P*4q1ZGZf%tV7_%aW3s3ls`L zczEW7hf(17-*i(`0S#;6nKQ?Z-R~+uZ;n-~MvQ1#D2=cHJK;Hu5~J(l;5eSg?q4gj z80Rok3A+`!b4?{&xED@RZ@BBbKXiDHW2JZ1eV6rzH~;V^I>QK=ftl>As|pQEShmv2 zxFn`fGHo$4mN1NA46?%$FdOkVpnnCfW#&352Jk#Dwbw-2tEKi?XwN6HUK}57UeMHh z;2n3kIA$=jIJ73f3wcn*#b**ILety=VCF3S$g^oS2E3mD$g!HY=^I$J*4CPL$C}Zn8T5@ z%ptJMg5*^NhgrX?{S%59CvZasyocrBJk(0b@~LlbG1m{Rk!$5Lq(-cSVUqC8onxKT zcP!*G&7)O;d znej?a)aw)I)-i#Rg03h)k141V?t%1${Mm}#+W%wrY|YP@Vu|`qEIu4QbrE|${Uhvo zIfh#J^Z4^J+#VfZ3-(;qf<0-a24Ru?SHhFwoR&-pcK+%L7%@zo9OXo``7!}4mM{UN z1Rf;bN@8umP3c+J4&m59yB*(38&i*Cx|?ODBOV+kab2vToEeLl-o@s%e{aCdz|J4(2@^2E@QkQi{x~p^th)s>#j%k;BkQuT$rJZ%Y;|`ESh#6{`3G|D?u5@PR zR5G{PC2s9A;cEXUtaI`z#&t?sHjhQQ_DSL`h)|FfOK0>zgM+@aXUa@_m>L^cIpf}8 z3Of_P(D_^jI2#o+sGqM%!F|r{}JwNG4&)y`77Z` zsSTJC4Zi=mj2Ir?#EGZ6=B5uYE>0^f+Wa(N#qhqGU z;LSP6htS839#ATIG{QV!#w?}`7Gtn7v{9j6D(WSI94=(f*6el#{Mm}1anT=DpZEU= ze_n>6ekOZfj@zRnY{8%Z>FRT-3kt3PACRvdJOe`S4SlAOm7AF;J==wk#4f}%U>JD6!AH;kTJ6!rb;+;6TlhRI>|CXaAqkgP1%> zlS^@v65P~_xJiW>)iN%goz&^HT0zig)M}MV!M9;EluCtyV{J%*Pco!4({_nJPh7}+ zt$67Qc&|0HMoIfJy0JgA!I*9RJjRcmS!9cs{ilBvF$$x?6pKA8v3#r|RvD{`RmW;# zg;;H@E><6F_)j1I&l%tKV{S;YWao^|>&J}43kQkgbFa_MyCIhu(g&rdi(;B6_8FAk zpMA_FWI%&-0QXy2|Af6r*G1A}k@iN?4jNk`|BV6IP=umi?Ln;v*GFn)`B|v*8^hYx*6C4T@d|(>FR5(i*fj&`Bc!UW( zsQnqCBNK*lbe)LIv7t&h#+Ju1C#mpRa&|a;<1AjGP^twr24lj-F;Zj5gwDb}F>o6u zEIi%@r&6U!V*QholTj~`X{9L$_r{oV@VsVX>QyEK3y+))3mb}A&G^2?7+nvhK`J_5 z;Xc|DZb}UFH7+GF+VKSJt4h$yvthdm150R+3@CUSc0wDZby7MJ)`{MR%1+ZFt`H}g zHWXfGdNK}1ZyNce;qYOZJty&VqbnsXbYubL6|>NaH!T^~iUt=;E65udFOAg_S^TD9 zC&D@!EX-iSb~0qbMN^?X;QpZ_ET=_YN}BpHO?|;}B#HK0WU2%MGs+F8TCjG!Rbjz0 z;A(V-mYzs9Wz8#ia#&gyEBlMIrVoC5 z|G8%hM@(0+yl?y5@di4^AUZsl@CV)Q>4l4sn~-(?sc5ct0r&jm{9@#4ubK04B-bQz z{c)?p-E_C3AN+RCSI^{UN&O|?8to4yOQ)iL{4e47>v&Y2f?1)Rg4{4n4kiGXO=Q1O zn9`&X{E2!sx|kxCnOv+L3T>u?oF9{v-ihNTGv<ux6WRERwbarbH__MeVhk7Z&P zOc*GfkEL%hIbX^Z1~7;?mDni@g%hdJG!BpOVl53!Oq(qAKou~}iI`C;;5qb4c|uQ4 zIt*a~u508>YO)ZyL}Hf`ZiIjfO_Z*j2wyJ}DJXocR>F_0TYAj^^j=bL}+CU*8l^PZE)3COK`RxqLORb7zZEFEfCPEHi;=f)e1t6Qf-&f+w^?%mX2sf!Ij3bn>K|CrzH>nnI=1?<~4= z`du^bl1haenW-2|D6p!fS(*0Ctn3_MMDdu>LTV`BF>+9hz()VFsQ4SDOuH@3NrRD1 zA#2jWv9D~{qpP$*WhiZ6OQj9oqSB_pmuVh%#&k2MGG%kB1T{oAK%)c@(S;Olfiy{s zP`Cxmp9l^BgtfC}WgH zC3Y7nI0b}=La9(G)C!G4P-qo8h5qN*ng6M6VE>L+jmEC~LNUuRX5`p$qcg{1$Gez= z#{vq6=Vcd)ofuDsaZaXq``xo=ika9(KPG3aID3{@n3*f~9yoBI*r`*e76xpK6{fB%v7C12y8D`OypuY!~rr;yu1E6}_ z9IQqkd;nUA>FMcaaA6yJ>cUv#kmYKYTP#2D(2pftz2>CU$Q* zc)JXG;|5041xZ#SpmU+e-P(gDms|OcExOottnNP(EP9n+Sc*?1SOkt#G_CYP2R@ey z76tH$1dEdJX>K6k6A2dGgilieCOLKCF?=Gyq7nGCERiC{BG*Ctw~vNr`Yq zOr!%jdKAu9ALLM^WV&L!w}v&7n?z?9lN(WCl-x+ESKGJOqS;Yv)Ots40D(s z067tBQ#8gdQK-6^E?Z77tQV{eGjM{vWspV*!^X}!5jP`nK-e%MWrku}M`Ek+sSD|O zWJ~Guvk|0-nRHQjh5ZP8dpW@MXX3Y)L4%`f-U7b;Ukh;8_Ne zK(MVj5(>f~9KSc}&ySEArV8I`mB-1l43= zW`sLrg%v}{sU1o!nf))i>`R+Hk9adIgOZ4w0zbVZ;=RDLDTz2W@aatsX4OL7!lfV1 zgmI*r2?aw$#tgrGLByxo2sb5(&6+%Me1UVsa;ez3kq&95jm)B*#|^vvmPt;;t`Vi( z%>iuFYu{nE@g-b)>TECTh^on7C?-!%n>=~)h!I_&1qus$78Vx9vZ?v`$@%&DvC*LP zFT{s8r5h6204BNJz!Tyi%;TWM;|L;40`>u30O2Gt4Me5sMBvgB2@4>6Q)&$|$Slgr>Xen0B|&av19Z4RIn0eHheWn9q8kct7(WIE9pbJ@BL>(7Ae}SM|@oo>UfuvSm8Z{Gu7uR3)rqrsc@$ z53OxQI0FJ14%jb5`5dO;EuqJR7-nJ=^yfO4Dm6TQ$?cTQ>MZ84q`x&n;T)}ub2RY2fW?W0RdrWS_9)CIs*9X zd2=LoM(oV6OE#+nj%AW03__qq_>K8o$F9x%n$KB^@(DRt3HcO)F+Int_y;t68#RBk z8fx&D#kTEX;SqWl2Od%kwW(QC2D5Du+>X zXa3_TDoi;<$1jeeKFHpUMp0=KfYT^PQ4t%<@6;-E%6C)nvlPaBZ2n;VM+_;1^9u#0 zSVtjffRB3P5X#W;&oh3a%4k=j8X}rhhk~WqpQt8@#3OU_~1-OPJJABMf>Sb}g(#kc8BoN_9aH^q&q9o%?rC z?^Ov`#a|WQCcaHvo4DA;Z7e)iR?Vi^dU33u4f_uI*>dP>D88`TVI!fxX4_)=LaLt) zh+qeKWyjbM)-}UWnI`S4=nHQ>e8^@@LQMmttErsbxV_~rsYQzZ^K*MCSoRAaX&yJQ z1sxtY?wdcUOu=*yc6jLczDa|T%zpDkoF8R|-zi_Xb?gHQro^s?2MzN+d0}jCoWXPb zXy@N`6=Qp!n0;zR?$Alp_{5ZVRt)WqWOmhl_7EDYA7b^Ba_7s9N2q6iRG+}ny=*qB zSzFi|-8c^WK=IaZ>`EI7bQc22!P?QL37zgT}!HkbG+<~3iHN>cytpb2j!WP&U z$E4u0uuht&L8wLIgWCUJQD*;0d1yp1lORncw%?6ubjn)7{66%`ktw639d-4p#_GT@gWxJYpdLsaFx10qJ8iehcnZ4 zYCV-Y;8S*t;t+f;&GIz5{8sEQfY&&`cW*$&8Mv!-d|Q|n;tudQHpfV9Gci3eQlmm@ zwBrjU6trN)E83F*F(YuH(P%)Y-(tf9b`fIx0!k69L>lk^K{^Qt2X-fM7)F=bF(}q( z9861nm$RFsVSKHG=SLA!ic~6L#v=HD9+#mPhzN91%vK%9(^o!To?4v};+cW*{qqn1D|SZo@V#OeZ$DD7$ft41Nx%K353*Mfj9F3zD+o zHfSm^#cW$gY?1^rIez8? z!Q0PmAg9~pnl?~EB)NiuyBsHlc+m)9?E6;8Ya!(~v0&yl6_Z6U&9 zrCu@B=&F4<{2ScZtxX}}dH;@(Fs(9nvuV{jwO(yd$Eb~JlL~OEidQLASbCLOrBMkg ztxBiTs|>0bl~H9v43JauN-4IkRAbb?un*$D<5YOpT-LZTBeTRCGAHDS@+Y~Un3dHX zLBtfMh{S)>nu5Q*MEaLG|Bm_h%oF>dQj73!pF#cmuz?)yD@*`rn*a|U;*hW-l8TFD zBO2a%I!gd*ONV0A0_clk0j5SeY(tSt0kA}tJ5=lF1Z=LL_AnbQIQPr+91j2KXYF_? z7&g6dgo2GS%~FEicvGw)9R48MW%x9CnYLtj*bAf$j3e!|+375wM`~XJfK013;ng6< z`w}4HTJ8x+fVoV&H7S~G#(mMYFBc)yPyxa^1~wR0n|K2lAP-ti_aI8_GTa+n|t0x<}`P^$oB(Eb-3|A_Twv@;Hv1%VO-Ss0)Q)7fZ(D{=|` zXadtM>QQ)vO#;)&#bWn!%7-}RZ#nXRjJ0GeZ#$2;mb~=`GKUn8AZWGNwx>53Vq%O& zklv*UXYRklK8)^?yY!0lTv{LON>Z?dH)0vsV;DA(4q(cT*zbwk7cc3(x% zZQC8^g5V)&lU|IwMS&R$hyQk&4Nz0L@IZOTWgDyqd7W536BueJ7F)VjScHQ`EM{P4 zP)Mf^Fzn~j&*NCFZL#&r%I>zdZHrL%z))=3z=0r{q}9@{%oKT}ChfB1Sj!!p(B<;} zZmDO^Ks@*GKzWyy8EoHT$L8C#-6NdW2ZajO#Rv*RcNgvAY~3NO4waR~8q?Vv?Bu|9 zE5v(xBY6G<_N$u0;SXEx%)E?K(jQjHU^t8*$8b@^e7Mxc%#Oc;VIaoKY)PhV^PMgo z)Vvb;*D|I_Ax>z3l86L^Q2%E|;4f?g=Z}RjNzQ^xt|(|pkX9aT+i4cJ*xE^(@fBCK z@5KG9UG|*+c>d5lp4$tqF(gn+2b|n)$pNSQ*3P%=Z^QYXD!bwE-N?t7BKZq2NMOpt zCCeiX20S&52cU2joT*s@;0DNb_a*d#kOQIsVGH}k0v{NUoWSU?<-*NeF)Al>NJihZ z9^C;SCIEckGfDD7BhdExyXf17M{dlv6nw#y`9kr)0}o7^G^wJZ0*NhKwjcpR@_Qz< z6u2AXcBjyST#9PIfRx$5pOlr0_eCf9u%Iqnh99a|LAbY#}y@yaG| zosgyb$YTc??g(pTzZ^b0HoeGBx$KZ*j94Xrbx@Wc!N!yQa_m2bhpFUYAG;VokWG=? z@^Y3$(2cSjPADsaz6;3aR zX@iDl#Z<;|NjlvP3~0B7yn$Md9!?@NgMEjWZ;0IOC`lybWf!IuNfF6P+RE5!A(qUAxWWSZ?|M>WRci_1P~)I+GQA<-^ES6kgF3Qe}wbgg)D8d^P>TF3HNid z^a3tN55k!FD879LZ_!8x!?s}C?8~z3k4pwK0Yeu`2EyrJpf=-lyU*YWB_3Scf_Je2 z`69mkIg;U`i@gHbl1)tC#{hTJG(fE)mlXb0NBC_Zb^-v)5$BN>U0&@=BY53=_wL9v z=}hZjBmf*N0qqnx-XW35-A(-eBhcM?k__NR9GWBp;Jg`t^UB!>c?^=c3YHT%Cvp4? z)(2=Eyk-NNCkqCBCsqqF5ar$#YRIN`gC!1M1|UzCB%RnS8L(T(^yQ2=9`XGMn`X99ik}o+#m@UqevswH?h5Nu&q(q4qTJg zS^#vA=0xUw8O5U~7h9otdlf$@=L6-Qlq+-aWjOc2pQPC@Cnezcc7)MDquNKd({R%E z@>?Q!HQs+B=~i7tQ6Jvv$h{s#0c`_IvpD$@IU=Y<) zw@liyWzr;UfqG!*0}l)xiY-uOIb~%zIp9n&3>)uwbzP@WE5XUZy`ITt{J;#&DgOJ_gJuqLjQt6QA1tJN*g*nMx}yc zf!UG7evan8yci%pmlppkXbT2%2V>*ANtH*g`I8j*=<@i+h}frldqeTJI#gXja4jfsLzwK7Ey76ZNPU=xYO!IDz_FYxS7Gvi)T z=bLq1*=!lC2V?gl_#C}-MHdzsn$MJaB5+t4;$$|K`4qez6N7hnXz}hPVsxyZYy@EZ zRy=qut6@Eo4|Y=d3**h>cqO#F)|KOTYP z0oB9i15LdoSx8O%Ocr8?<^Y<+)bOn36>Vnc4mP1T9yM!$$$z4}9P3?~g8pf2PLtUN zj3WHy)TvWdCk8x3tc2H8slYziT$4u;wIZ%}Higden?lW3VChq*ntmWz#8jjnZFoqv z9WCKItaAc#e}r*iU=eXk-#c=<=jL|rKB?EFNxgch$!S3TtfGEJMg96=*izJNw#?z+ z-l;F&`tr-S-rAyl6A$Ck=#=ia9luzGM_JizJZ$0wMCB4H;Jlz1W(MMOHst6aHxSz9 zC81$MCf@EtNYW6~VcMdkHa*M!`|_Nd4Y2^z1^r#9!GDf~pdlM6#L~}#&>f*vk+24Mw2ayO#QXbLZ>*>X`7r6-)MYL;v^gObYuiAj{# z1yTBcg|i`i!N^Kz5gNQj)rS9#Kyf%TD12JTAUh*1-H;|RmB6wn0tkwO@7sgoffOeM zt;w;#$Jq#&ED&%gZW1@+2wzUYZg%0*R*^vj)_P*XIw;(17)+T@nM!d72Wdrbl86eU zv~VmUhjMVOeY>{p+FILM6RZhL5$+YYjAQX#VRbB9)`n^0@vKDrGZ1iXSbY2#&^eAJ z$g)dWDe?P|zFkh6tQ_88MvtmdFL3YT;VeSYw_!OjVz_uRRNFA@{*bEONpXQb2XAX^ zY}bGKfdke)NHwaND{)Bi>C>n2^Ehpp`GA_KJJKZ~Ik=T^$@v~ne9ywVIvq26P}Mf< zp&x$u0lIi1<06&PtbIHP4dt`p1zkrymYS+#Tqc_ki}Yi-UKdt?%Pd=_V+EbE78#9g zsc+823edCNjv>!tTyO3s6FPp##BMTRWYbOTG}GeJ`8r&>(13B?hVd{%cmQrHOk%GK z_Rp)BzAZCe&rDC!8)y{a-rT)0^f)c{5+ugb2KB+D_3fL?t}&>nZXD`FL5?6i{J}-WAIu43Og`Iz zQ0HQ)jk2S9m>J zeE2s&7Hm2l3s=$XrTjbMwy=!;B_Ba4s06iucnju5C+G!(5F;1`lZI(H4X;sXlo}Nr z1q5U?T8&Pl*BCT08lwiJs^-+ZTA?Q3gH`WS)}*Y1qf7+@yB6N@;qnhmClS<+~8&!r5O-iVTr zS*9dxAp%C?a4`}|R-6*=13@7_O{xhH5{4sTkxC0d4029zRWdEeNR*&W-pI*7*a#Sr zWFjJng@MrKZD{ex1{|)d&UP=S1x@Zr6Q(G9DOn>efC*?;uC1<91Tf^@)=CPT-j_k!cW6RkGbe(9 z`P(U(n1~V}SV&5)y(KaRpp3BbT4IfnF*NxrU=HV z>;#n(-4m8zf#$;@4J#z6e=KG=+&>B=O8CJr_!~_?;&H^HTtnM4ZK_xHY;F?Fv^SIL`439C9+%& zXhb>ugW+s80>=m)JMhR9D5MQ}p+FBMrz8SPB0o{aAcZ1A1rl29_34Rin7*b>J^h+D z`cP-a3$X&)EptlR=M&k3hvBT1iQSr1hkmOqvUH`;JT2+kR`ys2q(O}n{g3#_bX8N4}rq{U;Ie?fS4V4f*cNj3o5;^ z4SbF*ek60~#Y2z^O<=;dW-x(p3a~Ws{@4ppg&)XHzamrtjcwR0ND6{B3wBh@h8@=w zFd+3L?b5wa8bCrsq6U{i4qy8PA&0Y~5I~dj9|8IR{mB?0V7w~_0(f}$YE~qJhIf-X z2d;p9T2MQ23bnmH)b!<>fHM6N+Ck#Q%8=k?{JtvbK+adf>0wvdVAX&5LJZ zW&b=D8+h+xHUN?;dTkt;08Ih_F${SZ?=UbX@Iwj>W7jrWGv#=e=1x4vCPy0)5u~gx zRD?iv6C5d>m$RtHe*9;{hatrkTi22`D(^q+MQ)m8DCol3in9uX(WKSk%l^{Db7!^2 z*aVx3y?WZ1I_80AKIt%VwN?l3lUz>OyDbF}5Uz=Xa=S~~y@mvB8=nFoiop-QxTYPR zV+q_$yPe!jzz^|&A>d|mEf59{oyV9w?6G(c$~^3**}w`u`Q#HI0PuwckxhroKXKwj zoVQ_m7d(7y%fg8ck*MF&;cB&X;Lz6AmE*3Zm&Zx~g_fWSG{SQ)bhw%>VsI;Q7|+io z^nos*72#P7g-9a!ZI2O$B+OGU>5)*a5GJa2ApM=ghV_h!x}@F3t-0W zx8DvZLxMHx(rXRxe*RW^Iz(5v7Fb*u&GSuL5II|s)YBp~w;qM^X zRG@yDcFe88G!0>c@qG_s{v5l(B=CE%9D42@HQ^lmCu$W?jwdxh9)>i791DpI*2nd| zB7|c)_Vj0D^aco$PCNVsz%0q^44{vnfO^PuCqo{hG3Fr0zUR)WE`@Tyod7tb#$>m_ zmMt9%m>yv>P20I;O~^+aPz^#i(h?JiCINz|H?>7=t_92yCCRXg@QiCB(mW3FxHMfD zFb8c7j=~(f`86$>=QL}{J>V=bPXx9h-f6`*8Q_~ZD*lRCjEY-~faqIMF`zj;F~X0L@fwMYC03CLd8Nc15@L5{q-^G{W9mapEix10;JBpQc$5R* za^)P?nN#oJT0w`Hj+wM@f4YFi!$Aqbvl_UQ^h}UuE0H+XKtk?l4K6_KA_PmY9ZIWD zGYO=V;5y)LP$CRK;B*&^lCoMxxj7Nf2xs1?!-=GJX;OeT>}$YL{Dr(A+mTXKN3%wSUK zLj4htMkkO>aovq7r#-j_CbeuV~8a$94RXkAfK{hds_);^G|QSi9!KL(FiO5m}C zMbBc-NOb*=0c7_BW8Q0mM=|B`qL~P@e*@GmOJ?t*J|JnqIWEpD))zXimNNX{RXg5-& z=39+gDbZ~c;2b4g3zQ*NZXS`ZhfS9zG(y67J_0XnR-|F81QUorIUhTJN%;$+4MCfO zXn%)#j{bOA2o^0%UNoZIT&pC2E~dG6O9dF|d5>JxOM}q#H9w$To*e7lhqS>}q<2CF^#n%HyBGr#WK6T`FSIOx3Mz z8xITb&$|ug1IIKg@n#{92k#l8SO`_W)VNG+LBQe;G;Wr;JaQxy<;2;RUfm=G}>U`Cu~lFcI7R*2g? z^NeI!OhEjkq$HJbm_>+ew8LhwYghryn|=GFUdSZ5p6n2eF<$={>Xk#lC6$@uvy|#< z7=#!?JzO8Uq8yx&Ixdiml-`^k4MDfP(7=Y^kV-wCu3|>O2tpoHLpPn40@#rmq1f>w zJj1XDr45TU;j&m-Qz~3;58e@W29wkUl!?B^VFr6?N?=?uZh#>YtO5VoFvS;9!99KP zkzzCPEsYtl6<9_l87@jPvo2}KD85of12@rl4Fz-BU=xFm>DsW4h|9gx};JNPAXG_aj%n%3k;Aec!+|7DmEN%K{_BY z&~UuGYYBQD22qWk`#{O1$rA(W3rfx#_cLGbb2uSUVWl{o@coOa__Z3T4C^SR}5c z`fB0hq(rqI2~O6-C8!kg<% zeHc$y%V*ArUNOfVSDE88)xF<+*1-i<57nBr97Og>qI zjaMeSrmNywB7Cd94>N+<|El>~A*i`mFd9jN;mWv>CS8p>8%dKzNs1nEj)&9G#B_P^ z9@3LpJ?SzyOsG%c(@WZFzdNmN$%|3`lo=8YH<5-5;f}X5}Z!AvhiW<(qh-r`=%_p&xOPiByd`cQ@kK)d|0z_ zY4OG-_th;aMxqW0oG!hu&dP4Y#@yo+Ef1eE{6#$!QK2o^KhNwW>G0;w@U*z(Cu8s? z7Wzd3{c|xWQsGsE$+TOOil;6F7$ZGREwC~fSfzLWNYw<$6sj|aX<2_ndIa_$J>P_l zVp4@`qnEgCiuAM`_Tx*{XVZ%b5Ob5?FE7t?)st#VvY`u2ixR{s4ufFjBd~4UvIGI>)%AnWA36 z^mt3REM@s_Zd2nRo}b*i9TYK6gas7%}fUarnq_;thFYoY_o8 zk3WwcKW0QuUapv&>CCx)Y)+x*96xSsPVV)2DXisB#q_xbZ;oiC6ffZ~LC#3LNBZ%{ zo&GJLijr1(<40`C8c>z{60SUFx(%8MhGLDRR8ohNf@@N^kg>x_fgwXykjQ{y9Zs4J zA_}Fl0v;}_UfOvxoXk{|UB`?NW**iFuUX=7ycQOz4Lia0NJoF>Lb9^dY6~|TFGeD1 z-t1B1DhU?6{Qw(rfx#uSFKre(cke_5Zv}8v4<-yW!JtFItW;#A-|F5cZr zm|xzM!b;GODw13&llH!ZN6L(CQC^uF-M3&4H*pRWZ+e&HSOr^(DM^k6%faY?W3Xo8 zoP2K~H_>jlV~Z-R>nK54xf7T1xzlGWRlE|qowcD3@Oo&5P!%k(i#llSke5K!+99O~ z7-~U!#xlBYIGn?Vs{pH}5Uh$iBO*2m7cI}jJ1J$VDv%5=6YC3FYs)SoL6|RCb5K+( z>Wk1^gaT32n)={rqS0lX8PF+A7fjf{%b6*V94&HZ0KZ<=oiUpSb7sRha%arNsf_yr z#~tLjzj54N%Az6S&T9Z!F?BayJ&4Cra_X@>&bb(#dy6wKs$%BpRb*_UA)2T&VUI{A ztYn&6u|KVkWOAC=nojVYvLv$=S3-lw8DKH)K`DJ5(*Oep0j&QCPE!N-7$neivjvpX z*^qCsVB@)xbyZlfRWAZE&=SZzXN9iRNpO36^+cwEcgo$yG@q)C9%AAz(i93icP6`U zU*gr3;MG2TV(DG=@Y&+pu-@QgNDhe%BHa-|w87JR41op^mmZzQ_bJ9+D;)69i6k1% z$k^Mle+XS<6iAHRhSf^D-@s7VoU&Abe&f+^l!?gtvvNlx&_*|%c9{xGM8-Q@Rp4O{ zO@Y0khu{f^d$h(cTJDJ>!SD!S8BKhU6Y;Aq0aPsf*+9iCOwehawnD7ypPQKzzD5@w+qqv%Qx!C@M1 zYF*w*dzwiulZ19i9+ZhqkVv|}c7h})l37SkZrlO#28|bj6_d1P>oxyw_BOXWL_VfD z{tUZ-yUm>viC-aD%;rKcHvn0c&0xc37N&c zC`6g9O9T}$6)V#4ZcpOAW9(k56rXv-+A=oNQa?8n-4);(M#X9FF^L`}ij!!Mpf8EB zJhWF>;xnu|WI;>3tB&G%600@gUC?5u*`=6KhOi1Gegxty@jxn*DgFWl65$Gvku20B z5*{2+q6)i~^;K}8x#L%0YL-F{F(_$0i(rV7{0A+3hz?FnmV&kM^ok0+0uAWaQqwvG z859u|Cu&<X zZlAm*{L&#MbDWhUPy@2rocx#+$HcEMBWjBN!aYo0ZY4L16XKZSMm5tj?tBYc2l?Y3 zTf+*V*m%(HboyNf&CM0avaXoB%;CG`^SY}OCC!XQ!qOW_VO*gzUdf*{HyQh-{9TGBBC7!+H> zJ4b-jnnel)*HK+TSBKO2CSD6hPR1}4+rTy#DMoj@bO|ca1V5-F%umU=qN)63$Kcc)1 z=@bu_CCc7p2?Dw&olLJ#Dg!YOmrU+Qz#uk^vcPnf4~I*BqBpJQ^$NXGkLQB)8oi*` z0<6VLcl0rOqaI_%!2S<@;Qv}-fsu>b!jYAJ!YP)I1A1zkf}Le?g;*6JH3s~K#&n#U zS=@bcP8=LT8`AU>hS3@eq#8=l7n;PKLWLDn!5*!EC+(<*7vvtWz1T*58ZtNo#$abi zC=qQ&TR=%CB9;?DGG!f{4^+Qz7&1eKdw{gG-wW7QI?JN74_lo9^No}l*Fn1Qf2Ut~ zGJ`@4@zi?+k-D`ba^!j$5brYq8U65cp|7yuDkz?kf8UgXVpqX^NZ{0k(|id|1H^VY ztc`9oikWF7%uLffLK!nNG+|~2X=?u6m>Cp;1Y$*AP8Ru*)Kh%ZdJ=KaNDQC}5Wyu@ zG!CQ_twAJ$H3wwyv&jBmM+t%z!>*dPtDCHyupo?Q$T}WCHT>`o(VTRHCy8b*L08SRLv#h&Ye8krG#8;Odf^*46xvgwM0-b& znOuD^5fiO#SPO#qS(Nc}NfB55x1z8!4Q7qg*0EzEUbdFlp+kGT7Jx7sGi{3n8=Ep1 zC$?(~ezS6HT*6gtVxb0%C9G#+2f_-87EqCv7|V*6`r&tI(5Qs9B^ETBwER!OcTHyV z53pqt+Y_Ez&ZW{jFb(Z-LF%7={5Oey^tVI%tFY=w^dvl(ejC-em_yC>&0x*qW16wY>=9I{)u;-kEGopx5>9pUfwdnR(yyp7*@x zJkRf0&U2pAr0loki9AQ+Z)mS{^APB3L){UT0pBcyT}M>KpA#kL(_Y!Ivb)qR+7(9mrRJnT)HoX*lUaU zcY#ayW%@_@IG6mJ{&Kt*3DCNe*DC_ObBP-0?!HO2veV3_Zq<4LFFscAdW8hYk~Tp8 z*XjQ=<$q|cYVF|_G5FE?5U-8;+n0Fll5Zh^#HXg)-6|Xr47ngn_5Ukq7p%U9e0OKd z=i4HB`cHo&IOoo&A6f;uD60+lv^Lu*2LBOWgGawf1rHzp@sEG(V|U&4(U0DF z=SM#B;SYc4LwDS9`|Th6;BB|vdh0E>?Ao<+=l1P4-~53Oy#M|0d*4kr-FV}+ZCkhA zaKrW2Uw7TL*CvfuHuJjXnyatA>Z&WRyyA)}#%=F??`4<0=RKERx*XNwub>ZSiSuYk zcE|VJ(q7#oOOh82Qgeq=V_qju_e=;s^pd)T))xUV$viV3q>@SMa3mo4;I zmlER;Lk~GM>jjb+<9X$8h1czaBSJ%l_Lv34Ifp^NdsWSE0kZNvz%bGK_MJ6kmEA%$2NsS#HtE@YAf8yTz z_TK-%r}wXt$$-vy6?^n8SDoeK26y|@s9v|t zo@?8tc8$xd5a4NvNE(Z`Ab2EjV&`uBhCRFQxo1A#I@)*dIb+FbOCLJ8rdV~(zw&>M zyh`hc;lcMkapuk)WkmnXP_ys;_`LSX((uTMC#wHZWjl86+BJ*QZ8OMcG^1I~_{4qp zKX`&s-PL7_D{zSr(+lCR+fJLR_Ou3!c{URx(zT9p3q3A#7m4r;ahJryCm39Dw)2Yl z`QvTx#kms#0Wuh*GPAE`R1|@U2;)STevk|1nd1z}=f$!Mcb?Uw8mtDUSQAXk79=WD zDn&3`EU#I`n82amN^k?k^7zbeR+j~s+G!{~r9hGBpgx5^saRE;tQBXzE0GVecsry~ zhVgm1V@W+8=+{)Nikma#!yIx!tnv{;dG&82VFsADyxQ=tSCN{-io0^@T%1-^R#HVQ zZI7B0YuF@itivqa$R#MDpUWyim6yiwRx!Inyv|~AVELO>2cDe}fj=_l^?0q2fj47{ z;LutuAwoWnYL^AY9+rsJlNm@mt0a{(Q=!E2S~<#oNE$2CLb-$tiKDc`e9G`pPmfw$ zjAtw}jdZWx8i3>;=FS$&nBPK+P2QTyI$U z1@=8Xk1RW~spsL9Pu%KJZFel)yopvq{T<9z@!%eH>-%TlcoCr8nQaW9Q77J9f;NzI{jA z%#`Zcy=U*In!64hIPbg8D z-S?^HQk8eWlw2hF?xXbV){oLLy=}*io0Il^qB3s38NbiYl$z6c#xjHveYDeA;kh<^ zhu5){EciJ&VRT!0pw*omPhZ86+XTDJplY(cpp$qG@kcYYfT6P~=Zo@`j^>&kwOz(` zHX!p6GsXx$dU92ROhZc~Nu@_Et`XeQG}!A0k5E^n0U!`(x3EOQ0)a}Z_)^&{NXQLG zRq2q38X0P+O(0TcvkR*h8gTtN5t&n%=uuS@>)aZm#Q!D;T1+MCZdNLhEKq?&1;e~r^jv7TQi_mb3@vkOr^?){Xf<~$pQKack0LUw|SLm#ut=C_l8p2X8JwAv4uLc@Ha$-cZ+30jTRdL@MFeRy z<^UBPUNt8JIp`O}%}NiZ)L=N7^Wb?O-KL|W1bY!$!5%>-{y#9r`B`V@ta)3OT#OW< zj^~GiEFRNci8b)EBm+(?TAJr#6ZJ<}&{0mrJRcK2z?_3X6kR!&sXEpZ!HAir65yl( ziWC?r2`ESOFr1>1_o(P4_#+MeVE&Ynzshh>nb4uYnM;`748__#c6MOO0^ip|Uui2@6Ez;)hX z5UkZ02En%fjTFMk8gotKWfyZ9)DoVq0lNQySrhOuiw6hPJ9(xlsvz2_P*;><#|vVH z#;ftR_u3Ey6mv8Kn3B_~gT0{TNDmpVDL#Ie z%=~9St|2NYx3q{O6Ey-wnu^uf=tQ_HrK%+(bS%kuOhj=^aTj1u)O)Q48D3aRkD95v zmG>4ogF4Vzd=hnQ=2~Ium_Kw;aNEVwV@BhcKz2<4WahzYre_sNqTPk5?pKMEd(^1I zHoBe$)&W}NT$%XP+=n!P4RaicT&(r`I~0y*jSB&{<%VnlrB%yQSTxQ90=dF`J;Hq7 z)hL|szd|wxF^YugWSY@d&A{y{5_K6$eb~oFP%hl&Gh!8#B+i5}K!?Dso&FuMh$lIP zmQO~o)NiXW#yB`UN8)nX3SLrJ+3I$!#=1JJ(_>vVHW;ij7}D+eYzM9p`W!)M8VxQ( z&V$rKN>(S(VSYFS7E35rCgQ1&$BtGKg@791x5qQMECG=})C%Am9XfdWT(eWOwu7$P zwp4KTV1fEjKa6eypP?H)qO*?JL zp|=(gq&L|96Vnb-7xjEN>+U*XX3_T+dtD1pV$3)Dei_6JK_f?|p;Dmai$s@ucVi#4 zqw#PiN|cPf zY8|a4dd{p1UwY{QZ6yFK1Yuzq(k2$WW9Cyooe->2UY4GDSi-yJ;6tDN+*0iCuC4?B za%R`T&n{VV@IVtw=A8hbP3gFjtE}| zR9^7&qHh|NhVe(`^=VlzzK6BFKl+{VE*FYrbcok7ux(|Vu?ksAf{tA)!)yn~N++Ak zwdj`{(V@WX=?NY?M9YF$oC$11?&>@bkr$|KzW-6lyi#X*4i=8JIpss{tc(!G!5; zd`+oSF?L!7pG%yxJ%!<~Th1O&Wr4wL^~bHiGeObR&Qhh-rkc;DyzT?lD&wS(*k>t8 zHJKD9N>7>U00=?lK@&ufwi{^)33pyo&*UL^t9|@S6a~~Bh?9#`{$r>P&HQo)B$#hOh zq$4Q#M+SRm=B&ZNqFWOS;+|QRwXYaqUaEp^8$D#)e0iINMDhrxveMAHqRednlVyIR!&}7wx zl~$j+Fu>m;Yh^lMbS~OW!ynK91T@Ox?>9ZJ+oTVs?(XS@B<&?R3X)tm$=}Gp=+#Ny zYiGUA>1WPeym-;#GtRKJpXglYgSL@C$Yy;5&^z`ScK-K^U{_K8-qQjorPNuXp}0Qf zl?(;`=MV!AH!as4INsG$w39hvYI$CQbAhdsJd3KndjRprH@v>)8C2YGU*ty)u32N@1)`( z)bFF*w%WNkm_$*}+8Y}i856)Df1S><`huP&YqmKic-tu4zxaL>h`dhpp#ePDmG@o7p!LRF_dfbE= z%^VZGr`5PJkESfmxH!1a9PT5Y_%%2%EZ2eI$3v!T3bItJM;i0voK}EcCN~1kA$3(= z8R`+dXGP^?I3|&4%_<=(pk7gw$G~bngW?`Zsm8R@Gf8JI zS~$P6WA3cBX*d(x&p5Mdi8K}wAl`+{oqT_^xKX)C{`Xs`GU8q+P|F~4qhG~xgHt)n z)9z|N1HQ4JUQ zuxgGdtqyB#SL?&*nI7rfPOTX8snudF;-Gt4;N_%S1eJKX)_l>`SqZdQn<_o3v*xrb zt~kO1_UWysUa@J@6<1t&RlO+qT<$%jcK4Ysi`3!=UV7=<-=P^Ot*p7%E~E(c%VgbM zp3Gz&JupY`i-&}f8k(0cyEBJl6Izxb4A94GTL6MB33{&CSr2Y5mbm7np66_tF7r-S z-p4)U<^~x=sNT$y1w*|qSi$%AhzA0N<~ZB?J-?6VLSLYp4fTc>U)oEC_j`trrt`46 zG7pEqipq?CpapZMK;eE>C=bl-#ZJ~$FUzmLPR$S}FIr0tF)&rSK9{amCaN~64XV{x zofKb29h48@#Y{TSLemqaz;*WOW#6M;F%jA_)#SPh*hQQ$=>oOD^$jGT+g0bdzJNQ_ zi2w={jDzTyM_4WvI)*i5u zWs^Fk0=-UxAyZ{^z$o=-oudV|A?&cF2ngZ<9Jbx*jRc~ z)=!b38P~uN#x&6mJ?cID7f(h+-GiOji-Qaec0wTAxE!vK%xul=csuNDv(^Hot%lqv zrDj;;NGc3y>f6>K!#o9GV@%-kRo=`}-AYnZoye5k%&NXpj6qObB$58S`%Xm3i5UGI z!`031z83?^+z)=1{C=F7NTW+t2=_l#$Yv14!E(5_TG^m=w;X_LrGjTVo9jDHt?y`V z=xDAdPd0~ZRQdin&GoZSt)Jc8FgwJ;Irrd~I;YlmOsnsh+R!l-l|5T@$<+F;Y4u%G z8@hUndY}WZmqot8#)7&W&M3q3Fx7XX=9DfKuCXGzjlO9?(r8b2*WJxLl zLPhndiR|I7ubqwy4__P`F66*lW1JNb;LbxZI)*?waj%kRP{kE_kFr-vCrQw$JYyu6 zEUm}`od#SU&%K@n&MMJts5yz*@(;M0lbD9iXkIBh|H{tEvTj&*T6Kf*R>U{C%{kZg zjhtt;q(&99*K%TvrRLP8DlIiTG2T*>T2jeUOIf<*8P3_sW-kBD`v?JEV5>tM^G?zg zevS7x`VP^qxjTue`WN1x?LBPWynM^uU;1G>(c+WmnY(lR`0>Z{uI(*Rst?PO5Z>Y5 z!xl?QJkDA>y;z7NA7YRlH*0NslUe|&wm}M?es_#Z}5AM^$q>h z%(2khj6CBlCJ%$m#}FerW_kdVQB!eFo|?{z4-5*%QGSpD0o=_Asp+IU0Dbv(E}H{@ z!Q^?Ay}-@s>;`}v1QM~Q+d!i(Q%45#|8__#d%VRCcy(+IpC92D8)34EJP{-^K+rbEGcB@qd(#BR2g!@1(6{!O+PN_Nl~H506qjM>S7S}OBUjgDi#0) zh#H;lKzjW!bknKn=90n^$VNx79Q&wGc_(vuiZ@m$AeT#@jVrDSMbx?}?QF=2c^xlr|$(bd(}qpOL_y!EzQ z2b_3kIW$Gz`!AA3kIJGk9^mgKk0$H*$#lAG7=g4a)1G0365A^3<_-a4=js#3B%HE0 ziR3oP4v_-|lv~f+3n}^x8O2k8?HM7J*d)Ssgw|m9gWF>@Sxa&V?C6Mu=T2LUza#@`iFkzK|bT7}Tm$IOGKXNjib` zeJ0COjbv!sHr1=@ zofuj!c0}hz%@by9%T?@-A#M%V6qQ+#+2VS2b7dVr*k#Ct5wWsvU0hds>bkCRZB?k- zjZAS+gI3<%Xb12AgH|vPWw#oFh0EK;gHfQ8+I!KV9wpr}Up?X#TWa87*`&r}=H~ob z^_jW0aUzf1u>40G4|Wln7?X)e5(=mYf;6&<_jp5n=J?}}A3tFN*f|crMTF_f6{}aR zUUMEZD)(*Ox^>%)Hxa+SjR_Q{QQUdC7&Yj%vKa-x-^?5y60Q1U0$`hC9|R@0i-AQq z!;2T5$)Zl@Iu+cn9W>=_RbAu6e#{*Gp|*swQ%m3WG&v!Al>JRB-*~|)K~^zb;rB=a zI?Ew90|8bMZqTM9G48-x!d(|$;tj9zI$z0Ir0vokb!URds7l;Uc0uEa$4eGzs_B&f zWGnCr-7x-(Bui?O*l=gq&Kg!#S7F}zi7+n8$wU}qiW*bJ^J=KOdjpK|dK^o5vA+lZROp;=gU7kXD^@B{ z_^;Z1vC&9()#jjoR+f}8>&q<4>Z+PlDuv?#y7#W|inD_F!_QPqBwB)Ki%0~&RwM-r z5IRv3DNxnXC>=rIYmqpWhzRABN$-{rpMr;jBq|)ovObx)+$6fD1i?mYn#GXB#TBW9 zun5PcPjP`H2y6=&I5UWYh?&}kk1!vtCvphmttR26)D`pjwd-J1(SyR);+z~cstIo> zzC8MEY@+Cps=}mBNQNc*4tyh*<;t@D1RAiCEdiMwhFH;=Cj{i5pI?V@(hLsFm1eV) zoWvQb#E+G#oho&%Cngsk3jPut2@x^KPm~RxJFvxRjs&xgh9u_9l$2%F>57BXEL(ahW!pGy+51td z$d7r7RJ77i=PqPH&ccPZ!fIkquXuQ-opchWe>CY;(PFj`Q%z0PYV=7b zvDvGlnKQL_z&?RI5DQgqof_q*3szvK?o}#x7e61>KdpsoLQ~UT#f~9imH_(>EB_z# zJujLD`9mI%Pi-Vpq|&F0@h6Qpz5ZvQG;P>1vxr?e=qaVt`jxJQ7!ieS;Q6uod^|yi zLshsj8sjOxv(8n2ui`rhp}*JgJ?4Iwi^A~=EY@dz3EI=>+JIxi7=h?E>2xNIa$_dQ z#?|fK`G3?kAOA^|pFz!Za7sH~C&%$Q1f@7ZC*uh?Rp`6Zflz%OP-pT8HmAQ=tyO;64!l$;aTD58=?=?Qv-O_^d^79fE%(+l)?(CdD zf5C!xtcjr+c6c_ePgN;e`~f- zmlPVGIf72Zyhfv?8nu^S3w(Di?l7Jt(HMsDA_*&R>vK4xOAyDSW=fy}12F|PRVF&N zACoUhZZpkwQD*$;u19;Ue5_Ev)(w4oZ_+zYd5nP5$duUIO^JQOyuJ^SY*bgz>eXx3 zoOj;ZwdbF|?t%+5D1*(#8gk!E)t$vDpSr#ezPRo?8T47WhyaZ`n2Pm@k<_{1qz3W2d zTchG)ZZ0&`{www&+oq?a^?(Emp03HOJWDCi&%Y*4sfO zk|%HDqpW+B#&I+tnYBWhf$KB!)8~ZUDfG3`NM2qvh+eCj8kFC78S+gg@tZeP>Xggr=vG;NM1;3x*$?E(WosY+Qx>OwHsiZ z@>^8+p5eR%Q4Ibl9sr3Np%DvYq623$`<}*WX@WCGZ6W`?+;2e?w}IzEbqX%YOBCK4 zj)}*mfI0Pg+Thpz1{r5Oe^Ypz;LEZ$K*QYHK8-R#bfKL{=V_l;j5P2Vxfbp(}9TXF3@SYGjNj^6a#itN$mvo~+#C_e3$ zck*Ga#D}$K&mO$+-0f&L)=J!1kcs!t_GDF9R*h^VE7gaFbY?|~hy|Fs>ubl=@PaF% ziA!WB-*{QGMfZ;>X*5(aUJH}yjfLZhN39K~HJ{efI(dbfIseqwDbw1fu;QUjbK&}y zme$tElP^(;l7%W@&Q#mlX3jc&_8h5qo6Buda_#hK(>c+s8p)xtP`iX0^HOl-#bb{f zTXX#Q<6Vx-YT1JwZ4xEW3j@qn3xh)Y0O?AX{rj^dN5fOp%8mQ>?c2Dq|A|4oYL1bq zGef)Npl+gs7ZQMqw8g6y+NEk`VMmq{h|Nv8lROoOAIA6~Hug$`h}HfBB`ob;0mnLZMVx`|5(0#6^jxF2Y$n zb+`ddxAKcth_fydv2jg{=PZ3XBL#ZMjn}?qxw*sLgs5*gHV!ROmmbWHxeI8H|? z=yGII`}e$P_&;`;Zi@LgVmeRbE#GAqnE|Y~{?Bw3H=f|}Fs0a^%`QA?^TKrmA4YUc z7>Omnd%>1P7j!Sa@Y-w7=vlXUz?m&Q7j*Bw@Y;LsxoF3-js4CPfA``YJC|RwbH$~* z&e^wdN53=dsK=mWbhW{J(dU46N5&OGamW<6I0TKSdFB{H=KQ3RX)KC`M#l)DwlKw0 zey3Zmi*pQ~^7jL?rb zky$E(TBejej!?F^_ODJL#=Y|I_M>MWy8F*O6d)?Q#>j3b zNm-5t%Z|~YN@vxn)njUxF^WK$4zmf$A>Z>dj=KexUshL~z4Yv5%g-TKS#DJ2kjU~v z7rDm>a$hlF+=SY?`nv4NF;RT)S5zh|tE$ITlcy}2O*tBAd&$ag)5;Tg6`kQmVGufm zg2Su|B2^#*-NTyWG4hmkmAxRNZh^uy#9XL%DyNwz$OYy24A5(s?E-{*0UJz`XrvB9 zka=+34kikB3zry>NYE)U;#9km1$fI^T8;v5J&|H=>&0yWWSLjd^J{f1-&_HX0i*(R zhzI?x7FaD8s0DZFJ?E?Jc?ZZJc0aoHIZgzJLGh3p-P9CPeuALT)KInx6X3Y}Z&aPW z!^v`oMBQPgnYn??#&jEzMC7n4lpAq}M5Nm&8w}*>?{=cCg;923GG-J7wmqe4GEo}> z+kVYgP^xc~6mU^H{eMtv%0(4~NEV`K5!0x?uhwVL+NO7XMs+BJI)l>5uV!UmtJD%@ z)6yCUbOn!nmvoRP{IFt5}3NW+lveba>9!oNYNS*y_3(@UohrBIcR zu<-w$g=d7bs@Dh${{vV!=T}88bAGKj!<^S-XKMSgFcd#m=4C~1i%&gPo;~BwR^zY* zEv5eSPBWNSW($-8{8a>CWPhh$Q9#F^_jb7LFTIk3($8pawuZE2zxd3PFFo=6x1JdC`2s1G4%&}>`RQk0{OVI*{@PH5d{LDS z*^fW*0+f^W-;%F5{_GX~+KdmtPv{7VfxM6tV2b4n6g@=Py;}p(mbt>e-`5K6AuWrKbJNvoFvC z&ptDBDN{!7$!A`8{@LN4KRH^Zz4l|zKMko3+3ud6O1db={_2xoJM_Yn&wOpj#uJka zp*{88=f6DMeR{$S7ac7fFk}@xK&Via6KEDo5aD}DZ55#zIFgxeZmB9SByO!`TveRY zi4yroLBd4kyV=;7k}FyoSsWZbu`$JkB>UuKDM z53iKe0Xn%?omwuL327^w%?;#{z5ZQe1#*7gwv<$N_!JZt)jC>IM{SSf0xYaAr4H z2b~P%dS#;%z1X3eL}<;pN{Yza^eAU*qXjs@@wCoKkkDd|>?$<}?{;J6nKKh616EY0 z%!{81&)e}#SZ27dA}-+aouB;Dw|}J@RTX`TgKOxBtmm^Tx|t(PZudL#GDm*ZdjzMl z_^^2fnPyta3Ln;5Py)|<6!#2Gm9BafpRY_Z%C8lyEYrSm79EVTqO&Q;bO)7iwlPYQ zu_%+q(XPHj%t@P@RkXeDNUc61Q#tx74|SI7`)B6LT53l_8D=A&5XkJ7S|J5m(5y}z zR|V;4Dr!7MNTj9hlyQ9ocQj#Tu4~k!*pe!T63xci6lCb!fFqsY48F;u4@+O^CMYS} zK|@ng`gn>64N=iWZ$mZddLG#0_VtBtPb3C?@+NenLmSp>I$`BjKRS_h@?{iqCmCI5 z`~XTBm8F!-fDfb;;&tLLG7MQti8I;Ek6sFbr!q7(n1X~}d@f_Hy*NWJ%xv@#%XPwv z?5xdXf=fLc!yRrsM@yZo0yw>8Q5#XHO>5g4>-Y=F7?OPA^p;jZg3|{OLjM5+TR5K` z(DHZzqhD1LlL4&}s%YWEQYDSGRRSyHssw8K6G`^UDj|X)Fldb2`9e(xM`ROYdwoBY zxt#uiXLs}PSyZVNRI&O2%6l_qtcSB@&UqVR$kyoTExo)YAUhA9UK0sjqM>849iY;)i6u5swWD8b0%eEzp3B!Mt8Aj=nbMsSqsg_bO-lmlI-FqfGnj^V&0gS%A9`re^%S3txpvIIxCn}(Hf znxuLWqcJL=a^YZ55q5@Z_o|@eVqpeUE~js@bMP&-LKGu{3YyU&i+@W&b%l`;RPRh) z2$-tq0;mvB>II~uMc1(is_P`NC*sKkuP6^XR}&dTaFLcxLN`!wodsFF;m*$roe7ck zr<4T8(zyv_)|?Fg45NLdPjeYUml{SoOe_+mLz?h5a4?K)V42M?25AY*ooH2ISkC^< zv3I>d{s4fN0~vwQZzu*!3s+hX$KcoX(-w%T&|RaIf@LTM?0V@k^;pg3ggC+55{}@i z0-CYUvNPHOs-AGN1VV|<&@AMUx!MH#I7}QGIA9WM=^}-`SyqkE%INF_3PLGbrl=II zwrRGspMp+tddor)L}p7{BfsoK4c~i{+6=D`q`xuDIHwQR6WQQ z`YVQ5*YG*X?XUitVVT}|R3yF&?ouKX963PbAJ7|qE~!l;+0%BS``^_k6N}av54inN zoA7)|J&=F{j<4Oq|8#nT^A&Skrn8)HdfF4}^EMf_4RwCvv)ha-bQi3wB^?}P)1ObE zN2Xs--2XfEn)4;tA-z9wf02>)B+~O$D;II(36VWlCl<|Dr`2xZ>jBc5rl-4IDJ|`( z_3=NwD52IFUw7jKF4S$_>^{d?(ijr8lau^xX((UiM%mXIedbrv5YG6shjqRYR_SuX zj>Mt~Bt*?zwSrb%mhfH5Cf?_`cgq@Sf6LE&l~1Ojd@JA1_vCx?bMk%p{`~*X-9u*( z$K+8Dt6J9bKU_Jdee$$f^V*V&=bn+AI&)UX!bS6@Cp+d$?wUS7xp?A)iIwcrTTkgl zzE$espQI*@t(-D{(Y)TG%iW@tGDtpsasMN&l$^bwV>vlS=1yOJ!ql0S>Uv!prMLer z$Msn2&g*pr9P1VT{N*J7OL%ts{Pro+XU&^6dzSJooIiQ$?CH~zQ@WCiXU&^BJ$c6T z$>f7kp}Ldn>XOOYWU}t$#z|_48T}$s;Q;SDc<79AXgWWEO>QUtDF65- z##v+h8&pEuWf`#Te8=k%7eo9SeVN2)I1%$2r^)c3I+x2S=QBp5eEP~v33HQ7r#8PO z(}UEP4m+)$PjjKvb1_dCP5eS`hX}Rhn|sd>aZi%KBN=v@z8{u+2|TT& z1rE^&;?*S+jkAJ{wpOSV4)C$$(kRi>Pit?Er2W*Yg~5>l;;_a_Fot&TASR#~TkonV z1TskmHlhayqO#*qmBc@OM>#*%A&7+S2|;j3uTGy!Fj~<3S9R;`*|Xc)+FDv#$j$Lp zwVs7w?d|Q_Rt}4jBI=A*Vzf#lM5pzfZo|A)l30kQ(_x^wm@lj7D*ELQ$`g&d_Olqx zIy6&1&VTvdvNaQkA&pk%k`S~}!nl_FnjOdgosc)BP@L_=3;YwhaUvd1g5!2}Og#zM z$xcw*P8^bd5Qg{^;^h#brcOu|HOu!4!#7XG$<7&vVnh=c?~K*&wmfcACzn7iVnGK9#4jQ7rL5&SKfnU6#jfNtN@I zf38ztS7}m)S6>KRqj^F&BqA9>cIV{f_DCzDbW~gdtw}NKalT@B!uQMm@agm$8Mf#p zd0R;S%mhmf#88q&yGd0#(*GxNRfMCVliWrwG}!zO9=g%!1w~t;%F-{G2J=5fNiR5LA$K zC4s!GdxTUm_F)(SYC%(|bf)Oyz7xbJ2pD?SC{MGCl@yDx{6TOQ)Qk6_b@)y33z!vR z@6UB^Hli*c3bx|N@I9zkS`dtwKniHsm(@rM!s|@2#B9lPs*g$d(8LBq9{o*D<9Wj3Bs4+;ne;MF)^bMHoX&#!XrF_{t9oHm*^(L8^V@Qj`_S6 zC_G9O{xBbQ!4&Dh6+w`o3ZRZt^*5ZER-+`VEr*Vuggy3OF4BPdWVSJM4Ep9Pl`Id86{_ zTN?=98o&)jFVIV4HKC<~BElRWqJgi@Jy1jk-)-O6@LNWBMTmlMP^g^o_ki3U=RJ0L zMsTIm`!b|iNE-!=13}`C@v}m8`3X8PKqz~HXQ>R&6Y6XXAC?p zMhG9;IX03?DC)RqRBo#&RC0QlxOa@X4?|dSzO2rYiHK$Ht-R!s%*)t;Yc;g}?C)pj z4WqW_hw^jt!}+87_ zEGOoR`D6d2cB0PzIAuB}-sI%e>GNl|FHTOKJZDaF?)2p3w#l>RO_(rYi2RTBC#WN4 zVUM9UeDq^?_k8qjzZzEv25)$fjg%fdAiq%TH(Yna)@|2qm0vsf^*)vczwc&#kqB+O z^NMk5A&|ad4@-yl?B!^p8|fhV2uVwpcpB~1#klpNNvCA`g+yR-2{USz^c zO+e7A&Jsl3IyYA!2dnP0$&N;HG@Zmvbv7U2#qn`rFNKX0W&btV1E^mab_kJ7YHSih z3PpZ!F+V2AEy@2Unc4Em6wm?9-p_`GljWqr24iyX7)qpUIbObr7uAzMo?`5dTu+wm zCpj^d)h1z1EZ4=0$W*Y3UpMMvcSuR&a)V1c+t@WmCkS+#`EC6Kx>#?W4O1(ocAK5+ z8rhDVHg%-fTbC;vOWfVgC1;&l+*ZXly8WK5b5k?Bl~cTH9GlDN4rrmw1)lVG)mRTL zBN^PuPRzJ_bQ`Xx4d49M3om~21x90Z#}R)1;#Y@{{Nk`XWa$Z`yTF4D{$MEV4@r?_ z%sp&~7nhdBOZi#&;=czsT)lZq_tjhcswlROl`u0@;gRnJHyrxLQ%@gy%1`S4H$CZZ z!Rt-j^faJ{U8`m=FWG^-(J~^Ns5{>&546kXg=~4i*>pOAM^~nD^-5|MQHpYq2vhb96dD~wuPGr5NvNID`#@8Ga;ePb zf{9z@`;+d456E|VTZpd6h>?a6#e@|>Y$=2CDH%GkRb!=ewrJ-<`oaTaD08;x-YJr; zvh|ug#eb1j^h1u%tUY4x*rA6W8riljOB&fRcS%DNj!s$VJ#i4($o|h+N^$u{cu3NC z3b9A}aY8CTmEi=T%K^MFE;df{LUVcZKwel?ze@AMwyE3t@j`u3{V=?+qmA9AA=!mB z3-|4VWQSq~c8G|1$4d)nqhxJ_6KV=-7B1vhmvEGOhCYgU_bX$%;azERly37;_*%pJ z6)EK1W3Vfgl-AE`E^<8rOW^$sXWV9_lP_xeefsIAg^)Lm@yCv=2o9$9y?&@2_((l{ z=xRkm`y60K2%@|7u_bT4xzSi+sU;ozx{M_i0d@@~3*eg`e>g9ms7mEkrH-6*?UQ*= zb^}yU1eCyOkl{gJC{j?CsEQ=22wCW1gTR!Wwe}ymb3sv2?ZU?vKOuQ9J9zhyJo0`; zpGdg|ay#buRs_A5`wLlD?0hCzMxmC3>QHP+6lf*cA{ye^$Uu)-8NevucK(T;@rF4K z&691`U=T8HQqx#|7T!%_Teg=sEKBazqc-^Tou*U9a31v@EMWlw%ef^Nr}s0)6xN6S44H2Pd-wNI_9!*BAGty?^b{#bgWlgM?=mB}%Lra# zco!N}54pU(*KA{t66oB9n0<$YK92(hvV@#sODne>&N8;1TWf?bF`RnkqZUb8JcWMp{7A&A zMpKPv8bUiY#DX+g8Jt0=1^{=Sd$}izWX0&T!$!`KYzYH%m^E5zb7dhxKL^Z z3eu~uzDj^u$tn)Wp?hBZW>LesZ@%ziNn_eG<}&l@S|7l&beoXl z)r-(mD(R#>(U|uQPcdj{98%#kGTW2|gq1qfH6#emfUb9viUGzhiy24|3Cd&3DQV3n z%rW#Ida8|{%HduoBJ?E>$5_!navoBZmM2HX(7Zls@xo8oCcDN54@*X9<7NRdFIBsb zc7fWPcVAHxy9H?={z6`L5pXV>Y!$KZB4P$36OD`(g5Tl@K*!_Wv$^+J8KqbqwXv({ zM2L2jI=-F62psWHe}&>X*|3C`s0v@|=W04@v&(^D-Hye@KN$PSKy=aSTi+%}`s z_zhYxGC-&OS+w+YS*M!=b4w#n$e z3BeeuGZggac)l-sFWp3{8QPE|WB_?2Dg@P$83iI)$OWz$mouFrL-^FFj?j>)2#xB< z8vV&N4>`Mx27tvlQ;1dL@_1KL2`*Q0{TEEb`>Idm7GuPV838SGijg^^kU4Z`NP1ed z=vDM{AwTAG@F2aH@V$oH&rJ{d@<3#diXo(8 zSM#3FyOlTcNsB8j8$^N52o%zNOS_@Eh;bMNLNmtzDPlGo3`(*05xpT6&*3H2l)G&p z;{(lUr5!qh2hb4_RXvKGuVaWl?>FVrQ@xmRcN!(sjm`s*%jSb<&+K@p(U4JSHR7f8 ziYW4-5}nkX-lkn%Nl-pW>`-{FX3QRB!M_=+`5kl4M>;%0JPY}{FI%@xAT{-Mds6D! z_g`-HTGiqHXdaB$E_>waxA*|*LB2hFXf!9gOiAOoLP-N|#A<(O#Tq|uy#tu%yr!x* z0OcyJR%;}$VvkiW*HAvgg>qV1azx$Uh()@ore*Wy9rdT*emeq9OHJ2+?Oau*?bP3^ zWfds?8&enwrnHjp_k4Mf7tGID)B~`8B%gTgUub0(dVKjFqhlvf$6Xt_o!g>uhodS<28#M7)8Y=Vd>L- z=7}oYgCE-{r9h$VOUjOhpV3|cjr72=^tB#q_M@ON5B6CD***`;GYcdIKzuG?G!Es9WydRw!Mq6BSH)fAeKgl#;Sx%)7gRZ(I=$|AFW}? zZgF1IYE1epv0nn|k9t~pi6FqsLWeQ@dLI>pftDYy@(E~*+(LTL)q^aILBau0Uji$0 z0o~KXwJxfQw87he?AA6?0;wbluiyfj-|y&$FJ)*S5qa9Eg$7E;5AJnOh zDPTQoL=Esx1<~mytEE{VQpUhy!&-*Yn5_RJ+|g8T?DY#}O{C7Oe`%lS_}n1lKg!xm zXjoK&&=$Vo3$_w{vt1M2FUA^hAiHhI9z%xl)qK!=w}$_WEa1xf`rI=RB)SZxQ*3JD z?~tL8>j#4SQY|*Lv!jd~-3c-nZ>V683UfiL@uK%NYYBJ{_QHe#8lY?STRViM>x1a$E_egxE(%ZZ0!<0Zcft@xH1H>O(9@{Tq4b-(Q11J|@G7nC z1IcS#Mc*A2jm;o5cgf-Yo&gBVQ}KxIAouUUe6Uu$H4{>778?O6BLc*N&cLW3r=XBP zDJV2hpLP-W3tqum@VO5;wcgJD!0d~Jp05!|(32VjsYPG!2S7}`d)cKtthLr}i-9WW zA22>IxRXFq1TqkWT+lLt3nCZrUN{s6WzcyKFzb^Ey8tjP5Dmi8D-luKZwbGq!Z?DO zL84V^lqe)A^&F$Jy+dDekJSr)f{uc~T6fRr@mc)4#C15Zjj@Ftj(Y)jM8+#op!WAU zCa|>HQys0{=x#OIRi7*PsKgN@UkU^QiEF4gOiWsK>tt_S#;%1#4+SbWr25z>m%Fb&B7L?GjsPnNz*SQLHZe`L z&Rwh)<8c;OoG_P1`9Ky?blGk!l#ZMR_`KwqO&=Kf%8xHhU+O|mKet)t(%>dVn2KTo zWo`tevH`$3-$%_^oI%SHc_jYzT3t{p?Z&X2dYL_h%9;%Kpd1F;7<)o00HEW&%y6!Fb$M|86D#?l4zOKoz@H=O zLU9un;1e;I_^k_yBA<24MXck(9cA=-xTbNVmEA$rz3WJ5A!ra1ep%Z77W!#KgaXapbd*eBA zU)&!L#DnorJU9MN7DLSZf1_vG^vMfnCTFzIPtKii`h@lg68n4lqIqqT=O1@c<5AQ9 z^(WUis5i}iQ(L!n?92H4aUqte^n<@TviOTNcU^z+swI2gTKvS3CKfexYb#gJJ&89& z7cgy*4}HTz-mM;4~>v zT6T&QczO>7dhYqeo}N$mRrw*ez*AD-6MGI(fWWHBfuGtI!6`XvRQE=isXIM=O7|6) zUbuSM8J(w3ZJs#(xQfF3T(A1|;h+8ZyDvTWb#4u=-&3eTR*aU&x4=)!edW7^U}+&{QULbDl?d0TAr#s;nbFy9cM0C zb>XE~UcGhu?H}6xq(Meg81$ClPwnFkBPP1WMIzs#A{xdyt5JjUjEcNgc8JFA3B(l7 z6{c8|s>s9CDaLvkcyK-ke>CVIU2?YbsF9xFeB3fA9=OutKXoJkhqIhlutJ;E?YcxU z_-~b}JY=4X-g_6Z1zrv^Xhx3Wi(C^A)1^)7NJV4{ra#JBnO|g$=1;S{qY5aFh zY_c9`QcqE|LFyaZA)d+fe_OXbaio{n`|&_c$G$T4Y=63MQb{KV@!CX=ROyEQxc&Fn zu7Bal(Z4@(`$7IR5ju6Ibns=YU@}xc7D48gqutYk@W#Mu$W`BrSx7s1(9Gqx^?ZT^ z=R)~@RR|mP24WQS=~OIVKDD*GyG$K1L^E0Q^qm>?ssjqDm5z&xxKNI6de!~x!X-;cl<9Jnb(;#%d-8j$6wBM@R;K?4KBue}UH5JB z-`PvP`tRz(6^)RvzDgIYXvVUZpMfb%G8>;3Mwn!*vMNQR<3SPzE%Sy|zCFXHk6*OL z8Iub^?`Iwk1f4sKhYKM4JjmW$V~rIDL4Tg;GRy3-xArm$r_8WDb`3Xo zOUZ$W_Sgq_vb=V4zJV@>srlX$tR zWw6AksuoqLT9XotMlkYZ*O1AB=V5tGc~$In*aSe(`RQ~ES`iFiWxsAoltzEPe&UF3 zS3lnG(DHAhbUzm}jyz(w@IJ#8n5Y=?2uE%NP19u&?$4YG1YK>p4~X8I3Cbwr92Ml2 zN(EPED#&e+3UWDelT=W84(558Fr8-C6Nwzlu;^fJs}RQKpv!Vues!5Uu+e3CdGO(Q z&sr*1k1m5f)@Gx=isB@su2Fg8Th!?JRKNBV_9!@|g@~`YoS+9d{>LL6&xVc_{gypD zCaTdtg7Z!$yljTDG^hmjsuP*eenr(ix$4P}8Ff7!J)c)~;cek6x#@}ca3-n~30_;N zCLZiMc)&1Ys#QEj@NrCCsa-x#KB>o{4rkGwGKlhIb6wOZQvq;juLy$iTMS!=| zcAmup`{~$Oo-fE(Jysm?w}@qMwn&3QT)m?h1n))y_*rtU^3>^`2_k@UFPF+A;r&wf z1ZHJSJkb-?|9Gt3cCm|`<};o_aI(iU5Ke|i4v0Z$H^uDICVoW*5vqz) z#SKDLiGvd-vI8-Ip>cw%VS1k{#1XbY2qibuadr-#@H+QOm!My_L_T9P^%_mVU>J=+ z{mJ8+FA^iuhZ#*OtSb}p_BK$Ww;^tI3_+gr{t&MBu=>ezVsRw$CP;GwpimRo8K&QJ z5F9nievirvK;k7nNsBLB2ETE>8<{<3i-ZdT7OwaQ3qr%dL@zoBmQ+iBJ9~-0m;TIX z2j__3m-Vm3v|*Glt7WCrpVFq2?_1UtZY-BSNBwLdQUHkBc;9IFo0w10L`p-)OP{A5 zsb3~o0RxoaQl;7nT2W1Era7qKhw*Lq=d{Z4NTeu?d2Gx^w^*0s3&xwmPRt&d8K%3H z7hFfptwXWhrLf%^UVj$fRqX3ARORhEut>MT_XGk@GDgd>P69?=e3G_5@}w#`2og*& ztVsrlDHtK;PbN(G4rBBqF!~~*iKXnxsMmL@(FgbObKYHUjeli!zrJDtI zDmHHd5|?!eE)ry7xj@5z*lML3Ehp+1opEN3b`j~|;vqPFojnAnhtC(lHhBAb|w1^&X&kNTK%O8Q)bI@>;pBS3TkCaFJ&D>M-t=9B+~% z&KBDg1K?ch8wD@il`w=#t$c+_E^2v}`RUKRD8kVkg61L<4V4mIU}qn@qZWvwkeKL} z7kR%?9q-cUtFHc?W#F*-<1Bg;uR7mN_<7BgTYAs(8#GrD;&&lwwnaT4Js>O7iz zzwRB(y<-g1nPm8d+ks#z?1JG(v)0qM#f<_^xJ-@MFB@9y%PiquHM>vpYu?WGXojt2 zzDeZ2*7*}?t)i-@(+6CC$gi!}RVt(CXIPj4&r$y|kilI>#q@Ed{vvtwScGuT2_5^O z)H3ySK1{wdWBl^fM6vHD{JCY3EAKnqOPLu66V!q&F>#v^vL^IS2wk{Cqvv?ifXk?Z zxGiv{ArgH6qcvzo1474Xqka)ay(kL}Kp1_9c>on4Vk^X;uUOK;gH)-Cx1Q!<7msFX zWU{gvEC&&UcY&@tGjIImqBm4t4Y!Hsc+uRBPJzIkcmo~)$LQB?y#YC2(zA={U*Qu& z%m$gdjTfU!Lq}34R0tc7%MagcTZL9eYxg!=$XaWgm6g4plHUWZXkqA=tfP26@OU4q zi?%s{&*_3y&Ra;7CNa~}9oQphSZHGVP;Z!XR%Gw2s`Tc@(lW}>TnXj3c zK0q$@nFjn8Ex(uIG}*}W$(BiwTOO?KvNI*c24C&+Il&`ZsB>k^73T{y!S!9aD{E`h zoT_kcSgm!LzD#{0tJG&CJ9Xr{Qrsol&6!A8w*{49Cl#WNS8CxM3<6w;%>Wam!u{OwPY*ZiF;_COV)XqmVYrS1_?=a#U$=<8wmr>nn-QSRs82X_Mt54M8 zI}i4y6GZ17C2$J2{~uBLN7E5oJM`?vJyxq5t=-?H)h_&BrY;+b^)niN6NaPqeVj*K ze{$BEYceXm__~s5%wF?u04odxf;-DKpg>M)AeVV=9ZoYy?dkb5F4@5JuG z(i0uAw;>hdfTxPa9@_32__EwY2BKoB;26BtwNRs*J_`?Vs6xU``D|e=ilLI8*)n;s z)VW;3C1C78IU%G1+L){fw(m2bGONgC^eBu@&x)ex1VrRlsd4_(rA-}NFRRWQoVi@V zJj<*f{pd$Lm3Rn{=&t|FW4+mn1ZB2+Y=>#}*X=WoHaImGqWe!Y&e7)LoN93$ggZU{ zHJ-q!8AsZGIe+jjrd)cu=K>v|Nw`dzStQK5AuF&M8|fDi4{aEK(I8riA)JMk*))m? z%rdocy6EW+G$(4h*2s^(=x|^t^;_%ebbXoHG?=S1%J|VWsq69kg*J#PE&yOMZhou? zdeBdgrqf<^9AP8E-e+!cmF_ZEK*NE}!n;pt&zsZJ*HNWKn z^g^xhrug3$(8G0n{-;Q0Upm*iSg)LrHuN*X+rx^V6J z^24pc+Aktoib@={d`eKYoYn@i=#1QHZ??2HO-<`3x`bs=4nxLoA**B3z^pN|RIe+g z5;)*WO1O?)<1$Os*whgm8{pzm8thSW5S6y}$dSD&HbB@(;|BS`j#6s5XCtGTo{;lp ztJrwf8YO;hL#+s(ZzDWIjQU*^nV^fn^rF;?4J-b>H{Y3Aep3jOxK6Lyi4)7zZ{C4V ze)C*^7c=enQm-c~H&~{Adn_FC8=6@mHfjR;Pp4nSMWuN_b3g8nrdrv$&;V)?8 zE6LKQtV&p852X^mv;B9JQaA*k=!S;xvvU94%Du%B+0tfapmt`LhZ3O^iW>?P`4Y7jF|hB;RzUS2ma;s%&{h}BYDyLx|1fL}Xp zuIHX&ge5Wou|MK?!89Qg7g?EL1+@t1as*gd#>-FRII%j&PZbtQr?{xYz?qtmw z114*(%&>`u*g-Qj$7Q%K!h?23y zs7pi;itPYrh<3Q#+-GS8eyPy(DCx;Jd_{(ctU-p@Tuo%5^DO5w%f!48a2sX1J zmE60}(4zckjv!`X#LP&`q)M-Gg%w3cEaopMnWUuaHks|x&yW)(+NwfF={HFtgy(uq zX1N#E2LetPS(UU~Vht9{mW&w{M%(IVJfSL~X$wezEVq4w z>}6SicL~u2|EZ=6{sVeeS2UCppSqFkVmpw@gSR5pCju(N7jE5G&StXaW^1jWc?@c9wX~NvvFscg`y+t zKS$PoqE(En{~THWiJ0ggpE$Dqb7cJ|8}#+9;v8B3DeG-V)_;zy{~THW*>5%5poMND z>p#`V`p=Q|pCju(`_Cbj8vTF0JHCHX<@h}6L#l?HxDQv(X8@x$qOgXX>Y4k zn|;OV;gcV(?3h1&!Gh%Ed0olb)4P%j+mpvlnZIaWZ@tG=s%^ee>XFIS>SkY+dRW~{ zQeL;J0p%+HPD4>jQu=q>YooeaCC~iBcIVN1TED1{oULBp`$hHE+3L4rEx)~W=e`F& zqU^6f_n-UxTSq68e`wm5|Hf-uAAIPwpB8<1ta1M0TT+J}RnNWtiubu!_wC*Oe(%10 z+dts#3Eg9U_CG$7vJZVd@|%5!l6(KKujeLy{`3{|x!)Xmbj)+F9RB|DrAvG{UT@An ze75+QlV=UNQf_4Z=g9iczBJF;X*sg~^N3!UoN?#BgS+2d4>_{_Q?DjxJ#62K<-gPV z&;IhRG*B8W4VC7WhD))J%8W9z%qp|XJZu#C|L-gGjS%uLdBX4asja`zY8k{l#s|2&T(Ou^@K;)?G3G zE?Q#6&F@NEch!Pbmi8A6-im_G|GeLs$%Tv3{@UH{zY~~q&Ue1^-QM?o-pl#s`^Fpo zJPk!&UH7mXEk)XfNL%E#$nBA}k#9vhA`iy=kvn6SNIP=!1F_7=nAp)ohv(`3->1eL zW@^vL$Y(vDVi=IR&BNJqBXKjM@90C<^)v?Te$J_|DHFMTb7?R}RJN=IPn7@Y1#X*ZFR0>>eE6OWjP* zknue-4)^~a1^?jQSSc#jBA*_h0q5SGC;LzAeWA{xeJ6YA$;io`Pf_Bh;Y0WK+}o%3 zt7zN3;pgu)ROG)mJnPEFIrWn!V)__eQ9q|%p2%$k%(Utmv+GM`;#Je;&yXi`In6u^ z&1<}J))n%6PW|-yMtN4(G-ui=1rDpEYR+s_Ggs!Us+}`yZsY7}i7VLX^Jdmft1+vY zIjg3&an_YJGUvQ2XU(56XRdjtaYj|`m1YY_ZCA|5<2wOi2czb$0%xA%;3ZvE+dTK3YSNZ_aMYfFztio^Z_OmpA)*?>Kz9cEzv zhvB^#HV`64OXHDvxaVjsM(il0MDqJ&y(Mxq9E*1vkV)#1@*#mjTH5^T* zbQpXY%R zpF3i74)F1Pqw^y^er$A}%u(oU#{qB}xz2AcL$ML^Aa3}!5E3Q5CNzW(G6>S*b6X^Tf z4M@mdesv^pXw0E8J!AUx4GeE%%6kI8{Z%B;Q~X;?q!%x)i zqGD(Ga(tpTzP&RXwc?n^<@FYAI3D%1bT{AA>)vs^?iUu6p>#LA*APr z{Xa`9@0sxSiN_B|sVCTtp?#tM7}yMATi9-V)wPhX^bn!ka~h9oTC`Y$)jQ?4>q- z{P4g)7?<73AqAN%VgIi57y3VW-roOFcsunP=Yu=P6ff$_>{nvdeGYt2>vQ4zsy-*a z=k|^6x5paljy~tnH{PjA2ZRD@N=PwVw zqUk?fpD|!Wg5RliM$cb20R!=GX)RmtF%CcW?Y+H~{TP{b-wxw;@zlqk*^-gHJgwHh zI-@0fxxis>IJ@=n4Qgy)hZ55x6UPK22YV*YieI`u!+P+0>odY9dn3K0f~Y4?lSSy<^7)%+Il7@4f%Qhrd7m@rje4#pZ#a?pRJ_ zLd=Gy#vHYMj)4_@IRmX26@Bw19d~bD+p%u*zK%#;ZsukWE(>s3-4UrRpA}!8UH*1k z&^-VZIH3024VJmPC7FKPVEQ_wuNzFieK0+O^hitH%FNBwad*eMj!1OgI~0RaXQkq7Gje$;`~ezh+?kQwPN)*o609hBYk>C*Pdr-u({!B-AF z*grOV?43LGqB~c4@jyHNf2*#2)R1)pBThx)U&W~C&Y&}-#6!-TiqhRZ`RMyqkUjdD8ZeUbj#pl!O zr_HJM&$`k-x30d?Usy_r<>CIkE32ulep)qhP~ZvLVpMMN;~#df-(q+k>!vN9>MaHM zM~`)TwisA<&C}hzam%Z(@7vc)o-Ne{_(#3__PxI4)s0)aJ;oL?x?knHTW;85Be6wQ z@Q=3GaJldGS6}Tmun}*xh&(uuzo38;e+7Qpav_<2IN%)rWTt{GRNznM!!0w@g+b&E z;vyIv%BIL{1q$aev(bgfh7g{`k|<3Xs`4?;B`d{AvO+^P&hTudXl7-MJf6p>cMDZ- znOEI2uX^5$dDRbAUx~jTP%k=w_VvEli?ihYM!t`H)p&|;Ajf0h+d|Q_>Sp{AF62`^ z`9qJO$i~L%W%wgPI+uyT8eZaz8PzlKw*~3^G`Fn!s;%^XM6|<6IMS9l0sF&RkcnJ2y2~%hkQ)6<)<_@v2^{*XFf*9o`hL)9doOy{TT! zi=FH9M4pmo$y4*JdA2-zo+B?M&za{s*ZTf5ixYc(`Rix;r_XBiUsXS+ zuG&9yPW^2E6|-i{C+qa4i~V!w&8eAzka%EP-Ha>dBC1_CuW{~-E3c?8Cik#kh?Gqs zyJelg&p;8|5DrRj$9ks*S>4&R?($i)pfP7%?x6K!ii*aJ8B;VSap#eZ8y|V(k&Tbw z&b}94+_!Jvi~Gzwqqk$#!})_nU{Ba1!FHn8Db!xs-d>4){S5NP#h6*M#*Ddq)|mT? zitfLEOws)^ao@&``}RGuao@5RUtG3q-;2wTXw3gPt=hhQ{4Le zgRZJUVvT`irk8XyhnhQ@aTDM529_+Qlc|CF`cNoveyDKf%$l0Qf|~s4)2piT{Z%zh zZA~iW&CDRHO6eh$RD55-6Cq)xnY zA-sNUx+$cbl*{-aRRtDJl5JKf^=79UrXoTS?DV@?w`(gZtUe z3ngQxMw^4GT~6dA{c5^E#kS>xIbQm45`>K8MmN<7C^7y-qOVxAOs;bm z|E;+|*(*vfc(ol8@JBIg<$Q3eN|i&*igAq}tyI8^NFHLxO6(PdjWw}9)G&68$5(!k zGRP1KE=e*5M#2xyGI&59R?C@DW!VEFL3t^^EX81xucYJ}D z^ES?GZLGn+Sm*v&*Z!?tGA}mh#9*!)S5P{xu&lTc<2on-N7ET$z{A)t%#;DFf#;_@ zq+syxMVs7-!A!@xt<3fi0mVfrGMjr0=enP{TMqaL7C$^d3I1i4hCzVEBPAc3eR~0%Bhu*WA1tq z(1G~(o6@b;(z+y=BNYpPvdkmzXyEz!ZI3?=o_u_pnI!u*8Zev=t&z;eFr1A&3&I3+ zy;f_HBk`mn>;+ZeAkq>a`FhWT+(f4(yi(u-GcxhH!_7uCN%2X1N4%G@_+)WRLhz zkXsE}WGCA#?o}53fF+fS8BpDoR`&tR>e$w;u~=-YSyQ_mr4{MNnQd|Wr77flqXFANwW0`*60Fr4)GjV%L#IT7l1MNatCPyo8jhY-ueL4 zTbCd!RyKX`MON7>@BKB_6}^SEcFE<m1RcrIYrjN3zl689p zRYKqIucT6F_-satc*^fy`5<$0=Mz6mVqFsZDk&59C-2(2X$?(ntiPPEO*m*LFEbNU z^qqCs;XuBTHf+{r8|)I`1=!FKD192i|6ncfh>9nnDASlNDrv0GT1n#u3(G}eyVboe z)TXvulM)OhSf7x+J{I&^8Vwjw4!@qbg)L6ub<9aSpz)^v8( z)O2;$l(n{&sRTn37_zf@@80I-KK$R?hbsM~UQG}EK4QVf9Qim zeZV-rehA0C7>G`D476T`r+;H%dyBV$PccXX48znyo`e!@3EXgtDL*@c)Dg8 z{Z$x3=gRaeu=T_jnM=Ppcb10>0A#OzU){F9ny@peyPWPznp)6n05Krz`o8Tyd-LS% zmO2t2ZWweGQY{G`vA_x-(g!_@gr{IfLb6D@S*=qnLYO6{1lWu@{wI`&4?IbMfHr|X zDQ$(4fts1#0WH(jRWq{-wk)(zG#aXp8mz?cgQn^0YhKb9NT?q7)$jtKVRr3;j%gbh zICiY9?O1z4^*|FrL7fy(FizM{yQtjytLt#ZF3OGx+x*r#e;&)#@}gzE!&~^X_TYbs`^>> zQ9XK<0Y`2sguId*ldU#DxpNw+)l?z`%3har-`lXo3Xkxay!UlU0~VQpOMhCwT|e%6 z8(1f~^HW^xhq63JC;mf-XC^F%K_AQq0D=~IM@%80N&;{^; z+DJ9!=Sx=0%$M95b%dOyLe6eHXGz_ebj(f{u#;k2!c+Ty5HTo%V;+(OUk?eO?OX)V_8%gE zzkncNe>rx#a^FZgTv7|laPJS;fMs2a2+FC}s}>&g#B$GrCx(DA$F_9R7LbNe^PM~_ z52AcIDDlB4@$lgBf%_AIHOLU_Eyxh%(X-HVLt^yf0w?C~b0g$%J0$&vqHVv^4fUiM zPRoGrulen$HvSK15wa6vbo+l`P;FBZ{Gm*8$IZ#L@EG+B1vmb)1k{|^$6e5`|xlzco)vLB(ke}Q~uD1lYC$>; zbODT}z4X*s9RQ>CIjUsy|5vt(Q>=g2MV1Va&A}z>nS)I6)RBOf&pR2)^ZsxnB0e(ERBlVNmDqf6E6hfik*3F!ktVk9+2C@}F_qtm zB>`{H%r8-3*!>R#sIdPQ*L6rgZjC2{3%qg9h<9Mi|35V5&m7d1=CjU38dCBf7QC-c zO`7k}Bjeu3n@4uL|5(et)fAlo-aBCXC(sAJx7E#+eg}qt`P%No(b!WLmE}^HLfATHdEma`1wT7~&*L1nqYVIe7F+bY$nh>0!iYR%alX!z9Pr!| zXR&n!M{WB#GWD29Yxj)Z2a!6TEz!%O!@sMc2Rp`^AI!}!kVo>tj}bR zKEaufYR91+5L|gp&-U!sDQyO);=AF~>QuDMt-G{h@Pw`oNs?X|!>Fsm) zQhZLI%jfo``ZOP)#4r4c-{Oa_@3;BweuqEB@ASL;Zu&F)$n|fl=1g1A&3N^}8+qe)yaN)8%Dl^-_^6GH))L#555KV+scm>45Fqj6 zrsfa!U3=vD`6bU{OKDqx8pfZf`s-If!!{}^!<+KV)+pJr)ntGI4cj*Td&#X%vSpBM zFBMgR-x}Jc+|`#hSrk<~hccrG*|$-qtx^B^moLk_}W5EV9tF3rgo zlw%0`ku!6A)2?Ova0p=w+!Yuqj0RdM8tplm$m0}r%<9T2S-AU-<2WFaTiA-X^(9?S zj7VEspv_6H^T?(nOu2C3Lf^usrY3JwC=|*GVK^{)6)2Ir<=TYc@AwWpiXn*yN`w-n z_|8#f^IcB-L?nZZihoVPVbplTWu55Ctk~hgL&nk?gaLD_*1AxEZ6;U4{l)NPmQ7$1 zq6QR?JBtFCj}S!yh9m>KN9L5tQs-A-=0s%%Wsbj(ib_63w+bnzGQbV8-BNQ0K2>;4 z`bC9%0xd#AP#U9MDVFFTTNbOAy6kIRuDe~@(=L4tO<_WW=u&hAyLH&GL!*nW!wg!8 z=H}@C28OXHIm{ZP)aNm0K(cZJ?q}9n!6vvJplfz;D9~oL3X4tIATpJQM6n{09B@sB z_&61X-ERMp0#fTO!lgMBreu+yXCC-XKI1Y+ea-K`C)JmaBERDg1cMg@%l-ZU*}=^L z((<^jxniSax+r}^trVHTnVI-$J~mywKqN9;x=H%znBkS;OmpK2E;uW0(Xl~2!>OF? zWyfSMD{2Ab!)t|=yA=;%PfNzxWV=tG(|@U-@WhKOmx;UZsOH3EJ}U|E3>viAX)ELg z)uC&h7zcZlM29jB6*zHMk`Vg<2_0t`U#}08Wdj(TtQ%fQxXe+IzAQ;tmq}Nn5I0&+ z;~3i@!=U7uWTeYc7a~NwKdWwBVit!jT{BBY6jy_ks?(X|l=8$0mUE#+nMcA>_Top2 zoKV{n`6~yk;fp&d&*#jb>F8}+raOaj+>&7w^fAwe>tfu9r<$5$inaRQ90s1S1RlVf zM4mlEkjR)7Ze_RwIGVtfu|5fpZIrra2=ExA!V43CXabc0Mq(UAGvV6RM#^yH+sz^= z_L2dZ-~-shg#(5hExZ)Defe!<6_vsOM&Q6j;2XWc7=$IRGRDdxg|8`q2oNY6?wJ4m zLlXhpXsiY%4q)xtY>^pWxHA6B7SOPvA& zgX(buGIIVR39k@BU}!Z^*F-|IqtwPIWX~hla4db8u{0e_EB=Wv4RJV>W+>1jmk1Z2 zv{Lv`yh1qy>Y%-&&<)iiRwTNnqxrdbUn-ESA5%)YBs@x>lh~-!4Osw|FSaAq_5Zfk0-k z+oKR{E?7b_?g&?uct0^vM4T@mXDo223e_JXk;2rn0YJ?3eVpE~YSpSSt7Hos)~;PU zZtVp0i667wC~UWik|qZ2_|dnPElYsrvSrIEDy2{ql?}lyB(P{ab1FlvYXQi18c-X7 z-=;8=pcB*4RKs=w8p%!FR@C$+cu9_rNh#qw2G1FNHuBGNqb*1k6POAvR=Xht(7>8V z2Q-PInmaJ1uke^Fkq=F45zRRm=dK*P(`qH9Jq7uSfxW^xiR=|z4(b2X;z2{^;|^Z+ ziJ!3kbIK}8kp!n5(-1Of%2-t$P18-J24h-#G(3Deh7FaW!I{cIny3Ispp9_jC`yW3 z%gW1x_hd8#%O$E}{SwW|H&+)0gI1#RcxZsgDVXpo5&3O?NI7m#u?=CUt|#F(gI3}# z0?;@>Yc52hmG0sfY7C_?2xKuZ4w7|S(wr^I1Yjs5DB7>;6_ln~NkgY+VtN&K_$i(( zBi_=QS#Nz4%1v*EYhl7`u&N4}X8`juO;D5oiMlfr;Am3z6aeJ;lxV>j2%EV8)R>eI zzZ}pr6R0wRn3*Be#m|G1nT)rE<~e96F3R`i@IJ-wULB9S<8h3*yf+b;sj&%$?!-4T zc;4rBl7vq81Y0y8pCoREE1ts#*cFz52*hd_kBRU5oFgI8O5sq$!KW{WVwe+X#|On7 z4z~41C6F~pOLGC)Fk+}R+{Ct{iE$x`xzEt5{3=YNE(cZ)0v+2YAPlh27m5<aT!k_Di>~G$eo$eh!dcGYvJ%~@X7=O7%&aOs-(~y`~b!RzN=Og zi|V9OMWFy?nF7H)VB#gFAE>GvE@m?SOm?F-%osI0XhDMoy?2leO9`Y2vokDVvk9VvL3YCalwc_lxUsP@x3Q3KG*nepWmX{% zgdu}1RRL7e3E1aaLt>glIow(}j)P%Yuv&^sRz}xZ( z)R52fCD4h%8=)v{S=Qb708G$3Xm= zJZ0!CvA1ND4#c!u_-@+_EbT4m}-O}9I(N6 zS@Mh8+Jzi&^AchvWHOuR2^GNC;NHyB;a;jCBj*xngaC?*@>w{qAYh*;YMqLL^OCv( zY!~J#VabR!l(}DO)D_lV=QttqEvUi@u*7re>`T;&VM%~aUZ zkqO@Q(t;+mb@6U%x7{Bp7D}(=#hLBnV4K0OUaI%YuLQG9DtdvSv z)tKylKYpgcw!5ZXLiwyF7)G@77`@|Dw6O$h+rLOIW{6@;we?MHFONZ)zE0SRblpwb z9c+}x|01C>5TIgRkqMAxv}Z+Oz^VqL&>K1QPEJ`(&2Wfs18G)BnkR|O7B;JeB!P!( zflQQ?33NmQgzc}AM9sV&g#cTbBL-+GQv^40xH(~bH*+w?&9*l%g=vWC!oGM0z04j3 zD~xz7#2O`@t}fdJ1l_eCxc3Ffc?$4?eSlNI1vc8E1WX-)q76XNRb62HgSop8=7KBM z5Lw7*vC*n0laN0r0r{bX9S_b4OMQaDXps73m^$H`(PMWl_#Jd*LkL(54hu)`>CX;B z=J;mUzH28xUkFVnH3n-UsWG6}hiM{&ITqynX4%0aF4SOk=T#O|M5!$4@vkV2ej3-~o2ah9ll0iFha?33l@( z*nx_IRwXd{I;kFQ>B99RZuJh-f`I}+;zA4u#qD|tG%@3iHpdDqOl2&biHZES+kL=E z4S=ZQnZqT7-wW;V0L1+HyB@Rv#e-;qgFL`2SgymP1s_Vd()ZG~>z&e0 zwbDYk`YtC_P=LM{0DuCJpaK$#_=`nCd0EKj+ zY(AKXk+RFLbj#pqlq%4ej1gYFZH742r8j%x;y9`~92p~g_+#k;c8P=?2|z=jF&rgK zgq$y7XaWsF#W9kGkp!QlX#`V3&|OKRE{#_IkulM0q?HL{5fD0$eSU5}&Rm}p0AL9& zX2$(GtOy+9@zs?utjg|p6|tcNjL~gL7zyl$Bm@$G1CUObO{*DKOrz*#_7Tw;u)fWx zSm5SNgC0DfFBvQqe?zv{xP_k^g%$NO(ryO9;`yp0MWm*T68S0Cu_+utc|qD$qg*dI z!G)+YQ-?ZdwT|;J`kn)hfaPU3xRX<=2yvX*ZlF^I0i6zNX}~R@X@D;28H$x!wNdK# z2*Dcb5e`)TJ^@@mf$I)TL8M?Bd@^8`uN*>WqE<<;9A*4?FgThYv}Hh74m#tWIe^RKZU9Z&}@`2X{F+L9DJKW_rR=D(Aao9FT_?=JY*g8 zx924M?a+|ZjG-_CgJ;KALKE&y)pX(u?=2Z_yIx!Y#kr<4i4n_hvW(UXGxYYGW89@H|U~YlW@`bh3yU35j)5dGZjT~5+?Jr0foMgDjc+-&L_VD z2{Z$^S@_BM3^uj`SRBu6y$_aUHn?GQg0r)QFJ-Sp#};v^en12`l)6FWyIKUle;~_^ zkIJB9!cA_FKC=uBLVO#fldRJ<(s{m!8l=Ar>lMNQqe|+f`AOdw$RMMJW|*=87|O4G z5V|)#Xn=?rnEaghA#%cE;ZXl>Lf8})urD3QJY24?;d2~yw!o5JI^sa4i|$&;B(RBT zvI$QJ6c6iL6z~a1A;bi}p?@rd>vDlmDQIlE;6F-&bxs*v55yDV@#TgX$AGpX193-V z{I{Lx4@|gg60eM8@KHjlk-sx>55UGr)kAq$M;wQ6W}_S7hzBijW)<2B=B~a zUyQ(_G$j#@UBn!kd8+HX0ayVA%=}v^8W%ASBkYiB~KjT7o%lpf&u!Q z@14HxqbL;(^Ir!{|J8lOs^=;^YOCmbjI0O*IERHcQP2sK2~cm`hn@}ktKcgmI4=F{ z<{uvL@&oLrPWAiq@xU1jzI&3mDa9aZ^YOC@?{uZwo7r?Li^?Wc@zLIarSM#m;wtRcOBR?hI`DfUfb8Ir^Kh!(t z-m?6L8~n3Z-n7&|bNSa>ZdtzK>qI>3A#lr0*DbkzX|sRvZN>ii%U3K}>c48~b>CQe zlfU8{d{x=J^g0?oGomy|eDcatZvdTF z=C#7K!MQP5#0`ie^EIB;PvYIp2AZqY+VmavUHT1pb1W_8iM}qLOC`@?p&Vn^7O%Ao zw9iX6f{W_I=uogEE7O@c*G zBD#U~cK#6lSi_^HIxZ95Z{j&Hnhyo4%zBOFU4ycn3fk=ZIhuvv0dQu+;kIEswOVF` zX`TeHFwgMRwRn|==@l!4zKm)d2+<+=O{P}pf%7&9%Jbl;)$`)d^fSLLmIKp}Wla_);6DgnSvKY*oH5 zJZn)pn1;CnF%j@XhwwDw8iEUMcm;w{OD<-THu5}-^fXd}%*2-{kU(@2`yhBQq+X1z zIc`UJ%t{$6m?zFg&ZoJgBsulB$TOUpceBiTK0@73kyQ&QXhNa#lJJ1#6sLm9uh&o; zNLtFIz8EyNIuy)L!dQ;+Kwg1K3F_C9SNm5OvUmczwAZ$sWuw50AsvJnV+6(H-3gNG zL5p`3sKbN~paIixo~2FZ;b1^2)eopQXp04;`lz-;Xb&nNts5;V(13TKHmWt{Ii9W` z7jFHyT7buFXv%8+`>Lw}VvA&5H_H8VshL7mxUmQ0hYkh8Hz>Q3Jz3sdkcd zO?Vh;dC*FE2n~lrawu?4fMq-al6e#ObRvbcg@ z0sw0ta+m7s$jdU!WMqOmwN;Y*QV)QPpu#jJdZ{L#zaC?+-;3l8kV3ybuKB>RrM_pl zB-Q27(%fE*5aVO1{wpf;J;^6ef!oF>nh)U{4%kfXPk`7Z3@T22GF~UvGF0Dy;x|we zAbF2ZAEk`4q!CyU_Y4p<4YyY5R;~2S7Rf!Zv+%hn#7;o(jRzhf* zm8G2@!-0jC0nr$GjK|L4u`_s_ukGOwa%w_@_5{=XKa^V4>aLQ}?--)}mQ7*@PCpT7)I-uUzq%PGf+*Up6 zipSUMhplD$VGVO(bx_E`;}`!WYt-?wgZy=&7kKO=kVwPgJe$eoBOnz_oIr%Zk!Bs49O@mS3|X-Tl=3&q#foy7P%0HJ^cyTm`Z%ez-jsAm)h9_h04KD6 zaOxqa%Zq;MKY*yb=QO3`HJ8T+nKkrUcMbPQt95fXOZApi)Pgk5j5IJ~ss2{V|JE0) z(zs(awkH9e44hJgbVh^8u*cAHwt{9e8UDp#!+iZTn0oliVvNV}1-^g`i@05@R9IHx zzmsG_u_NsrAP@7c4@_)G!VDW~;G`iD%y$K!C5O0FV(X=HjIkJ!C4Lz<^bWQ$N|~(< zR#U8A95B!}lLxIHW&k^GA{e_GUe?GbF{XF$VBVo^W=$abX5L}CV~AMXn=t;;a3lmcggtH525TA&r+H&2Ez6vJYu zhSjhccEe$$7*4}wxQ$dpGw{Y*7do%Q_Gj>c|2Nw@XZF?pmCO7KmjC4~ORqowW`Esn zH(fW$fAh)}U!OQ}B5)3#;Itc-wq3WP8J^#ql{eqA@*C$@H)4~l;FMwB--QU3oAC^&okx!*^{4vuCv<^`8E4GPUB`wXg@WptYtp|F9yNdh-KM z%|hy9NPXnZo6S^D**j&=Wn-NM5!W0+%7WnG09}C6J!S2Co3b(E$HGfNpND#UP;OkW zKLfs47DR2TV7EmkA;lGx%QE4<-b>RFkby0Vf?d^^84n#7P}K@}Y-axSOe+*60Cq_u zT+7Br73;3CKFDI1p^<(GW378 zxVNmG0)b+Dra*!X8%Nu(!;CYG0P#V@~v26NmoKq;`lQ0I-+cu!!UF-|HmjBJWu zuAw{Jc*U0T62e)7)2C1CCFfeP*qmjJpE93%zgXVS@?pRko3g1aD}eKi#Cajk(-P<7 zaGq(N^FvxzK4Q%O?C_yIWr#ch@y2R#+;;<{-Z0}!HI}c4vAnVc)f4Mifu*vblc&!A z{zQ}X-anF~W^<7qkm>T(bLXsCJ8wQzg0z|3lm&K&O`QePEDNbECQpI%D%mlhVJcqI ziWyX`ye^p=p6fX2v^j1d{U-QNV8Vq6aV%UYnGhz|ty_PvHTL*6D6*FO{`$VI^&4Q7 zFQY6TU+Az~=Qhl3oOjhche_ZhcTQ5RT1aIF<95}fO;V-$#Hlh?)ynzGs;^L8`gF3U zt?E^=W+57fR44cdZL&%-4{>$;I_-ABX)PWjEzJ|K5mqT+KE|u9>;xY}Q?ty1yzu&b z0sKb95$4k@e;7ZgZ)9hJnbzyrO3DTpux+G$m$WcxjaUX2-0saTBIO2_*(|Jqod?e6 z3ctRO-`Hc)Kflj+xyh1BsS*$3@u&SZn=Nxi4nF5Wh*oB2XJw5V#o+`uEjF3lMg_b? z>`V^nLKbYcFxLEoNQY?=26iQK^9QCNx_l>sO`}JT&VdOldrB!5JbcU9WWWRY@_@fR zkONdW7jx#|`bfH`0-JD0l#If+4VBIHKq#y?&?Sap+=OZC+yKUt43D5mXh4dTCMGJN zZ91CndP7CA=7^iJ!czo%ZW~PPc$zfQGEv5MpF0gP297oOg>3b5Q;=@{sszci7zq8? zV~@d%260XY>BRtP@PzG;#_4>EWgIDH@$NH1wFi^S;Ci)BDj7cxABcd*casGq$jYs- zKYC}~FNDDdkz2uQn@*c{6Zvu) z$qC-*sHvTG`4x3sZigvaoU>&{^$gsopt7o|6^#<`%F+T5!PUj*7mxeegs++6w;~~a zr>YS)xd&xlBtR8jI2hHG_2Ke|R0OLQufj4ct5yxaaf)CnV^fhLq{0E$V_IY*dE8JR z&>8LxFskWc%EC1S47|d?;sL+G>#^!jQ@S?5wJ;TzwHxK-P)I>&sL95ZDQ+4c3?g=8 zW7U&opa4h=T#T$BgcVm(7rC^pq-`J$VWDhG9$$b74cvtu;#bOM;Wvg^6&VIrI0R8y z6@gNK3Mpd8Excm0-JU81oY!c=3Hktc1*8Kkx}-pPoFEmV5hxLLvE2=k0&y8U04j`S zpg#Mp=*)YqwaMxW| zMO)X7xN~Rp`u0a}xNGy~8!@wR*q!rUUEQ^Q(M{{aH*dHuwhEKI2TRM6L^+FG44GFZ zWlW8e1vZ7z2Zn|nRXbKdJIO+-p>DvVW0_H>27|$D)6$wWHW)N>oNo6FV>V^dD5Wh^ z$;PU2Di5w zd#*s|scCgO2$Vc^Zfh~edf+%yQ-X5k2XpQ&v9yD&IZ(sBfV$ff6q zEEKzVDkeQloq8I0vxok!(lLnJ49M&?aE+)w1&z&e07x0;pdRE|31HQWQ^sA4p8h=i zet`Udd1#mSaKm*L6nE2@@^Uw&*a*_(gJm_!2OKxQ@`AF4i@640Z~%cERKUJQyD)sl z0K#Rc2sQYOc9DB3kjGzbfN7?|*nyBC3d68%9Zl2NR6|;dlq+y5!pAIy61C(13bV$` zb|09eQT`e#onE6ANwii$Ifp26yJ612!o*btsdr)7RZ`K?abVCey8T@qAglH?!Gpuu zg>r!A3l6cP{o3=a5_A;rgD}s{f0Tz15>8B32${_! z`^ud23t_f7YDQ-jK{~)3(&UCo$7Z*g3kxf=G)q+gu9F5Da>6z>#resziSwC6^ep16 zheXo|PxwqiTL!ln4TFv!a)R4geqG>ZX)oW6QM&w029@`}MfeXF;h9>}^!jfRra!$1 y+uzMLh4W|UC4_TLG7d3Jgu(H%IqJZ6TUOP%%It!#%6Ix|gAlA43k%K|g!sR_T> rr.dsk + +### + +RR: rr.o + ld65 -o RR rr.o -C ./apple2_800.inc + +rr.o: rr.s + ca65 -o rr.o rr.s -l rr.lst + +### + +clean: + rm -f *~ *.o *.lst RR rr.dsk rr2.dsk diff --git a/demos/lovebyte2021/rr/RR b/demos/lovebyte2021/rr/RR new file mode 100644 index 0000000000000000000000000000000000000000..9ff75a0502f9658c7b4c839eeebf8bf390470bef GIT binary patch literal 256 zcmZSF+i^g_;kUwaj#V=Zbb(asXAtpWhL=~X0f?nDL-PQuffo=cr2JU&p-VyX$CJ-5 zCVbs+W+lU_y&W6|%nKZJ7BuuQa%%FQd4Uv%jh9O$^fdXACv1Lvs=U)M2k`V?_|*vi1j%-Gu6!U>{VT9}y{!K`MM zCI*Iw)pTMAgAe~?R;x&Fro / dSr + +; For LoveByte 2021 + +; 256 bytes -- at first + +; LoveByte Rule is 252 bytes (there's a 4-byte DOS33 header) + +; zero page + +H2 = $2C +COLOR = $30 +X0 = $F0 +XX = $F1 +FRAME = $F2 +Y1 = $F3 + +; soft-switches +KEYPRESS = $C000 +KEYRESET = $C010 +FULLGR = $C052 + + +; ROM routines + +PLOT = $F800 ;; PLOT AT Y,A +PLOT1 = $F80E ;; PLOT at (GBASL),Y (need MASK to be $0f or $f0) +HLINE = $F819 ;; HLINE Y,$2C at A +SETCOL = $F864 ;; COLOR=A +SETGR = $FB40 ;; init lores and clear screen +WAIT = $FCA8 ;; delay 1/2(26+27A+5A^2) us + + +;1DEFFNP(X)=PEEK(2054+I*5+X)-32: +;GR:POKE49234,0: +;FORI=0TO29:COLOR=FNP(0):FORY=FNP(3)TOFNP(4) +;:HLINFNP(1),FNP(2)ATY:NEXTY,I:GETA + + + ;================================ + ; Clear screen and setup graphics + ;================================ +rr: + .byte $01 ; at $800, says number of sectors to load + + ; turn off drive motor + lda $C088,X ; turn off drive motor + ; hopefully slot*16 is in X + + jsr SETGR ; set lo-res 40x40 mode + +draw_box_loop: + + ; get color/Y0 + jsr load_byte + tax ; Y0 is in X + + tya ; check for end + + bmi end + + + jsr load_byte ; Y1 + sta Y1 + + jsr load_byte ; X0 + sta X0 + + tya + lsr + lsr + sta COLOR + + + jsr load_byte ; X1 + sta H2 + + tya + and #$C0 + ora COLOR + + lsr + lsr + lsr + lsr + + jsr SETCOL + + +inner_loop: + + ;; HLINE Y,H2 at A + ;; X left alone, carry set on exit + ;; H2 left alone + ;; Y and A trashed + + ldy X0 + txa + jsr HLINE + + cpx Y1 + inx + bcc inner_loop + bcs draw_box_loop + +end: + +music_outer_loop: + lda #0 + +play_music_loop: + tax +try_again: + lda music_sequence,X + bmi long_duration + ldy #$40 + .byte $2C ; bit trick +long_duration: + ldy #$80 + sty speaker_duration + + and #$f + cmp #8 + bcc all_good + + and #$3 + beq all_done + + lda #200 + jsr WAIT + inx + jmp try_again + +all_done: + lda KEYPRESS + bpl all_done + bit KEYRESET + jmp end + +all_good: + tay + lda note_freqs,Y + sta speaker_frequency + + inx + txa + + + +; lda #0 +;play_music_loop: +; tax +; lda music_sequence,X +; sta speaker_duration +; bpl play_music_continue +; cmp #$ff +; beq all_done + +;play_music_continue: +; lda music_sequence+1,X +; sta speaker_frequency +; inx +; inx +; txa + + +;NOTE_A3 = $98 ; 152 +;NOTE_B3 = $87 ; 135 +;NOTE_CSHARP4 = $79 ; 121 +;NOTE_D4 = $72 ; 114 +;NOTE_E4 = $66 ; 102 +;NOTE_FSHARP4 = $5B ; 91 + +NOTE_A3 = 0 +NOTE_B3 = 1 +NOTE_CSHARP4 = 2 +NOTE_D4 = 3 +NOTE_E4 = 4 +NOTE_FSHARP4 = 5 + + + + +; based on code from here +; http://eightbitsoundandfury.ld8.org/programming.html + +; X,Y trashed +; duration also trashed + +speaker_tone: + bit $C030 ; click speaker +speaker_loop: + dey ; y never set? + bne slabel1 ; duration roughly 256*? + dec speaker_duration ; (Duration) + beq done_tone +slabel1: + dex + bne speaker_loop + ldx speaker_frequency ; (Frequency) + jmp speaker_tone +done_tone: + beq play_music_loop + + + + +LONG = $80 +SHORT = $00 +END = $08 +PAUSE = $09 + +music_sequence: +first:; 0000 111X + .byte SHORT|NOTE_A3, SHORT|NOTE_B3, SHORT|NOTE_D4, SHORT|NOTE_B3 + .byte LONG|NOTE_FSHARP4, LONG|NOTE_FSHARP4, LONG|NOTE_E4, PAUSE +second:; 0000 1100 0X + .byte SHORT|NOTE_A3, SHORT|NOTE_B3, SHORT|NOTE_D4, SHORT|NOTE_B3 + .byte LONG|NOTE_E4, LONG|NOTE_E4, SHORT|NOTE_D4, SHORT|NOTE_CSHARP4 + .byte LONG|NOTE_B3, PAUSE +third:; 00 0010 1001 1XXX + .byte SHORT|NOTE_A3, SHORT|NOTE_B3, SHORT|NOTE_D4, SHORT|NOTE_B3 + .byte LONG|NOTE_D4, SHORT|NOTE_E4, LONG|NOTE_CSHARP4, SHORT|NOTE_A3 + .byte SHORT|NOTE_A3, LONG|NOTE_E4, LONG|NOTE_D4, PAUSE, END + + +;first:; 0000 111X +; .byte NOTE_A3, NOTE_B3, NOTE_D4, NOTE_B3 +; .byte NOTE_FSHARP4, NOTE_FSHARP4, NOTE_E4, PAUSE +;second:; 0000 1100 0X +; .byte NOTE_A3, NOTE_B3, NOTE_D4, NOTE_B3 +; .byte NOTE_E4, NOTE_E4, NOTE_D4, NOTE_CSHARP4 +; .byte NOTE_B3, PAUSE +;third:; 00 0010 1001 1XXX +; .byte NOTE_A3, NOTE_B3 +; .byte NOTE_D4, NOTE_B3, NOTE_D4, NOTE_E4 +; .byte NOTE_CSHARP4, NOTE_A3, NOTE_A3, NOTE_E4 +; .byte NOTE_D4, PAUSE, PAUSE, PAUSE + +;music_duration: +; .byte $40,$40, $40,$40, $7f,$7f,$7f +; .byte $40,$40, $40,$40, $7f,$7f, $40,$40,$40 +; .byte $40,$40, $40,$40, $7F, $40, $7F, $40,$40, $7F,$7F +; .byte $00 +;music_frequency: +; .byte NOTE_A3,NOTE_B3,NOTE_D4,NOTE_B3, NOTE_FSHARP4,NOTE_FSHARP4,NOTE_E4 +; .byte NOTE_A3,NOTE_B3,NOTE_D4,NOTE_B3, NOTE_E4,NOTE_E4,NOTE_D4,NOTE_CSHARP4,NOTE_B3 +; .byte NOTE_A3,NOTE_B3,NOTE_D4,NOTE_B3, NOTE_D4,NOTE_E4,NOTE_CSHARP4,NOTE_A3,NOTE_A3,NOTE_E4,NOTE_D4 +; .byte $00 + + + + + ;========================= + ; load byte routine + ;========================= + +load_byte: + inc load_byte_smc+1 ; assume we are always < 256 bytes + ; so no need to wrap +load_byte_smc: + lda box_data-1 + tay + and #$3f + rts + + + + ; 4 6 6 6 6 +box_data: + .byte $00,$27,$C0,$67 + .byte $0F,$27,$D1,$D6 + .byte $0F,$19,$0C,$51 + .byte $0F,$1E,$15,$57 + .byte $13,$19,$0B,$D0 + .byte $1E,$27,$0D,$D6 + .byte $16,$1E,$15,$D7 + .byte $08,$0E,$D1,$13 + .byte $03,$0C,$D2,$95 + .byte $00,$02,$11,$95 + .byte $01,$09,$10,$92 + .byte $13,$27,$54,$54 + .byte $0D,$12,$53,$55 + .byte $07,$09,$D5,$96 + .byte $1A,$21,$0A,$11 + .byte $19,$1E,$D1,$94 + +; .byte $19,$23,$D7,$5A ; erase +; .byte $1E,$24,$16,$1A ; arm up +; .byte $20,$23,$DA,$9D ; arm up + +; .byte $1E,$25,$D7,$63 ; erase + .byte $1E,$24,$17,$1A ; arm down + .byte $19,$1E,$D7,$99 ; arm down + .byte $FF + + +note_freqs: .byte $98,$87,$79,$72,$66,$5B + +speaker_frequency: +speaker_duration = speaker_frequency+1 + + +;.byte $00,$00,$00 diff --git a/demos/lovebyte2021/rr/rr.woz b/demos/lovebyte2021/rr/rr.woz new file mode 100644 index 0000000000000000000000000000000000000000..75897f045e2f1869aa3908ad86a81fabc571b54b GIT binary patch literal 308626 zcmeI)eM}o?p1^VP(xy$DHhq6@w=Zd%=H+x%H&N1S)6=b1S9P22cDvn^Ed||6vce>A zN^{9J=>_SemG1sJY5%ZKM!-oYK!t$sFaZSu{%@rNfmg8>1f+1%T~Z~)L5CwyAcyan z@fgnxW3aKup7DGqjc4ZPXP)Qxd^~=hXGoe~e&%{NzIEHySkJHj`{Tnu{%mhqS=q)7 zV*6>uU!VHBlShB_^G|+YxNX?*gPO9B%C?n#@#&xb^k2nQWo2=3*~X01UpK=GkYTeeSvEo`3%N7hZVb#TQ?E>7|!me);8BUU@}i@#?Fu zzV_N{ufP8K8*jX^W5jhF z{$q35CXsX5@5{=zEBlv}{X5Ejzq0?4vj3T~Kceg_mHn@k{cn{0ZT>%6>rEk1G5BQTG3MH8Q$5JU`Po`H_@p7MdwV*@=^uJZoLg@xKB&)MObv#kN6&+p3?cYHy7 z41suDRbt51GFHK6g20KJ?ID9N(va)h>iEr;mY%>&R8``Kp4Ov{sjcZ+qxdf$+r?BR zfr`e)P=0DnRciU8?v|do!HD)lPh%)9z799zp?Ot_KeV*f)_PN0)LS?2Yw$LERl&{? zZ>;ZPL$g;GychK?i7Qnlqh4Abvuy+K#R)#DxzmAG72hLd$B_U^+r3d+f<@|VvIgL8gWF>xpr za;pb__17XfJ%r-w2}Dc}lVhG675&o7C1sSnbg80hMNqt#NzilihFr^uii*l{aa8%q zfyDU-iM@QT1S_wKmZU9lej-sqj;shCzdE*}q{oveYj}BuT%E4Y41!8Z8I(*WYTVFT z)5FY6+sf&o_h@Tl)1veuhVkBWm934}t~F|cjg76XP1jch6Qi`Qq;#<|Jp_-53fp#z z7isIfb4}W5f}Jr@T5QrJk}TO7lP(jRI{59ka!4B#B|5L^OD5aVTpo0vtFubUp~YUPnU>TMT;w|Er;el*%1 z^!mjh*Ey05`uyU}Xh(-MnMB*gnN(5=dh414emN*63MnXFqwVd{ix-u#QyD?!0l%+F zIaBZY`Z~Mic|#o(qjX}5&;%pO1f%Ta_l5?QnW!(ZS1wS(n&41v;!QCzNSl1pxje)x zr_wvA$w3wLdc*yyCX`CTKDmf^Q<~D=bYcYuWDEu6cO>C zUrx!D9Mh$-e9nKm=Ad+8az0B0U6lqwWr9$4ay5~|;X?weJuuUIaIEsv)HESxgPMawdG3&c2c|0DKk#k)a*EFd$jlH@>^oGZT?m2G!YNRn!aBd{HpQxscBu&;Hg{DcW*4$rd)n(X74F& z`_jD88Oto#w)@V?l7_*?3%6n?^{tEfCw4q~O_!4ho{Al9OiWjrXM^RRUEGT+PYv8$bNHEwG($p%#kw|T~dO4pc8TLwpuXdB>51&4)Oe)%v;fTJNct6Krq;62#h;$Zd zzN`e}>Su$MPY>}=PjzOzG1Lycvu&7xka$QN?TF{dj|SF$uU{3!`f){1dgy@`?eO87Hf&?Tmea)aPucV@vWS6nMgidKz-hom26skhUoU2enR{(b6V z;#ewa6m-qa=}L-;Yh-rLtseZ1riajs?&)FT;BDzqLA{AN;D+>D9TP)-h3EFzxt*8P z(L}K4Muj>mU0VI0>SC#5&sj0B>bDag9MFfPXAjNnAr}x4&FmosrDq4t?2!oW{91WN zkuOZVNENCE^+fQ(4b4a|2jxQgl5)%Xpxm-PC`z7KDE;KdNH3b8~pfpBbXloACUAW-$HTzn9zW(OU_N&pkKv&_;{ozK0h%uUw3^xa`|%CbhIJ1c-KEF zjzd>x{d2P&zFYHk9X*rtowvN9t2f8Rlyj+Ws&4l7(#Vi_iB7dO)p^H928SYjBO`;0 zBmI5NQ&Y{V-+uqtnELn7(7k)o?~$onwcgGp@7PqVw*FeIEk55g-R*Cv9qt#M^Y#xn zc^iiMYa1Fu{r;w*zP=%85^89u?`p4a)lG;iX9zjc+0ZLZ50?1T6ZJ5kLR| z1Q0*~0jCS3S&0%x009ILKmdVN1)RQup#KOUfB*srAb)a zKmY**5I_I{1Q0*~0R#|0z~%z^{}B~6B7gt_2q1ufnF2OndXPQ>2q1s}0tg_000Iag zfB*srAb@}w0%ra|inf;OZerWvGQ@gimmQ9l9* zAbPfB*t^7AVz8 z%kKTM^F2lG2q1s}0tg_0fGGlYU*M5H0tg_000IagU~>Ue)_7Ek00IagV5Wf0XC7yz zFWm@v?6H~m6?G$k00IagfB*uy3gmIwLsbYMfB*srAb!20=8W}kvIYfAbao+1Q0-A6@m2) z#9ZZQfmQA&-a-HY1Q0*~0R(ataP&Hh&Le;T0tg_000IcCEs*Pilj{*c00HL+tUabu z0)f>9obzp=rwAZ`00IagV4A?{%MIQ|009ILKmY**5I`V%0n-+*REz)u2-s2}`*D;j z5Lh8#%X^=s5kLR|1Q0-=zyd3l5CjlF009ILKmY**5GbTTf!Dt@hX4WyWFoNEFqlal zW|UbgFWz^QfEl+Kbs~TO0tg_0fL#R~wG5)O2q1s}0tg_000K4=uvFn>00PApFc|-eof7RAOTge}uu-U3YP5?00tg_000I^gu+iFuR1rV`0R#|0 z009ILSQfC*lA2TyKmdW<1d=0LZnbcA;RKSOm>u?oQ=ugU5I_I{1Q4)}fE`vTzJ@dXNMH2q0ij zf%O0MyFL3u)(9YgKnV&sc9~Dd5kLR|1d1YHlc}^QHZ+O=0tg_000Mat5X%jz9-FK> zND~1B5I_I{1Q0*~fkFu6WvNXi2q1t!LLkdwOS&k7OVBL|feb!rs}v~-Z#sbh0tg_0 zfNce=vLYZ41Q0*~0R#|0009I_N5Hm^2PBRF0tFSY)Tmd`p3_)f1T1v}rB5a=eWelv z5I_I{1Q5^)q@Q|u2LS{SK)_N0s^MGYr8>*<&{CZu83YhO00Ff?mLodF5kR0s1k~d| zNgS8R=TcJLu+5ECQtEUD0R#|000DCaY%{-;C;|u|fB*srAb$l1I=6=cvAiXeah0tg^b@&W~#c4-Cy1Q0*~0R#|0009In zAW-rTICKyJ1Xc)GVCcy)g%w>g4CL6zdM`K3#Sy{viWT5GNBGl81Q0*~0fz|`U;?EH z1Q0-=lm(1tjZ*G!F8}bG-m0{n=p6zGAW+%@`Z1kz1Q4)~KxvOo`CP4PK2zJUX|lG< zi6wOHf<>MPAb0HB|Wf z!E~eCx@4GMZdIClwPC^q2%6g~YDWM81P~~mKmjHZnm_;n1Q0*~0rLd1T11$a1~nsq z00Ic81hN{NDU1LD=>=5ZD-Kfv>A?#8PAVlU92L0pCNx(xMHBi$l?Wh!00LPG6m1fq zVFVCB00E~8q?@U{>NlK(qwaTpj-8}OUlBk60f!6ZXX2n11WH=K;iE*F?%U=gPm_Nh z!ZN|~KW&#=g%;+_tz)@dZDABrLjVB;Y$lM)Xw0<;AbHT|4z5fB*sr z6iYxmzH^3vl?96REwOUDR{p%m4FLoYKmY;f3RrpdKyC;ifB*sr*jm6L%L`k7MkJ2_ z0tg^r0|AFjsC3g}0yg++STx1uzhEVQqU%V~Vs`@RSX&_TJIvbsAUgyQKp;1P%tu2? zB7gt_2q1s}0=5yzZGp7@t8D{Bq6i>>fCB{9KbmtT0+N6Oz9e!Nt^H|d@+nxm2V`dh z0o840gKS9=0R#{*6i^Li93p@K0tg`B00GS-d-5bPN2+?i{A91po~pMvOfO)#)>uz4 zeG}_^XU!zmSud9%fB*srl$^ktQw9YbB2aR-c2*tAETEF*z+eFPz^+5I_I{1PUNvh2>8sIb>0$0BQwqBKhwl7QA5^ zL;wK<5Xe~|iz&>}MRSIx0uuxreM{1L1Q0*~fl?JPVaB9N1Q0*~0R#}pSYUaDpY+AaQ_rkQ zP^7%_U|polX%+zl5HMXJ4?`qXAbBOEfdU)ZY-6GfP~gBq>f(=_-eEfzPo`t3hm=L2SE0>{lXo^8Cy9sqaaTLAetKvA;ElePs|k hZ4mp~Aoh(xti~Yr4+gQ{7{vZj#NKmvzoqWm{{vJE%O(H- literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/sier/Makefile b/demos/lovebyte2021/sier/Makefile new file mode 100644 index 00000000..e70c95c8 --- /dev/null +++ b/demos/lovebyte2021/sier/Makefile @@ -0,0 +1,44 @@ +include ../../../Makefile.inc + +DOS33 = ../../../utils/dos33fs-utils/dos33 +TOKENIZE = ../../../utils/asoft_basic-utils/tokenize_asoft +LINKERSCRIPTS = ../../../linker_scripts +EMPTY_DISK = ../../../empty_disk/empty.dsk + +all: sier64.dsk + +submit: sier64.zip + +sier64.zip: SIER_64 sier_64.s file_id.diz sier64.dsk + mkdir -p lovebyte2021_sier64 + cp SIER_64 ./lovebyte2021_sier64 + cp sier_64.s ./lovebyte2021_sier64 + cp file_id.diz ./lovebyte2021_sier64 + cp sier64.dsk ./lovebyte2021_sier64 + cp sier_720p.mp4 ./lovebyte2021_sier64 + zip -r sier64.zip lovebyte2021_sier64 + + + +sier64.dsk: HELLO SIER_64 + cp $(EMPTY_DISK) sier64.dsk + $(DOS33) -y sier64.dsk SAVE A HELLO + $(DOS33) -y sier64.dsk BSAVE -a 0x300 SIER_64 + +### + +HELLO: hello.bas + $(TOKENIZE) < hello.bas > HELLO + +### + +SIER_64: sier_64.o + ld65 -o SIER_64 sier_64.o -C $(LINKERSCRIPTS)/apple2_300.inc + +sier_64.o: sier_64.s + ca65 -o sier_64.o sier_64.s -l sier_64.lst + +### + +clean: + rm -f *~ *.o *.lst HELLO SIER_64 *.zip diff --git a/demos/lovebyte2021/sier/SIER_64 b/demos/lovebyte2021/sier/SIER_64 new file mode 100644 index 0000000000000000000000000000000000000000..ce06c4edb88ccf9b6ff830f5a6671655bc54fbe3 GIT binary patch literal 62 zcmY#z_^lIkU?oFq#({$-=7!%cwS8V4tYNaEJm-@s1%&hpcB+e-o(V)*47GMw$43i)1!9( zu7LCPHU3GPLc+<0Ku~e=g@Bi##Cctnduu>kw?;f#>3p!#6SrY_u+8r63Bj*DZ8r>m zYIR`u_Qc>>=hiiq;U$wc1<$e{`-nGw@7*Du_`%DG@BQHQQ;H$wx^$aO+9gNomwa9C z<=p4$-AC*F2kYG**L!*Q@p`Y)eWJel^ZLbQr>Xd>`bTJnd|p;@PFYc`6#rOX8UFK< zWA)D6+b{{vg%$qK>oZQAruIm=PuK59yQYqi`l=pnsObDA>z!Iu@aj-E;|S*tZ^ETe z7#XDhv-;{!>%~BQ^@;k$W{t;m^yvQM^<^ih$NeAI2S0KN#Ug8{RU}(o$r&#H)&O65VSS9oD zi#H4%HI!|ozo*!i!v`mnpQvO{1`k(`Z(v(fhE=ewd(3BC8H>6vDONYQw>K==p8kNJ zZ7uEQ4OcAPo>;csQFPR!bQFo3pIaO}=RF`k_ng;9Uw(W&>6s8zY-U>v!&`g}DbKIz zUV$FUwl5AItLk>NkV%;}#cDZsGuyH|cvP+@Zh6l8VN+MfC>6#Ru8!s|tD^`_aBY`| zRZiHG{vDc5W?OsE-=jl!@9Y*l=JJS(L${!^`MZ$^f?pk#QjNag7oG`n(jWZX%N8%} zww0s#>ovo4CKGpdA?uRZFf+4s9Weux%@yd z6fMWq6msU{bhXm%(n*ifZql)9x2JltobHRwpRWtn%hf&%)-<(UZFMcWy0pi>Vr6J7 z+II67D@(g)eAXk>w^lPUe6mZsmMcw?;!Pw=P3Gxp}kYd67L|)!izd z-n==H(ucYQU&6tP4pJ07R9E{NO17`L+U4_jDlL10KODL)$R8R3QVfP%TzYbn*fFsK zedhG+*4Y+GKmNp@|5EYviZyGWsrJ{bf3|L8U{n3(=eKNacwyTs`}V*3##?W{``+K) z|KP)qK0EaJk)y|spE!Byi!Z-AbN1_RzWwg|AI^vC>O2SQUfR|CUAN2U`E8x_y{oRy zxA?b_@11qEiy8OiQ@moiQl<8+tX#FaN+YcE`m{Q|A*ObN(PXy7#y!_2{;C9P+jiSu z-0{-RT`&K2cjKP+dpjg{48Hcd?ac#Xr*}GcN$T3Id-B1LKl%HoDLt+}+%vUTT6*t3 zq0@c)^&fCe#<_um1`oM*=rB%aF&cV`yjjg(%WH)$orQKh&je=bEC`JCVCLj@JmUq6 zPT-R*+`!JgIh9pRrs`T=)zi#`UQER&Tlw@Zyx2!+;oI;6*HJX4bxFs6Lqd;X-Mge; z((PV0y5cLvEc8xGvCx-^zRVVj#S|OMna~0~wd19l z*EF>mgp^voiZxOVmuWX>&>+6Yph2Mn3;(UUga)z)Fd z`lXmx=4AAKwnP}-R)0pH)TwN-SS09~s2KA5P9n$F@peyQ1!B$W#(k(40DW94V48$k=7@^xfSvt+U6Ki)`%>K zR71x_M~@!W`i>rzgagi9r6U(Q{A_unKd2CoEEkmx(UURDt*&tRjn&SNwvP1hW#`l$ zW!X8Uhuh%rc}%Z4LhT=MAX(&i)Pak5goZL2cknFaTaiz`td!{}auh8&r=l{&;^0Z4 z;rH7;>J5&k2C&`Rb-}Y9#fBlJBRu>DhsTUg)sH%oJxrKhu4;H>d#$4358H3=pDYCR z9u1gtaq!!kb1IK=gF~G7LUPcudW1Q~6T4XA&aV%R2!81?Y;c4oHY!6mP8VtwB74r0 zURJC^8!>xxdD8x3mHA8Xx5MiaAA4c{Idv!*D(svR>Tlu;Mfh`WZCKrFFGNWPs`Vr) z741K#41N~cQ{=TLI~^~C20GWjfDtGKWkrDi?I(T`%Mo zD_x~M#3e6mE>PgiU{r*s!9t9l@GW)tbnH5pgJx{{?fp@|NY}E8 zi-uR4>GhQk^-O?7%zi4o1@i{2g>P>@?#Y{6ngcSL_<|U-%`4OBd;Cy#Rd!>S@;9vIDjIqQjU7D>^OOYn)j8sXt+jXIR%&lQG^}b^qq+RJ z$Fy*m)Y(((wk11fy`1xM4$*4s&xNKI ztT6w>+wmWU06XZ+hMH&YTYc_1KKERoOT1}qSv?L9)zti@?$6>?tDax-mpZT3Sz32# zyXgO)Tt8RQxIB2hI4ZnmNt$J zuO$l-#mDMBhrRW%-o)?L*Tzd3u-kC_Xu0<RtYW%Yz!P(qnkk;oASv^59AT z`Q<3jIAV66U%vm~a+Iei8X4*^j|z1fxsbAv$7$H3#HmN=wcx;MVeI*s4VLHg8=c>+ z_y4dwRJH&6%AC|iy4#zC_^n?Wb%fnAMJU!p{N1w~bmTw6hecH03^NL<83RbvQ z%vq6J6}$TJRl(J#R!^;*Q#r5lNM*aKT~(&4_p2%^pQtRUoLSYUa!6%zWol)9SY>JIb5iXnzC4E`ha zWLvwZ`}{}i-9J2+AdTHKp_mAZyoDk{cT>53VXD`wnWp)>P z3x!u5RQad+LTdLEpR;78%ek!r&Vcg=pEFSJH&s*{D_s5^zUmi!E@#2Iqeq>E-#Vv- zoi~Q*ds=u}SbXhie}OL)@9^L3do;NyUE%BoN2p?TlGpWUG7U!|{&tO5fx8i(Um-sK zwEJe?l8HWN-HI6*jM|Rz>3j{A3P*#vg1T_Y zD0Qx0AsrjtAq|b}j^JcR1`;5q$!m(rkJn&a@l;*qRnd5jYn)H5K;NO##z#V@E0yRX z_%YUIj4#v`hk75D%K83kKjW#A&g*tF9v7JC^V)-IuQ|wj#nj2Zkcn=5*9zPi?h2)7 zRa5BuGj6u}CLgYu;hHgkdEL%kD?H({DZbrhQ++3=_$ryuAaxw%=w8ItD^nH}E?l=; z7vhVA@=$U}+`MpI@U6(j6}UG)$7AejEV}ct70#V&j8lBoQ+&9!a)Xy2sP%?aUK1SH z?dl-Eu&-C7oLj`lJOa87b<~xsuyo8b-C5`n|F|*~^VEFb?(IX$zr;!KyX2q=U3tya z#^C8F1Yhz0(bwn+#rfys5WJoWw^Jc+9)?alL%g1+=J}x0T<-ZkuYpRsiVrb<{&M{zLBY#oY#22rcAC#U&*#3~=bqtn&-97!Z}Us{AGG22zOI?# z^H1|7>b#S5-ryf=ZuN!kg`U6+1>djKePG93?g^d?ZE6_hO&<}1o~D5)Zu8N_EB&|m zbh>p_IYDhz_PgV~PmV&Rr|$4oWj}Sh&w1G6 z@RrCu6{ReQ!N}(Mf=5Ej#gdiI->txGi#033WxfU*h`3{g!vzAw%7Mt#5)oFrXgd=s6=l+#Q2i0q`tDr42j?|`iYSh&xisx5O%ZAfF zEoU8)`2WbX?9%Kfr{$F9l)swcQfGI|aV66N?xH3q8+GNv5l#A`Msw{al}c3K6Z}?% z22N#VRkri7^Z5G1dH-Y|-Nj^|tLHplzCzr+!aHa5JReAv@-Y8(xN`@TY!PIN^Ubwh zmFTMk65?S`K5BP)HK1z&InJY%UPJJ#U#M_51pL|xH{cL0mO|W3MdGSTv20E7JLlX@ zUgkWo3JT;_pMQogsPoVCfx$Q|E>5ZGOdpy8JHf&zoV(YQW>#gF+QqE3V(wb8-CC@O zdrQE%ZjD50p{@kU3=+SWuCabCdZO`4LqmNi3#qQS3?<^9*M`jE`n936qHk^JG_|>E zmCLJ5o#t!QLXt3#S1BG?<-f;QeYa0MxC#TQo*^Zq9A|iyxUSN>AazFoHmkxJTq9K% z?_W&>n8F3sOYZiS-Qz<>&E3B0dwkBhtHnvHz}++M_CcW<6&RCL55qd)^7*PppSZp$XyPy4HfHsP_6se!I05ivYn1<_rr8_VQBt5pL?Uv zpYL-Ad?0lYb|@bkD?{!5vwT6JX0|V6*+1L2f0hqy7Rn&W82ZcwVPAVY6blxn znO;C9l%MNWlTrgyn!pNP#XhL9{azJD)_Aa-Pgwx{1Z5P`?t$>qmOzC}XWjBD;a z9Ew@f_uvO1O_9}A^=|q%MQ{tguOv-Vw*tlnah@W$QeOKgiM|wY`2)VvO#en-sof>2 zpDDiz2x_AWi#~aibJ-@ix<{ccQO&Cl>L5zgUL!vR=tyMtYjj;wg!3p zTA3bjFF5roY85jkR=_lB80PaWxz*P&*Xz)hK+i%Y94<1SaA6JI+XDU~pL=`2KbbFjrRKD;q;15rTTz zTIW4$Nu(q~n!vZQmu6RGmgWSHdlEO;-<|IZ{(Z6eEF`zf&njxTilENZb%Q;m^)23D zUzAyDe+1oau)APwgp8PxUG|`W+1ZsD;`X>kgC%q+3qoq*kl-3u2D&Jf}hew)|yB~Sfz8@Mf!B>=Vq#N=bk4QC3GmA>? z&d=7uz(*$j)9mVtO6Qf%DJs3EbhfLgbad$}MWxQtJ+7*O`xF(SSoZ{9Rfc=K&(Bws zX808qr30(3*{7m{alWbn?y)|Uq|Qd&&|VE{+LxGb61Vdyz#n`&YU-{3y#cvb2wdSI9+i#E;k`( z%*gBBWu4}D=B&h@82RU7oz>#J&g#y}F2$Yaq|dR>v6t9O1VCd-hBWK+8*wiF&3S9* z8+%{h@#0I3ui8bkpyavjo^r;3H8UQ68vKP9u;#yTjK=NE%pEa4b3~4qn>S9(oRB%v znK|6KYYcL8#GEl>@?NL=%MtU()p3RS&E4VpBgSNo7RTk~iO$S1BX-envqz4>n8d8S(W5hSce2L!CF> zHnVu)lfEsfPcRnxq5TgE#aFsyw~nz`j_EmRI@Fj7>0@BKckSK#s^{l@6bsRboxKNC{?t1W(aO@jZSx`*n1U;SA1 zqUvSUly0bQtbV@wWuy2<;aA_L12NljK zb}OG>;;$RsuLs<32K=W36)$gezZP)65%BK_RP5d;UQ;U? z){Ae|i-XpqCZz7JK!a4JL2A^ndn1&h`)r`$^NsG4fri5y-KPRe-VJ!e?t=mM#{vH* zb?)~9R_EmPUgoQKEpYf?YVaI%4k9Dp1pM0r?sEbEivb-zz9nA=ycYMjP_2pU#eVC> zm!9?VsRsg~PU6>SY!xlF8T;P}z-E3o;6E9_`2D8>xD||$W+eF;|JgwL)tHXb;r6s`Ckf%?^Q?IP~{E7agNr`J!rkD z7Hz%um;(X#fdCph|Fpqd;(oeLGWwpT8^DM$ov_NEu9Ih8?n=Hpy5y;op9X?wPkt6~ zw)Z#HhgJ4pb<|W^m5M_ff%3k*aqC|uY;p4Lydk<#ii|r|aQT@7^$c zU6J^EfA#XZ-MfRI``-vuzZt-JM(t~WLU6$h@q=f*tP&y;2F157qBmMFT8XRN6?I}- z4gOc^8`jq$rU#Q_QI7v?eQCBhobvIXR;zXr6rwb)(Ae}*9uMx#%zJ!KqJ?yu9*s^{ z3!L`b&1Hy#6Xd3Y~#zyVraS04M#>N=>k8~{i;MRziXb3{#%wiYcGoB!q#h4s*gy8;Ml zz6K4M8icl!@;1K~K(LN7q`Ov%H`dC5ycg?0oyY3HcgO20Gr&b#>JA6Ltu!1g3$^hm zHhbzSzO17W9?T9NuXF?tiytqqWRFyA*@#+VPOaBc9jaTr7_m(E){SKi8+Rjw2s8G^ z+Ukali_=xX<4=YTi971WQT4d7N)}RA@dK@KcwmLe}wNMU(q@*2I=LNyb&k^{fwyv zGCkb=e!x2Oy@30}0J?O45SaP5fcv8WW)}nJoMURMUkUj42FgMdm92RzQ2jPcCJw#u z)i3#wTfNhV7;nwD0S`lE0W+6eD^xes)oiT`>T0%b3}S4UvL#Q{l|6~Yz(vt@PHoK- zb=5fM(Z;{aM|b|R4;OGPkz%z7_X3ks$4E04jm!GK4!Doh`_Ba^)ci@n{YAb1?*aFh z6kk4E4~Pgr5yHNpYZzXj{$usEoXdZv-lJ(0LxM-yXb$ln;Ypyj{!at@4hCQXeHPgF zaUgU%!O-T8wvXXDy^H()>WW?HTeIjvvrI21W%R|c$AYDPXn_K0fqS+xpKz?Gtt2zNwuB`%tRlbqK}!N7%U$6 ztTQ;aBix&IUS;qquPPV|#MJ0KvF)f=7gWQev6gcg0(3mQ1P1~X$rPb*Sz;JFc^yv{ zQ#j1&ZhN!w#T{?F{^AbLu6+mgzWSEul{Xr9GK)z;mgYbB+#fBsptmmOs1+vXhQoA$ zpZ-U!e@?p#+eF9qGmiYvX!C!niGDc@YfjDP`*2%Nu*cbB(cAmSQ{&<|OSJZ{$6sgQ z*BSVA27aA^UuWRg8TfSuew~3|XW;*v8BqL=*@O}{Nhz@Q=^Tp_IcBo57^RuDzZRz{ z&8Gu!n$&!1$LZBgrzXawwjaqcr5J6FoS7!ZpRmE<3Wra27Q(13Ao)qYw3Dquv2e$Z z(o$D}-6b%`!w)MIW@@U!l@}%@IUM)99QR`fuE>Pu{P*4q1ZGZf%tV7_%aW3s3ls`L zczEW7hf(17-*i(`0S#;6nKQ?Z-R~+uZ;n-~MvQ1#D2=cHJK;Hu5~J(l;5eSg?q4gj z80Rok3A+`!b4?{&xED@RZ@BBbKXiDHW2JZ1eV6rzH~;V^I>QK=ftl>As|pQEShmv2 zxFn`fGHo$4mN1NA46?%$FdOkVpnnCfW#&352Jk#Dwbw-2tEKi?XwN6HUK}57UeMHh z;2n3kIA$=jIJ73f3wcn*#b**ILety=VCF3S$g^oS2E3mD$g!HY=^I$J*4CPL$C}Zn8T5@ z%ptJMg5*^NhgrX?{S%59CvZasyocrBJk(0b@~LlbG1m{Rk!$5Lq(-cSVUqC8onxKT zcP!*G&7)O;d znej?a)aw)I)-i#Rg03h)k141V?t%1${Mm}#+W%wrY|YP@Vu|`qEIu4QbrE|${Uhvo zIfh#J^Z4^J+#VfZ3-(;qf<0-a24Ru?SHhFwoR&-pcK+%L7%@zo9OXo``7!}4mM{UN z1Rf;bN@8umP3c+J4&m59yB*(38&i*Cx|?ODBOV+kab2vToEeLl-o@s%e{aCdz|J4(2@^2E@QkQi{x~p^th)s>#j%k;BkQuT$rJZ%Y;|`ESh#6{`3G|D?u5@PR zR5G{PC2s9A;cEXUtaI`z#&t?sHjhQQ_DSL`h)|FfOK0>zgM+@aXUa@_m>L^cIpf}8 z3Of_P(D_^jI2#o+sGqM%!F|r{}JwNG4&)y`77Z` zsSTJC4Zi=mj2Ir?#EGZ6=B5uYE>0^f+Wa(N#qhqGU z;LSP6htS839#ATIG{QV!#w?}`7Gtn7v{9j6D(WSI94=(f*6el#{Mm}1anT=DpZEU= ze_n>6ekOZfj@zRnY{8%Z>FRT-3kt3PACRvdJOe`S4SlAOm7AF;J==wk#4f}%U>JD6!AH;kTJ6!rb;+;6TlhRI>|CXaAqkgP1%> zlS^@v65P~_xJiW>)iN%goz&^HT0zig)M}MV!M9;EluCtyV{J%*Pco!4({_nJPh7}+ zt$67Qc&|0HMoIfJy0JgA!I*9RJjRcmS!9cs{ilBvF$$x?6pKA8v3#r|RvD{`RmW;# zg;;H@E><6F_)j1I&l%tKV{S;YWao^|>&J}43kQkgbFa_MyCIhu(g&rdi(;B6_8FAk zpMA_FWI%&-0QXy2|Af6r*G1A}k@iN?4jNk`|BV6IP=umi?Ln;v*GFn)`B|v*8^hYx*6C4T@d|(>FR5(i*fj&`Bc!UW( zsQnqCBNK*lbe)LIv7t&h#+Ju1C#mpRa&|a;<1AjGP^twr24lj-F;Zj5gwDb}F>o6u zEIi%@r&6U!V*QholTj~`X{9L$_r{oV@VsVX>QyEK3y+))3mb}A&G^2?7+nvhK`J_5 z;Xc|DZb}UFH7+GF+VKSJt4h$yvthdm150R+3@CUSc0wDZby7MJ)`{MR%1+ZFt`H}g zHWXfGdNK}1ZyNce;qYOZJty&VqbnsXbYubL6|>NaH!T^~iUt=;E65udFOAg_S^TD9 zC&D@!EX-iSb~0qbMN^?X;QpZ_ET=_YN}BpHO?|;}B#HK0WU2%MGs+F8TCjG!Rbjz0 z;A(V-mYzs9Wz8#ia#&gyEBlMIrVoC5 z|G8%hM@(0+yl?y5@di4^AUZsl@CV)Q>4l4sn~-(?sc5ct0r&jm{9@#4ubK04B-bQz z{c)?p-E_C3AN+RCSI^{UN&O|?8to4yOQ)iL{4e47>v&Y2f?1)Rg4{4n4kiGXO=Q1O zn9`&X{E2!sx|kxCnOv+L3T>u?oF9{v-ihNTGv<ux6WRERwbarbH__MeVhk7Z&P zOc*GfkEL%hIbX^Z1~7;?mDni@g%hdJG!BpOVl53!Oq(qAKou~}iI`C;;5qb4c|uQ4 zIt*a~u508>YO)ZyL}Hf`ZiIjfO_Z*j2wyJ}DJXocR>F_0TYAj^^j=bL}+CU*8l^PZE)3COK`RxqLORb7zZEFEfCPEHi;=f)e1t6Qf-&f+w^?%mX2sf!Ij3bn>K|CrzH>nnI=1?<~4= z`du^bl1haenW-2|D6p!fS(*0Ctn3_MMDdu>LTV`BF>+9hz()VFsQ4SDOuH@3NrRD1 zA#2jWv9D~{qpP$*WhiZ6OQj9oqSB_pmuVh%#&k2MGG%kB1T{oAK%)c@(S;Olfiy{s zP`Cxmp9l^BgtfC}WgH zC3Y7nI0b}=La9(G)C!G4P-qo8h5qN*ng6M6VE>L+jmEC~LNUuRX5`p$qcg{1$Gez= z#{vq6=Vcd)ofuDsaZaXq``xo=ika9(KPG3aID3{@n3*f~9yoBI*r`*e76xpK6{fB%v7C12y8D`OypuY!~rr;yu1E6}_ z9IQqkd;nUA>FMcaaA6yJ>cUv#kmYKYTP#2D(2pftz2>CU$Q* zc)JXG;|5041xZ#SpmU+e-P(gDms|OcExOottnNP(EP9n+Sc*?1SOkt#G_CYP2R@ey z76tH$1dEdJX>K6k6A2dGgilieCOLKCF?=Gyq7nGCERiC{BG*Ctw~vNr`Yq zOr!%jdKAu9ALLM^WV&L!w}v&7n?z?9lN(WCl-x+ESKGJOqS;Yv)Ots40D(s z067tBQ#8gdQK-6^E?Z77tQV{eGjM{vWspV*!^X}!5jP`nK-e%MWrku}M`Ek+sSD|O zWJ~Guvk|0-nRHQjh5ZP8dpW@MXX3Y)L4%`f-U7b;Ukh;8_Ne zK(MVj5(>f~9KSc}&ySEArV8I`mB-1l43= zW`sLrg%v}{sU1o!nf))i>`R+Hk9adIgOZ4w0zbVZ;=RDLDTz2W@aatsX4OL7!lfV1 zgmI*r2?aw$#tgrGLByxo2sb5(&6+%Me1UVsa;ez3kq&95jm)B*#|^vvmPt;;t`Vi( z%>iuFYu{nE@g-b)>TECTh^on7C?-!%n>=~)h!I_&1qus$78Vx9vZ?v`$@%&DvC*LP zFT{s8r5h6204BNJz!Tyi%;TWM;|L;40`>u30O2Gt4Me5sMBvgB2@4>6Q)&$|$Slgr>Xen0B|&av19Z4RIn0eHheWn9q8kct7(WIE9pbJ@BL>(7Ae}SM|@oo>UfuvSm8Z{Gu7uR3)rqrsc@$ z53OxQI0FJ14%jb5`5dO;EuqJR7-nJ=^yfO4Dm6TQ$?cTQ>MZ84q`x&n;T)}ub2RY2fW?W0RdrWS_9)CIs*9X zd2=LoM(oV6OE#+nj%AW03__qq_>K8o$F9x%n$KB^@(DRt3HcO)F+Int_y;t68#RBk z8fx&D#kTEX;SqWl2Od%kwW(QC2D5Du+>X zXa3_TDoi;<$1jeeKFHpUMp0=KfYT^PQ4t%<@6;-E%6C)nvlPaBZ2n;VM+_;1^9u#0 zSVtjffRB3P5X#W;&oh3a%4k=j8X}rhhk~WqpQt8@#3OU_~1-OPJJABMf>Sb}g(#kc8BoN_9aH^q&q9o%?rC z?^Ov`#a|WQCcaHvo4DA;Z7e)iR?Vi^dU33u4f_uI*>dP>D88`TVI!fxX4_)=LaLt) zh+qeKWyjbM)-}UWnI`S4=nHQ>e8^@@LQMmttErsbxV_~rsYQzZ^K*MCSoRAaX&yJQ z1sxtY?wdcUOu=*yc6jLczDa|T%zpDkoF8R|-zi_Xb?gHQro^s?2MzN+d0}jCoWXPb zXy@N`6=Qp!n0;zR?$Alp_{5ZVRt)WqWOmhl_7EDYA7b^Ba_7s9N2q6iRG+}ny=*qB zSzFi|-8c^WK=IaZ>`EI7bQc22!P?QL37zgT}!HkbG+<~3iHN>cytpb2j!WP&U z$E4u0uuht&L8wLIgWCUJQD*;0d1yp1lORncw%?6ubjn)7{66%`ktw639d-4p#_GT@gWxJYpdLsaFx10qJ8iehcnZ4 zYCV-Y;8S*t;t+f;&GIz5{8sEQfY&&`cW*$&8Mv!-d|Q|n;tudQHpfV9Gci3eQlmm@ zwBrjU6trN)E83F*F(YuH(P%)Y-(tf9b`fIx0!k69L>lk^K{^Qt2X-fM7)F=bF(}q( z9861nm$RFsVSKHG=SLA!ic~6L#v=HD9+#mPhzN91%vK%9(^o!To?4v};+cW*{qqn1D|SZo@V#OeZ$DD7$ft41Nx%K353*Mfj9F3zD+o zHfSm^#cW$gY?1^rIez8? z!Q0PmAg9~pnl?~EB)NiuyBsHlc+m)9?E6;8Ya!(~v0&yl6_Z6U&9 zrCu@B=&F4<{2ScZtxX}}dH;@(Fs(9nvuV{jwO(yd$Eb~JlL~OEidQLASbCLOrBMkg ztxBiTs|>0bl~H9v43JauN-4IkRAbb?un*$D<5YOpT-LZTBeTRCGAHDS@+Y~Un3dHX zLBtfMh{S)>nu5Q*MEaLG|Bm_h%oF>dQj73!pF#cmuz?)yD@*`rn*a|U;*hW-l8TFD zBO2a%I!gd*ONV0A0_clk0j5SeY(tSt0kA}tJ5=lF1Z=LL_AnbQIQPr+91j2KXYF_? z7&g6dgo2GS%~FEicvGw)9R48MW%x9CnYLtj*bAf$j3e!|+375wM`~XJfK013;ng6< z`w}4HTJ8x+fVoV&H7S~G#(mMYFBc)yPyxa^1~wR0n|K2lAP-ti_aI8_GTa+n|t0x<}`P^$oB(Eb-3|A_Twv@;Hv1%VO-Ss0)Q)7fZ(D{=|` zXadtM>QQ)vO#;)&#bWn!%7-}RZ#nXRjJ0GeZ#$2;mb~=`GKUn8AZWGNwx>53Vq%O& zklv*UXYRklK8)^?yY!0lTv{LON>Z?dH)0vsV;DA(4q(cT*zbwk7cc3(x% zZQC8^g5V)&lU|IwMS&R$hyQk&4Nz0L@IZOTWgDyqd7W536BueJ7F)VjScHQ`EM{P4 zP)Mf^Fzn~j&*NCFZL#&r%I>zdZHrL%z))=3z=0r{q}9@{%oKT}ChfB1Sj!!p(B<;} zZmDO^Ks@*GKzWyy8EoHT$L8C#-6NdW2ZajO#Rv*RcNgvAY~3NO4waR~8q?Vv?Bu|9 zE5v(xBY6G<_N$u0;SXEx%)E?K(jQjHU^t8*$8b@^e7Mxc%#Oc;VIaoKY)PhV^PMgo z)Vvb;*D|I_Ax>z3l86L^Q2%E|;4f?g=Z}RjNzQ^xt|(|pkX9aT+i4cJ*xE^(@fBCK z@5KG9UG|*+c>d5lp4$tqF(gn+2b|n)$pNSQ*3P%=Z^QYXD!bwE-N?t7BKZq2NMOpt zCCeiX20S&52cU2joT*s@;0DNb_a*d#kOQIsVGH}k0v{NUoWSU?<-*NeF)Al>NJihZ z9^C;SCIEckGfDD7BhdExyXf17M{dlv6nw#y`9kr)0}o7^G^wJZ0*NhKwjcpR@_Qz< z6u2AXcBjyST#9PIfRx$5pOlr0_eCf9u%Iqnh99a|LAbY#}y@yaG| zosgyb$YTc??g(pTzZ^b0HoeGBx$KZ*j94Xrbx@Wc!N!yQa_m2bhpFUYAG;VokWG=? z@^Y3$(2cSjPADsaz6;3aR zX@iDl#Z<;|NjlvP3~0B7yn$Md9!?@NgMEjWZ;0IOC`lybWf!IuNfF6P+RE5!A(qUAxWWSZ?|M>WRci_1P~)I+GQA<-^ES6kgF3Qe}wbgg)D8d^P>TF3HNid z^a3tN55k!FD879LZ_!8x!?s}C?8~z3k4pwK0Yeu`2EyrJpf=-lyU*YWB_3Scf_Je2 z`69mkIg;U`i@gHbl1)tC#{hTJG(fE)mlXb0NBC_Zb^-v)5$BN>U0&@=BY53=_wL9v z=}hZjBmf*N0qqnx-XW35-A(-eBhcM?k__NR9GWBp;Jg`t^UB!>c?^=c3YHT%Cvp4? z)(2=Eyk-NNCkqCBCsqqF5ar$#YRIN`gC!1M1|UzCB%RnS8L(T(^yQ2=9`XGMn`X99ik}o+#m@UqevswH?h5Nu&q(q4qTJg zS^#vA=0xUw8O5U~7h9otdlf$@=L6-Qlq+-aWjOc2pQPC@Cnezcc7)MDquNKd({R%E z@>?Q!HQs+B=~i7tQ6Jvv$h{s#0c`_IvpD$@IU=Y<) zw@liyWzr;UfqG!*0}l)xiY-uOIb~%zIp9n&3>)uwbzP@WE5XUZy`ITt{J;#&DgOJ_gJuqLjQt6QA1tJN*g*nMx}yc zf!UG7evan8yci%pmlppkXbT2%2V>*ANtH*g`I8j*=<@i+h}frldqeTJI#gXja4jfsLzwK7Ey76ZNPU=xYO!IDz_FYxS7Gvi)T z=bLq1*=!lC2V?gl_#C}-MHdzsn$MJaB5+t4;$$|K`4qez6N7hnXz}hPVsxyZYy@EZ zRy=qut6@Eo4|Y=d3**h>cqO#F)|KOTYP z0oB9i15LdoSx8O%Ocr8?<^Y<+)bOn36>Vnc4mP1T9yM!$$$z4}9P3?~g8pf2PLtUN zj3WHy)TvWdCk8x3tc2H8slYziT$4u;wIZ%}Higden?lW3VChq*ntmWz#8jjnZFoqv z9WCKItaAc#e}r*iU=eXk-#c=<=jL|rKB?EFNxgch$!S3TtfGEJMg96=*izJNw#?z+ z-l;F&`tr-S-rAyl6A$Ck=#=ia9luzGM_JizJZ$0wMCB4H;Jlz1W(MMOHst6aHxSz9 zC81$MCf@EtNYW6~VcMdkHa*M!`|_Nd4Y2^z1^r#9!GDf~pdlM6#L~}#&>f*vk+24Mw2ayO#QXbLZ>*>X`7r6-)MYL;v^gObYuiAj{# z1yTBcg|i`i!N^Kz5gNQj)rS9#Kyf%TD12JTAUh*1-H;|RmB6wn0tkwO@7sgoffOeM zt;w;#$Jq#&ED&%gZW1@+2wzUYZg%0*R*^vj)_P*XIw;(17)+T@nM!d72Wdrbl86eU zv~VmUhjMVOeY>{p+FILM6RZhL5$+YYjAQX#VRbB9)`n^0@vKDrGZ1iXSbY2#&^eAJ z$g)dWDe?P|zFkh6tQ_88MvtmdFL3YT;VeSYw_!OjVz_uRRNFA@{*bEONpXQb2XAX^ zY}bGKfdke)NHwaND{)Bi>C>n2^Ehpp`GA_KJJKZ~Ik=T^$@v~ne9ywVIvq26P}Mf< zp&x$u0lIi1<06&PtbIHP4dt`p1zkrymYS+#Tqc_ki}Yi-UKdt?%Pd=_V+EbE78#9g zsc+823edCNjv>!tTyO3s6FPp##BMTRWYbOTG}GeJ`8r&>(13B?hVd{%cmQrHOk%GK z_Rp)BzAZCe&rDC!8)y{a-rT)0^f)c{5+ugb2KB+D_3fL?t}&>nZXD`FL5?6i{J}-WAIu43Og`Iz zQ0HQ)jk2S9m>J zeE2s&7Hm2l3s=$XrTjbMwy=!;B_Ba4s06iucnju5C+G!(5F;1`lZI(H4X;sXlo}Nr z1q5U?T8&Pl*BCT08lwiJs^-+ZTA?Q3gH`WS)}*Y1qf7+@yB6N@;qnhmClS<+~8&!r5O-iVTr zS*9dxAp%C?a4`}|R-6*=13@7_O{xhH5{4sTkxC0d4029zRWdEeNR*&W-pI*7*a#Sr zWFjJng@MrKZD{ex1{|)d&UP=S1x@Zr6Q(G9DOn>efC*?;uC1<91Tf^@)=CPT-j_k!cW6RkGbe(9 z`P(U(n1~V}SV&5)y(KaRpp3BbT4IfnF*NxrU=HV z>;#n(-4m8zf#$;@4J#z6e=KG=+&>B=O8CJr_!~_?;&H^HTtnM4ZK_xHY;F?Fv^SIL`439C9+%& zXhb>ugW+s80>=m)JMhR9D5MQ}p+FBMrz8SPB0o{aAcZ1A1rl29_34Rin7*b>J^h+D z`cP-a3$X&)EptlR=M&k3hvBT1iQSr1hkmOqvUH`;JT2+kR`ys2q(O}n{g3#_bX8N4}rq{U;Ie?fS4V4f*cNj3o5;^ z4SbF*ek60~#Y2z^O<=;dW-x(p3a~Ws{@4ppg&)XHzamrtjcwR0ND6{B3wBh@h8@=w zFd+3L?b5wa8bCrsq6U{i4qy8PA&0Y~5I~dj9|8IR{mB?0V7w~_0(f}$YE~qJhIf-X z2d;p9T2MQ23bnmH)b!<>fHM6N+Ck#Q%8=k?{JtvbK+adf>0wvdVAX&5LJZ zW&b=D8+h+xHUN?;dTkt;08Ih_F${SZ?=UbX@Iwj>W7jrWGv#=e=1x4vCPy0)5u~gx zRD?iv6C5d>m$RtHe*9;{hatrkTi22`D(^q+MQ)m8DCol3in9uX(WKSk%l^{Db7!^2 z*aVx3y?WZ1I_80AKIt%VwN?l3lUz>OyDbF}5Uz=Xa=S~~y@mvB8=nFoiop-QxTYPR zV+q_$yPe!jzz^|&A>d|mEf59{oyV9w?6G(c$~^3**}w`u`Q#HI0PuwckxhroKXKwj zoVQ_m7d(7y%fg8ck*MF&;cB&X;Lz6AmE*3Zm&Zx~g_fWSG{SQ)bhw%>VsI;Q7|+io z^nos*72#P7g-9a!ZI2O$B+OGU>5)*a5GJa2ApM=ghV_h!x}@F3t-0W zx8DvZLxMHx(rXRxe*RW^Iz(5v7Fb*u&GSuL5II|s)YBp~w;qM^X zRG@yDcFe88G!0>c@qG_s{v5l(B=CE%9D42@HQ^lmCu$W?jwdxh9)>i791DpI*2nd| zB7|c)_Vj0D^aco$PCNVsz%0q^44{vnfO^PuCqo{hG3Fr0zUR)WE`@Tyod7tb#$>m_ zmMt9%m>yv>P20I;O~^+aPz^#i(h?JiCINz|H?>7=t_92yCCRXg@QiCB(mW3FxHMfD zFb8c7j=~(f`86$>=QL}{J>V=bPXx9h-f6`*8Q_~ZD*lRCjEY-~faqIMF`zj;F~X0L@fwMYC03CLd8Nc15@L5{q-^G{W9mapEix10;JBpQc$5R* za^)P?nN#oJT0w`Hj+wM@f4YFi!$Aqbvl_UQ^h}UuE0H+XKtk?l4K6_KA_PmY9ZIWD zGYO=V;5y)LP$CRK;B*&^lCoMxxj7Nf2xs1?!-=GJX;OeT>}$YL{Dr(A+mTXKN3%wSUK zLj4htMkkO>aovq7r#-j_CbeuV~8a$94RXkAfK{hds_);^G|QSi9!KL(FiO5m}C zMbBc-NOb*=0c7_BW8Q0mM=|B`qL~P@e*@GmOJ?t*J|JnqIWEpD))zXimNNX{RXg5-& z=39+gDbZ~c;2b4g3zQ*NZXS`ZhfS9zG(y67J_0XnR-|F81QUorIUhTJN%;$+4MCfO zXn%)#j{bOA2o^0%UNoZIT&pC2E~dG6O9dF|d5>JxOM}q#H9w$To*e7lhqS>}q<2CF^#n%HyBGr#WK6T`FSIOx3Mz z8xITb&$|ug1IIKg@n#{92k#l8SO`_W)VNG+LBQe;G;Wr;JaQxy<;2;RUfm=G}>U`Cu~lFcI7R*2g? z^NeI!OhEjkq$HJbm_>+ew8LhwYghryn|=GFUdSZ5p6n2eF<$={>Xk#lC6$@uvy|#< z7=#!?JzO8Uq8yx&Ixdiml-`^k4MDfP(7=Y^kV-wCu3|>O2tpoHLpPn40@#rmq1f>w zJj1XDr45TU;j&m-Qz~3;58e@W29wkUl!?B^VFr6?N?=?uZh#>YtO5VoFvS;9!99KP zkzzCPEsYtl6<9_l87@jPvo2}KD85of12@rl4Fz-BU=xFm>DsW4h|9gx};JNPAXG_aj%n%3k;Aec!+|7DmEN%K{_BY z&~UuGYYBQD22qWk`#{O1$rA(W3rfx#_cLGbb2uSUVWl{o@coOa__Z3T4C^SR}5c z`fB0hq(rqI2~O6-C8!kg<% zeHc$y%V*ArUNOfVSDE88)xF<+*1-i<57nBr97Og>qI zjaMeSrmNywB7Cd94>N+<|El>~A*i`mFd9jN;mWv>CS8p>8%dKzNs1nEj)&9G#B_P^ z9@3LpJ?SzyOsG%c(@WZFzdNmN$%|3`lo=8YH<5-5;f}X5}Z!AvhiW<(qh-r`=%_p&xOPiByd`cQ@kK)d|0z_ zY4OG-_th;aMxqW0oG!hu&dP4Y#@yo+Ef1eE{6#$!QK2o^KhNwW>G0;w@U*z(Cu8s? z7Wzd3{c|xWQsGsE$+TOOil;6F7$ZGREwC~fSfzLWNYw<$6sj|aX<2_ndIa_$J>P_l zVp4@`qnEgCiuAM`_Tx*{XVZ%b5Ob5?FE7t?)st#VvY`u2ixR{s4ufFjBd~4UvIGI>)%AnWA36 z^mt3REM@s_Zd2nRo}b*i9TYK6gas7%}fUarnq_;thFYoY_o8 zk3WwcKW0QuUapv&>CCx)Y)+x*96xSsPVV)2DXisB#q_xbZ;oiC6ffZ~LC#3LNBZ%{ zo&GJLijr1(<40`C8c>z{60SUFx(%8MhGLDRR8ohNf@@N^kg>x_fgwXykjQ{y9Zs4J zA_}Fl0v;}_UfOvxoXk{|UB`?NW**iFuUX=7ycQOz4Lia0NJoF>Lb9^dY6~|TFGeD1 z-t1B1DhU?6{Qw(rfx#uSFKre(cke_5Zv}8v4<-yW!JtFItW;#A-|F5cZr zm|xzM!b;GODw13&llH!ZN6L(CQC^uF-M3&4H*pRWZ+e&HSOr^(DM^k6%faY?W3Xo8 zoP2K~H_>jlV~Z-R>nK54xf7T1xzlGWRlE|qowcD3@Oo&5P!%k(i#llSke5K!+99O~ z7-~U!#xlBYIGn?Vs{pH}5Uh$iBO*2m7cI}jJ1J$VDv%5=6YC3FYs)SoL6|RCb5K+( z>Wk1^gaT32n)={rqS0lX8PF+A7fjf{%b6*V94&HZ0KZ<=oiUpSb7sRha%arNsf_yr z#~tLjzj54N%Az6S&T9Z!F?BayJ&4Cra_X@>&bb(#dy6wKs$%BpRb*_UA)2T&VUI{A ztYn&6u|KVkWOAC=nojVYvLv$=S3-lw8DKH)K`DJ5(*Oep0j&QCPE!N-7$neivjvpX z*^qCsVB@)xbyZlfRWAZE&=SZzXN9iRNpO36^+cwEcgo$yG@q)C9%AAz(i93icP6`U zU*gr3;MG2TV(DG=@Y&+pu-@QgNDhe%BHa-|w87JR41op^mmZzQ_bJ9+D;)69i6k1% z$k^Mle+XS<6iAHRhSf^D-@s7VoU&Abe&f+^l!?gtvvNlx&_*|%c9{xGM8-Q@Rp4O{ zO@Y0khu{f^d$h(cTJDJ>!SD!S8BKhU6Y;Aq0aPsf*+9iCOwehawnD7ypPQKzzD5@w+qqv%Qx!C@M1 zYF*w*dzwiulZ19i9+ZhqkVv|}c7h})l37SkZrlO#28|bj6_d1P>oxyw_BOXWL_VfD z{tUZ-yUm>viC-aD%;rKcHvn0c&0xc37N&c zC`6g9O9T}$6)V#4ZcpOAW9(k56rXv-+A=oNQa?8n-4);(M#X9FF^L`}ij!!Mpf8EB zJhWF>;xnu|WI;>3tB&G%600@gUC?5u*`=6KhOi1Gegxty@jxn*DgFWl65$Gvku20B z5*{2+q6)i~^;K}8x#L%0YL-F{F(_$0i(rV7{0A+3hz?FnmV&kM^ok0+0uAWaQqwvG z859u|Cu&<X zZlAm*{L&#MbDWhUPy@2rocx#+$HcEMBWjBN!aYo0ZY4L16XKZSMm5tj?tBYc2l?Y3 zTf+*V*m%(HboyNf&CM0avaXoB%;CG`^SY}OCC!XQ!qOW_VO*gzUdf*{HyQh-{9TGBBC7!+H> zJ4b-jnnel)*HK+TSBKO2CSD6hPR1}4+rTy#DMoj@bO|ca1V5-F%umU=qN)63$Kcc)1 z=@bu_CCc7p2?Dw&olLJ#Dg!YOmrU+Qz#uk^vcPnf4~I*BqBpJQ^$NXGkLQB)8oi*` z0<6VLcl0rOqaI_%!2S<@;Qv}-fsu>b!jYAJ!YP)I1A1zkf}Le?g;*6JH3s~K#&n#U zS=@bcP8=LT8`AU>hS3@eq#8=l7n;PKLWLDn!5*!EC+(<*7vvtWz1T*58ZtNo#$abi zC=qQ&TR=%CB9;?DGG!f{4^+Qz7&1eKdw{gG-wW7QI?JN74_lo9^No}l*Fn1Qf2Ut~ zGJ`@4@zi?+k-D`ba^!j$5brYq8U65cp|7yuDkz?kf8UgXVpqX^NZ{0k(|id|1H^VY ztc`9oikWF7%uLffLK!nNG+|~2X=?u6m>Cp;1Y$*AP8Ru*)Kh%ZdJ=KaNDQC}5Wyu@ zG!CQ_twAJ$H3wwyv&jBmM+t%z!>*dPtDCHyupo?Q$T}WCHT>`o(VTRHCy8b*L08SRLv#h&Ye8krG#8;Odf^*46xvgwM0-b& znOuD^5fiO#SPO#qS(Nc}NfB55x1z8!4Q7qg*0EzEUbdFlp+kGT7Jx7sGi{3n8=Ep1 zC$?(~ezS6HT*6gtVxb0%C9G#+2f_-87EqCv7|V*6`r&tI(5Qs9B^ETBwER!OcTHyV z53pqt+Y_Ez&ZW{jFb(Z-LF%7={5Oey^tVI%tFY=w^dvl(ejC-em_yC>&0x*~LAwp6LNMemiXhyq%amk6j=MdbQNuX?q;D&lkg-?h(7UQM9a*Zun^^T}jp&e><5 zefD1Kw;p@#wVRavraY17c>E3RlWraYeQl^aqB7u{CGrvQ%uYBQ51yfj_isSR%S={r z;PMQ08w}&G44sLMnTofY-Pq7Ow_z6Ap<=NT1}Af74%%_aXsWcky~vpm!co1Kr&>saAHH+1#yKFXF|=N?xy$09n!o z$p1S1f0q0Yty8T%ydnlaS|8@MNq_qSuif%31d#aD47*!}BZ46pWvTvu1nq*=*OKq< zJo$V}L{IYVU6P$2>#)~J z*Lko295(p_d+7mnp5QM4H_YT(xLZW=GToH7m|DBtlrb0CjqslLtEdb+l6{+u`<1+8 z;Mt2|y^K7vnXQP29Qyal(BI3;r`{`LkFZDDzgGtTQC@>bzexp;p8TK-F4@kANar>cievaZMW^-y=&L4x88Eg``>@_&F_2PO*h?m)LCtx#sGtw`{rUs;R~u?|IJ^SG@b(mtVdD)#9(94`+$< zcu01~_kz-1-6Knqmkd&Khf-r9i?>u2gtpTDw^Bhf#5erR?%6I)%5-Nl)4D{bCe_q_ zMERk&Qc>TEbPk#_1KVVZhq66JFP_~E14_wHmgaE9UZH!yY_ti z{s;Cwc;J% zdt6OzTQ9Lf4P85SR0qXp%QX}w0Hwv&UR^vUtJ?5GA2`qf0JFM)JOdqs0VO?Cn+etH zw%K!S$F#0-nH2&&EfGm$@fHM+1y1SQgWs@c&wckT;9E!gp1o%;J#*Q^hu0RX&IMck z``Bx=ju;+%-xFu;+F3^Q&rCJv-jB_1pCS#9oN|i#Z&kK)*Y4f3Io&psd`2^y)y$7S z@Zdv}jq0v0TU>!lg_vFpf7N!{RJEryV9d9f7?G}ZjN9mOnY&1YXNtQd9-eG)#d*%F z=4Vf~y_e=r2n5Jrkjl)ymQhgzCL)XzUHTy|m~W0VB%c?{F5G!mk7}?Qm|{&ZEnASN zOsN#XY_Ys%6=MR2ek;KZ6wBi?zgb-oU}~qK^z;HnqJ#Pr{-k16ZL(IJ`L0Ah#NzFc zMj6Iu<&Guwc%WZbu_|uPln-;r1+mIU3FXzljf5Fs-trp5yFo>2jwhHky~Z!CCT68*649OfZzhd6 z9mY5O_>hz}-7}fhhxAD^6w;yT%1e^R0IR7yip&HUhY7G zB6>SR5dB?lkWxVj9=1l_OL>pyjlJYD`I^{3UmWc{4jR0^_z>>73^HUOuK+bu+;hEQ zl-z5Rs^uk{>$X?=JHWxCulLBOG07Cpqg4@y-Kb$V+1+G(v_;|%FT#wQBN zPh+QU%cCj{R$Jscr0#%*i7pLdJQk?#Y7&yFTA4;3%b-q@C}^rV-LubR2tue$qkCHE zsBYa+8WcyV*lQd)#=93;Wf_LVL?n(!SP9@gW5waahYme#tDDkJBSFBeEg!qLXHREm zPfz>&`|j^LSAb^intwiY_+h-XV8qOOdpbIajH@S$&5WHp%Svy#W#_J0vv%&BIpfxy zZL?CUXV2b!pJ?tnbm(30`jDzVN06e`Al@6nor#h6j;( z8L{0&o6Bef)Je6nhHI?zpK8{zNb4yASA&qr1v>$!eU?$^(fG6yxJG&Vvh}Gwdqj!5 z@BaOtXf9QGhfK*ulJ7oB&mR3K9W&Z??z|;wKOidOt+(L!*_Bdr8_!;jFrtrkIV(Na zh41n@wvq)urzMPTD-X1~o8#%LIdX?!ml;$|wik2~&msP3rWP=CHs^d^p3>1=)1z*c zv7H0ReAtXJf{&e6)gaT*5=m0&QA=tBw=@m*`oSaA6=?tn#Mvz@k+49Zk}AGbHVYDR z!%D6;A)_J0 zL4o>af}f*C5z8Vp++zHTNn1Uj4V|EoYGo8@x(fjE%IvtUwt-b;W`ypV2!auT%|p|& z40uGaIwOHnMbZK_>nm7~}k;vvc8`{Y_*s$xCl)Qucd?23BP{4BCt{wD3GZjlK_H5*oX1oh>xp2*Oj8MP z(f~yYjFbeFBYGH4(a3vL^fLUB27fSrN=aZpz!pY27O3k;b<9VV^qL@AohfVh7I3$Q zb{B}6|7Jim1UL?@Qw{@_bmJp3$kPTv(?s!k;bDA2p0*;Zc?!j5=7~gs1=%FVyVW=Z zYD`WXp6Wd%Z61DT|NZxU^n-Woe*gCCwqC`y61E!GcEvUG5JwsOdYv2~{Q#^BI6ic} zcNhd~HHJa3TmME1;S`Ozrtyl4xeRIvPuBq5zu&A0c$me51L~bT(-c(@?Nq2MO0km# zF+=0kc-wnj2m*>ZngLA7Y1P4A&~l`Q5C`VHqQv?Hr)bK1P_fg(-CYHV~O+?7(*k`X$VWIQIKIHtG@uqW!hR)Y*LtffcI zRNcyZo18%%=qx^!x;1mHG@RM=K+CSVZI(= zzHe(3PWWFXnS&Tb!gMmtXsc%6b~TB*45dEgVA$};IBJ$@bm>{r)X`5 zUA1kQ;OyZ7^}&7^-2^^EH-ubZDru3PRSi$c#BE=_P^2#0Jz2*UAXbb}~L5wUtQGHBlYfhVX z+LA+WEg(p5u>B{d9i%Sm`Eb_V^}@`e?=A7V7M{eIZ}t5=h#7)Lj!Z+PK*<-0F8A)n zK4?ed;Y^e$Ic>icD|8QG8&;5IpY?_YVH(Xa%Q6a1q>@-dWX2>+nkG+0PR{p)2E6{& z%DFtWQgXU^U$kZNM3rC%$W#v9ucHSDmlLh}dW8v>r42g6C4^Y)BKk_K2&{<=ZAcyi zmPl;M&&0&8DB*E9Cz6U-d2aiPfYh0;nBMBS^v1C6M;4oUCQQHa#<1?%Wj8tDvMDRS z@aQ!(D?(1Wy{DM2)EFKzSC*rwvz!Fwuxo*FCTcA1LXB@C75Oa@b1 zv?GX?28Z^z*xKW=&q|J)wo$3ORI;k^2DMUL$mQeO)bETgUNPcd+2fv9MTM;zJT3W6 zEPB1+BP*phjY#8U(goiu)>S`wzD2D#G{CsZSR`-Q3-DdD@4`;)#_qLAdj9Dl5Mu&v zX;pprFkTF-GW`pR!zLSbZ;u))Cn3pKK8?Tn&>?22AI21FHQ;G9Fnk%9K1QnnBXhxo z8Et$`sWUKkS_Pj=obx<|;jdcGUQcC#!EE)%tiZED(bUdWrPZcdz^1(J1Jx?y)R5R` zDM>Y%6edbfo#p@tLFGXcM3A-{X$c8;URTfNA$Y5O{7V!C)Ln>^OH<^6D{F2Zt!yf% zgS3MtRw?F70P_Q-zd$dr;|Xg}9z^_&szFZosB^JxV)ZjQj;_vI%%c+!$c1&eA-|!T zNYYG*5s^PYp-?eSC|b~=${_ERl3@`Jl8*8ke+t_m?P4hLhSZ!G^mI@ zT1puUw79-U)f20KgKE$#?8%uy)$#y;$YFOi3M@btj^k3jIi+$t>!(bGc+vMq8>XW#fse`f)nAFlMLrJ zx)`yf6+POVp0NAid8^KU>2TN1Hjm26`Cas&#znHXFut@V!BF78V+R+VGGm_9ky5!2 zs(@`^w2Qri|C5gOn}ojIrhKrjRs^`kX^|7r1BL)ksyl-P&9puuf{dy@5Nk11D;a3A z>cT3kPhA+`Z;`b!9WXiX#M?*MF#V7lH^e@!Sf3hEIduhF}oaQ~h*e>u` zXVy7#ygzpCG0*mv74N_A(oa0}+$Y|Du=Viaga2~lGYCoPI&2@5)p43nuY%y$cTPKL z!pvrliQdy{Y{{c3%Q7ww?lYJBh$nt64h+k6VEFNn>6(Hp73-14{4l2#V3)~_fOAA$ zomYl>1n*fLl!h2&m6Jz3u%f&IUhR>k5M^dUWt@KRN zIg1x9=u#)?V@j*TTHDq7FnXp(I=53R#(ZkESc^F5o)&mH=@vmHUZFK#bahq&Ezzb*kLs*B z^Qx>ls&V-h96)u>ZTES-Xe&Y!{AH^gOsF)~UQO4_{4hEsp2y0CED)W&s`k-9`t~*r7*l30^;AAmArlfk> zgg}h%n8vS6eH}7-sj3Wz+qCu<+Uw3x$=uaatDE$7>~H1u&auP?+@a#uJ~mued1CDW zD_QQt@Egc|8DOIO5;CWh<-RJu9?yLYY)oJw<1)wtp;|yC0jN68g`9_#>(32+(Q|#D zau8YXJx&?nhAV{&ph)JC2F(#Qpn=dm1!~Wkr8z5BFIfBj_jK!-K(QK|Gn1m$3e2eU zWadeB*lunQF}P)rgIy zH)s7637T;&3}H+c?a-s%&42M^MAUuQiM=?;&|oJ7vW?5(3dzjY+>W=y&NgcuP}*w9 zjZ$i+HIAghfTq4}9Wu;Q05--1E??u#EY?CyIhpv?W?XUXr!nTa&IRE2Q=Q-y2>K^!cHd#jZVTKC8SxK=87wzIjuexY+(eaH0rj%f`Y(@@#7MVC&i@0woU zHLanmx2Wf-&X&fG*2a#O$sH|?B%9_=nINhJ`nPfcVGcYW;)TzL56*l-~S-WubqgaCIPfzdGp%87fGJcBB($a|E%QaVY3PURUR zxnyZY9_TdS@_6p`EO1tdW<$+Q%#nY<)!f8%bVhTF?EEV`C(F8F*=f}c##^A2D z*EjMmvn4gEn7x)0V=OhdHdSen$(g?mRicvEzfYyE;e)dFW$!p@B&*M;+S`l zuJ9|ozt(q%cFo&GOw~X0{&eqQ>y{PU?)}2|(}@fR$3VOyRRY#c570MC+|omq^LkXr(Bq+?vF6T^@llU+jlmC-mx2rZGaRO>Lr z7QRvw?XnEL2|}hX`D;;~L}0?eKlY@Tm>Y~NA^pO(|CflzIX`p?1MSEN{x%m{kdeJJ zB;zb2E2Dluewm*MHD*a6Lm&MKho{Pr6E27hiD~+ANl%IjMF#L8NKqGqs9&-Whg7iu zAVAdUbO+KKhM}8IO*fYnmOwT-g5|_Ved^o6%az6yO(sQp%)cZ)=;GuTT1@q&&wuW7 zpZomhzW>TAuYCWNklL{O`Hts1KIMvpFD)ZO>$0U2z>f*z`^kl>FO9CQt{z=YT;}a} z+&c} zFyvafcCIJao12sCBdUxYcVvIL+;A?;7&1caS`o5Co{%?`6Y_=p$ikpjox&j}_z%(v ztpA(rnUd_7vS5*9y;(GCMso4|*-K_DSU4kj>V&$c38zjTVs+r8Q`Ontjho2Owqu%C z)jKh?TOPVLl+>xu;9Yfq2t|=gLKid9cfn3nOA>-M*x*^o;dg*uHSh6;`qarMpFDoT1h8`)ev1gxl`Ge* zUbFUH%&0uDef#zuH{L}2`VJ;gm_~8u{0gDt$gD}s{~oaaE0G3 z3Fs_`+zbR*MYutmj>NbNYYBHz4-zwt2!!Jp9h}rb2G$a08*kyCpYYKWD^L zoDn#Bvfc=Hn$5x%G9<#dC?^wPj45hN6VI!m?(GdQ#_Mq+;l=(Q{8ORx!wnwiTCZ5C zK;ge=_r)e7-Bp`|{#jX4#;h;1C~K-}QmGV<2k73r(kspi;txMnF_CBqqAem3{92I| zEI{Z)Nu)qkN27EEfv-j4R3ajjQzpGzLVOAy4w9&F9LxGN=5mwhni2#Xt!WlR5*Jsb z62c-Jmp;V>k|3}xVBpLk4kBi18$QB(w4TTzjJKMEmr_^E=hv=-QAH04TZ?mY)Tk!B zq4@IXx3P($BdQ9MIw2XB?7Q%dT#+lw`V(ltO11=Kb{JwsXPyv{e|~-)#Yrxt^F@d?@%!a3n;;AU{PmeDmRZ8L@J9BTnu7#g>Dk7Ag0 z##J71L~*YQzyF9jok=PH%25@?#eeinj>qG4FU7t~Fq}cUloG@I4Wn+<%B<32VJmv> zZuq@=ln*d8RG}0kmB3f6sP9HUU9e31THs3x(Ya8410AGt2no5`y2z(pFOFKl=3`z` zO$52MFfqJ=yC%m|D@m$?#_!Z+vW;L)FxX~S_;Ti`<+67Sy}tWM zR}ouHYG*((U6>HdpEJnSL)Y!$sD z(FQcii;ZPbV|tPh80FV?t5j+%uTybhM7*+5`T2Eqr=EH$rhhc)RncO$5K~P})oS#q zr?T0rqM5U_cfg)Z9*9LMw@!`n(*-NBQ}-#AyPKbn>7UjjHKD0#pJK<5FiU`aN0t8% z`kt3ehx{QA$fq_EDN^aP#Q2lOn_mC3P?|REm|4WG9Q2e@YQrkmLX3#QHt_sdeLkL` z!=WnN7>)51-&yCXzgO`cgwWq>_#Sh=%SGXM1s3abz69-QbZx*fVT?d@n{+ypM!7K) zWaH{~Z~s5)nvee=%Fm!?Iyj{ruao2W9D-7upc8U(oiGE(&Te5dY=!NxC+rR9gnff9 z{0IIybpD6>(?+wMsjCGD#gqy`9+)SY&qw_}{Vi zN4(B|iPzcmIzu^~<0$`H1ihWt`D$|q3wE#IeU(q`SiO4nD&A{-s=K43V?OT%KJ_Py z=&Q4NFXN53XW6;uo>!;3u}$8~`zE}|_?huude*F2 zyY^l0TDR`P3)f$CaRz0uxmZK)o29z5IOS6}^uZU`eJg`Lixv~0aSkfK4-49_g8SH` zP3`IfvdmnXqi^89<0$3{c+tL{G3^tHMZd?SdaG48L8 zXx)8?#z4uz~J;nKS= zR=%|=F6QPULtVMBbK#=JXD>#)ATWB3^?KVA?qG`^h1nhr*V|&%%2#uY9d43e9%q9c zL?U_eHa*6=M`;{K1Cm)Qlo_}_BR_q9*quUO7meiQMN?i?q_kO26i-pctY{IgE61C$~F1D zs#2zXXNr3&pjy4&l9F*MOxdEMc)YOCEemSVt`&6jMh?jfDNPqd$|f4Mr9|7S z6~eHk2QPGe2A5mYN42bY1ETpoj-wenN4bum^64wDyAR7N-p$dQo?n?g+I-&DO&rCi z-ST!mtX251_U_$_7oNKv@5Wk%8w)b={@I?a3d^dIjbx?z(2&loC=sy$Q+IvsxEfw? zMKp1l?Bp9SYqseAF(r+LO2%tpGQF{IJn@*d@yzBkTUw{ARI?VG(K>Z{+f-IOv}rEf z(9+V{I%UdbDp9gX1P-9*SuDo>Y zNn>kH9)GgSky$N!u%k_)1bShB*=k`>XdfV5<+6W&mgH!7s#>*a|Ni}(HuXO-h*!-q zGIeHXmmJhhl<*<~P?5HH)k3>ftt{+_^BbOnR)Wx*tUYA2R1fie`Qf8-7wP7;-K?m_ zWmks}Z*t_+Q$uQ8T^*aMd&M~yk5BQ%fI{24g+FKV4?;{jSg|)9PXh~d@c??2T~F=#E3@m z74u7)%X5f914}Wuf+ye%&rUzY@p?+78(6ZoQ-qd8cVv2+_PcJ*p&fj_-9;zl-;3jP zl!7itCbfUhi-!M0m+7XOe;sS8?NHkB2G6{%m&fsaqGVC-^X; zW5P%*`R$9gExxFG$;H=QcXrSEtpm<%>$#|V&&Ai>ci$yDmv8EKruf^J?%cKFvRx}L z-+li6O*{LYVMjd%C8Mhi=8HZDv^z4c5Q;;lxWyr8Jk7Jl7&7N4l}u+*EHpYs2(^VN zo+8&5T|cI-&Mk4gXSg4+ne~O{=9oDuCQI|<%%w!3m?$wyLS6|dtxymZU-&$IvuA~V z#EHyO8PrnEv2R01C*mm1gr)U5htJLMLMABf8Eg6*@l%%2zymyE`Pw&Jh`x5ZSt)sZ z;*WE5?4_A`fNO_@^J}M{IGmSHH}r&qOgci@;@ZC^ff)D7zdMhgdFbvx^H6}O>>4Ax zog`&B8Z0|TgDRa>r`C+AUCt;1Wjf3zD2IG6$T;p1Sbjxab>6b`majOUTxGdYl|v%S zi(TX%BglQ#gmDvU>+0*WC&xtby{8-+pW z5DE^nDu`5p40I1`uE)qz*46gHjJgF1(-3o!-l?2sPL>PG@fo1kFxv$P_X0MUB+*D6 zh9L9cx*bdu?iMaFAd#R`V#KL-6$|i|x3nAw-g+X%+|i5M0?0D2qUYD@SiZRu90N!N z<`571TP?6!E>H{Z(tFOA+4ByNKk9yT>vNn44uj$$HM*%Or2GUyp{b#46(+!O_ur^G zeTUQJ4vD&>Ofz!>nT_c-B8kXRRVX*&4v9#&Q8pOJ)8FnyTMMJ?z+}uQ3T%5y)fA#O z1h)N}ub@=lC@J8gcKY8@Ysy6xgh&>lXc5z>zNqA?FoNbnAM^B1kAiJj#B3T-NL^?s9CGfH_%IG4W&?( zkFfCnoP}qGv#Qq!3;!>$aLzA^T;}{rafUgs&Cb;JV__(Mp3KXN-WH#BqC9){pRLAW z3tCG3>Fs7Pw`2>H0{m43U}S%%UsXWIpZ9jS?Fn6b^sUQf+wy08k}cvL{z96+qhHZ? zI0-~y|9A2$DqG>bgI{dz_KtoRh_*bC>7uYX6_oe4v9Ii|}DMem<^6?|j4p&ccHL-VZT}v6Y z7;jz6sOoI1|I*KBZnlQBWxw?7Q!hXH!Z)5A^7#TOl@8jEfAN{;Ui$LWU;N5Yg?v$! z4%tsU`NbEH92&BYo}QcvmCm)l@%&3KKKsK5*}SQN4B$B(@5 z)Hhyy=8NALs-A+FO8aQbGtWId)M=?F76t#q+2=1+=8-3#e)_rNM?Q1JRHdfb?j3f(fWW5Z2@;dGA7pLX| z_rf?XVru2G>jzzy3Fs&*cbDmJU^3nmlfd5 zZm_KkCQJsb zs7{%eJ{z9D^VzV>a9>Saz?HlH=?mZdg>F<;^l1*Rr6aPQ&#LHFjxf31@5n10`9<#$ zoXXNR}6GRY{vR%u+O&)z%`bsxJ zN!bn>nv&8dQ$%QpiY|Ezs!7-Lz#g}+FMewxG3b*wpc@_9utC!aE4TX5iL8?^qmVnv z=sM#EP|Bz*rDO(tAf*tm6Mue&?TaN{{z>SPtbSuKm(h(c{z*Vb6aUr5G~53yiacDk#ES(;TnQ3#wfDI@z$r615V0#VGBed+oLWv1@WA?V}0EPe14 zGA}fcJ_aBOgpmPRzPK}jqf{@nWJ#qQ=sKOb%q(#X2PPTZrBc@S4u!h{B4&{#IKtgD ztc=qn)r%O7Q2~{U2ZM^RGgP}z1tk{?GoW%geUqJoZ>bfc7!g#^j1F1+TMDYHjEtaq zd-6iSR7Dp;g@95oARRBdPDD^$FNr-7PcC>xdC+;9$RL7Cv}_W(fr9HS$m-Yb{H)NK z5LtgpNpLKkn?PpG&G64K+DH0KmmzeiVWh*vB2hY|32y-h!^j4f+5BvfmcZPJRuzWj z?BASt*9+ti0C+i&5g7f3Vz9JurS)(Oetkb}fv5`IHCicHj$**Bmo8I})of0P6TB_q z2(Bui8T(v2qb;E72`5V+l;{l2LLQl`O|Xx{#G!!$Cb5<-Qs|py)d;PO&Pkvkl%i#d zO5tjoW=s3&=oDwQED}LvwzM_!%TCnry*H`N@cKadYr~9l`q8%<-}GYxC4P~pg|kG} zgIuA%Vu*DupOf7F>aQ7=>5WH4;=AB3B{IR014RBIz2WE5+BA|qZ6~__P5o11@p|Kc z+aI+F&ll8z1RQX3?Kb|W(;J;Hnd35@<$T@Ko=~5)$*^sx3lpE-VO*uVU{x*Y;3%8^ zYyv$p{maCIzf-R}Uw|Fb2NMq#8EH=g=|@d9;b?KZw1BCTn9hTE0W z(w>TYQ6DQH%{PU-R7On>eXZ4JejyFvj6Zu==Nn;_ zE;sB*ES^9@)XY^YY1I`8-{oxLeZG6QtdaJ&{LEMRWE#r1^6h+2zBfN7-)&!*Pqgm5URS`0Uh$7#PV&Em=d>?qpE_gq{MmD6E8n68Q>M+CF+Dl8E4gI${An|i zXU~{IJ{T3MJFTuRnXFAF>rQK&q?Ve|&m$EM@xF`qPTuzd>1qmQQW?K(6R*yL1_r3J*NAdc2_+6*Eb;6pye@*K^Ap(QcH)on zk8fg}HO9Y2CA3|Z0o%^EydH5e#IMztNsNXQF|T!+3=gVvxtwx7Wi-mCugsJ%H_3Er z^II}KNPX$B)9U#o7fL;s@`TaE&*XN9P+Pva_xvFDBneD{9zB_ww z*ll<(b=IxTiLNuKY1I@*JMMYQBFMm*;Xxz1* z#c0-{nfh`5)Ay#WnLrF_v@(~3pp6p7wd7aqIR0;hyeWm^Y$smipU{mH@puv(x3gpF zNx)8ag5q}Ki2Q>v#HSE1hX^%wLaL})zMmPs`6^C!&Nvh!nz(qE0=0+*9V8IHgv6J!o}*436e5y)3pLLb`f7Ey z61YcN_0?v%xmJ{RUx%Hb4R*L&9w(?t7?SrmPkS4k%`W>S)<`uxY=TE{pSp(mV@>pM5c_W{q~Co_ve2};|(AA5Kn9?UtHWkdH^9=9b` z&eQ&RPJvyeNf};!F>tNs3E_~4WCYohlb72gt%%Z5aS600#jMBqlHmzIDEq^w)4$HJ zMK8(QLh|P%SZW}K$|NE1N#*bh4A2H~d!2fttVMd>hwCThc?cJ%vcAS`8(}u-qgOaZ$fos8-4tOK^fqZ#CR5{QOyQ1*7z2W! zf~2bmq>8Z*!w66dnnIKo>7M~zs=vk{g%`R3_EW+{!!C6o*-iOxVH^eVs zR*1bn&$-2jx_l_uiX+4KkX~s)Fk%8JpkZHDBP|TCH^maOCC{loDrq=rMv4|h0huT? z8vUMb7SHc7-y@5nB*m0o5gmNDePhFK8sU{83c^95a>m~Sa(kS2 z+vOR-l}_)^kY*um6fh11i9g2A3f1K&=)?e_>2k7Ly#)b_t3^v{hwKmqQ96>&FKbo8b>&|?SUu*lKBK`EEj1#9C7+q8F7eJ zU*y{x5cVo`Ud)L*Z$f-4G0=vLd;4R20@D=zA0tLZ>P(f}%xPWYjF(YQite741 z#JsVbm@np!{e#+xI{)L;8JKuelGA1^nA5%_Ic>_^xygAml2h8I%$`4C!h|96Kh{rH z$IQYWLv8%XNAKL<{}dh2YMRxz#H z>|Ec-cI33FBgNjjT-jLS?shIY>(r9ADz?$>_iUY;o7t_L;$7p|Tt;_53uP|yq`$4k zdT1HR;7)U5#=WE4a6N7O`Zr#D>FX~t8lyXo@$+ZDIC|`7N8KSyPZ-?=9&GdnLt%eN ziY#aDVPm|wv@BlA&%&4fEx7TTt=qb<+2&V8vGuHknW+koeJ8l_$k(2J=E&22Qun{% zNq+-gZ{ntB0zK?nHIsSC4&;rN5!poD`A&JDT{bUd%LC4)(+NDfGL@@WQnQ%4w6vt8 zgm-aqv8<^!$N))Fd@}lx+R*#FBpx+h(tDcd9d;gKNW^8vrXRs(OA`NesC=gzduL-J zCB&8Q5*_+KLjWlK!WC2-5~SL%PXsztg$qspZpR@^*)vdRbP&C!ge)YXc1rI9O##ZK zGMft~ZkO**xfdRg@AS41U6BzZ4IzpND}vZk2IW&SbYiQDz()9bxGtUSiZyw{19a|Y3Ozr#pP&@FE zdgjPAiiGw#z>E+?ckAOz-+W_}vD8vaJN9=OODh8G8cG(xH$47uUOZ8i%BxBpJN3G! z@}BAjsGtZafzu$vL%vX?pe#`pNmLQC(8C6SDLHE$Ja+fOqN3VGk1u&r@?LiE?jd>P zgNiX#Pg7W9<~>}(0s@wEOD<0Dr;Mqr zJ%xx9Mu%#q-hLIu!gopQWUuZ{X`v{tpC~R0tJ9jx;9w3Z@1*=>QB##F78al%B)zEH zl9Tw^uY$QNA_ctUIlpHd?1Khcn6`$(2kEBtA-F{z$>6h%iX+DBsN5>2E*Nxjidx9u z5K#NrjfEB6N7MzhGkpm4iius0v&{nvA{V#FhIo?N^&Ub>Bv`~UM}^!S4B6W*bhWAo zkZr`6p-OV~VAgpSW*eiiV#7CkUsLBWysbff}NmD(i zYC_Tt3r4Qp!5$^hxeYPXAeV)v&J29^11C1zVdK#6 z$~9ErswjX@7LBuVoBBF4__-{Dr(WRYLWo%f+YK+EMlbL*#!qF_GjhmAGCYIvVjYw zR-z!i_S$O%n3b&NfE>E-rLPw?tpECpFO@W=JySnPC-Jd~9!h(rY@&OPh)iN9rktbV zM>bOAW)Y|s^44Ox#6{{e?UVfF=F_&9ZMZeLsqhxD$DR8E0ztBEc4c4dXVLlqmZjT- z9Isx4o>ECC?TN;`zxEV^hQ<*UK0C8bSwL8+LtRUP;0)+`C#e`NO7mp?YK$f;jDxjaLTDJTmwfV{VK5SqW=h4^4 z!avhnZ3Us*0NYSru0{oaCoMlaaHSEbvBT%uPK}*QDx?H`?e<+&zj2%3yl4cRxn`S; z?i&z{p*llBe~#z7qW98Gq?(}(IYI`IN1{Sd9hp%el7(F0nsGVPDKdmljp_&unTpV; zj;zt2T=THA+h_n-jB|uoH7<{LC6(ZE71w{xG`z3*L~b!gyqFQtGN%}sGYXkQcZQ^= zMT=fdKNs?20S6D$dkNp`xD9jhF%U$rNt zuDkh4tJkUy_eb+!ymtAc*SyIGNDuPuJm+;) zy%8u^X|+Zpc@=xCa=C``nJ$#m%93O1&PFWK%{47sx9+Sz>&`n7Xj*Ez25jf*Ds89! zUM;Uc@!yofNI0uR8xx&d$6>Tk9C9HO{IVUj*szGH{jw3dK1@ZyVo3t;U960UMdmGA zRIaTJ&M?-9&1KW#TF5PHkv*WZ_omB?B~AI|&CPs4rEfKIg+Lod8)&nm*yI>eOs8-D zXIJ~ydW%POYMq_!#Wku2)Kt;WHQ)%QYUbDIs&5_hYYE`JTrgI)D#(IA_u3M?QD~@* z2cw;GE_j;=s|m&hM!41BTXCtm*}sCoUDLXbjW%`lIRK%nBz6GQo0$*oP&=q?EBPv< zHYF+CrM6q&^cAG6H3 zQEb`A&S1(~!TC_AL)qR7)j;g#;XUwWlAFi4;~8aTG}mpMU|y>camQ;mIWUTfPsP%w z`^-~RxCcMBQA&YA*_V_Z4L_y50vhRoW9e%>*6c??V;<_W2C{t`kTm@&j6`D#i7?7x zO5G?bIr{#Em!s43a!QKE$PU$7>(8G;3UFs44s%*KUp9DIEqz!C<8%8p!HEI`C9=B$ zPrSHO@9X;G94eRXS2sojuY_f%QfbpIYHBOlqH_0`D>Y(6d^8tx!5w%8C}SO9<EpiL#L01p5Fa`++Kz%8! z%ms8$57)Y=F46{X1F~D&NC~8pD7=CTXnwz=A5JnNNG9o;Alq6a+r)*4O!=%z&=kGp zEW6>``GZXfpN z5aD*it@oR-&Gjq#fi%D;#sD9p z^iV8#mmiluKNw!E zwS6FYt*hv}qoT1Hgyt?e+}|?*fq5z((H-Re9heW+innG$ip^ppAZ0{=SkM_57335Y z5-0_Q2I|u;0)NgcSPMS)A*a^c*&mpFk-_+TiFYr%l!vv}`b{xV z1^olYX9af>NQyuPf{+VZMsPvoBHoLJ!k`Q~?*(RkGGP}0rUjxwSb8NQYWr>B*Hsut zP%}uhT8$EgB&D8bRBr9im)vLdf}fzHAh6cmGkSa$|1NVK4s2s=VTa>hz#WnCN))Jr zeU1q%t@cz$Yd5-Ejds=N3O*`v1j&~Ifk5IK>J1Zv(=UfXVa!tH;c?HP2^wC;B24TK8c0A@70!{_w*(?I*5+$vv&U zxY+i!9X;H;RNq^A_GFDfD0KF(*iBTL>W9o%sXX27C+l(#^hcym_rVch1p&BfD!?YD zsn)rR)e=0;;))aI@+cq3B8o2CjfK*YGXbBMJ#**-BVYOPh3QLO$m!=c%Ul}VtO!$4 zOrXq*pj0*hI2Zb;Ig2xBSt5_*KLFDSz(^p)b%y1Q^7h(lwAaG8<6Y~uJir=zXw;Cv zPdBJQKi*0WG?1}Z#n!t>QxF3mT=kL$25?oYq)L{k+nSQeWD)NsTUDrJO4Z|<1`nE+ zkiAiz>r0WfGoZd6!Z{qW3ku3?yP%9&eA`yDSVYK0(hcri#dbf?-#;KI4nw}dtQhMB z-MpR|``^zlRbWO8llx#M!J_PcOdRtJb*UK%Ut%WER2qyYO!aSO0`QK~>*^5OwFdk- zk}ecCQ2{;?bE)6Ds7M|<-Ne|tcKa0`x-;fHU}hA->_~z&>t)>{{)fys+N`tm|H%{a z{87e?n`>T<2={^}qUH7H<^OD}G>A0{4o^T`0Yg{^^;7s=j*k5qpFb|dGL?Sl7sr--zUH1AE?vEJ@0&}WJl4dbhHh=;>bWQJ zhUj8OxdMr6EsFx4(R;heS)83gF7L@xIewM+c&W;1+1A}tsyw4x(4g04C!EVqcMF^; z1xm|Kmjci1r9jVpAK%;aala}*;ud&X3VeL;5eg7kH6`$4+afq6M~&*hg=%EI+&RtZB^?$DdSDn4jxazdHJpAAbAg=bw7~Uk-nA-#s6`}~&d8^-b=~dl3-goGoO3>$!A}F<<+15^0&$i=9iYIYA2u3GOOd9 zrK>N#e9JZ4Z@u$_d!91LXbOYg7W}b&vSGwT*SJLFTU12DIA;xNP@Ykd*UApj*gb)m z;<>^UYf=??m^#H+F9Q!Q;NTAi9i&Una~?C&6P%A(CdC6=EdEnR0&qCjc@-_ajFiOzfo0J|#BrSzygbS4;ep0)%Yb_h824jQfXO#hd4$CJl;iM<~W)O74GQ_uCM`zDoiauBagVh( zf8B-`pBnx9V|O0rPZOb2XG#ZO!3rir^lLyUQen-#8 zNpLQd?^lJeQEwndL7z^=^5rvHySvNOF+((ywa?t0QLj3npo(cwOT~H=G7CCFso{T> z3Y5v0iq%k)`;`u7*DnQ{)2up+H5`P_q<}kuzJr@A*gpl z;G>|_)l@N4vs9JeU#ZLw`^JjHjxNr!l=QP~&-|q5P`nM^3YEuz8d~|DQ%3ZyCV)*D z;tISew9m+8NumVyYpN4Q43()B!e|^YCe|fW6IC7DXsa2*i?*7WOs1f~WGY#gOjZo2 zb+b>`DicF>M0`@}s2L0nWK5pvW4%0Ergmj{bP(BY@e<7X7}4Zrh163TB#Ukz{}#u? z*N}{Nxq8r_&0uXa!uo-%P0G4NNy3Zt`P<6NG*@e$E`^hYuRkDsU7d%0Rg5*&J5J)| zs+PeLqpDg|rD{z|FdD(gQ(QwP51xnRHRV;Y*I^R?LFcE_EoenBe3ku6OQJOT^YxR* zbi4ZTeutKS6Q%pPm~rG0yM^}|uE0dakViOjBWRi~i*SGDR3PYT%Y8uf-b_$N8Rw`V zw^S;)CR0IfgH({qk(;D~((^IT%Y^APyPiViScXLhb6bTlHV0jn%krzs+<{Fl%gcig z$9vW?xq5UN?6EEz^;HxnA$5()8{eWvFQEE$r?W@F=`BQj&Eo_;!0|sG<9If7tmwDw z*)dU#{sEkK8sTL#m8C%?uuq-Bg!Zed?y1#JeblJy>FD{astfN3SIJFJ!G|+ZokH;1 zDmC$N*Wp8k8B?v|F@ld{YKwOHJoS_whdP`^cgrBklg)Ecqf7Mk>=i?cyhqVBICTkT}I%L z;2<{ZFYKk1%H3~=->@Nxot!`0Ip`(m9vMymcosoi($XUPOr;ufa(n4Aj7|Ki3?ft& zr;7IqRV5BjoX8Hu0EWg1u7>G-t`JAq0wI*#O2^qbc*5)4D_w&Ar6uwio2l1m3I@Yy z1nN&7*L;x}nLf;DQej=0khiyi61@#^t78cAoSQ?q-oxrgD~QFB$eSR|4S+&TU}u$2tiZdFd(I{^(Px|3PhJpbrT>-ouCD3@*( z*s0jM8Ax2-CAdhCiRA(f|6!|DYP6iFUwrmCHQGg_gNujY^!4@-oF2YVXlqs&78C`w zh&~kk8pMGU^8n&v0Iyf<~rd^P&hxa|oJ?Of*zVbb(!c?2cL>ib7(d zTVCY-CUvq)qp!L8cb0*}>gNMly^Jg;;ao66s9Vf{O@w%8>dxrq!8~Vd2*yc-o2c`6 z?)|EFF!zozOlOke7j6fFsjv%%AI(}%-!?Z2IN>riV!v!?u`jcP`_!C1$**}k+oKt_ zmiZ=;|61oyptXvso=zWf{UN`yeyLI!ML)yB40w+EkAV#CGAgEzEA0ZjrK$xHwY>A0Ggpf6%cS7jG9U{%cs#1h$ z6wctg<}_6G(K}(Z#W0$jTa2I;Zfk(sW~vHdCYq-u`DrkJAGa-r+eBP1MqIxw%LQCU z9mH*cErv++0gTq584U;>r;Yl>81dd_HSBl^jMnaLwve^f4l65rKOw&dTG7JLFI&g)dfN5@aEn0pr#c8sU=aVgyAh$eN+hu1;iVeQT<#U2Zv{2{Dm@Cc~YJ%&#a(C9& zra4vN+^|~bGJTo)cvh*;NOtPTccr*Xv|BTgux<+~!%iwh8@FiT9Sj0oh|K^Kq{96D zm>O>k9c1F|p5j!Yi)>OK-sbA}uGG#)G;6(GbMG+X8_C|Q<(E<28r|QJk{J4-469Gn z;yVxar4vNw9VKuIxBt7S{G;iJ?Hzh{<36j^jn?k((rOp}FH=_x#rhcyzX`)p`##=9 zU4L@cnQJpDz4*GA#WhW?cimlCwVx|RwQnL8L0`FAhQp9c7empHBSu;t*#971Hd-jX z6G|6Otq^rwSYa(}Ak)!{VJbPc4aOE$*o0j*6ZV2(YSieSZbQw6{W@Ty{;Zd`;{{c* zGsAg@h4Y>og7fmGy`b{iH0xD}#W8vjH=PadwcTXot?n?2*JGZ$yqwoO+mL%AEAPhc z!O{~Qv9}===mbRMSE+ISv!qQOTraE68=QGu z!92_CAN=44Je7C|km#=e%wxULiv(r1du*p^_1En)jy5vvQ0lkV)#>^&wRtdCXO!{dYf?Ah_X}+lRa^kTWZe8% z5%i#+9!;mc>LkKOguTz%<|^G~u7HLEn}v6u)}A+~r?0O>Y!_gyXZZ6yDA?j&bJc6= zH|T|0;Z5r%aPLfX*J2yYi7yiEQ2M7i`gaagmT%Z){!^vZv| zJ(K=c0uwcHRx~47Ydel%NNNHX@$8bf1V{@B3z^HOrhGnS36=a7su%J{E$64R2h)XX zFO(l{4c0*s*-}*EsO3|Fs^zpckVR+YPW!T@wP|WvKhh;EgK`)$ehXP0lLlsunPqxi zDV4whTPWc=c8x16QDalbaBP5!$7!&~$w5@w+GEG|sn`HvCyg8A2Rlxw<(`dowGFj0e4&l-3^D5WP-KEG0@I69D>kh7``&naX8BDaOyWAdY9~%CQ@?o| zKKae_{awto;|sl>tlVIk`t6Bu$Zu$7h1jSG=s%r)4HuQ>0nGssNL)xgHGaJATJ4k4 zf=s$_{CK)>kdE5hK^e}7prl6GhOi03&xkIcE4tGATt&6m`bpNrbgj@Kj8OXZMi*m5 z$fTX)_0b7pe^P9F#`M(1hV=bZh&cKyIe&Wk?9gGnKYx)Ks>w(+L7krJMD0EC?1Vq3 zjjtq2pRy`pjXjh~`1bbSaZ2G3e4-l~e!$B8H!JrxOJqx%E&5Ojf6qoL5ci=wO(S+4 zIjY3sV?eVk;Tj(UhPXm3x+wf`oUxavbE!ehJQ?O(nRxl7fe|;r)I+S6;@Z{wTLS#r zX>$Yj6dO-23+6j@+4+~Z_RrWk11-F(^y3~kKT~}I8eXp-x7Rt-J9RUvSA3__pK>Q_ z&K@vXvn9hO8e#{{)SQ&zj!gt1Y0}pdGRL!tOvj{py)&7z|7Y#mj6+iUOtdWDqC?nT zdP)D-rdQz}Lr$2C)a801Pnc1@T!*S@2kroe$zVG!>oxgnS#p1l^Tf63(Be^1#3fHJ z;vW4q33g@Tff4;=$}p-eykk()X)No}UXz)3M+I776<<(586EAVrLrbkInzTqn}L}U#z#O7%t3%$#7uCPqZ3jw!bCSXL$ z2w%y)`wT70kLCzs7DmjBv`nh>8dq3RWW-|rl9EYEx^9y>F8vHSQKGFXbd-LRBtm$u z*JQSPVSOOrbdgm_yCv3Qv24qjQDL;Le#R535}LMv#AxaK0W%{7h@3ppt|~B&23b&p zdM-Ol;&xX?=nOeI!p()Tw4!#iIqbAZPoFT-K3fd<3_1l~Wr79!{J$b7UdK&=XxAt1 z5z4#%koWpu)wwNxzUC#|8RGq0jQ3BxAf0$EgkNyZi+fSHrOz9vU55?04o}D>I3!i~ z_peBx%`c(oA4}BE&leovCBzE7!2!o9M#o8m;T0qyHgH~Q6$v#bbW>0SJ84Jg=p}O7 zUz5Eo3-B%>y5K*}bisc>&+3YXa^h1rl3lEaUO)bJMnab#)^)#9TzUcaFTOnE_oJ~{ z$Ndf2s~3tn;LHiv=He^xLaAYFfSH(|E@_VAGgoeNtj`tyy8FD?>~HIhm%t@n$DkE) z(hYRI?{|Jpw@pw@< zfBt12+P~k`#opqaVqdYpI8Yoc4i)DXhl`yORbrHwC02=D;wkZ#F7=dpOLIznf4}zrHSTo6>p#Q2+rNyg{~THWIkNtfMPUQN&qmgN z>bdff^`9~^EHm;W>pz(Q?p^&nvi@^q{pZN~PlBd~T{-Rsgp92JB;I3W{bx4rEVEE_ zWc}yJ`cJfqk@cS=>pu|_{o@ly)_;zy|73%{zEzwf>px|^?a2Dik@cS=>p%OgW*fB7 zZDjqY8d?82vi@^q{b&Cc-yYJ?tdh_O@HS0#NeLi^q zy0dsaZ|=9o?N`awZ|+@tb29wqm)AyK`D)~ax~KoKd+dahXAQYhZe;!E$okK|G|$>; zIkNuqm|mEiap%8{yWd?8IkNs!uO??bY~PCIztj59{^(sAC=Hf|N^?uYrPxPhMwwY= zmDyz;Hj4cJ_Z9kDD0$8wl9PXr{QLR#>g}Vq-?9Cd+dr`V`u)cCoA*Dq{ZIP~x2Kbn zo#Zc_SB;~Q{XcY%ygr&^bN?fhT<;{Wa+05S_J9A@KUw=@x2CPl`&(}fKCyq>t>Gv3 zKXz;G6Y}|^TkR+Ix8Le{BKfiCetY}Rlkv#@H@1(V?vuE0ibG?QrP1W;AvrG%dEYtr zUw_oxtnS}&G@k=oli$jHee3@4lUtwvH9vRUf8)_$@?*L8e_;Df{Je30y84cz&(=M? znICsZW7PKQRe7fSerL{{naoVi zIg=j}Lnbr%0Vfp5hzSOb5=bCHgPA};gDZ>>Xow0y-5OiLxRR8*CJ=vmi`$kFOqWQp zAa-x-uE^a*ORTuLuC#SmEm&n~uVC=6DCpeh{mx8&_)*%c-R<6;z?^fw^PTVSec$K( zIp2I=43B&owe_Y2o=Wab=dTR@D(eh8I>YYX37vJ0&N@DR&C&TackdOQw>vs-cSi}2 z3RFrvDRU{cQxDcjKmxU&>Rs6W365wRw~5=<-hnPgqR85=?!%Y8R~hz9pddBUK4#x{ zqA2K7v@eE&Vmm)=FF5>Zzj8QMGtbEEjVxWmf1U3n_pZa?-Q>;mG@0Kc^GN^iQScA$ z?JY*ddiy5_X~4B_=c)da`<}0{>EFp*daC_Y&nGDHlgQzFd+zNs`c<^;-pF(Jnkw?& z8<}-={hZoK6ES^^uBx3=D^KJ$0#;h(jM=rtGVz*e^JmDDxtwO5h3C~@J?koYKBsni zZM{6JX_zzZv;v1!QZZ*Xs+lWuR#eZKHMf5DwD{Gu*|qa#)=aCis+l>fs=9vG)m1X* zysKx;pD|~yb*FwtMfKHI3rKBK$=-&YoZ2*Uv^j$6RyAnfY7c5#wV-3GBdqqTVSB$l zto3VQN57+IjBD#p-_p-fNCXYSconmh%(zTK4k>>Jzcd%~t?BWWAkw2kE0 z*ydPg9*S@=TIVLSb2C5IV|H%EW4+N4+3?&uRugtxjoNL>=hV)BH#>jF&mA>82l)7& z+4&(KKQcQ{aXB=+`VnQCZ$)~J4tU1b@8NEuLq{Xy=k39nz*#SCZU4A^OrXmPKTo?bim%US@e6F3XOgn(jUYB?%?4d1h26A@W(wLKU=V2d{40NZ#N(zbNRLH z!NX$?kLelHXKY}28&ld7{OzyWgFS`6wY3)_;p3*~`=1&3J|j?M=h(wzQO<=Cv}pX~ zlkzZs&-l4}#)tPr0YaYhMzjwTpKy zuHLtEar=^{_Qm~L&ys$nlrd=WfEq36|4nae_hQs8x*MZPFQFFsFYp`N+DQ8iQL!_^ zzEV`<+dCssJB~SA-kyRDC!*e_?#6X{JUdR*{KAGZlB zs3uG9Eyt_=UvHKvb5Yh`HfuE(6 z_Dp!|iZJ?SGr9gLTHTQVH8+bVNvA{E_J@hOxy=C7q1-IIr{FMYQ!QIT|>SF^c7{bvb zs(Yxqv`2Z%2?bz#E2&-J$)S2Xpf z>(d6z_Rx2#UC|5IPQXC?TT0W`b>@*rzqM~qc|S&G?YAPhT{!izr?;eKE>EcrtV(Oj zTrP0f7s+gXY=hc6utVuJ+9!?)wIAx4I4gGf`ZW8Y@2yXZoYmICmQk9+>2iCLb>5%J zT7#H3M{+te-izteQzt(<@%s@#F8l_x=aJKk?DYQ=j(E13^8#S?v>g zH8i!?S>5LxSkad?(2P+rHec3p&*n89Yd7!jXs^jm-|WR@9xf|8+N(=v#g=E5zSSD? z3_t}As6F?9W$tN8q~9@^z82|g2h+bknBI=`_NJPZ>6@wJo{qI0?a_H_(H0Dvhg$*w zg^<3L(sK_^Y&aQ8S$jrG`{^m%FZnpeR_V)zaKE26tgqRqAyPeF-P`j3Zhddm;SD_- z`X==kqmB*74mpk;a&JH6?t7{~zjsIHAxGyS_pyVHV+Y;a54!vQu3tyuK}Y97_p#R< z$6j|o`ru~TdCGJj6ks6H{y@#&k2;v#ulB_T(%ZWWjfYyGgED(QS=!eA$&rJ4=;gx? z_K(dRd)E%5;I0-Q9%#e=Z`QPp8nSL+#HmR9t6nO&E943*y&`k@!kYU>^{#9GgcZ+k z(gMO8cs{k~_&`=)wBOm=HsgWz2m0N8mHpH=t)FUkkAkh&R9;hCdlfXkwSiG>1)oo^ zoi?X3Fbe^zs2-E+D%)$m0R-g zj~?yzZZWa$nzy@qpJ z!9Uuf;d1|Lue{Q2Vk6#Y0eNwtKwcij|MCK~3ROO?bOIC`LM1`hooax<4(e(0O@_HYoJzJ=9 z%e=~-d6n~K%&UB`@@oA3fcBsRXy2X}_TVgWzn^R@VY-e^-wkzA6?a5Bg*0T*C`GimL*?g+c?$dk@pVOD*bNSppk1yG$ z`>=C;j>u7RY&mLq;lBA5%~;X3Us^G4VSOZ`}Cs!w+wK z7=G#l)Cdv&M|Ma@Lsp z3kvSPe@wysGI9ULjr;dMym9}s7hYJlZ2t?(kZ8{TIkjxxK7ZT%`P-~#M`MS+R9-DS zUY~|bXqEV-(MGWqrg9av*zF3|au(J@rVS~YhUHdm@f2jdxxJ#cIb0EL4m+tD-_uQU zJL#G#BvzSNW_n3SW4N)S5jXK&YhuY_I+Yx(tqq5R7l!j^&aA4+&#TIvKE0wMH&9X4 z(AuC<&dfBjtCSj6Nj0gqxxTqdr3=(nEEny&?6R^lpReoyFHCmez&0n%{y=>AcJjol zH$L_21J54(IDNrwsLP1Z1Y0$!5%M%)WmwQ5z#Bj7?f@nKii~pV^t1|_Q!dAQG*^h1 zod!x;C2V%h;c&JPJ*7IWQs~T5yv_jWvXu2G1;obei?~^%lXlVP&KXRok)1CVkZ`?d*_sJB_-h>{|rw9iZTSd;DhXFC3DVkN;G$seqziL7Yt>~9Rb>q`%eq>h=xW{7*_y~&iB!n$+I-(v@_pm; ze4Mv_W^;WN{`Gbq=pBMf*L`-NFDfHm*} zl!Fuu{=I0ECq9^|Shtng9wMN)DM@DYjNx4OGk41YAHm{B1}M(I%+fFjuy~~8V~bo) zN#1TOZym4U|JaU%&0K$H0@1ZL^YO-$nz5Q=v5y*%*d1v1dVq zfUei;O>zX@{P|rQpZqA*W}%+OkmE|8$bU1a@~%-0Q9_1t^6bEVX#k6@!ea_gnCL2-spz)LAV(uwDo6IH z9|d{TkWF^7&E{#b83%32T+D##DYttL+E(>$-P+sRyVa^@1Fxzmo(2vAjB zQ%HiUDdtj<0wl?aW2#KBfroOWDIiI1=WW~y+55B-Ed*!{q#=4@nvDPlSvQf(GfO25p)4O`34|R2{X>Dn4T-fkoCY7^p zFQank`vc`v3=N;jXc0^L-OKN%PwssDX9=uJU|%_<Wn@d!JAqfoG*|=|CV`Crw@9RUA0aC9e2prnOHyfUKq5*ryH8ec23&oVR zww6Ipl%i$w>2j)WK5(EJrd%7Pudm;_wZ6U=n@fqKqX9nlYgryutP04D9D^)NCD(u8 zheW;4IKF-e$9))xPHPPGJq%C(#=`a{Zv&rXk`5S#sf9cbN&AJwyCa0~oe_d(I#ac4 zw0ONNbPuWI`j>=(A_0C7-IGC|FjJv`4XQS}Hckf;zk^M33O8b}pF>tZ{-SM?wO^jC zuN*y3Tj%D_wc>Bao*75a-yVVhfL~xD*68bafQzx@m1;OeIv10$j(_2xSFu;#Sq-GY z0%lnST*7N+B?|ZxT21Q{MiX0W7E2sc!^zCYH(Pv+-91jXMx@D(USEzz+Y^ocZ0p1h zyufgkTfHsS2XG}u@o0@;`SZ3|U|<3**haTXym)w1)Xy|yVzD2cgZF!EXVEI>?>wHV zSw?>uhS2#k{R(V7@p*@Tt_Uh1Bi@4&m!(AIFOJil5SON6q^uMiAg~=V@~`D<>7sALLi_` zpihdMp=6+DrguQgbahqD?1C)|EfkH0YojJB@%x}@`uZA|^abOp$8#;b0BD$9yP#uQ z2L_HGZ*4u^7FRvcL{Lzt1QZNZO!EO~m)>6J7wA-!cH|HC3k0-gknXcgI)?+ph7=Iv zFLfsm-Fu%cmD2X}cqWp51yk^W_(Ol3NCMI*0F|#~y1*O9Nm`Hqe}v-UI>Q2m_rYvp zRq{T3jS>2-GzkM^Sf0j1yvljZaSk!<{G!Da19enY>4CU^5QQ1`K6bsp3k7U;mhfXt zX+?zSfrNDj>m1y?HetVDztrr}qp<_;=0H7eB&XaT^MwH20J{igk5;+^~ndGS$Pp6 zRI#M@wqv==g!V%E!F8;kIpa5XrhFF4~2Ig3ZR?8G12( zaK8ntlic|UE)GCho~IN40mL&MmcyVA<_CdSe~yr180B-8kZv0;orl*C`ex$rALi{J z&r=oC?a5T2-m!Pd`h>Wa)8lT_#(sV-cA5yN>1bhWH#F^Q=Mcvww)d1?c0=*?#Yxab z@PS%MwdCguR?Ezn+!=L*oFzlfZa!~G-I;LA&J?gyVq4r(`+pEID2kLheoD)R!YF6C z0mp$7L&3PC%Pg;~&~DGPvrqQ9qSYb-^c8_Gw=B@^<^ovB@dR;c!VUD8s!!U;~zQEg~qVTd#U#&=bqP0G=2E#vI!+NMArYLd|#a zusn$J<)Fj{qr}65#|Q6^2i71%thXRTlta%z&kc#ukMmrZ!OxA5!|jyx8;Z95&M?(e zRyZvUzP}!DpxW3!oI}V?iqUQVfkCxR3Gjz9$sRW++s0$mGZftT&k|5`VIOxvzm|je zUnhR{um7$m*`vs~s0Q{0iMN=tZ$FlZN8u$REB~bX zRNG|L60mQ>2FAN^wk45W-Iw%-Mx5jWgAu0veT@8_a(M8k;%a&``Lp`vE-3}7uO3I33w3bHeyuh z`u@R46G}BGSb6JsVt3I{}^n)yWv47>k<02TJ%;<^qSC+x9AaDg}O8SxHm`TvK;{Mm!L(tI|UNJC2ggS_|D zsR{EPdSu*tc=O0^_aAGyH(R0;#Cr#9{{;HL_qKbu((k|!uwL7JFdBR6qOxp?kSJrb z{J#x#Y~uN6Z>R^AFWpdwwF6JVpQ6K}6!b%u^tTzZ&thq_?TyI*wxeIRCC%^dWJPg< zIqCd;QK@aXjcgioM4kJFHxJx5yx?c&?>U^qcGLiH=deY;iyZH8A&kgF7Uyd`$pOzj zel`p9t*{5v6kN%o1^yj^Cyq3c>MXEdr187AN);cxG3EE4*hTH}%|p;^3VWsku|AtU z`Z#Akrk{XzKyc+%Bh!1ppp+S$iqB58G8X&Y*>_IMNzT!83_s!$e#LL|tA4v5rnlee zPx8C`ZokK$?AQH(l7I*(0b2mRen1O20?t5Ez!h)@JoIPyk?Y@9%$c^JAs~NX5Wpm- z#2hEggPKv5ODR0>2{Axy_87n~e*+g?I%x`>QlDw=$atsCJaR|f8(=*b^zY?A?s%p) z_>=0~o%d#8pXVR9Zl2`+oAK&{H}l5rcn2gFmH3uD{$VSb%|+VP-+p~JQd{vpAV}iJ z4UO;bzy9cR^NXIrmeN{~>c*d}`0JNJ!&WLN!JG2*X8ckaTTKQjShsD{zZc!^A}x)y zeN<2ZeyeMpa(7?KWKmG|EXs@`WZz2ZTDd3~zaNh-EEmE>;u7G$xcM1uI&D+!Jh2B7 z>qdc7+f~($sWDD|@0CV=M;H#(1kzi%wlwRYD23buyZsVwQ5tyC5#$DNd*)!miK^xh ze!^@+dk8)mg((L=-ify)&0a1z7=(h=+re9Cg*4sspK^NhtGcqM;*f=K7(|7Qq06&! z1!b9ne&k9Y->_@hejLKs0(S+53ZsEmih4&@I`X k;tPiWcs^^8^lvO^V3AOw z6x%tfWWL*lpNOQ9S@y318|Ic*tB@g)m@t#Tqv%&}MQq++Pe&ddUPP zA!?Y62r@u|RT z($6d08*CCfg3=i6aWHD=uQlG<|0m;gBxSw8Q2b=pBEJ&|hC&yGN&|r)Il#?9 z(sQ`3xniSax+r~3Ef?vbnd$gxJ~mywNW?Q-zDfG%nBkS=O7Y+cE;u7*Gq6ED!>OF? z<-lYwJ8A*r!)t|=yA=;%PfNzxM7vL-(|>84^u`KoSBSgusP4jKK068U3>viArR8&j zYS6V#jDsUeqC=U63S77=Nr-)bgn=`Rug?$4vH=WEHcX!+T>2lPt_+u{0@w?J!I(plh?n$F8k7O7Hea!LWx)3*F$(H7rVz0b6i-9L1fd}v= zk!SA^Br;}2ni=i@juvpGtxv#XD<$t80zAg3$ig@vT0kX$kr+qONVs;lk}@3mcC$!| zePjYA_yG2B z@I=5i8mohegIK#ZQ=~^0u8jS%2{f!LI)M+!Gr*5}qEzWXNLtVh@J@vS++a}r+aYjG zqgvd6j9j=#!YhOj7+ML`wUE&2D77*QIdaH79815=Sego^75_w-hBzEb(-i2D%Y++H zS}y!3UZE@kbahTs+wSTLSBm7&(X0AxD@sLkMS zlbA`+iRoynsa=Fda#ObzG`s;`lH+4hO8Ae%b4H)d+zUKt3sS`drh=Q*ZWsYHuqM(0 zO`@RcPE6^`Kkkm_L(`f>V;07_E6d@s+ev9lLcT&^uW(HwM;Vtx#{aZ=(UAGLgI9gx zC#?TmvWj9P!D+`dgfyBmR#ivSbPK7$nD!nW4}TrQhRV?3bmb6DQ~)HDX?3AeiKi#U|zZfiV`4EcX}KgEy|t-fIOcPEjSBd(-(jmlj7o+ z1$w3fRYnjqJ&d~ec~CN)@iyN&2Mxs~x&AEPr}&*~V=+%Gh7p(dCIT~cHo?%H*hU7= z`#dg^(CL|=MRV~<;AW)kS$u$95ebMuth(`-_`csY5)$nc3D+HZ>PjevIl(r3P~4GF z>z=3tvN~yLE+7pfhFT*HY&%*Q7nYd&G_~YbU>bEPuyPRS*ggSafPMaOlu+MUkuD-J z9bjBnG9Mp2pFnkRn--=>6~wazWAYbWjX!+9%ue~cnr$SoYdT~UJb3Z0105r-59rYm z*SimO4PGl=Zcp09%^eMcX;9y(;lV2|v88L_@N4kO1QQrA4Z^A<(H#5$#sLM!wOrnkmliD6Nf3vG%QWqmjGWqi!*TKviIWK+pCC(`M((Tl z5x}x`h)=X9VUg1DG*4DZ^8vXFG>!)jz~tVw3uZaMiKC)0!5bP-mt>at6&-k6K7s0T zdAPkQl@C4i5GsW>x|Ku^WAg-Q&omVYTM>;$6br;g z3ypkAK}GtlWULgu#(q*78E;uHn7(vIVhQQy_(%A>u7pkb3ADEYy2DZ<4B>zczRQwd z(Ap;CfLoUkGa-}NM31Wgz6STEp9%L;4VhV&Nh1VMT#(Dcc@+WsL_zaZ6r7XL6=1sv zR|!i-tfuq>QlqZ0?{SS2BG-m0>;OwVm&y)RQkh2wtcRg2(rB~32Jx3nv6-LMecY5A zZ-GZ{sRm^ZW(oZ`*$ataxrH2H6al-^EVV%{KEze7fZR-ljSq#o!VE#AEDd3XN)egh zT`z5TQfdk7K{k`*gMpxnY6F2>n1r#L-?cON4`~RgHPFyv=mi(Mm?*^36vIj>hgFTq z?)T$o8f?4k`el^MYJy=zzkty@Hboyxu(kt>#!_3~(D(5elo)G;R$v$&((h!W zJoXoHm4N^i>xy)METcUu3KLc}7=>QXqPMe3s;Y)Vd@D$^Lee}zWVWzbEhGp$TnnV5 zq;#Mo8X&a4N)R>uMic^UWsVr2rA!gr#NpPs@!iP57!TXtz!at-rVIOHY4j3%6s#~} zy0E+FWx1Hiq{LC({F7wiL^0xq!87A0Wn2o$XYinert^$%t5K9mivSWRRj zvq__tClZi9Cl2}HxE&A9iAa5d!Kjn^WSBbPo6+NUFZdmFWnCCp3=WG#*Y#&cAai`P zYyb6=pUa1)lNy6Hk<=K_>%%k=!W@gT@}ZL?dqTXoX9Kd&K-`CnACY@HM>1Sg!FWH3 z%1~n(T?||g(hP?4Amu8s$t-rSgc!gdOjRuB4-PP>@hx(Z0&yxAKRoVkmY62dPvW3N zG>LaWqtjvSr2RBllYl9K4W_YJ#HQCHXW}Ou8nDG967T@KCBqSKkwiQckpR2-66`=l zK`n8NzCo%-EmgRG#I4?eS};%mNL+~Fpt#*Af+l9X(dXEKg~^PCGcl20`??=EsRIxV zJaeRo@Oz;hUVvC2ch`e90xVjF%ILQmlg5^3qTJV8{D`OvRyU``>R68w% ztM7I}1qJE*fKsg>$tmbP3`oLmwai@XLr_SWaEX!cq=i>pjDE2KX?-Ej;|>TLz$V7R z8+$blHr_Z^oXcutWMItTkyO@^%NjTf9NZ%YEshl4I7r}uSnL4Xke*n%y&R?(Q0EJf z#yTmD!-Y{w-ef(l3|;4c;l< zM#?U~(k+9dQ7S`Y(nfgo+6-~JOK=Fq(5`cz4V>n7!2svNE z&;%NUisK{=BMCkU(+H-7pt}=BT?(!GBV(f1Nh=e^A|P}g`~2K|oVhZj`zGs0WV0k%A?&OpTLL6td2k2BrK&L}`3UCW(8l=m5hGM05eU$n=La@eu zlmnH&j|10F;JO1-5Gj}jpA4AgFNM%qs8tjyMHxRH436f8v^40-L1+B9g=aqzsVL$T z1c6>gSW|l262GK<^`nGuZXnO)Lryt|Od$%_$tY-;Y!QefVYaGbVf&!JJtyvO zhliYI422aKJU6}~N{A8hBTi@kOb_`CuX-@jiLpS7#9#z zZgQRUnPq4Y;#()3WP`4g&hsTyC;equuMiFxRZ=g_Px!t-1{pQ9!jyHuP=4)$(7okB z14OLA^kgh4qpS|@{$z}sPdF#?Oy zlteUk5p!tz>8|evUtOx|ShJ`j!&`FC4P;bnSo(=k|;43pUF7@2zA0F`W z1MH_x4Fq!Wz*!8wbqU;*Vvw-;_}RF3y3+Da(ZzDMC7+_)5$}TB?3;&a71IGmVF5<3 z5a;U>4k~ySs2a2ZRaq1)v|Pcb3C9#PW<110=?MTuQi8PblwdIrcUFhRBNLuz!q;UO zfpBnCeSxH7y5-rjx7j38P9x!v?&SKHCgr;R3_Ek4O~(9(TG!m$mfv(! zVD`#emIh`n|7z21%U67rh-W$gg@5fjv12d4{PNRJKmEiLPi)$> z32FeL&)Wqp7Y%s@C&G){{Rbp(=N{n*nb{~8L=)4l29i|P= z&A}pWLL8Z|@vLzQ?`}5GT)kQ|b~tt!H{s2(w3H|MyLc{@JcosHoLyVI)-usPA87;^ z)sM*)K^V{;eLA-;HlKUr$JaOg8UdAmTM~;E z0bRFaJ`meLv3EyI(R@kpXa%it!w4Sn16;C|`NE5KfdEP-d24YN7JP;n01lvG2H?tx zGnqk|%rxfvuylOH$pe0a*=z|`QtfsV1T`gpmQ`2-BY{1WF7FW4r|A}A5vz z2!E{RQB$2)2;VpG92m`y0##l8m4o#{-nov3a8n6zR{?A^#z_m zQpU>Tv}9Uc%(T*Ql8vX2_(9oxtQIiScpXPr4`9+_(5Ot`Lq>;~1NLBU`3)E`Uys<3 zGskc#E)2{|$Q5H_VKq+H<0wfQn>K=`F~N<<&HyF7Anr&?dLbcS1Sy-9?+fo5ln$n0 z?m$cg{Lmr1^|*%Mf*W3jU{sTvnWUAx-$r^0DIsR!%M?f;I*Hv7yckj+#?~6QV?1WX zj1|li=OX7*TvCFZ#+&3FPR)B*X1yPx?kCBv2Ng6SUwKh@!E%aALFG5Q}Y z8rz);<|kn+$9N&Hz@#|!tI4PTD-2mY0bROB+s?9)=fjW=!i+hB;<4^H$&HZBHwx5Y zLI=@+X*kc&C-ZPHAQc-2)tmIi0#bcU-y!q|6_D10mgMQcJ5U?dTJjuAHBJbRaYD_* zV;Y*W%J{yj8hes3;cpoIWA~3>fVTxxg()rRKSYsQq%c>o90O({o)8je88ZY#Ax|;{;E3sdaBQ*vX)Z~1d-W8L z4EQ~P5eb`gV$%b1MUiPa3%H=+0q)Bs4{>o-Oz zqiiVz7Q{UhL`}i17GpfR4)*vJDoCNsMDqg7e2<{8n9g$l;@Kg5yL?87k2 zQI@z3Dp$y1<*qO$v+Scs${NbRf%!x-vvV9HH>xU-%6tchY- z(55+@m~1?(ECm^+%ko&I&K|`2kw1HQSY%)b2Bm`~!;~U|5i91ln*LAt>llCWu=y}- z22`$ZD;~pn@esaPDzug}L2_86OetHqDCX*a$RlK04RP`Ky5#tVpg^iYOAIRpS&9>g zFgVhzBNIctLzEyZ)__v}M!8f`t`JJO!i9c~CCL~kwbmPw4#~zONeAGB{tqrA>~j0i zPvZv=m3Lj1biC^J`XRHXQSGVX9_iH{?q;#kl#E)C#+i`@W-K<|O#0u(VpSSCKNl; z-U0G3-}=GCrX5)n+GI7w>ct5I zZ8Le%>R|@3^A>`!tK(&jd=g`NClBVG`exPyvTxQMraOj+#k~RZ&oRBk;@=op&_V#N z8K;=5U4S`xIP-E(znT0t;3x%?d^E1xhEhE^4JM&AJP?1IK#f@JJ}&57^T5y3b@(rr zE!UHqoU7*=SXmWsobqgWYMwn$%X8#8^OEvhdG0(prf%YmwIK{%hwabc1OIQfea`G_11pyW7A*hE+m_yV;jMw1J8rpQQsCB= zE515$;zZyaJi%!qey-D zja#i$Z^_#w&t_ts1rgJoA{2}2Np zk+GF1c>^xtIN;J&PZLW^x8j#yLWeo-9H1oFU#RouO1vj9(HIvMnPw)%uGG;TZoHx; zy@+tu;PmM;dday~EVgD@av$q3>+Eq1~YVMrXYv#>|N{}{_hcdwKu&Fa(nq?rh$>J%HUL^+xG(v?-nlXc_nb#%r zz;hiZoi^u9WZVM(2~4;UA&!L$B@@Erx_#>pw)Q@@4T`MkzQ4Y&YyAdT<;y68#}_*6 z_PKR)>*rlF&uI}j!JU&-yB=2A!T7rB)hDS^ed1IZtLmkEWj9u+Zeu#xQ(E?@ShEm~ zL#hjWgf`hFnTNPKc7y(P!D&riGbP0v)Cj8-FdyU9R%V=!p{ZGBL0))${vduM;*9WV zMj(P8)Yr2!!A$FOZY5;{4A@rEze{?A^m;4<3vTyi7Lali%WMYLz|H~ZbA?~s&u{E8 z>7U={yFFw}reui+vDi}qP1Dj>WZ`oGglJ`EW=6)SQ5;V2&|-_pHOk{9VrOzl7qXyf z5v=(Kk&e(J4D3qe<_}Ckbonj>n?{cwodpwC_LP!rc=)z+$$%H~1~%c2C>n)t4VBIHLMZGv(PgG--hyfC+yKUtG_RmZXh4#bCMGJNZ91CneqBYe z)`(lO!czqN9u1~;EJYe==_q5n-;;tE1IHQyLbiIjB}lh^S%TzU41|94(MMrMgE(h` z^kRTCctZQ5aXQy#8%K&&yyvV??ZxCWxL$3OipGz_2O{A0-(mv^GP28T5WBHiC4vGO zMbI57k|X_lY%8xQyJBkjvFa*X9-mJjX zqb^MSkt!}e(rCY>#Luq2oEtKwCi^_?l>w3GjFvUun*y z5u&%^k5pSFlkt16Od2j`H%(bn-)tjZxdhW0O-g;`#=GX-*f#&ByYIdx+Pr4Oox7qp zwmovw-J3VxjG2YQ?p*N7s;>2mZdo6>b;Aw4Etu>*SXzc8$~oj>$h@*BV`-cWuqli_ zFf{C_>ahaaNfuHGbpsw9%ZxfT6bfZpme!=Pp^%m1OuJ_ovni8CDXr;BPP!MfI5HfN z25^iw3ID-fesJ0-u+?^0dQ!8mc#)SAm%lKxtLpjj(fOD`coR6n^!bapEG)5jXuqxU z!;DMtyQ++B=%a5LR)S@Fc6FtaSJ8pge?n^Es<@TA`m6@`>dNysxP6t_a|Jpl3zjQ5 zn+?}H{m|JOe?4@jUEMa^u1lA#$t)wV;Q;cm^gl7QD_oo`&l=~dLf&4VB3uR zg0Tfy5!IUrAe&Xcu%%+9e82|q$~zOyK$RL{)pXS8g-YehVQAQD>>`D1-%#hqZ7ZNK z8iH2_P#N4z#N*(?z?+8=!^;PGXb`Zi!V&g9TiM2UVQ{FVX9)n2+sG0bD0cBwOnR6) z^$hT45B(jbV-U9)klAbC8c}@`8k^w+kTT3cJ;<{nz^V_YjJp^;<2m^K0Qmvy&?fKU zhWi{S?x8WIr5;Ms2-4(-Wi`qV9Jju5Lb8TSxdwk|0D&A-z`jPCF#YBL!eyukHTcao zk$pOl$6l#}X{N*2fsi2z!?0~1P1D#^Lt2uQD{v~p$1H^swPXJZv&P4EADE5E&Fwf0^LFXK0bF9zIEJ1(?vc&d(223^SUdEH+PE1A^nXM$p%B&0XVYWG| zMrRa2I=~##$X`fm}YKfMS$-pRCt^Jf>t pg>!Wx4lzuG!SS;>>cDneM#cHc?1C@LclvUJ5Ud&V^UfNC_`lDG#~T0u literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/sier/sier_64.s b/demos/lovebyte2021/sier/sier_64.s new file mode 100644 index 00000000..dc3b3009 --- /dev/null +++ b/demos/lovebyte2021/sier/sier_64.s @@ -0,0 +1,89 @@ +; fake sierpinski +; 64-byte Apple II demo + +; with scrolling and colors + +; by Vince `deater` Weaver, dSr, Lovebyte 2021 + +; just plot XX AND YY + +; zero page +COLOR = $30 +XX = $66 +YY = $67 +FRAME = $68 +;X2 = $69 + +; soft-switches +FULLGR = $C052 + +; rom routines +PLOT = $F800 ;; PLOT AT Y,A +PLOT1 = $F80E ;; PLOT at (GBASL),Y (need MASK to be $0f or $f0) +SETCOL = $F864 ;; COLOR=A +SETGR = $FB40 + + ;================================ + ; Clear screen and setup graphics + ;================================ +sier: + + jsr SETGR ; set lo-res 40x40 mode + bit FULLGR ; make it 40x48 + + lda #0 + sta FRAME + +sier_loop: + + inc FRAME + + ldx #47 ; YY + +sier_yloop: + + lda #39 + sta XX + + tay + txa + jsr PLOT ; PLOT AT Y,A + ; sets GBASL/GBASH and MASK + +sier_xloop: + + txa + clc + adc FRAME + + and XX + + bne black + lda FRAME ; color is based on frame + lsr + lsr + lsr + lsr + bne not_zero ; but no color 0 (would be all black) + lda #3 ; how about purple instead + +not_zero: + + .byte $2C ; bit trick +black: + lda #$00 + + jsr SETCOL ; set top/bottom nibble same color + + ldy XX + txa + jsr PLOT1 ; PLOT AT (GBASL),Y + + dec XX + bpl sier_xloop + + dex + bpl sier_yloop + +done: + bmi sier_loop diff --git a/demos/lovebyte2021/sierzoom/Makefile b/demos/lovebyte2021/sierzoom/Makefile new file mode 100644 index 00000000..3e23094d --- /dev/null +++ b/demos/lovebyte2021/sierzoom/Makefile @@ -0,0 +1,51 @@ +include ../../../Makefile.inc + +DOS33 = ../../../utils/dos33fs-utils/dos33 +TOKENIZE = ../../../utils/asoft_basic-utils/tokenize_asoft +LINKERSCRIPTS = ../../../linker_scripts +EMPTY_DISK = ../../../empty_disk/empty.dsk + +all: sierzoom128.dsk + +submit: sierzoom128.zip + +sierzoom128.zip: SIERZOOM sierzoom.s file_id.diz sierzoom128.dsk + mkdir -p lovebyte2021_sierzoom128 + cp SIERZOOM ./lovebyte2021_sierzoom128 + cp sierzoom.s ./lovebyte2021_sierzoom128 + cp file_id.diz ./lovebyte2021_sierzoom128 + cp sierzoom128.dsk ./lovebyte2021_sierzoom128 + cp sierzoom_720p.mp4 ./lovebyte2021_sierzoom128 + zip -r sierzoom128.zip lovebyte2021_sierzoom128 + + + +sierzoom128.dsk: HELLO SIERZOOM + cp $(EMPTY_DISK) sierzoom128.dsk + $(DOS33) -y sierzoom128.dsk SAVE A HELLO + $(DOS33) -y -x sierzoom128.dsk SAVE I HELLO "/½½½½½½½½½½½½½½½½½½½½½½½\\" + $(DOS33) -y -x sierzoom128.dsk SAVE T HELLO "½ SIERZOOM ½" + $(DOS33) -y -x sierzoom128.dsk SAVE I HELLO "½ LOVEBYTE 2021 ½" + $(DOS33) -y -x sierzoom128.dsk SAVE T HELLO ":½½½½½½½½½½½½½½½½½½½½½½½:" + $(DOS33) -y -x sierzoom128.dsk SAVE I HELLO "½ 128B DEMO BY DEATER ½" + $(DOS33) -y -x sierzoom128.dsk SAVE T HELLO "½ - d e s i r e - ½" + $(DOS33) -y -x sierzoom128.dsk SAVE I HELLO "\\½½½½½½½½½½½½½½½½½½½½½½½/" + $(DOS33) -y sierzoom128.dsk BSAVE -a 0x60 SIERZOOM + +### + +HELLO: hello.bas + $(TOKENIZE) < hello.bas > HELLO + +### + +SIERZOOM: sierzoom.o + ld65 -o SIERZOOM sierzoom.o -C ./apple2_60_zp.inc + +sierzoom.o: sierzoom.s + ca65 -o sierzoom.o sierzoom.s -l sierzoom.lst + +### + +clean: + rm -f *~ *.o *.lst HELLO SIERZOOM *.zip diff --git a/demos/lovebyte2021/sierzoom/SIERZOOM b/demos/lovebyte2021/sierzoom/SIERZOOM new file mode 100644 index 0000000000000000000000000000000000000000..8bafeb984c5a9c0063c6e678784c5b72e65b4bd7 GIT binary patch literal 122 zcmV-=0EPb`*z+t_z@h+#{D%9b{AJjM{H6P4*@gRxN(dlF_@yUl0EH(gAO`rL0EGF3 z_?Tt;h5x1bW!Q!JrTAsph4_j%<@hE4De&+EsQ@gg%!M!@4*1BxDA3mE;4sjyrPyf! ch1ie+=Go@JrGfAP=)5GrNd$#~OlAN80JgV7SO5S3 literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/sierzoom/apple2_60_zp.inc b/demos/lovebyte2021/sierzoom/apple2_60_zp.inc new file mode 100644 index 00000000..3d77fbfb --- /dev/null +++ b/demos/lovebyte2021/sierzoom/apple2_60_zp.inc @@ -0,0 +1,12 @@ +MEMORY { + ZP: start = $60, size = $90, type = rw; + RAM: start = $60, size = $8E00, file = %O; +} + +SEGMENTS { +#CODE: load = RAM, type = ro; +#RODATA: load = RAM, type = ro; +#DATA: load = RAM, type = rw; +#BSS: load = RAM, type = bss, define = yes; +ZEROPAGE: load = ZP, type = ro; +} diff --git a/demos/lovebyte2021/sierzoom/file_id.diz b/demos/lovebyte2021/sierzoom/file_id.diz new file mode 100644 index 00000000..54da3b80 --- /dev/null +++ b/demos/lovebyte2021/sierzoom/file_id.diz @@ -0,0 +1,5 @@ +SIERZOOM128 +- +Zooming Sierpinski +128-byte Demo for Apple II, Lovebyte 2021 +by Deater / dSr diff --git a/demos/lovebyte2021/sierzoom/hello.bas b/demos/lovebyte2021/sierzoom/hello.bas new file mode 100644 index 00000000..4381b77c --- /dev/null +++ b/demos/lovebyte2021/sierzoom/hello.bas @@ -0,0 +1,6 @@ +5 HOME +20 PRINT CHR$(4)"CATALOG" +25 PRINT:PRINT "PRESS ANY KEY TO 'BRUN SIERZOOM'" +30 GET A$ +35 PRINT +40 PRINT CHR$(4)"BRUN SIERZOOM" diff --git a/demos/lovebyte2021/sierzoom/sierzoom.s b/demos/lovebyte2021/sierzoom/sierzoom.s new file mode 100644 index 00000000..7ed4fead --- /dev/null +++ b/demos/lovebyte2021/sierzoom/sierzoom.s @@ -0,0 +1,206 @@ +; sierpinski-like demo +; based on the code from Hellmood's Memories demo + +; by Vince `deater` Weaver + +; for Lovebyte 2021 + +; the simple sierpinski you more or less just plot +; X AND Y + +; Hellmood's you plot something more or less like +; COLOR = ( (Y-(X*T)) & (X+(Y*T) ) & 0xf0 +; where T is an incrementing frame value + +; to get speed on 6502/Apple II we change the multiplies to +; a series of 16-bit 8.8 fixed point adds + + +; 140 bytes -- bot demo version +; 137 bytes -- remove & jump +; 135 bytes -- init with HGR, which sets A=0 +; 133 bytes -- remove ldx #0 in page flip code +; 130 bytes -- load in zero page +; 128 bytes -- init T_L, T_H as part of zero page since we live there +; 126 bytes -- shorter 16-bit increment of T_L +; 122 bytes -- use trick of jumping mid-PLOT for MASK calculation + +; LoveByte requires 124 bytes + +; zero page + +GBASH = $27 +MASK = $2E +COLOR = $30 + +;XX = $F7 +XX_TH = $F8 +XX_TL = $F9 +;YY = $FA +YY_TH = $FB +YY_TL = $FC +;T_L = $FD +;T_H = $FE +SAVED = $FF + +; Soft switches +FULLGR = $C052 +PAGE1 = $C054 +PAGE2 = $C055 +LORES = $C056 ; Enable LORES graphics + +; ROM routines +HGR = $F3E2 +HGR2 = $F3D8 +PLOT1 = $F80E ;; PLOT at (GBASL),Y (need MASK to be $0f or $f0) +GBASCALC= $F847 ;; take Y-coord/2 in A, put address in GBASL/H ( a trashed, C clear) +SETGR = $FB40 + + +.zeropage +.globalzp T_L,T_H + + ;================================ + ; Clear screen and setup graphics + ;================================ +sier: + jsr HGR2 ; set FULLGR, sets A=0 + ; be sure to avoid code at E6 if we do this + bit LORES ; drop down to lo-res + +; lda #0 ; start with multiplier 0 +; sta T_L +; sta T_H + +sier_outer: + + ldx #0 ; YY starts at 0 + + stx YY_TL + stx YY_TH + +sier_yloop: + + ; calc YY_T (8.8 fixed point add) + ; save space by skipping clc as it's only a slight variation w/o +; clc + lda YY_TL + adc T_L + sta YY_TL + lda YY_TH + adc T_H + sta YY_TH + + txa ; YY ; plot call needs Y/2 + lsr + + php + +; bcc even_mask +; ldy #$f0 +; .byte $2C ; bit hack +;even_mask: +; ldy #$0f +; sty MASK + + jsr GBASCALC ; take Y-coord/2 in A, put address in GBASL/H ( a trashed, C clear) + + lda GBASH +draw_page_smc: + adc #0 + sta GBASH ; adjust for PAGE1/PAGE2 ($400/$800) + + plp + jsr $f806 ; trick to calculate MASK by jumping + ; into middle of PLOT routine + + ; reset XX to 0 + + ldy #0 ; XX + sty XX_TL + sty XX_TH + +sier_xloop: + + ; want (YY-(XX*T)) & (XX+(YY*T) + + + ; SAVED = XX+(Y*T) +; clc + tya ; XX + adc YY_TH + sta SAVED + + + ; calc XX*T +; clc + lda XX_TL + adc T_L + sta XX_TL + lda XX_TH + adc T_H + sta XX_TH + + + ; calc (YY-X_T) + txa ; lda YY + sec + sbc XX_TH + + ; want (YY-(XX*T)) & (XX+(YY*T) + + and SAVED + + and #$f0 + + beq green +black: + lda #00 ; black + .byte $2C ; bit trick +green: + lda #$CC ; green + sta COLOR + + ; XX value already in Y + + jsr PLOT1 ; PLOT AT (GBASL),Y + + iny ; XX + cpy #40 + bne sier_xloop + + inx ; YY + cpx #48 + bne sier_yloop + + ; inc T +; clc + lda T_L +blah_smc: + adc #1 + sta T_L + bcc no_carry + inc T_H +no_carry: + + ; speed up the zoom as it goes + inc blah_smc+1 + + + ; x is 48 +flip_pages: + lda draw_page_smc+1 ; DRAW_PAGE + beq done_page + inx +done_page: + ; X=48 ($30) PAGE1=$C054-$30=$C024 + ldy $C024,X ; set display page to PAGE1 or PAGE2 + + eor #$4 ; flip draw page between $400/$800 + sta draw_page_smc+1 ; DRAW_PAGE + + jmp sier_outer ; what can we branch on? + +T_L: .byte $00 +T_H: .byte $00 + diff --git a/demos/lovebyte2021/sierzoom/sierzoom128.dsk b/demos/lovebyte2021/sierzoom/sierzoom128.dsk new file mode 100644 index 0000000000000000000000000000000000000000..b9a7873c439f8bc4d390436a765ca97206469498 GIT binary patch literal 143360 zcmeEv31CxIy8pR1+s)D}Ewy1Oxj=yyDJ`pI5mMSpz|e(FivkfvE3ztR$EQ+fD35U( zsLZ&{Pzi+siA^b%P!TGK;7|mN3vT0rjv_lj1#u()-*<1)g~dT<=DqR#4K(-Mv)yyg z`PSce?hRMfy-^#qR$V;`AMN(iVcwfdhg$vTg_&W&eO{;u3+eF({$-=7!%cwS8V4tYNaEJm-@s1%&hpcB+e-o(V)*47GMw$43i)1!9( zu7LCPHU3GPLc+<0Ku~e=g@Bi##Cctnduu>kw?;f#>3p!#6SrY_u+8r63Bj*DZ8r>m zYIR`u_Qc>>=hiiq;U$wc1<$e{`-nGw@7*Du_`%DG@BQHQQ;H$wx^$aO+9gNomwa9C z<=p4$-AC*F2kYG**L!*Q@p`Y)eWJel^ZLbQr>Xd>`bTJnd|p;@PFYc`6#rOX8UFK< zWA)D6+b{{vg%$qK>oZQAruIm=PuK59yQYqi`l=pnsObDA>z!Iu@aj-E;|S*tZ^ETe z7#XDhv-;{!>%~BQ^@;k$W{t;m^yvQM^<^ih$NeAI2S0KN#Ug8{RU}(o$r&#H)&O65VSS9oD zi#H4%HI!|ozo*!i!v`mnpQvO{1`k(`Z(v(fhE=ewd(3BC8H>6vDONYQw>K==p8kNJ zZ7uEQ4OcAPo>;csQFPR!bQFo3pIaO}=RF`k_ng;9Uw(W&>6s8zY-U>v!&`g}DbKIz zUV$FUwl5AItLk>NkV%;}#cDZsGuyH|cvP+@Zh6l8VN+MfC>6#Ru8!s|tD^`_aBY`| zRZiHG{vDc5W?OsE-=jl!@9Y*l=JJS(L${!^`MZ$^f?pk#QjNag7oG`n(jWZX%N8%} zww0s#>ovo4CKGpdA?uRZFf+4s9Weux%@yd z6fMWq6msU{bhXm%(n*ifZql)9x2JltobHRwpRWtn%hf&%)-<(UZFMcWy0pi>Vr6J7 z+II67D@(g)eAXk>w^lPUe6mZsmMcw?;!Pw=P3Gxp}kYd67L|)!izd z-n==H(ucYQU&6tP4pJ07R9E{NO17`L+U4_jDlL10KODL)$R8R3QVfP%TzYbn*fFsK zedhG+*4Y+GKmNp@|5EYviZyGWsrJ{bf3|L8U{n3(=eKNacwyTs`}V*3##?W{``+K) z|KP)qK0EaJk)y|spE!Byi!Z-AbN1_RzWwg|AI^vC>O2SQUfR|CUAN2U`E8x_y{oRy zxA?b_@11qEiy8OiQ@moiQl<8+tX#FaN+YcE`m{Q|A*ObN(PXy7#y!_2{;C9P+jiSu z-0{-RT`&K2cjKP+dpjg{48Hcd?ac#Xr*}GcN$T3Id-B1LKl%HoDLt+}+%vUTT6*t3 zq0@c)^&fCe#<_um1`oM*=rB%aF&cV`yjjg(%WH)$orQKh&je=bEC`JCVCLj@JmUq6 zPT-R*+`!JgIh9pRrs`T=)zi#`UQER&Tlw@Zyx2!+;oI;6*HJX4bxFs6Lqd;X-Mge; z((PV0y5cLvEc8xGvCx-^zRVVj#S|OMna~0~wd19l z*EF>mgp^voiZxOVmuWX>&>+6Yph2Mn3;(UUga)z)Fd z`lXmx=4AAKwnP}-R)0pH)TwN-SS09~s2KA5P9n$F@peyQ1!B$W#(k(40DW94V48$k=7@^xfSvt+U6Ki)`%>K zR71x_M~@!W`i>rzgagi9r6U(Q{A_unKd2CoEEkmx(UURDt*&tRjn&SNwvP1hW#`l$ zW!X8Uhuh%rc}%Z4LhT=MAX(&i)Pak5goZL2cknFaTaiz`td!{}auh8&r=l{&;^0Z4 z;rH7;>J5&k2C&`Rb-}Y9#fBlJBRu>DhsTUg)sH%oJxrKhu4;H>d#$4358H3=pDYCR z9u1gtaq!!kb1IK=gF~G7LUPcudW1Q~6T4XA&aV%R2!81?Y;c4oHY!6mP8VtwB74r0 zURJC^8!>xxdD8x3mHA8Xx5MiaAA4c{Idv!*D(svR>Tlu;Mfh`WZCKrFFGNWPs`Vr) z741K#41N~cQ{=TLI~^~C20GWjfDtGKWkrDi?I(T`%Mo zD_x~M#3e6mE>PgiU{r*s!9t9l@GW)tbnH5pgJx{{?fp@|NY}E8 zi-uR4>GhQk^-O?7%zi4o1@i{2g>P>@?#Y{6ngcSL_<|U-%`4OBd;Cy#Rd!>S@;9vIDjIqQjU7D>^OOYn)j8sXt+jXIR%&lQG^}b^qq+RJ z$Fy*m)Y(((wk11fy`1xM4$*4s&xNKI ztT6w>+wmWU06XZ+hMH&YTYc_1KKERoOT1}qSv?L9)zti@?$6>?tDax-mpZT3Sz32# zyXgO)Tt8RQxIB2hI4ZnmNt$J zuO$l-#mDMBhrRW%-o)?L*Tzd3u-kC_Xu0<RtYW%Yz!P(qnkk;oASv^59AT z`Q<3jIAV66U%vm~a+Iei8X4*^j|z1fxsbAv$7$H3#HmN=wcx;MVeI*s4VLHg8=c>+ z_y4dwRJH&6%AC|iy4#zC_^n?Wb%fnAMJU!p{N1w~bmTw6hecH03^NL<83RbvQ z%vq6J6}$TJRl(J#R!^;*Q#r5lNM*aKT~(&4_p2%^pQtRUoLSYUa!6%zWol)9SY>JIb5iXnzC4E`ha zWLvwZ`}{}i-9J2+AdTHKp_mAZyoDk{cT>53VXD`wnWp)>P z3x!u5RQad+LTdLEpR;78%ek!r&Vcg=pEFSJH&s*{D_s5^zUmi!E@#2Iqeq>E-#Vv- zoi~Q*ds=u}SbXhie}OL)@9^L3do;NyUE%BoN2p?TlGpWUG7U!|{&tO5fx8i(Um-sK zwEJe?l8HWN-HI6*jM|Rz>3j{A3P*#vg1T_Y zD0Qx0AsrjtAq|b}j^JcR1`;5q$!m(rkJn&a@l;*qRnd5jYn)H5K;NO##z#V@E0yRX z_%YUIj4#v`hk75D%K83kKjW#A&g*tF9v7JC^V)-IuQ|wj#nj2Zkcn=5*9zPi?h2)7 zRa5BuGj6u}CLgYu;hHgkdEL%kD?H({DZbrhQ++3=_$ryuAaxw%=w8ItD^nH}E?l=; z7vhVA@=$U}+`MpI@U6(j6}UG)$7AejEV}ct70#V&j8lBoQ+&9!a)Xy2sP%?aUK1SH z?dl-Eu&-C7oLj`lJOa87b<~xsuyo8b-C5`n|F|*~^VEFb?(IX$zr;!KyX2q=U3tya z#^C8F1Yhz0(bwn+#rfys5WJoWw^Jc+9)?alL%g1+=J}x0T<-ZkuYpRsiVrb<{&M{zLBY#oY#22rcAC#U&*#3~=bqtn&-97!Z}Us{AGG22zOI?# z^H1|7>b#S5-ryf=ZuN!kg`U6+1>djKePG93?g^d?ZE6_hO&<}1o~D5)Zu8N_EB&|m zbh>p_IYDhz_PgV~PmV&Rr|$4oWj}Sh&w1G6 z@RrCu6{ReQ!N}(Mf=5Ej#gdiI->txGi#033WxfU*h`3{g!vzAw%7Mt#5)oFrXgd=s6=l+#Q2i0q`tDr42j?|`iYSh&xisx5O%ZAfF zEoU8)`2WbX?9%Kfr{$F9l)swcQfGI|aV66N?xH3q8+GNv5l#A`Msw{al}c3K6Z}?% z22N#VRkri7^Z5G1dH-Y|-Nj^|tLHplzCzr+!aHa5JReAv@-Y8(xN`@TY!PIN^Ubwh zmFTMk65?S`K5BP)HK1z&InJY%UPJJ#U#M_51pL|xH{cL0mO|W3MdGSTv20E7JLlX@ zUgkWo3JT;_pMQogsPoVCfx$Q|E>5ZGOdpy8JHf&zoV(YQW>#gF+QqE3V(wb8-CC@O zdrQE%ZjD50p{@kU3=+SWuCabCdZO`4LqmNi3#qQS3?<^9*M`jE`n936qHk^JG_|>E zmCLJ5o#t!QLXt3#S1BG?<-f;QeYa0MxC#TQo*^Zq9A|iyxUSN>AazFoHmkxJTq9K% z?_W&>n8F3sOYZiS-Qz<>&E3B0dwkBhtHnvHz}++M_CcW<6&RCL55qd)^7*PppSZp$XyPy4HfHsP_6se!I05ivYn1<_rr8_VQBt5pL?Uv zpYL-Ad?0lYb|@bkD?{!5vwT6JX0|V6*+1L2f0hqy7Rn&W82ZcwVPAVY6blxn znO;C9l%MNWlTrgyn!pNP#XhL9{azJD)_Aa-Pgwx{1Z5P`?t$>qmOzC}XWjBD;a z9Ew@f_uvO1O_9}A^=|q%MQ{tguOv-Vw*tlnah@W$QeOKgiM|wY`2)VvO#en-sof>2 zpDDiz2x_AWi#~aibJ-@ix<{ccQO&Cl>L5zgUL!vR=tyMtYjj;wg!3p zTA3bjFF5roY85jkR=_lB80PaWxz*P&*Xz)hK+i%Y94<1SaA6JI+XDU~pL=`2KbbFjrRKD;q;15rTTz zTIW4$Nu(q~n!vZQmu6RGmgWSHdlEO;-<|IZ{(Z6eEF`zf&njxTilENZb%Q;m^)23D zUzAyDe+1oau)APwgp8PxUG|`W+1ZsD;`X>kgC%q+3qoq*kl-3u2D&Jf}hew)|yB~Sfz8@Mf!B>=Vq#N=bk4QC3GmA>? z&d=7uz(*$j)9mVtO6Qf%DJs3EbhfLgbad$}MWxQtJ+7*O`xF(SSoZ{9Rfc=K&(Bws zX808qr30(3*{7m{alWbn?y)|Uq|Qd&&|VE{+LxGb61Vdyz#n`&YU-{3y#cvb2wdSI9+i#E;k`( z%*gBBWu4}D=B&h@82RU7oz>#J&g#y}F2$Yaq|dR>v6t9O1VCd-hBWK+8*wiF&3S9* z8+%{h@#0I3ui8bkpyavjo^r;3H8UQ68vKP9u;#yTjK=NE%pEa4b3~4qn>S9(oRB%v znK|6KYYcL8#GEl>@?NL=%MtU()p3RS&E4VpBgSNo7RTk~iO$S1BX-envqz4>n8d8S(W5hSce2L!CF> zHnVu)lfEsfPcRnxq5TgE#aFsyw~nz`j_EmRI@Fj7>0@BKckSK#s^{l@6bsRboxKNC{?t1W(aO@jZSx`*n1U;SA1 zqUvSUly0bQtbV@wWuy2<;aA_L12NljK zb}OG>;;$RsuLs<32K=W36)$gezZP)65%BK_RP5d;UQ;U? z){Ae|i-XpqCZz7JK!a4JL2A^ndn1&h`)r`$^NsG4fri5y-KPRe-VJ!e?t=mM#{vH* zb?)~9R_EmPUgoQKEpYf?YVaI%4k9Dp1pM0r?sEbEivb-zz9nA=ycYMjP_2pU#eVC> zm!9?VsRsg~PU6>SY!xlF8T;P}z-E3o;6E9_`2D8>xD||$W+eF;|JgwL)tHXb;r6s`Ckf%?^Q?IP~{E7agNr`J!rkD z7Hz%um;(X#fdCph|Fpqd;(oeLGWwpT8^DM$ov_NEu9Ih8?n=Hpy5y;op9X?wPkt6~ zw)Z#HhgJ4pb<|W^m5M_ff%3k*aqC|uY;p4Lydk<#ii|r|aQT@7^$c zU6J^EfA#XZ-MfRI``-vuzZt-JM(t~WLU6$h@q=f*tP&y;2F157qBmMFT8XRN6?I}- z4gOc^8`jq$rU#Q_QI7v?eQCBhobvIXR;zXr6rwb)(Ae}*9uMx#%zJ!KqJ?yu9*s^{ z3!L`b&1Hy#6Xd3Y~#zyVraS04M#>N=>k8~{i;MRziXb3{#%wiYcGoB!q#h4s*gy8;Ml zz6K4M8icl!@;1K~K(LN7q`Ov%H`dC5ycg?0oyY3HcgO20Gr&b#>JA6Ltu!1g3$^hm zHhbzSzO17W9?T9NuXF?tiytqqWRFyA*@#+VPOaBc9jaTr7_m(E){SKi8+Rjw2s8G^ z+Ukali_=xX<4=YTi971WQT4d7N)}RA@dK@KcwmLe}wNMU(q@*2I=LNyb&k^{fwyv zGCkb=e!x2Oy@30}0J?O45SaP5fcv8WW)}nJoMURMUkUj42FgMdm92RzQ2jPcCJw#u z)i3#wTfNhV7;nwD0S`lE0W+6eD^xes)oiT`>T0%b3}S4UvL#Q{l|6~Yz(vt@PHoK- zb=5fM(Z;{aM|b|R4;OGPkz%z7_X3ks$4E04jm!GK4!Doh`_Ba^)ci@n{YAb1?*aFh z6kk4E4~Pgr5yHNpYZzXj{$usEoXdZv-lJ(0LxM-yXb$ln;Ypyj{!at@4hCQXeHPgF zaUgU%!O-T8wvXXDy^H()>WW?HTeIjvvrI21W%R|c$AYDPXn_K0fqS+xpKz?Gtt2zNwuB`%tRlbqK}!N7%U$6 ztTQ;aBix&IUS;qquPPV|#MJ0KvF)f=7gWQev6gcg0(3mQ1P1~X$rPb*Sz;JFc^yv{ zQ#j1&ZhN!w#T{?F{^AbLu6+mgzWSEul{Xr9GK)z;mgYbB+#fBsptmmOs1+vXhQoA$ zpZ-U!e@?p#+eF9qGmiYvX!C!niGDc@YfjDP`*2%Nu*cbB(cAmSQ{&<|OSJZ{$6sgQ z*BSVA27aA^UuWRg8TfSuew~3|XW;*v8BqL=*@O}{Nhz@Q=^Tp_IcBo57^RuDzZRz{ z&8Gu!n$&!1$LZBgrzXawwjaqcr5J6FoS7!ZpRmE<3Wra27Q(13Ao)qYw3Dquv2e$Z z(o$D}-6b%`!w)MIW@@U!l@}%@IUM)99QR`fuE>Pu{P*4q1ZGZf%tV7_%aW3s3ls`L zczEW7hf(17-*i(`0S#;6nKQ?Z-R~+uZ;n-~MvQ1#D2=cHJK;Hu5~J(l;5eSg?q4gj z80Rok3A+`!b4?{&xED@RZ@BBbKXiDHW2JZ1eV6rzH~;V^I>QK=ftl>As|pQEShmv2 zxFn`fGHo$4mN1NA46?%$FdOkVpnnCfW#&352Jk#Dwbw-2tEKi?XwN6HUK}57UeMHh z;2n3kIA$=jIJ73f3wcn*#b**ILety=VCF3S$g^oS2E3mD$g!HY=^I$J*4CPL$C}Zn8T5@ z%ptJMg5*^NhgrX?{S%59CvZasyocrBJk(0b@~LlbG1m{Rk!$5Lq(-cSVUqC8onxKT zcP!*G&7)O;d znej?a)aw)I)-i#Rg03h)k141V?t%1${Mm}#+W%wrY|YP@Vu|`qEIu4QbrE|${Uhvo zIfh#J^Z4^J+#VfZ3-(;qf<0-a24Ru?SHhFwoR&-pcK+%L7%@zo9OXo``7!}4mM{UN z1Rf;bN@8umP3c+J4&m59yB*(38&i*Cx|?ODBOV+kab2vToEeLl-o@s%e{aCdz|J4(2@^2E@QkQi{x~p^th)s>#j%k;BkQuT$rJZ%Y;|`ESh#6{`3G|D?u5@PR zR5G{PC2s9A;cEXUtaI`z#&t?sHjhQQ_DSL`h)|FfOK0>zgM+@aXUa@_m>L^cIpf}8 z3Of_P(D_^jI2#o+sGqM%!F|r{}JwNG4&)y`77Z` zsSTJC4Zi=mj2Ir?#EGZ6=B5uYE>0^f+Wa(N#qhqGU z;LSP6htS839#ATIG{QV!#w?}`7Gtn7v{9j6D(WSI94=(f*6el#{Mm}1anT=DpZEU= ze_n>6ekOZfj@zRnY{8%Z>FRT-3kt3PACRvdJOe`S4SlAOm7AF;J==wk#4f}%U>JD6!AH;kTJ6!rb;+;6TlhRI>|CXaAqkgP1%> zlS^@v65P~_xJiW>)iN%goz&^HT0zig)M}MV!M9;EluCtyV{J%*Pco!4({_nJPh7}+ zt$67Qc&|0HMoIfJy0JgA!I*9RJjRcmS!9cs{ilBvF$$x?6pKA8v3#r|RvD{`RmW;# zg;;H@E><6F_)j1I&l%tKV{S;YWao^|>&J}43kQkgbFa_MyCIhu(g&rdi(;B6_8FAk zpMA_FWI%&-0QXy2|Af6r*G1A}k@iN?4jNk`|BV6IP=umi?Ln;v*GFn)`B|v*8^hYx*6C4T@d|(>FR5(i*fj&`Bc!UW( zsQnqCBNK*lbe)LIv7t&h#+Ju1C#mpRa&|a;<1AjGP^twr24lj-F;Zj5gwDb}F>o6u zEIi%@r&6U!V*QholTj~`X{9L$_r{oV@VsVX>QyEK3y+))3mb}A&G^2?7+nvhK`J_5 z;Xc|DZb}UFH7+GF+VKSJt4h$yvthdm150R+3@CUSc0wDZby7MJ)`{MR%1+ZFt`H}g zHWXfGdNK}1ZyNce;qYOZJty&VqbnsXbYubL6|>NaH!T^~iUt=;E65udFOAg_S^TD9 zC&D@!EX-iSb~0qbMN^?X;QpZ_ET=_YN}BpHO?|;}B#HK0WU2%MGs+F8TCjG!Rbjz0 z;A(V-mYzs9Wz8#ia#&gyEBlMIrVoC5 z|G8%hM@(0+yl?y5@di4^AUZsl@CV)Q>4l4sn~-(?sc5ct0r&jm{9@#4ubK04B-bQz z{c)?p-E_C3AN+RCSI^{UN&O|?8to4yOQ)iL{4e47>v&Y2f?1)Rg4{4n4kiGXO=Q1O zn9`&X{E2!sx|kxCnOv+L3T>u?oF9{v-ihNTGv<ux6WRERwbarbH__MeVhk7Z&P zOc*GfkEL%hIbX^Z1~7;?mDni@g%hdJG!BpOVl53!Oq(qAKou~}iI`C;;5qb4c|uQ4 zIt*a~u508>YO)ZyL}Hf`ZiIjfO_Z*j2wyJ}DJXocR>F_0TYAj^^j=bL}+CU*8l^PZE)3COK`RxqLORb7zZEFEfCPEHi;=f)e1t6Qf-&f+w^?%mX2sf!Ij3bn>K|CrzH>nnI=1?<~4= z`du^bl1haenW-2|D6p!fS(*0Ctn3_MMDdu>LTV`BF>+9hz()VFsQ4SDOuH@3NrRD1 zA#2jWv9D~{qpP$*WhiZ6OQj9oqSB_pmuVh%#&k2MGG%kB1T{oAK%)c@(S;Olfiy{s zP`Cxmp9l^BgtfC}WgH zC3Y7nI0b}=La9(G)C!G4P-qo8h5qN*ng6M6VE>L+jmEC~LNUuRX5`p$qcg{1$Gez= z#{vq6=Vcd)ofuDsaZaXq``xo=ika9(KPG3aID3{@n3*f~9yoBI*r`*e76xpK6{fB%v7C12y8D`OypuY!~rr;yu1E6}_ z9IQqkd;nUA>FMcaaA6yJ>cUv#kmYKYTP#2D(2pftz2>CU$Q* zc)JXG;|5041xZ#SpmU+e-P(gDms|OcExOottnNP(EP9n+Sc*?1SOkt#G_CYP2R@ey z76tH$1dEdJX>K6k6A2dGgilieCOLKCF?=Gyq7nGCERiC{BG*Ctw~vNr`Yq zOr!%jdKAu9ALLM^WV&L!w}v&7n?z?9lN(WCl-x+ESKGJOqS;Yv)Ots40D(s z067tBQ#8gdQK-6^E?Z77tQV{eGjM{vWspV*!^X}!5jP`nK-e%MWrku}M`Ek+sSD|O zWJ~Guvk|0-nRHQjh5ZP8dpW@MXX3Y)L4%`f-U7b;Ukh;8_Ne zK(MVj5(>f~9KSc}&ySEArV8I`mB-1l43= zW`sLrg%v}{sU1o!nf))i>`R+Hk9adIgOZ4w0zbVZ;=RDLDTz2W@aatsX4OL7!lfV1 zgmI*r2?aw$#tgrGLByxo2sb5(&6+%Me1UVsa;ez3kq&95jm)B*#|^vvmPt;;t`Vi( z%>iuFYu{nE@g-b)>TECTh^on7C?-!%n>=~)h!I_&1qus$78Vx9vZ?v`$@%&DvC*LP zFT{s8r5h6204BNJz!Tyi%;TWM;|L;40`>u30O2Gt4Me5sMBvgB2@4>6Q)&$|$Slgr>Xen0B|&av19Z4RIn0eHheWn9q8kct7(WIE9pbJ@BL>(7Ae}SM|@oo>UfuvSm8Z{Gu7uR3)rqrsc@$ z53OxQI0FJ14%jb5`5dO;EuqJR7-nJ=^yfO4Dm6TQ$?cTQ>MZ84q`x&n;T)}ub2RY2fW?W0RdrWS_9)CIs*9X zd2=LoM(oV6OE#+nj%AW03__qq_>K8o$F9x%n$KB^@(DRt3HcO)F+Int_y;t68#RBk z8fx&D#kTEX;SqWl2Od%kwW(QC2D5Du+>X zXa3_TDoi;<$1jeeKFHpUMp0=KfYT^PQ4t%<@6;-E%6C)nvlPaBZ2n;VM+_;1^9u#0 zSVtjffRB3P5X#W;&oh3a%4k=j8X}rhhk~WqpQt8@#3OU_~1-OPJJABMf>Sb}g(#kc8BoN_9aH^q&q9o%?rC z?^Ov`#a|WQCcaHvo4DA;Z7e)iR?Vi^dU33u4f_uI*>dP>D88`TVI!fxX4_)=LaLt) zh+qeKWyjbM)-}UWnI`S4=nHQ>e8^@@LQMmttErsbxV_~rsYQzZ^K*MCSoRAaX&yJQ z1sxtY?wdcUOu=*yc6jLczDa|T%zpDkoF8R|-zi_Xb?gHQro^s?2MzN+d0}jCoWXPb zXy@N`6=Qp!n0;zR?$Alp_{5ZVRt)WqWOmhl_7EDYA7b^Ba_7s9N2q6iRG+}ny=*qB zSzFi|-8c^WK=IaZ>`EI7bQc22!P?QL37zgT}!HkbG+<~3iHN>cytpb2j!WP&U z$E4u0uuht&L8wLIgWCUJQD*;0d1yp1lORncw%?6ubjn)7{66%`ktw639d-4p#_GT@gWxJYpdLsaFx10qJ8iehcnZ4 zYCV-Y;8S*t;t+f;&GIz5{8sEQfY&&`cW*$&8Mv!-d|Q|n;tudQHpfV9Gci3eQlmm@ zwBrjU6trN)E83F*F(YuH(P%)Y-(tf9b`fIx0!k69L>lk^K{^Qt2X-fM7)F=bF(}q( z9861nm$RFsVSKHG=SLA!ic~6L#v=HD9+#mPhzN91%vK%9(^o!To?4v};+cW*{qqn1D|SZo@V#OeZ$DD7$ft41Nx%K353*Mfj9F3zD+o zHfSm^#cW$gY?1^rIez8? z!Q0PmAg9~pnl?~EB)NiuyBsHlc+m)9?E6;8Ya!(~v0&yl6_Z6U&9 zrCu@B=&F4<{2ScZtxX}}dH;@(Fs(9nvuV{jwO(yd$Eb~JlL~OEidQLASbCLOrBMkg ztxBiTs|>0bl~H9v43JauN-4IkRAbb?un*$D<5YOpT-LZTBeTRCGAHDS@+Y~Un3dHX zLBtfMh{S)>nu5Q*MEaLG|Bm_h%oF>dQj73!pF#cmuz?)yD@*`rn*a|U;*hW-l8TFD zBO2a%I!gd*ONV0A0_clk0j5SeY(tSt0kA}tJ5=lF1Z=LL_AnbQIQPr+91j2KXYF_? z7&g6dgo2GS%~FEicvGw)9R48MW%x9CnYLtj*bAf$j3e!|+375wM`~XJfK013;ng6< z`w}4HTJ8x+fVoV&H7S~G#(mMYFBc)yPyxa^1~wR0n|K2lAP-ti_aI8_GTa+n|t0x<}`P^$oB(Eb-3|A_Twv@;Hv1%VO-Ss0)Q)7fZ(D{=|` zXadtM>QQ)vO#;)&#bWn!%7-}RZ#nXRjJ0GeZ#$2;mb~=`GKUn8AZWGNwx>53Vq%O& zklv*UXYRklK8)^?yY!0lTv{LON>Z?dH)0vsV;DA(4q(cT*zbwk7cc3(x% zZQC8^g5V)&lU|IwMS&R$hyQk&4Nz0L@IZOTWgDyqd7W536BueJ7F)VjScHQ`EM{P4 zP)Mf^Fzn~j&*NCFZL#&r%I>zdZHrL%z))=3z=0r{q}9@{%oKT}ChfB1Sj!!p(B<;} zZmDO^Ks@*GKzWyy8EoHT$L8C#-6NdW2ZajO#Rv*RcNgvAY~3NO4waR~8q?Vv?Bu|9 zE5v(xBY6G<_N$u0;SXEx%)E?K(jQjHU^t8*$8b@^e7Mxc%#Oc;VIaoKY)PhV^PMgo z)Vvb;*D|I_Ax>z3l86L^Q2%E|;4f?g=Z}RjNzQ^xt|(|pkX9aT+i4cJ*xE^(@fBCK z@5KG9UG|*+c>d5lp4$tqF(gn+2b|n)$pNSQ*3P%=Z^QYXD!bwE-N?t7BKZq2NMOpt zCCeiX20S&52cU2joT*s@;0DNb_a*d#kOQIsVGH}k0v{NUoWSU?<-*NeF)Al>NJihZ z9^C;SCIEckGfDD7BhdExyXf17M{dlv6nw#y`9kr)0}o7^G^wJZ0*NhKwjcpR@_Qz< z6u2AXcBjyST#9PIfRx$5pOlr0_eCf9u%Iqnh99a|LAbY#}y@yaG| zosgyb$YTc??g(pTzZ^b0HoeGBx$KZ*j94Xrbx@Wc!N!yQa_m2bhpFUYAG;VokWG=? z@^Y3$(2cSjPADsaz6;3aR zX@iDl#Z<;|NjlvP3~0B7yn$Md9!?@NgMEjWZ;0IOC`lybWf!IuNfF6P+RE5!A(qUAxWWSZ?|M>WRci_1P~)I+GQA<-^ES6kgF3Qe}wbgg)D8d^P>TF3HNid z^a3tN55k!FD879LZ_!8x!?s}C?8~z3k4pwK0Yeu`2EyrJpf=-lyU*YWB_3Scf_Je2 z`69mkIg;U`i@gHbl1)tC#{hTJG(fE)mlXb0NBC_Zb^-v)5$BN>U0&@=BY53=_wL9v z=}hZjBmf*N0qqnx-XW35-A(-eBhcM?k__NR9GWBp;Jg`t^UB!>c?^=c3YHT%Cvp4? z)(2=Eyk-NNCkqCBCsqqF5ar$#YRIN`gC!1M1|UzCB%RnS8L(T(^yQ2=9`XGMn`X99ik}o+#m@UqevswH?h5Nu&q(q4qTJg zS^#vA=0xUw8O5U~7h9otdlf$@=L6-Qlq+-aWjOc2pQPC@Cnezcc7)MDquNKd({R%E z@>?Q!HQs+B=~i7tQ6Jvv$h{s#0c`_IvpD$@IU=Y<) zw@liyWzr;UfqG!*0}l)xiY-uOIb~%zIp9n&3>)uwbzP@WE5XUZy`ITt{J;#&DgOJ_gJuqLjQt6QA1tJN*g*nMx}yc zf!UG7evan8yci%pmlppkXbT2%2V>*ANtH*g`I8j*=<@i+h}frldqeTJI#gXja4jfsLzwK7Ey76ZNPU=xYO!IDz_FYxS7Gvi)T z=bLq1*=!lC2V?gl_#C}-MHdzsn$MJaB5+t4;$$|K`4qez6N7hnXz}hPVsxyZYy@EZ zRy=qut6@Eo4|Y=d3**h>cqO#F)|KOTYP z0oB9i15LdoSx8O%Ocr8?<^Y<+)bOn36>Vnc4mP1T9yM!$$$z4}9P3?~g8pf2PLtUN zj3WHy)TvWdCk8x3tc2H8slYziT$4u;wIZ%}Higden?lW3VChq*ntmWz#8jjnZFoqv z9WCKItaAc#e}r*iU=eXk-#c=<=jL|rKB?EFNxgch$!S3TtfGEJMg96=*izJNw#?z+ z-l;F&`tr-S-rAyl6A$Ck=#=ia9luzGM_JizJZ$0wMCB4H;Jlz1W(MMOHst6aHxSz9 zC81$MCf@EtNYW6~VcMdkHa*M!`|_Nd4Y2^z1^r#9!GDf~pdlM6#L~}#&>f*vk+24Mw2ayO#QXbLZ>*>X`7r6-)MYL;v^gObYuiAj{# z1yTBcg|i`i!N^Kz5gNQj)rS9#Kyf%TD12JTAUh*1-H;|RmB6wn0tkwO@7sgoffOeM zt;w;#$Jq#&ED&%gZW1@+2wzUYZg%0*R*^vj)_P*XIw;(17)+T@nM!d72Wdrbl86eU zv~VmUhjMVOeY>{p+FILM6RZhL5$+YYjAQX#VRbB9)`n^0@vKDrGZ1iXSbY2#&^eAJ z$g)dWDe?P|zFkh6tQ_88MvtmdFL3YT;VeSYw_!OjVz_uRRNFA@{*bEONpXQb2XAX^ zY}bGKfdke)NHwaND{)Bi>C>n2^Ehpp`GA_KJJKZ~Ik=T^$@v~ne9ywVIvq26P}Mf< zp&x$u0lIi1<06&PtbIHP4dt`p1zkrymYS+#Tqc_ki}Yi-UKdt?%Pd=_V+EbE78#9g zsc+823edCNjv>!tTyO3s6FPp##BMTRWYbOTG}GeJ`8r&>(13B?hVd{%cmQrHOk%GK z_Rp)BzAZCe&rDC!8)y{a-rT)0^f)c{5+ugb2KB+D_3fL?t}&>nZXD`FL5?6i{J}-WAIu43Og`Iz zQ0HQ)jk2S9m>J zeE2s&7Hm2l3s=$XrTjbMwy=!;B_Ba4s06iucnju5C+G!(5F;1`lZI(H4X;sXlo}Nr z1q5U?T8&Pl*BCT08lwiJs^-+ZTA?Q3gH`WS)}*Y1qf7+@yB6N@;qnhmClS<+~8&!r5O-iVTr zS*9dxAp%C?a4`}|R-6*=13@7_O{xhH5{4sTkxC0d4029zRWdEeNR*&W-pI*7*a#Sr zWFjJng@MrKZD{ex1{|)d&UP=S1x@Zr6Q(G9DOn>efC*?;uC1<91Tf^@)=CPT-j_k!cW6RkGbe(9 z`P(U(n1~V}SV&5)y(KaRpp3BbT4IfnF*NxrU=HV z>;#n(-4m8zf#$;@4J#z6e=KG=+&>B=O8CJr_!~_?;&H^HTtnM4ZK_xHY;F?Fv^SIL`439C9+%& zXhb>ugW+s80>=m)JMhR9D5MQ}p+FBMrz8SPB0o{aAcZ1A1rl29_34Rin7*b>J^h+D z`cP-a3$X&)EptlR=M&k3hvBT1iQSr1hkmOqvUH`;JT2+kR`ys2q(O}n{g3#_bX8N4}rq{U;Ie?fS4V4f*cNj3o5;^ z4SbF*ek60~#Y2z^O<=;dW-x(p3a~Ws{@4ppg&)XHzamrtjcwR0ND6{B3wBh@h8@=w zFd+3L?b5wa8bCrsq6U{i4qy8PA&0Y~5I~dj9|8IR{mB?0V7w~_0(f}$YE~qJhIf-X z2d;p9T2MQ23bnmH)b!<>fHM6N+Ck#Q%8=k?{JtvbK+adf>0wvdVAX&5LJZ zW&b=D8+h+xHUN?;dTkt;08Ih_F${SZ?=UbX@Iwj>W7jrWGv#=e=1x4vCPy0)5u~gx zRD?iv6C5d>m$RtHe*9;{hatrkTi22`D(^q+MQ)m8DCol3in9uX(WKSk%l^{Db7!^2 z*aVx3y?WZ1I_80AKIt%VwN?l3lUz>OyDbF}5Uz=Xa=S~~y@mvB8=nFoiop-QxTYPR zV+q_$yPe!jzz^|&A>d|mEf59{oyV9w?6G(c$~^3**}w`u`Q#HI0PuwckxhroKXKwj zoVQ_m7d(7y%fg8ck*MF&;cB&X;Lz6AmE*3Zm&Zx~g_fWSG{SQ)bhw%>VsI;Q7|+io z^nos*72#P7g-9a!ZI2O$B+OGU>5)*a5GJa2ApM=ghV_h!x}@F3t-0W zx8DvZLxMHx(rXRxe*RW^Iz(5v7Fb*u&GSuL5II|s)YBp~w;qM^X zRG@yDcFe88G!0>c@qG_s{v5l(B=CE%9D42@HQ^lmCu$W?jwdxh9)>i791DpI*2nd| zB7|c)_Vj0D^aco$PCNVsz%0q^44{vnfO^PuCqo{hG3Fr0zUR)WE`@Tyod7tb#$>m_ zmMt9%m>yv>P20I;O~^+aPz^#i(h?JiCINz|H?>7=t_92yCCRXg@QiCB(mW3FxHMfD zFb8c7j=~(f`86$>=QL}{J>V=bPXx9h-f6`*8Q_~ZD*lRCjEY-~faqIMF`zj;F~X0L@fwMYC03CLd8Nc15@L5{q-^G{W9mapEix10;JBpQc$5R* za^)P?nN#oJT0w`Hj+wM@f4YFi!$Aqbvl_UQ^h}UuE0H+XKtk?l4K6_KA_PmY9ZIWD zGYO=V;5y)LP$CRK;B*&^lCoMxxj7Nf2xs1?!-=GJX;OeT>}$YL{Dr(A+mTXKN3%wSUK zLj4htMkkO>aovq7r#-j_CbeuV~8a$94RXkAfK{hds_);^G|QSi9!KL(FiO5m}C zMbBc-NOb*=0c7_BW8Q0mM=|B`qL~P@e*@GmOJ?t*J|JnqIWEpD))zXimNNX{RXg5-& z=39+gDbZ~c;2b4g3zQ*NZXS`ZhfS9zG(y67J_0XnR-|F81QUorIUhTJN%;$+4MCfO zXn%)#j{bOA2o^0%UNoZIT&pC2E~dG6O9dF|d5>JxOM}q#H9w$To*e7lhqS>}q<2CF^#n%HyBGr#WK6T`FSIOx3Mz z8xITb&$|ug1IIKg@n#{92k#l8SO`_W)VNG+LBQe;G;Wr;JaQxy<;2;RUfm=G}>U`Cu~lFcI7R*2g? z^NeI!OhEjkq$HJbm_>+ew8LhwYghryn|=GFUdSZ5p6n2eF<$={>Xk#lC6$@uvy|#< z7=#!?JzO8Uq8yx&Ixdiml-`^k4MDfP(7=Y^kV-wCu3|>O2tpoHLpPn40@#rmq1f>w zJj1XDr45TU;j&m-Qz~3;58e@W29wkUl!?B^VFr6?N?=?uZh#>YtO5VoFvS;9!99KP zkzzCPEsYtl6<9_l87@jPvo2}KD85of12@rl4Fz-BU=xFm>DsW4h|9gx};JNPAXG_aj%n%3k;Aec!+|7DmEN%K{_BY z&~UuGYYBQD22qWk`#{O1$rA(W3rfx#_cLGbb2uSUVWl{o@coOa__Z3T4C^SR}5c z`fB0hq(rqI2~O6-C8!kg<% zeHc$y%V*ArUNOfVSDE88)xF<+*1-i<57nBr97Og>qI zjaMeSrmNywB7Cd94>N+<|El>~A*i`mFd9jN;mWv>CS8p>8%dKzNs1nEj)&9G#B_P^ z9@3LpJ?SzyOsG%c(@WZFzdNmN$%|3`lo=8YH<5-5;f}X5}Z!AvhiW<(qh-r`=%_p&xOPiByd`cQ@kK)d|0z_ zY4OG-_th;aMxqW0oG!hu&dP4Y#@yo+Ef1eE{6#$!QK2o^KhNwW>G0;w@U*z(Cu8s? z7Wzd3{c|xWQsGsE$+TOOil;6F7$ZGREwC~fSfzLWNYw<$6sj|aX<2_ndIa_$J>P_l zVp4@`qnEgCiuAM`_Tx*{XVZ%b5Ob5?FE7t?)st#VvY`u2ixR{s4ufFjBd~4UvIGI>)%AnWA36 z^mt3REM@s_Zd2nRo}b*i9TYK6gas7%}fUarnq_;thFYoY_o8 zk3WwcKW0QuUapv&>CCx)Y)+x*96xSsPVV)2DXisB#q_xbZ;oiC6ffZ~LC#3LNBZ%{ zo&GJLijr1(<40`C8c>z{60SUFx(%8MhGLDRR8ohNf@@N^kg>x_fgwXykjQ{y9Zs4J zA_}Fl0v;}_UfOvxoXk{|UB`?NW**iFuUX=7ycQOz4Lia0NJoF>Lb9^dY6~|TFGeD1 z-t1B1DhU?6{Qw(rfx#uSFKre(cke_5Zv}8v4<-yW!JtFItW;#A-|F5cZr zm|xzM!b;GODw13&llH!ZN6L(CQC^uF-M3&4H*pRWZ+e&HSOr^(DM^k6%faY?W3Xo8 zoP2K~H_>jlV~Z-R>nK54xf7T1xzlGWRlE|qowcD3@Oo&5P!%k(i#llSke5K!+99O~ z7-~U!#xlBYIGn?Vs{pH}5Uh$iBO*2m7cI}jJ1J$VDv%5=6YC3FYs)SoL6|RCb5K+( z>Wk1^gaT32n)={rqS0lX8PF+A7fjf{%b6*V94&HZ0KZ<=oiUpSb7sRha%arNsf_yr z#~tLjzj54N%Az6S&T9Z!F?BayJ&4Cra_X@>&bb(#dy6wKs$%BpRb*_UA)2T&VUI{A ztYn&6u|KVkWOAC=nojVYvLv$=S3-lw8DKH)K`DJ5(*Oep0j&QCPE!N-7$neivjvpX z*^qCsVB@)xbyZlfRWAZE&=SZzXN9iRNpO36^+cwEcgo$yG@q)C9%AAz(i93icP6`U zU*gr3;MG2TV(DG=@Y&+pu-@QgNDhe%BHa-|w87JR41op^mmZzQ_bJ9+D;)69i6k1% z$k^Mle+XS<6iAHRhSf^D-@s7VoU&Abe&f+^l!?gtvvNlx&_*|%c9{xGM8-Q@Rp4O{ zO@Y0khu{f^d$h(cTJDJ>!SD!S8BKhU6Y;Aq0aPsf*+9iCOwehawnD7ypPQKzzD5@w+qqv%Qx!C@M1 zYF*w*dzwiulZ19i9+ZhqkVv|}c7h})l37SkZrlO#28|bj6_d1P>oxyw_BOXWL_VfD z{tUZ-yUm>viC-aD%;rKcHvn0c&0xc37N&c zC`6g9O9T}$6)V#4ZcpOAW9(k56rXv-+A=oNQa?8n-4);(M#X9FF^L`}ij!!Mpf8EB zJhWF>;xnu|WI;>3tB&G%600@gUC?5u*`=6KhOi1Gegxty@jxn*DgFWl65$Gvku20B z5*{2+q6)i~^;K}8x#L%0YL-F{F(_$0i(rV7{0A+3hz?FnmV&kM^ok0+0uAWaQqwvG z859u|Cu&<X zZlAm*{L&#MbDWhUPy@2rocx#+$HcEMBWjBN!aYo0ZY4L16XKZSMm5tj?tBYc2l?Y3 zTf+*V*m%(HboyNf&CM0avaXoB%;CG`^SY}OCC!XQ!qOW_VO*gzUdf*{HyQh-{9TGBBC7!+H> zJ4b-jnnel)*HK+TSBKO2CSD6hPR1}4+rTy#DMoj@bO|ca1V5-F%umU=qN)63$Kcc)1 z=@bu_CCc7p2?Dw&olLJ#Dg!YOmrU+Qz#uk^vcPnf4~I*BqBpJQ^$NXGkLQB)8oi*` z0<6VLcl0rOqaI_%!2S<@;Qv}-fsu>b!jYAJ!YP)I1A1zkf}Le?g;*6JH3s~K#&n#U zS=@bcP8=LT8`AU>hS3@eq#8=l7n;PKLWLDn!5*!EC+(<*7vvtWz1T*58ZtNo#$abi zC=qQ&TR=%CB9;?DGG!f{4^+Qz7&1eKdw{gG-wW7QI?JN74_lo9^No}l*Fn1Qf2Ut~ zGJ`@4@zi?+k-D`ba^!j$5brYq8U65cp|7yuDkz?kf8UgXVpqX^NZ{0k(|id|1H^VY ztc`9oikWF7%uLffLK!nNG+|~2X=?u6m>Cp;1Y$*AP8Ru*)Kh%ZdJ=KaNDQC}5Wyu@ zG!CQ_twAJ$H3wwyv&jBmM+t%z!>*dPtDCHyupo?Q$T}WCHT>`o(VTRHCy8b*L08SRLv#h&Ye8krG#8;Odf^*46xvgwM0-b& znOuD^5fiO#SPO#qS(Nc}NfB55x1z8!4Q7qg*0EzEUbdFlp+kGT7Jx7sGi{3n8=Ep1 zC$?(~ezS6HT*6gtVxb0%C9G#+2f_-87EqCv7|V*6`r&tI(5Qs9B^ETBwER!OcTHyV z53pqt+Y_Ez&ZW{jFb(Z-LF%7={5Oey^tVI%tFY=w^dvl(ejC-em_yC>&0x*iz%oEHjxcvm}{J)=svTX=s}?X&{9_(lsqzGU*0sOB+gCN`bb3NGVXl(t-$W0YOES zv=(klm1>J#MMXsL7G)DzPouKmktcE;jlY3C(#?aQuMKsFRT_LVL_Q3jnF)uZ!7~tc{~ZWd8{O#rY@Nfi8^#&46)Y~ z@b5f_?o0HK)Nu~^H~#f_FA|_-JFiy+dgl-|(A9N=YGJ3Dja{ncJYIZs@_K~?$dWcd z{@3dNGvt3@jcV!U6*lX3Wx=zL(VUM)GP6q!WUIRzJQTY!a|C!Hx`qOva^{G$Y zdFLlT`H4?_{Ns1rar^Bb``B%_-FoXSx9r%lef!Nf-*nSQKYHVhANj})H(Y=H)~#E% zTzB2I*IskY)mN7puWaUZ<&{@larxz&HeGhvWaG9EfB2G1KJ=lBFJ6vn@i)+iGsJl` zBs=4Kc5#pHks-+o2B^7%sj-m7d#VaTTPg2*si0}%8+vBOsne{EhEM}KYTK-``5zQT5ACe|TpL}1M4E=4;%zou4t0?dl zWpB{Rt{pbCJ;V_Nbf85mp*;dwl)(%I{Ey&C+c$p)%~Q;3$DCf3@$N>^=AA?Bcnti^ z9xfrqA&MSyO2!K$F~)t2@UIv$_21M0d~toK)p z#dXV;J%q!ssiWg0tG=eSHP1bH%oLe=tWPvGy<^Ru(kzpe%oVzu)P#m)a;0_0&d=U+ z@1FbieSZH+nG9%;RkBCla@AfshL9eX_E$FOMf~D;1<{=NVO$Qxq7egovG(BY*Wqg4 zR+C%TN~}hZSw!t8NA9~lf1>Dkv3Dhk6ygmI!v-_Hee%`t}L^J3YBGtcT$^;SJotZ}Ag^Wqf= zl^~ccn$x7BOyJOOMYw^YIeg|esY`rJ?bH{aoTo^1P?x};RH&*<)`~OV70-oO+(~Ja zVSH8YSX74x`ZX1;T z+pT6t>oo5y9vI$D)gfdobL zcA6miy4)b8ydpeo4ZIig9?Khh$zk$U(f+n?gv*qcB@OZ-mzr!Mp_B=Cz-3_!CmUs8)sdA@y2bf2Rq=(Ce3G~jdL|4 z!_Mnu2u9!f?7COG4?e#(xRo*;P8mPoP%4WaqVD^oDu_BYxo!27mX0xo^daLDf#j#K zQ@7<(6$YyQsyW%U$7Bcss7)igTj;1R z-BB78N2utl967?f2U%qqhQvg~k49Jt;5}ve!Gi}5JYcIEQlSQdfLoeBb9eX7_V(`X zwz<3R=~ya2vwGDR4;*{|FD)1`{qF8$JCSj9WU-mHZCgq4O*d`ZK4ZqVZPTaSysdRc zLUr%lz2|dH9S07abIvDJ)fs{mEe7%42<~)@ynD2z<20Zy#xlyZx^AC_`Gi^me#nUJ zAlh6;!>5j`ku_YSL;tB}90|9aC~!3ZnH;baaN1)T`7Vu5oxnB9+jp!l?A$3z+^&1} zey*ul%bZrgTKnSHOQj5pte-)DP5&2Bh-8N!G@+8*k3T^+o` z9kP`y_&F(VbXhr|)twwqUCxo)1iMVXYP8*;lXwpCM>Dm6p|dgT8}gJP%{ATXW*OUA zfXpY&C?ojrNtN|74K0x*6)v@?T5wC#V2>X>OkLr6fIytx!V+-{1S+cJOGT3)AvYXR zsY4>FWvHPxfk>In&aYfx!1ZT^WlmwdOI41qb!vzZ{~IT0F%hr5NvU{Qp7O=ZIaXB5 zy}6zPD$aLTQKKe0BV0guCy@pY=^k(#@)BR>b*V-mO^`@Nn2X!B_nkI_c_3sYfH=rg zFUI*fVg#`)Lc`6*znZkw1=`RF8mT%XNYm{AkXvTQZFLn`RbqzeuJIrk5!gI5ElYz( z7^^evD^?^uAvu~lUP%z1ttu+Lf%8<0`1a{5hc76p-TGz5W><-fW@@AzFoSbV%3q^N zvy|y`_gzKYK@!VAen(?aFB2VwMZ!cAWTdZ4R3+%Bc_{5fRhF& zQeY$`pd8V|aEeCWts)oUk2Ls$`4dV4`vJBf(lJk6O{!x)Dy7#1(P~Xu!#9t+)wel7 z)bw`)qCvoMaGi1psH7VoltG>{0Gh^&&kGOZqw=)n8O>8DHa$-y3M|MbG2Si40Z`+F z_`%8U6I15F`}f|n>(d{DT-wa>*Y9vn~?$}vq*1<_80x*`-iUJx@d zR*kjYR|g=VXh<`FDLJi@>;)}Hx(RV$-YY_^kAIS;y!(`UzpnDs;46ZvbIh1Y@v%E( z=06Q`^$|h2B?TNAuMsHRSg1xv#=~6+RV5jrqh*Z8cofG3cLDZ9z1M1x;fA&JsF|uu zxo?p(r~~bV6RBG>SEs3C{?J9iZ3jz_8I7X?+0{OfnFp($mQf^$b{D3)PsLB_RwEAE z=z1Dh`)QGLWa3kEAJPCe%nC{5VvX0ER5+eBF8G|58?ptIRxM9v(KrtXg=7w56baMGG^4GWfZLTM>N1r2gollw9JtM8#L6j2oC#xq4uM-c^#@`RCx-GZ zkBnfk*H%G{ad3FH#O1OTyri(Q)$Ll1b#&NJw{`hwzrWI8NN>(%J8+HAX9+^nXmA;F z9-tOdvMP=a^V31FSVFPV5l_85cC?Zx1XK^dJ(|X435fiuRsi2h>fq_K&34h+4mxVv z62aMndFtbRFuDZP8aXlzl>#MSB)Z(Y3;UoA zjfXQ4qU5ywR;bWDgl$+smU-4|>xF4F!z@WFIN=In36U8SFlicHT47xT!uF242KY+(evmlu_CZ0(zGFY3|Jzu zAvYZpyS#|U;hab+V&%E*%K}oTI%0aW>%!}Ux*u6=>KZro`s;(bYnR**3YJXj{N_Vf z=x8O;b7r3Z!VCMfl>o31goR;9n^^3QnNR(6g1=I^S$gJT3GeEI4}9s%OR&E?Iu3mC zjE;j}TDXjw15hc!Jv`kZku7m8(ch}SZ(ZDpIWa#>1(j$I?eZ2Lz`C!5Q) z=$9MOp}_2EaUMHB%lufJaco5H8hREY&r@4Hzm=P2efG?bG2)Xrr*R3O{J#@&o;htF%S(wmlEuHTy1X({Ah@o22_+?guf(;g(ia z2M^-Kz$(+fpg3%@5qEd1(Q*=!eECcGs}CGtmihrqp%w$4Mgzl_f$3wk7%(yyjGNZV z*MvF+W2Z&%xhQm|D?j*ME415Hk!LVl{Ta*mlwUNprK-5fRP)%B*Lk2CWlRi+eU^|^ zlV!q0smW790D@n+&;${rZAMB$!b7jAr*aUyRUZDu3w-Jh#L0yTa>11}HH}m@mD54m zK@+VI^TmhxfzqF+7ufNH)hG`l{zgDZFazGs78`B z6JkW<4^Svnj1!6$BvlFI-6)`|w}3e!%_+og-$uO(+aslv zF;9!@yHp*q`q!#@z4BhNBe7J)U`jcuz9e`EAaFN&o-5ds=|@IQAdsi-%ki!<*Nb<@ z#Xa{K&!{5IAn6T9)T*F$0rnJPl*@x!nYAbUUh z5PQMEDm4WKfG{WqdNK*^y$Q>{RfLt;Q)L<*d+n(c39l+)^`7+ZPJSXV0o+~TD0vFHJVfG5?R!h&X69~MDIRUeBs8>)p2G#Pba zh1IJr^z*mKTA3t_&P6+E_&yClK%*@Fe%s}^O?qMK?(QB)(q59IAjxr){GAMp9-ZXF zcE;?+FNhnfMUgjy;ZisMsW z!BF6T4lwX=({kN`V;wz3JDJ0#mghw{S16G2r}Sv>Vz5-AE)Su_C%Fc{h8v-Ki}Eqt zJu!`R`N{o58kcMIfERikB-ty~sc8H7z7y&+hnN`cMLOD%W@DC6WpA9LRGIemUdR+b z8Fzzq7K~-L3ljOJOKO354}XjH-6j4J@v=b4Jl`HEeYE!M+6_(Sy=M~!p9&67y zM~?Q#&OYMW(!BhmyDt3P{ZD`Hqx)M99^C)0*FTAnl&-_}L0KK6`Sdafer@}d!@CD0;ms&uRN>QgVf z>@{5$szv)=c;Uq#(hQVV*4%3sQkeQ>vhFTd zda{llm@W9lL&8Y)P0N?vnZ>bj&C3u5=;Jlb0Krvpdafz74%}QMam`Cy&)70u=ANY7 zkGjas4Kj#Oy@@3YhI&J=g6|&^4+IL$akl$MUJuWOzCbq{>Mb|Ev=A8FMF3;eJ&p56taFPtsK{%dNXcO&2FGT1z!CFqOJKhptw{D>th3s>N7U zCccbXC?CR$nslCprYA~)pn^u;f9^U1yCgONCW1G>d`>xo;;2D|5PEHK@bPa;ht(`gVvpL0Irn^o@#HZOP*4fY^qN- z)sZKg!_}&E|Lmr^S*O&^YO0?VVBwr|@SE*Z>XK9Il2huFQ&8D6MHf%0>zG>CF{Qqv zr>N`k_U49UOGC2xgk*CA$)>qeI*2NcK5wtH-C5oMeoPvfsv|wLZc0r8bI6iZ1cZv} zQ{&mgU0*v57aqPiHeASpw?>6JA;9g2U~~+DQsQ1E&!CDa@*ZWblunYMQ+dX4Hd$Jc z2RaS7Jf3qs3!GKF$xyT7v*aIeH9I~PozdJRJO9eg$+B)(c3O3U@j7E0o#veF_(sk# zn-e1n*=spI%2Kmy5*3!36(4J<3C)SJVoO=NM;SmsxOdv%;5 zBMQ_3Y1!LlUuV%9dwQ{$QF*H~JH!jvDMSgR-{x%hl9NH}jlBb^Hy^<|?!8o1<+jNV z)jdZn!nRz?**IGE0iGc>hh{KFLT+)$k&bbwP7FhKOm+zEO`~Cy5L!H8sg@y#EqJLW z+9hdv6NF4%@VBBmiNJ(`Uw5Sznd^)UA^pa-|Cfj-bXMR*20A1o`1@>VK}PoWfQ++@ ztc?0T`DK1N(2ya8G=20X9G)soPPib_B&O-dAw4N76zRiXY9OUM@8zX)-C$WB!QvpbN{s*=(vuzVY?1fBhR@ z|LMywzx>me18UulXOhn(zu<_3N0yMGb;;s!;K#VJedI#rBO|M-szz23mwD@LxAr^n z{&HxtzV}}xiyoFmV?4k=N*+zp@sp`k$q)i*N4h;j2qm^v)Xg0P#?IC!j!8IWZW7LJ zlpP}b3n-_a_ZCw088V8e0Nc|-D!x&KZKqx?l)|t5&-x1bg8pD22vi0`*(#d^4B1w; zo$bnYXJ=)5h$>^p9ob(lJD3eK28;l^Rs`&TE8q@f1v~*Sve2(pr(huD|0n4L*8iR0 znpBpYG;e`qy;(40TG_(6GZ#&pH-B2$#BsHa<0hUk$m+le6V>VN^&800wsneI)rF#H zx!4iy7c`BVzBOC1JBGM598*+gMP`ZX)yb80{6Lo>7ly^kx^+=)@hNLN#ODFoHC^lJ{6cec|}yj~_d39N0MqzeSko%Fb0QSFJvW z8I^mtY}vB)`WuK}-^v6E(DaxY!+B=?*=TwNTro-RjObk5L)Fo$P|f5to}R(p1wf|H)S1 z7P?{l7fP1YMzP^ew?nI0Rb7dB>y-!ax4MFhT>Q>A7{j5oDn#B zGTsPhn$5x%G9<#dAS)eVj45hN5znik?(PXN#_Mq`;l^) z?u!jZs-q?g{j;K|gjru^QC3w}ClU!957524(=E;l;t#)2KAva^qAkK<{954zEI{Z) zQ8-UkMIv+rfv*MPR3ajjQzpGzM0^S!4w9&F9LxG7=5ou>H6;i(Qr#qmBrdLS1%yR7 zE`5p%Bu-$P&%l{M97NRAHhh@*Xg!fb7;hB`FQu-i$E#fjBMR;pwif5)h!Kr=L-FO& zZ=>S{hg2mdbzCwm*>~U@xg=Yb^~ceGm23&f>@dWN&OFX1|GfMRP9u$bv!ZI_)zed;7ACIL4Kla`0QG)dmun)=zY={adW6o8F74!1Kk`FINQh1 z(I&Gt1l1bXD0RCTab0c-y{}{ysv_Be%MzNCo;B{l+hz*cg{*mKVQAdqJ&I!18JD@l z5yidAz1~CWWG1NqsE{fzEc}z}gjg&__fqV~1j8AmODQtU-!bY&jm#=76t<%0?u6f~ zMtA^2L*+|BQVD$5ig>Q~(gjPjuLZuO5SpYuw7u zHDRq|6qioD__haTvJd8N94>dhda-jf((tQ>$57)C@Ie-A#-LL_5V zN$m_MqzmI>`E#Z$4QDbU?5E$iGcd0~TG_Q%cFv4!xsQj$j+KCe z70sNXy#w|M>d1kSpT#ZsBw*rdlWl{1X%*?Ijp?z>U&-= z74nBXAfK9WxIm>&6XQ=BZ@RrtL225sV`dS%a==qcsdXzH3o$GTTmSQ;_4!zw4u`66 zV>HAPd}p1j{$9y<5JG>i=6lrnE*Ax3`5ne81a5)KVXsB8Q_1%+8_2h z|21A`(;XVj=^R7(*COcsyv~=KTUoGs3Gd51YU|3CD_8Jd?NMFHWO6R=c^>s=i|DJ< zc`xCOw`a-HrDxWvE^L$Qc;A2*89y`LtE|b&(mzITC2(sE@ALV2k@9DquQs>0&zm=Y z{(>FKGg&umU0SgJ)6!fs6C9Q@8??_pSS3nREI!Lpw&Pq)AE`-B!FAt|sKDQvDbyi_ z#-@*;(=e~mV5tV}<<|n=S&KV_CrLDhA-qV!%3FIK&gc@v@u(RRsK7u>MopE8j+>9k zmn65D>bNM=esss9Jz73G)tkDZ@9j-`|0$1Qa2lQxd#@?6@0r*3B9e{j>RPpG_3CrZ zS+nNcbJw1Cei~)4xmZK)nW4HeIOS2-_QDs}{VOT5 zvh-Y<ntCc=REG$6{E2Kyj`U2HFD@*NW!OV}0#mwp+2_S)|5 z?zL-eF$Qh3T1D^~dd}C98ms&4Wkg0VTc&MtPqn&q&%Jy0-nWk;Yi{}|;nF+KSDw`> zCg$b>LtQ$*eg1-lr!PdjATWB2^*Y-XOtM9f!fcNO>uj-V<*PZ$4mQd!S7@E>Mu7^l`x|7O@%&BZar`gK+5io6YkD=xIMIc6Je8w;UQz1!d_0h&N@zd3LKwF6 z;JJ>^;9_g)i00*QLo|QHaWrk)2*(jrI<@oaU07bRE{@*tOlRh3)0vw$a1@_*^ZWU* zR^Y?hy?Zxac5YYB@rSMTr#7A1+%l!V3sMMcU$33+zxevaln@Z+H$`@k4L2_K?j|UBvh01`o?!q?=cFv7#E6T`fMm z6T%ZG2Gp3^S~gX8i*qg(rUICzR=Hxe@Grl#x6U8jLnxFAYG0k-lDH`G)P*^Vrw%uu z=~R9}r#S1vVH?-9c+OI%F;bw1+<479mXkZ&Nr(yu$rqjhe=|b|QW7-8h(_=g^Glk` zbBI9$OEI{DC*TavPCvx(dP=41ShBWFgqB2iq;6Jlal;8N7gLIT+3fs@n-{Dl_%N(v!bmLn z(s@@cJg;lf`Bz_kdiUDR{mxv~eO}kj^RM2u>w;~|HuO1D_|k>jwlBYEd*{VF&f2?S zTc0!RsK=nBb+v(f(d&SAM#d3BF~}6RI0TKSdB!M1=KQ3RsVs_xMn?&uHZ#Rj;P|5J zM%C6jC64wC_aQd3F5lc3HAh5cX?~2kln4|PAx25aD-NaQ3!>r+pQCT~l+cejky$E( zTB|9L4Fdv>xa1(ljrmgVLU~roJ6JaS;vN$1|3$e%pcQE2o+jlE)|ZZH|t< zFg*`&<)CnW<s05+H;(MU-{ka=L; zAxsqR7A!I#5r3%2h*9kd7T_&wZaxaU^+bxfwFkEakY!#)&#%?8e6tfA14sqt5D)rW z4X|1&Pz&zTd!g^J=N%w_*!k$v=Q!aX0>uMrWMgAMc?p6-Q$yLxkAvgRzY(?i4kyVS z;fcdoN<|ffNEV=I5!0xCtkz}F+NO6sMpYnyI)l>5uO?+*t<+*=)6!}P zw3ElaOFGCEd{8mR#kYFhL5qyw3Q?GL2EQZB8lw6*%sfAaQs>FHvbGl#G+@Nksw-oJ z?8Z>l^aNb`PEAC~0)`n=2fQX&ab0q+!VT-f6-v;a@-0tX1f1>7~;KQ>aRZS@?g> z!qbBp)oYlA{})&|>o)}sbN*XlnmMn`%+&T_VJLo%%*%@27MpUcJbU_Itj1vrT1x%- z{bn#XWeR{ps*(VV%4B8j z{@&BiK7If9o_&$Y&!b=Y5?o+E`}8AEKQ~mFSeX=g?y+wldTOY83af~{d+%CGsKt2i zT1Hf5TK(64MzS+C6bFqTpECGszwQlFl_>iMk3aV8zd!x-lSlWD95GcYU_biUx1Kw6 zV2D1-Dp#p&`v=cF|J+lLe{b+It_qb3*)M+Ug~tXz&wVV4SoXIMJ^T0%o_q3JKNzfB zUR0$#wB^aC9~kVkv^E+6|ARfxugpV_J@LfTa%Rw%sn|hm|KL4z%8&IW6tUGQ>8l5J zm2;dTZo~Qd#M3W4_Q*@mJ=T5T3kSZaFX}1cQ4bDrqX#oL@<}aUJn)rAy5%9eA9;z- zZ$0?W!H#b+7 z<`cKpJf3EU+qab0T^4)A`NXQk<4J-~0p4gD!LXz|2xrlhj7&T+~X?mDW?-W05 zin(Bk#FtrO+`}s*b%0LpRjZauW&+&Vjx(0okcZW^I3iu*fM<%1YgdetWT6eLm%&e7 ztKI$L)STyB7{f(Otz34^fXmVW9VMmCGW~T-=dr+AyAz8M<0-V_m)Gps5=8M14jjD`1!NJvZM8@-38QIJcCb#<> zd6^@>={bT^S$x<$gG@86V1*BBEhvF!K7xCOrb<`6iqBUj8Rb_eE6cQRoJ9vCtjH`1 zGTlKXoNb7ZWGup@aipX75OdPzW)*4cJyN5O$W)HL%0-=}`u^#;vgVqRP=?vSCj>I9 zxkgBV7Bs07$5cW(nu;1u77}T0J$Xzo!5vLl>FXLaDK;lcp+vKxCIK0SuEUW|a0cJx z(TAk3R3ns>>7ciOa{BuG_a+j9K6x9u(V-3NG@Y<=s}G&X zI{6X`Ig^ZzGrk|CjL1+*dcgZr3h_Fz=NX0!rNo$Q=0^_&!Bc6P8c0DxFCK@n)?ApT z7iKnkiRBt$MRwL^GQpvq4Z)-n&(T~fs{l@GUf4<$YU7&LhFbmtGKM6dIIX!wkl?g_ zgwS`uz!uJD2DCJm$LLoUMP)#%g({l)uvAe)O{Kufm`Z_~zC@C_vQmg(5DXe6cRpX! z!4cWS*k0EMWiF+E;Mtu#d^GIVSJMbYFm`)wx<3b@ zk25p$!Bfb*P=EU9ha?b28f5w6%m|K9JHPt?h1&Q z1(x6lchj&kMw3(zVl+eqRL&m=D#FfC?H=WqTrA9h%H{M;W)8l&Mu=iqP(d>~WbyAQ zs4g?og6jRr3jtFZnGY2LN2rkgFN$3U&uC*Ym zx19M|p)(<}zLXN@SSmY?%$l9%pCPo5)Ts_b=u$&Shw%lXbVw840}h6e4J@Xk~O( z90j2mEmKqqN82=;+fGKOIIVes2qLq&wSixDyqfPlNo|JK2U6b~Vw_VCz1R4r9_}yk z3q&oPA*vqa3jGyAtgHE);Ph8t&9FpoJSr030e2~p4vy?6@(<_@KNr`eknAZt-t{&0 zFY$$IjeSmk)WluiRQuv^!0|O#@jsPXA9}Y~gR0|hzWHk#n%I* zHBC)(x>8!&UE|?@YGGWhHNNY_37oInyxDn&ZE^u>LTv`})^{N!?SjLey~{Ddhp zD%7>QHcH?8_Z-(_tvjd36>zLq{PUNS{4c>-ZS&eDPn$V+=B$~@vtZt&DYK?cEt}j? zwrJ+uDbvbMpEiknFe*@cQf+NnSxs44?MV$2)M7L84Wz;W-gofc#`|s{ovn*GtTzD+ zi+L&bq&79hwrq0>aJWQ5@9$R;;sYZacoUE(0wzv16~Ji+=!#qrQGu=qYG9`I(E=Qk zk~n<=rd*zlitsbf4^@mxLO&$tcQYD^RK{=HELP@y1_o$ow-Mo{5=!hpU*g$OcpU^y z<;Jne?f9SMAK%0{YlwZ1N@%+*1GYmybi2gG5W7-eCNUbJuz6*u(Qu(Um&&Qo7mNn^ z^puzq<|dg=ZGKCp2dOU=47IpE&xKOYg*;&-{wuj1BGi^|&OJZQJxKx+r$_AgPn;TD zu|M{?sps~d8+IA4_^+KZrJ1|(ShmFG*^-4r>YOAwIl_E#Y5xUUm3RZ5aXHlxCZ|KI zYl&+6aZvIl@U)T^I6xzaSC>pQp-wj1>Qo6HM9lsFM?RQUBS;P@pl!uffYg{6H;E8^ zN?p~VN6q};A+lm)orldz#9~Wab*ks>X4Kqkn*hV|e;RCWxilK96Ts?g7M2qIy7LJ%C%tJCKYjOI80Royyk z)~wdn*5>ABa&vq~tz#irTU(p9m4l+Bh&rQ{7_HI>(P=%W%P?=1Bo?CSBn>nd^JNuT zNx!_ST#=Y#Ka0_^S~4Lf(`@akk^n@lWU` z6n44d9Je!L>WafocAVmN{E+;EFvO=2D}@L(bwaABS)N}Rp1CSUcFq_SBbvB)m*j+Y zz5i0n)Y2GO8?H3}F zdkZzs7W!%lZ6T|v;HBShKge4=$&a9m5ZfQk?j*3a3H7RCYp+^i? z@IKieK9zba%@#c*Zwtwv5of7^7%CHlz$cW#FEBtW#O)5%870jsh=*!Y9B)7y_m4kpdd_Wi`_L;965GFZ6i| zlV&7nK?IPAK%Fxg+xFS>p@d>cb61FVIV)HK8T^BEmu*L<3(#yP$|9-)+z6;5$aJGeAK& zC{W7yyFhMN=tFjCT5zROd()(uPa6e{eSYGP@v}m8xp6wtM<{!oXQ>Fz73ypV9+n$V zBGXMTF+*glb>%zMJn>!O(~W1ZG)F@tK{8C^4KEBWo)tz6V$~OUcKd|A@9k^Ou4V@Utvpfez9c zDOX7yY3c8DNEW#xJDym`Jne_kLjJ1>|= zw!^3qHKSJ4j=G}mXjaq{^+x|m?L?jbaq=`wyh&wKrp=qxwy12%q}j8}=1eP_)H-SA z+;QW^4U+${?gVwj%>R{YD(WDcy`C4eI zIxbrNO}o9}d0u3~ON>L%tM(#9-C8GCAO|b&waJb~ax|U9O|>^2;l=SWK{ths6J`H3 z*#oFg8FmPfOloWrLJCEGa4|o|$t}tMCz;uD$rO-;X76Le!bx({V1qHacN8U3wj3|r z$cySpAWt!NN3J8w_T!wG!fKNsCzk8t1!O8%$*=2mu{)%sajC&2?XBz@qZ0%=&3sWm zfiBilXZ@7&DP3m!+6J~Gr%lNOd+Ty#Ly@!Fx#X-OW_?s+A z{FhX@p)Ty5_3?xdSFS^J=>H4>p!6F@P_2uTYQHY-OR91Qn*QC6L71{BT5=V6cbhiv84>kr)22FR*e#kq1VO; z=?f2xqRg41dnZV?%GPW06#q$D(T|5bX3Y_E+crJ)(8$)U8Pdp%xkDP7aCFK-_lX0@ zM)rTsP>RDh!b6hAQ;0p>hZ7RHi8Ln&UH0RJG0`!a7n(|&`t!odx|Nz2wocjFhZpJ! z>W1KjZLRDs4aqL3Ua)sBBs&;0utP-DJyu#k8zpNaoKT%tyqI)tN~GxSl^y>c?{)?*gcx2q=NmAjAEhKsc`?UKx&860*?E27w7VYwbUB=lp_#ng!op z^qAzmO!Dp~dE|YHK9O?uA{yeE$Uv7_ z;ln84cHZ%>v4%Mn&691`U=T8HQqyRD=HE?XTeg=sEKBazt=4<=ou*O72tDjRSi}MX zmUBxkPWKm#$*etvh~q|5HBoP$ilV_gq;;}ace}Ju6xYuc76jEvO(k$Jhm?ClZdpNN zr79E_pdTc?sMC_;_}Qm|*~`OuyyQ85V(jmQ23nZ12EzyGrqltrMIOoEvz3a&#%rkD zDy!D-4`mfJlfS{I_OKfZE4mM{Zgp^3Ih-Hol zI6D}!w_V_JRqi9(h%rqSW$VGLb*(22GKI96;&{L!! z^}GL|+{+CA4#R(u;a*@+Nf;F&j0z!rUE2CTa#vZV6+B&sd zL@q?vB5=r6OBmI-q#G8DT)mY&N}zKaV)h&o`aBL4$PjXZEv=k(gqE@O+!`ZzkrAp> z9%_-K#gpkL*Uv<(YBbe&rXjRlLo7&>k-=$%st0iAS`LOp8x~^!E3gTX)Sd>p3^cW; z;j<4ovEdFIhyGZup#n!m0emuOoRQnq*Ph1DC22f$125-7%*x+pxB)eKfu}M4OEx_t zhio_v&|`t-uw17tVNW}n>SA% zW`qs3-&H0XxKOGS1?kmSUnRh-Xe9^a(5~mdUr@jH`_DaJ)R1yb{yd$;#|C;R<(jmC z?l~kfiJh3T4vQbzNRXRFpjyaVi{&B*sZX_!^Ol-V*lxDr*5sywo5UUu?eYl($+p=Q zy{(@?>wQ?3P7|`+dJ%d;m4#BSNYwq7s}M9a4yoYj>21ng+ZpWfRa(+xC z`!SdEWCaGQ40X$1M`7;H*ScGJG{Xt@y?sT)Dn~FRRHIfIg$x`mYQ7)`KUaZog6xYl zvV&vbxg_-=x6KGOcD)u1*<2-QseMRz_O1%ku-A0nXYsF#lo0?~+#;!f{!7)cH!wx3?WvH%VQl$CAeJ4^c}o_QYHNctj5T6&$&{EDa!ZEc&?y9Klr0{2E>Lt)pHo0o)f0#>!R& z8Sv*`oBY=c4Yl%Mv{TOcZxLZN&N$l$witXXEH*cKmlL>aT355trmj8lNjF{nX*d~l+j{TJ3>lCQC=7Yi7MEQAKNIVK)&ot%8rI#&|U$J^uV$7wH|Bsqo6VO_gVv)KJ`hO zeicNbv4uns3M~U3P#Be)mrP%okR-o(0Cl?v~aF$@Ul|+umZ;C z_N)Bk1qO;_cLkn!VY}Yf_4O<&m+e>AM|>{_Wv5bU(@kn}3)!Nwcbc6Vu^~R1i@D$o zJOh-r4zTj*laW+^C3Rot^u(+vn}l#EzyD~MTa^*>3K&Plib&(LpgF1<2Wog%12}!q zU&Mhb0{+7Y2Wrd!q{s^8u9l5EHJrO1lSj)3@}i3YHJ&J`KhVCTNC^#(7$x#-eZf(@ zXgCMJUV+DdGonZrc-MhP|L+a|pAGjI!;LfTWG)6B(=tQ0y$iD=LKF=knnH)hs)S2Z znSt}rC&h6OtzpP+3B9J(nAB3SUwo-gxmtLMAi&E)hcWzm9~FdwmLIS3322MlLVD2E z11yXI!U0fU3@dX1-P6sr4yuc^!P|iB);3ZcsU!-o-~yW8ALxhUj4+Z(y2j78*2p$- zAtF=0s^T<7Z#m0uIL25lyfA}Aj)j|ff19f|BB!1dg48aT7USkN6ngL~r2RgOl-rNU z;AYesMIh@`Y!|6BT8F5 z+5wezOtz=}LG9X@0@gD|R6p;OADwQJT9WZ0r41}LtYs*TN%}v+9ZmK69=}k=L~76Y zm-dQ|&kfT4ql~?ThD9X^ZQ&cfU@Or#H*2E%)o23_WVa33W5_VRlM9;f)bO8{1zdS= zuX_f9M3czCXAx(PBe8J4(3GogjnpmhyM2AQ!Y4&%0l<7K8U-FHGp8 z0lHS#rCw0LqxUZd69z!Mucx|C0f0_LKiYx5)d%d2GI`F7E#Nd&JCa~rp%K8mnXP_$ zq)>gfu2fv4ck&RyHp8j+McKg|bZ;+2)t*QVA=Rl>{epS9uw=Ui{nfcxq(b)e8+CL2 z@;)F9@QE?NhbTQ1^WUM!Z)x76>_2G30JW2A_bZ^qF(_j3l5VD#lj=B{v#$+E2c%tn zTswddH{o74gS}y#FrxF1ld(*wA8^gB_oJUX;0>5NC_K&$G{q_34nr6b-=En*PoqAE zQg81-x$gtRE48){B(HW9eP>iOHiOXIC5!vJ`XMk+#Una{+_wXB!CLXwj7zXtY#5{r z3lIxB1EYeRf>Z_169!9XM9y~Cyu1>r6CBppk)LXgwNx>U@#0yqw{WH)*};kK44lP8ib`+ z!lJg{5`0YsaRfDiL@U(@QAiT%8Aj#iq`qXA)dPNlj)K5icTel_8T`A*aX7Gzv4tIu zdjWSu+AEQ#_V+p_u(a9|$(Am3w`%RG&lY@C;s}y2c>;mNHPjgo-p$RmSoBegKo>{ff)Xv^B?LxW{|K|bGeiUWD!M|?ZyJB@Tq{$3$9u8fsw1c_`>w14&?N4 zo24)HZ&ZY-C?-(mgi$K%0i1I^)SST?v@DTF@*jX{2Vf+S;%dWkM|it!HPUTi-0`k) zTP|RYJv6FG;HMjurys9V0}W*CR?)Q%(&Rf6fj(T-s!Wv?saqP$%E}6OH`=OP zl_gXiu4(X~aS_=Y)lyG_termf{Q%D4fSs3DV%vEo%;MX&n!zGME|P9=?kcwXzP|ne zL2(%JZDz$-FX-g;OxyoncBuk0qL|$K(+L)3|6}5qr>P6gaPR^%j;2y?JZh@1nQ_27 zLa(a>Y}e}ZW=XnG+(dc!M9jrr>%0Pa=u{(P@7V2^xaiJk=w36e2xdkStXV7T7V$r% z$I)h;rT5RSu)H!fF5dmlqQ{Oj zvZ$d;Te*7fNxUJtm{F=g;u_1MfNSLLE^-!UW{^v}b5xdB#XnoDvYM~z>MmBUk43sr(~%S zT^nSk?zGg&U6);a{;FlCx1TnpY5dsZ%JXxx-RjN5zx?@2FFf=3xBvCv=l9(8$=hz) zy6M9k&Rf28QF7*~6YIxS#0zr+#=CDHdF|Doyzum+|90r{M-MUM?^U^}#>by|;U_=; zuOsiI+<{O*S)zJE)0COB7oNHDoC`1O+WL`OKe==F{a^n2w;y}zg_mFXuQz_LOn+{1 zX`<$YQ<`Ta&se)&?c)t2D!Rr6BHtn+8pecHp$6p` zGnPql-zJOy)DZ_9 zmWE!z3T;%k>k@_Fzg4Vqka;qCA7027cv;Ax=~;>|a!o8qmo};+30YuSFdlJSD+Mnu zYXp5I^YlgK6uo`#t@gZ;yw>jgvr<%ZS-(qRJap$#fk;d|Yn3v8Og1C6~Z4enWB-0PwMEtP4srE!|EwCAbQ%1yZ_ zHH99U>_`u>_u+x+|O5{uVN~p>CN{5uU!i92l$-1~|DiqPtA9xsE^mN@^;NoHc@vhk{Pay`lG*sYFv0|5rBxvs9S;&W zXqh{xa_#9hef+#N)}XXORC3buuqzN%o?p5+;1AtlJeUXB=Ro%6YHPGO2>S9whgo8e zzO{!@IAsRy(W|++Q%Vkuw@2^ek$Vo%>N9zYLS`p9=DSHh&wf>ir&@l$tDlTETaS5N zFIzCI-m_E)>O&Ct2q<+WRZQ0`Rq6FsDD#t^(c-Y9i!&@G{VdxvKPEa9Z-ci&M8b;b(*0b_IP!>H!ut%DZ@gm2!yLIDG){e*`wg(W+J}kaDpD- z_@9n&JQF%r@O$>`7_UbD49+`=@UrR3(xBqoqfTT(`xRCD_{zsWZPa!ryT7VxgIj}@ za?=y>;fz-&61=uTjX&6N@PJ`PRf~9x;Nz&;q+LFbKd#527H83&GKlhIa~#wtQ9f{J zj|hUXR~fwU(`2#b?Oclo_R_I4U0;{4daO9&Z5GSmOpylpxOzu02<{C8@U!Gx<*3tK z<3s>uUn-S{gZriI3Czlvc%sLv-@2@wcAcL*oQj!}K0Uh$C!)5K3;QM@%9{vaBG`m@V1UnEAR7c&}FP**18?XIUpPeYvQ7=oP8jR9QmLG>TYiN%q~ z8$ZqUfkKU7XOMo+LU2?o`$HUT&z?ZK`W|J%`gWP`~<%3zMNJu7Ks#rF^`Se=oafz ze8G5A*ooN%GsASZ@`CHAxn(f6yBM}x&Fe4XyYju=hN`%I8y4wS_?|%E3C2h{)=t34 z^N-W^haOi&2SI|#hBd(eF$E)}{7Hlf-(ie=2u5E>G_jOD3HAC;HS*wIzV5zv&jLl~ zc}H$o%TMM)xpcF@PWk4IK;p6v!9{{hEC*=#4_mEJBjrTh!qd;F)-EC)Ts#P;ueAr^ z^x(NdTQh>NpeU#X^r7h2AP$_E0}vMics*h#2PBXHww?nt4=L0(G~+ueLrx3V^{6LY z9W2l+Ulqi?lI1SrNa!lt6a(OF>Kg$s+!Z&3O08UlN-k=7hWY8woCw0v9E9e=;|-M% zU0{1JyQ3C}q7WbNloxrwK^^bV=&O$Yonhdh`gMO+FCoiGFdK{z>J~F#10f!oy3@M3 zKPNOg0OQ1ijnsKG_kPzskb6fNW@v)p6>bNDsjvfvAIVxz&s9zoaNJ>P#D2-(Vqazn z_o!LDl3(+7rbp9kE%Qwx|FzB^M{5;TJ(W7(_(R^b-cX6OqMv4A20Tmszy1vFFe;{x zBlQ=`qesJpdyY%)g;Gn@lesYY_O$WKR};m)kMQS~1&+LLcP?dSAV^RPw#4|YLdcrX z+aYw}4w2?TRUyJO0%!1Da~i7p$Zas%LKscXEkw`?x7EXK(^a`J6V20-{3Mvam)jP? zZ6dB0BCg+;<$MmK4&XN5CPO58KSrz9j0S{`(MJ73jCxTP>VYu&5c2>kK*W}dL0`VO znFpy<0jX!L(B%5x{Vd0OG8IeCsYUTeSQhiqm8x&nH_ZL2fy)w!_Yp6dQb%!{-E#Xra!P zQAeE5*96yl<&KQ4O>-)P*+I3&VfqsF*^E-3mh9A#>qv2jXg8-LVVxFK1Vf1cZQP`V zx8DzNAvS$XkP7qnVQRcFbdZU+ds3(pU1Wp$g z8!^&+@80|9vXMgR?NGXKYPqQ6!V0Tl1DTFq2vfwM(_t?drdo~c?lRO& z*e?ki^<}-Bt;gC(I$C0qi=_LUMsDxi(Y8fW`H11hr$97d18==7{8iXoqf{7N;( zdz!Q<$@Q}8ygoFCE0|}Q`Lmz>jHeP00TSKupSi5Jdyt^Sc8+Z`t-iW_+R+B5W{b%T1_ae%rrn%130h)x%l$Zs=tm`uZo3W980rAj= z@fQuCr6|H#SeZ?un7}Mi8>We#9)jjXP1hRv(H9-|4W@o;U7fBkQ5y$xby^uex+Zlk ze!swaQN;xSOvcTN6+sVr>Csfmt&SsXMA-X`s~n}f#1YVNV3Y9f6Wa3@>hA3;5!-oK z>uLV{FbcM~*Btel`VD%aMtD>FZ}aKlT0VavlG&5Wwl35wC!`I1jPN!w!b{X!$I7L@ zi@}gGAM^3 z3 zez2pITJG7vXr{(xeak8|p0-AaU)xZf!EFZ*q9pCKnWM%tH)bEdlLw-jy%f&{GL;tDNtGK8%4`>bu zL*hc}iLqmK*J_`X7G%0PMd4Fr`ULMnLjsFo0)%kZ~u&))!)LqOh4{X^D|Y)pyBoSaeJII zJySO$dc=1s^#y0L=JbA(HJj3Gq9Jy`OwDm=?$|&Ok|upUA#*gF$aGAi$2*fQ`-|19 z(+)}PGtshqlMZ2f{(1f5D!mH#2y((?q%PJAd4i1c#X3|?J8=6sOa|I<8L!FT%98s_ zoF|S=hZc{DA})D)5%=g@B-oXS2S)Vs3B#ze@Qy)EC$X$YdrhYISY>xpq$3Y8V@9|d1IrKB= zM2WVl&{6tKk_h2BUXz*5g>^n(sDrFZ+AXmfi{+}c85Km^>SH{iDxql$NQ{)u?>94& zhsenh?W!E(Xn+MZpyx8PBu;mwh0dUpBivjVODk$OnnO;DboUA)?X$&zPotCX6((4) z&;L7&;&s#%h<1JA9-+MB54q0!iq38E>s8O=&Jge4LcD+C1!>1?A^d`KUfheqExq1A z?K-T-b$CoJ!9l6IuYW}XZQg*QUzezzU(egeONbSEg9DCIjE<59Ln}x^Y~Z}qDiUf| z;0C`4cG8Z}(Tn7^Z;`z$1Mm(ZI^aLWbilt~&+3W>bK+Asl3lEa9zXsTMnab#(skb{ zEU+_`p@C@ zpLY*f%s;&Tb9nt{{}qSB>px{N!0`Id;q{-x>px}fZ=VJB!|OlQxZ(AmvQlAq{paxd z&*Al-!|OkX*MAPL|NQGbwEwuP3*CiTg`Prhp|8+i7%0px3>JopRFP3+7Fk7hk*mmE zlvU&@@)r4u{N&EdE(#WfidC^uY!+L^cCoA2U7S_y`Ny^QZ*iw%UjG^F+5TmC{paxd z&*Al-EDGxvem1=RQ_qzTum6;ZVVRL1UjNAiaL?-J;q{-x>pzFre-bn`pwGbXX%Ba!|OkX*MFi_46pwjUjK=h=o_Cny#8}|{U;mr^{(O^UjHfUZHL!? z4zK?lUjNx=HQRuNZo}(8)$sby;q{-x>p%O>Ar%{ae|<2%U!bx)F7CM`VZP`c>H@uyqKibAGFu-`1g)@ zaaQm556ZXU@$VgJwsHQzy@toXcgTzVz2845--gG(cchE6d%u5Bz73Cm??|&N%?Iu^ zJpR2yPGXA>+^bVjcmUr*@(>bl=w_s%C){c%g`p5IgK_xHR*v3G84{KY#XQ=<bL+GqCP^TTtEEkE30zV^~<58re3VdM3$S3Nw_-g5Qq)&D2@`r*#*+`a0P z+w*P+|6%X1cYmbpjJ&<8<=+$XZ>s$JiTwMd{QH{xi}0^I_p96U4u`kYeqx5N`?%}I zcB`@<<*eCrL-_UGA35}J%QGfj^^s0ak8Zy28=uW9I5%fT*@by)3eGk7IMi9N_P!nV z-osxo_lCBN8(F*MXZMVonL1^Ap6h3y-k#SvXuq&!lsn7g^9QnnvOklPgIG34@?^PX zFQz}g`^VqE^Sig+eB-xAjvRJgM~?jVjW^%=-8;YkmDyUy!LV5Gof84Z@qTc=B?N5dUETQ*(K3k5q?(ibL!SDGa6^6&Mj#C#m3se zVSDfY*WS0lM^UBuey6&+`kAWgB%KZ+ovuy-HVlv!5)2xoA%Osb=|G4ER|paiBaa~N z7#+d5LdJC=fUmo_GeZQK1sQyR?w#wd$lcXhn3cKQS(zDU)dAOWowAwJ2YkEx#jMz7FN< zmdscYgn-@Cy|#N@@0_*h3y9|Dp3u7ZHevlTcgH&`CWammL)Tjfyk zDaVWt^81ao7%j9;9ihIs;{jaycEQ`5c5FH{`bZ_(*mUx^>*R6Yp5wkl&mAe-_0)#r zt_{b1Cy%*K9`o%v<~#H^M|2b(b8R^0JNbs|Qr#u=3&M|9Tpa&-|^|9u63Wjb4-uF^7eyAh87OJ>nWrBu8uGs=)(VR&gd%G z<-nn}?yhy+JH82$igkasi^}hcdy~p8QFzn58TS|Lx_8~D))l7pulbe;SU=3$ar#uz zq243zU0stOSogpY-=VrAbZFucnz6sYdfr%)n9#x4L*~CV?z2y|Z2U(@1l>RNXX!{tlfAN81{F678Zl_W!b003*H;swo-Z)O;@xI#> zd0VN%_t>}57~F;wp2Lx@P3dd$F}_PSii1pprtF*<*h#&?#4ZX19-{->sc!q6x*c=s z=1iVb_h8-C`28*&zyQ#{11}%IQRaFRU&noQc#1FJj>o>eoqBWY+VD#_kx%W2_C10s zo0{qt!#p$JIeWK?pfV6JLQpcxBB8M_OI&XB5=*0WB)$Rr$f!a zqvyqri!Cg67ki4m#lB*HaZa&bY=kK+!b;c?R>RJ)7IuZ*VNci__J#f7oUk6o&h;gt zL@9BUs3p!4t;AL0F7cFjOa7>$D186p8ZqtardbW6FHi6JWi?E(CQWOyu4$Mxqt2Q# zt6`>f)wIdY}eowbQ3fn?8N|wCQfzIHbIM$dDoBL(*3s*|O!4M;_Vo2(BD{ z`Q^ih55IiazA|_ZRy}OaR)IZXPsF>3UZ-Riwlqp$Uq6$=DKTW)v>`*LPaAT7dHMbK z4=KN279QTR`CAAWf;3eDz!qK-X#ns+xh@3x;Ej2-&&c(w3!dm2u8 zDPjB6=%UneQ@M&doK6L6ISczC(}5CA!*Z*RbO~-;wyw6JJz1MzdsBP8N`ur+EEgTV;)RCS$biJ=rQ-vZ_~>-gN4a(Bl$5*btGy`J&_(O7A=$O+@*eH zFHrW1asaa01r7K@!kTD?q^gwY6IReQbuytq7E#R^ zT1qm40ZLeqERzZHuv*Q6D(gNa(wvw2%UXD;%WGjnSlN};=J%feqP3y&xb^Sw<| z+MDX}Z`X#SyLyi9?2-3kgH8~0?eNmd;bm3B%0Snw2As@egnb zzpdTsR9c$>bChBMP}X_eI~aJrarfhoLna^JZ5PS04F(M7!D?h~V;C;Po&~K0biH0* zA}R3JKi#$EncwC)Y}C^ja=baCm`IPU?A^+17;SYB_M7$k$Z3%eo70-K^3Xxvqw%1F z6p$JnSh;WS-hKP_?adZMuv)i&<^JcN-@kwV^YEmcw6bTzhMu0D4e}&{mAL(Ropyf) zW97+}Z3`E+wY4pjSB{2@7AyXp!>#`jroYV>dkUj~T4XU|)iQxIT8@&aJCyLQ}(ZKDXhZi^42S%+Yt( zVuu67*nkhs*`~oS0bW21O@Z1c659&Ih+u9D{|G`6O(jxU*g2JIce6eNk-WKc~*V3|gAF8S8?5u&E zs7BA^(+Qf|e)MQNT)8d^Zfx4Qv#DtpHkT471_OMY*RnpUSQU^vIRv*Xl2ZR+1RC`L zCV%x(bDa* z(mkY-_n$KkiUjy9y61pDN#;U1J5*hCZJG}xehr`G6I@8WaRFWZ-Iwi?to`bIedWYO z`Z~)#*Nb0w@ zJ=$vh6wX8eQ)__8U$n;p2NP()KDu4wnEqW+Khup#rJlTi?C)@#$EsX>@OZ9e8T?f^ zLKiFa%dz#u=ebM&dhsHU5CF(t_rALOXdPi^QhznA&$wE!YXC7I>&8QS{_)LEW-ggQ z;=@hZP$9>Wwh?ok03stBS)?Nc7YZ^}(kgX^;t;~F(Gz1g=FA^ZA3g|V6av-+_N1~M zMh0ePQa7wjPfz`n9{94bLcP7ohF+76_=B=nM}O(Fco(-N*-s zH3rzXY7Yow*pbFVyvljXbpbWq@MWth2z5eL>49{7&BJcnBA1rc%q%3*Hxu*L_!c^u-`pkZbt8 z^pwYAzHHF~_>It4L5XZA?6=6=T}^)nHxkPGqhBFTvM|_;U%2Y}oTD$SxD*+xl;{23 z#k*(W->k969__9;ee|xxkRNTjb@wb}vTjMM_OPsCv-5Y3V#|Ig78SUMIaP~wAI)P_ z`3U4DK*?N(wT{=WHe2-CsN3-k6Qe#M{4Lw12u(RZO41 zP=R^J-X$9|>YAV@e5Qlr{3v#su+$)WnA#6Z`}zgcaiQaVWfzB``19py(4~lhI!U$l z=Sw!rESEeOb%37bK+l$4w5C3t3Czw_uusJ9bforwp<*x;xw9gaUkrnhV7-CFffIen zxD$)*sBD~mGCLs;}Rfj>T3q0`3|vJFOP$0SM1b)N!i7o&jMzl#F?1d4?H zJRz29XAmTfIEC}(@GdTTZkD;|VM3<+b-Z5gD`B^{~eyO=BwqJBw~ zR5nZ8KY4uY{&ZpuI>dGhIz%P(0_ z%Y|lBe|G^T`&bO_`gcsKZOwo`j7jnES;Y>f(T=|4#{Vk`H81vY7xW8B#Q!+?v!DO_ zW>mlQq}G2MfSs`3=OU)&)eH;)%$9@n z%mo7gv;8GXu>1crt>!H6-*=fKUlek3$$9=HQz~a5;HB*XD1it9!$yt@LqD9&G@+It zb}#2#FnixgCd~W&oru)HL{qhvt5s+bgcWut>`c?+nM@P=_v~=F>9or4#4>=Fb@M9} zIClR92`cQr#cjQ9oN=Zy$pzlHXTUqKoBls^=FgwhmF}~_OzJc8AC|taPRO|Lup`6Y z$D2nEyT7RC-fpW-4DTJV{S)|u*xTvnM*k9yfc@I;!@<~77mXEDD~TF*%m33+$0nYC z^p1K=`N|!2csqy`BDp#|NAAN#vKB=F9 zbwG0EHKQOGK22Bceu}5xCwFcf=F%Mtl)} zBqyRr040{N6w6^D*0(gvWw|Yn<+Xg4pZ*Ac**Z##T;9)0~SK&>0u)UXD*lIFHvBuq7|E=OSFKPLt9i;MF$XjFQ zxVsPKjuquKFQLv}r0hE>s3k=Ch{JevUP1^jiOYchV%ZDWblRahd14PV)`towtW#Ad zrpCDWy;nX(TuB5}BT4V%*7EHSMH$8;a5^vJ9_2$OT`}$e_h%L+oTzFE;cL$h^oJ0W zQIbj&Ja6|T-nnP^ph#zDtkX-r zL8KW-Q_h<=FEX#Cr6t^wOeTwxAP1;dgBp2QzO6|9j_AgtAW14#A(UQ9JzY@M?DIN# zlxEG(J@_aXVfvh-e8HNhe0a!QRF5=ZaqSu(8qlV2Gdy09Cs;L-S%?-;J+91;VLn1H z3P6&4OtLSklC?J1VCF<3pMoRSQ+dUw7*-h-C1Ttm?biA`@l%V}q@P!LAhty4NJ@j+ z39-=lt>XrDywA19=ex(JKj$-6(>P{ClEz~w*sVju4vjwc4)bXqx?5!Y3k1fY6tQR& zs6W7*0V&FjxE`G0gqYxRjIMi%Q-PY(DIA)zNd%RL#4trudoh{MNdhv14sG*lW!#||7}^HV!PQHmTbYOk zytpb^h<$*Bfg{j2906z90fr_*VSODz{NyhqYzM5sY(0-1@Xjy?l%~QzClT;TX zNxVO+VP0WZhb>)$6$7fPM@j9uLULAp;$zlxq4%(nUMG%ch%1b2? zZ$3@JXgh=cd@Axwfl)BVk_gU+;X*3MwjAS}b@vr9@U%+c0lZ1%d9e=?8M9j38SVg% zHgM%{%)nzO<-FJjc#Ki4^U{E51C;y&1q$P$75IEb~-Ta-mM*+6cSsg+g!`iil zBG@`_Me3(Zz{AFhGxz~}PVuAuUaE5;B`s(HqEn#&Hy9NEx({6QsR0+DBbUsV@Cqda zhSmXfZ6ve@N}Y^Ct`hR~$I^!xOYARz{%GbrL~Qa2biw)GXoh zD()pN`%pZZA&IZl*Vl*Yr%)rXt*RF)(@WYnnUvMg2A{Z8;AV*1qfl(FSVA$b2wyMp zeq!K=xI{qDSmDqVntz*whqRU9ZW9$P z4BDxaZ!KP&2F=Bb7uO`DQj}Nq!7Vhfd<07>L#=Nv*me$3o3UScSV%C4N$9GnU5ZX} zS9h1Uya`#7^szZ5BBv2KW6WlBkRN?PsTj#z@UhuVB7p|cL^+^Il-J*hDSc(9ed+tq zwI!mh2z2f#a(SIjQo202Zy2ywct?|~hU+2Yzd8cwNHebBRiF4i+dr>tq7p?2+A$3w zpT-SU)xk8$MrsJAbBB(H?*Q4*7&;tOj?*XwKmu!o3nx)i%2`!i9ltlfF|^jyTo#QK@jk`xU7JezQz=kfUK<6>)Y%2Ya8g?sJlFfZB%#wkQtOT4Cxe@< zH80@@*wrcl5s1||0u$d4dj~?IlUkFF$Df-H!!Rq>g&$P+PQ3F#uLQD2>1oa-4HQGG ztu5?3+8CFVnEO0+L~AjPx*AxS1v>Umz!+d(B-u-7@4QIYDlr{k+*s9&A3UEybx50* zq}F<qlAe@uIK zOSTN=J1?0%;~G19=Jh{^tc)~)0TZFDiic+53os7IU7eyhR4-L33dJa7D+J4cjhC2y zpsJEwEM(Rc4z`jM5D!cAOae?#k=G4d0+$vzdk_lIOKcGZjn5aDF=`Lcfes7$&2e@t zC6K1w&Q=MVEl?#8*$e+uf~7>@rlzLirZT?JSX)~gti?T0hJ5x^1yIQ#V4rU_iHQ>B zaOoy_NuJuU*eF398ZOJUpE7c4>-*#6qY@`GKt58|G?9E)@gsm`?KmH3&%h(4)A|0Q zs`jID7ifGQJqnk5-#)nI04L6h!Ub<>L0eK-nrpl9wtOTtMtQyj29dpx?1e9j3$Y~3 zLB9(ac@Mng>W<^bkHb&jzaOJPRnk`uYMWUClV%iz_yq;3Fj!a@6dKf+7Xt}pMcR+4 z5phC&{d{U1GX0^49zvtAMyp8dU~C>K{h1{d!cjqkk;MYD(L*sy#pO{}&Z`L6C(7F=pyHB@t$^6Ia+C06#A*s2l@@im^MH4_ z5K#x3Z~`pxTpkBho*KUnSWm)OwE{XCb83Ku0TK7rY!|q7vIu^s6NSuNsrx@5k3P*mu|UD=5llf?-4- z#OR$Grw=7~+tz%!m?5gM&DJ;cgG_@eW3AB24Z~0Ro$QpS{v>TOkf35)5d_FG+OwfB z;Z;LW=#3(Jx2USVzCXlwf;Gz}&ofMB51Y+GhQa-mWQ&r@Qy1?8g6=yC-1{8l zJPUXsKENs90y}NJ1WX-(qK!b&jvk2q@#6i*iy;-Oi5z4u(Wql@2J&a6AwQY+;~_b% z(w=}Ajnba!diRpJyO^To#Tr=hp!*|gECk;X))LmNs9ry z-p>*t%`v~I3^qxMC)9gSF(CUK#C^zkl6;dmli{UW#{1D!gBJ5?3~)V0lNruq6jk7p z+2URYH9$O=r`W+C0$_0C&&f*))F~mpciPu3F-@YM#6gK@67PUUXT#dZ&a+@m0;U8u zxW-~WyIzl;i=PN+AQq2Gzys`7^+&w<67f()2JD(8*nx|JI?@=uK$=G_PxzkXUO$Ca zKqvqtPDFoD++$S05;NZDvz)-f9LB;an8>f)5dlu>07L`Nyi-B=zR*(vfS48C_n?CS zi#G5@J&Z!=jYKo6Y=0MDlm!e}X4RI=4Y{>{j#qQ?QXgVz#(L@f;EPcr^@OPK6p2bk zuge*>;I(=q;FSWU3F@YbdnbS!h4S7Az~@Z>k)Sw$Xjsk=Rk>7@D&qDWM>cLx3&$zi z!^ze+%VOAy2iXKS1%O%bT>EDWK9q1}9HiYhd!?W1q98$h%)QUuZ+NjgRnd@`;PTnRyU zXPmlRTKNTYqSr|;6V4(abPmV-+k{x;-MtQy`)q=THwPP<|-=ssi5+y^umQ88l$d*{tKZ6=N@gM8NZMnLNmG zwS+v*Oh3@6hJa4T^<3Z<&@@I@?C8stI`sne+k|9|^8_a<|92X=zK_ryn1W2fM8ssk ztVlJK&PJ_@cs1&HHk%xc#-c9;n*)g_*8yK0BAY?YW&${8hrrsFm5UGiIqzN$*<_~J5j{?V#wHQThUnL&Lv(&& zyL16@fGjanQ4}v>GCw;|7<)lk)`z-;ECm{9CvZdf%K2n=wqjJwcXB)MEDIqGgVT~- zC?cMN5*?R_@y0O`<5cP<5%nz*{QiL)%N~_U$8?z7C}U=s8ie{b${^XG>tyhJ88ymy z8Qv?D15TB+OU;?s7sw#9hIX2=5g5v^eUQ4hBWQq#otXTL{2}gy$HJ-p{e-kB8sJzu zg?YH#UgKv3>g<7KqIBee>=4~Ik6ECJiLwh%2viU6Ta@wvSRuqnzF_=T2;X#pR4I6D zhu}|Uz`Cdkp$GB_snkuT7|wvUJRf;SbHtx}F&?;Z*QH+>$>gJiR5SW?`WmVS?|e)q zXs7MPE#PacfoX8f-itISr$!rP5)ydZFD^!6QM!`I#?EIR2hR?DHvubPfLVSMqLC4@ ze>}^b7IClk-#yMFo)U3_UyN3v3nut)zjwMZLcLVpFMd5`$FKeqPNP_1s&&w}8Cj7C z@b*h>qN0y&AwavS2u7BTS0Ptse0bi4-9J1K<_9=VonTo}Ja8U|@7@e_eaYu2I^bQ9k7M&Btz`s?U{t4BC+`N1IDT?pZACi(L&) zXyOZm!CQ)uwB%USWG5LcT#wA`7#^Z3O7ZyH=$Y}cvgG`e3H}J@0$93bL?KjBCF+Q( zQD;<(x}xr=C+htp9Lz;_8S@_+yt8k;Y3WjH=89VuSyOJhamlSWEx(b7XFbGjy=B3| zn-{fNH{3qVYQAat!bR3Kixzx+(JfZZ*ZC~bwrBzMpBYgZr@s+l1ZCmZ@w&F0u<#Rq z1UAEKE+J(qx&0LQ9ahd*OiC^pn`pJZhQheY_b#&Uy)tvJf_uM#lW!4@-17D+Ht=T3 zyz8B@8o_yt%SDt_V`MrDhZr!>SW&o+r+XT(yFYB9;d6Zlp z&_N4(jN=0=ClC;pm$ZZgAU0_cL5&y&*4z1g!r#Di5VQy?R9Wd;jmaQGurfkKG&aRWh#;8CG%8%djSz>q zQ-V8D90Df;VD3Op2B0Bd1}oc@?})$})DEFx=|D~d^3W{;O*n_*LKuT28kUM0w8G^w6*DWl4(}SSiv%JA$C5;HD%aoyhVZj+`NZ%Ht-SJ zeukWSOhFgQlpl!zL{9N4X#8d!t%0SL%<9X*W2ale{3OtFNC5f@OiFWqDuwl*!;!@k zu%!pIJ**q0VURQnGv)w}r#7cqZp0no0&s^J9YY5u;y9#_WpXeeRT{_CrTPs5T76P~ zO6U(NV67iLDb;~@;5M4I^*NPioDqKGj9QAvG<0R9@f}q)4tOx(uOI(Y_YV+&cLj5W zIW76$$B_nFj`<3sMJ3pLl*&tS|(a0lhAa#rGx_KBnYJW6@aFK_!xhc6aX-c z4MB!uxEgc6B~^Qr@-r^Jjnx(M5(8LAaCfD#mcp#V%tmIYSMQMQmv#Vb1Q#YU(<^oP z{LP@faUY5|K?^NcN{>KdDNimfAo<0JQJ@q!atR`cYbKbQi%T8G2n-$K@iR1#ONE*4 zSuA{yqOz3EdjF&IL-IgYN{xc>FJdO}p zq+@ZOZRCp(kP6hU0+&)Zf-m*@M{J2wMX;tNT$p1#qAUU%CdvBPq|VG@{lKq1JR(9M zK~_6hGfb%>pjajM)r@?~e@^lrCR-R}GhlMVJMkEfEBo-pHlYoC6Eufa%9Of=lS*#> zdrTqI?ueJ^>y`A4!+=Z$FF{riS*jD5kfk)+$PB5U5>>brYd|S~rChEkR|+MeaHU^o zO)`c{tM#VjLyj?8@&P!Z|DD%JdVOJx)A%k_lFNV>9+*8ax>|r3x9024mrmq37%c&15$Gvs=S_{amfCHUc3wC1d)L8aQc61dCk7M;Q`VN^Bi3 zX^h2?9H}e0qj$28QORO$vYBG@;)a8^jRNR(KL^--3&Gjd@v=rf37X!?#Jp4A#+E>i z&A!5X2Z>nSTQL6|(@SjmO+f^01mKo&h`HM3n3IR20FU&WIqw3FaxuwAMzYH)l2c6CsUY;=`dB(X=|$Sr94R9j6c!4jd{LP7?1<0GD5Vx#X#;|?{oghNY|-5^!( zJ=Sy$E5Y{Dr52b?;ZXjFCePJHuA=y1o~r^o~U3vDi| z!+Qb~o$*qIX%&BY zQ((PHE)cYphAnKz461fsm&}jIb+`=L+)K&01@RMva2`?|^X5q*gv)i?&hPHr_4sZW zvL);PVtvoXP4LPWQ;6vc8+P05#@S7Cu9@Su8Jv;M(W+BVsvKb4p$7EPsx+TCREDa0 zHJ>?+<*Lt^M9$of11i=mMCVZIg&d(zPRZszsZK4>?+{$JBw*&|24Wgvl>(MyyxJ;E z%P}l9>nzxds4o)3cSPK+d>FD?@rC*(4klP=!|t7=Y=Q&ZN&2@)Zza76%fLd~!-eIf zEM=VyVGZmONIo}s<6(Ydk4gXhKHujjM-JskJV>RUvouW$E-%8*ASls_!opCfpn%f} ze!9UHa*axPiP$Ne(uFQ)S}WH4gG#s3d=Pd8?&cr3f*A5$NHz@~Jh%uhtQ;wM9C-NF z3+X@r`W1kD2cQRNa5m=5A@q@a&jB{!il`{SUk#1T4nQfKOX&*JG;hJQb?yM;Nq#`k zXmr3MwTYPuXq$wt``%Ddtxa)TS9pp*#IM2CPUT7`Er>eyMEtqPF>tQI60+Af*^0F4 zt5T%E4M6C}9(xRKG?;TPNZ$aEhD>N*7^kBS$8b{Y>iy@1>HsE}A@u4RT`^)fexL$@ z$Sn@AAXHrAfZ7c$suE;{DquTur9?&!I96O)bLE7@#8#R*YkXo-UHv4o%HZ%p<(Bi> zrV}ULLXn~-@!(hee$@=Fx7$`NzH`asy2-duLshjCYMLbARprK@f-8qzGHm$Q zMt;p!zvXH5JKKz~%bnGEkp@*n;Sf|?*Zb=qN|CI(p##gXbaeE;aF${!Lvv6fq``sI zV_IYr1^h4{uo?bMaH{EH3gH|I23cWX2|(Tu^*D{^C{I7dtuPlCcbV1IFi3G&sIlg_ zaef*Rk0W=ZvFQn!r~n#+5F-?avf@nIBA>pK^i9MmEY$5N;S&g&Ayw>*gI8>JxpJg}^BPS!z!(s&fOUXHpH!%T7py`y0yUy7_Pe1{ zU@n6PK!vdk+~>FzqgjrrM?IMOBTZbI(&)c!#LsWO+?xueCHp+<6@tq1jkZQw(t2sI zUT@h-H|eW#i=0)PUu`d>0jjtB3!1H}vG~4MA@x_YrQ_B#wL6GcF2Qt0lk#4@`K~!P zcQr4)`|fLc+t&=Za#!!oU5_rkd)u~Um|58G%Ai+Q_H3Mg%f{AKn-=Wqz+~@iZ6V2& z3+P3kdu4ORwm2b(DV#nCH2kQkLj|mptfUU+1~NL71$9C^9xt>#t&o>y*;kZj}B5N{6jXd%3I6>u|5G zyLg8?T!%eZU~`J#xk9qpalJDFo2~JmhtBn@yZZap`S7b-hE}x$ZR_EjKxKzYPzAB# zv}kArvPwwvvrIv2xyX&MxJx|Bu>1zye*JaV&zrwszMFCaOXMEP$bI{$5u+;N zqcPjhzBn`h-Mk&!W|Wl=Eys$eflLC~tpAB44=d#ZHh@=wDd+~8)CjAlqs0JBDmM;C z!!g4ta>?-xb#~gf0t%xecx3>S!QDhY4j~Mpc{nk=e2|~AfNfWfwDkqDvM8zx}V!-NUvfHz0z?A6z zpq}vq#QgyIQ}#!fyoL+D3!u24hE!Mk$)gdpDFV-`AOak>|CGdK3zu^Xk@zVja?k+B z8ePJSn5U2~LqljGVs?q*vw=MIY9m}T9nKDv3|Sb4ZRcQ`$gUd7JW{V9sYoBQ7E07k z{TyyhnEgHoNt66GR35!X%QI-Lf^%+B?)Sr;frp8+8dC4Zva6(`r^6wjtr+&Vm_Sbb zIYI=7qcM^|&4N?x=)e90HVFo*k3gAchv3k;3mmSEg@si}FhQ5t{|~`cli_8$C>_Lv zlDOF}a;+%3qzrDGyMAz}9NGclkS;e|I(ED5yRfh_YqK;3kUHt0;ZFFbwmR>!Wg{SBl*Xuu3 znEvo8?0T=zR?dGMlvd8wnLI>46^6vm HELLO + +### + +TINY_PASTEL: tiny_pastel.o + ld65 -o TINY_PASTEL tiny_pastel.o -C ./apple2_70_zp.inc + +tiny_pastel.o: tiny_pastel.s + ca65 -o tiny_pastel.o tiny_pastel.s -l tiny_pastel.lst + +#### + +clean: + rm -f *~ *.o *.lst HELLO TINY_PASTEL *.zip diff --git a/demos/lovebyte2021/tiny_pastel/TINY_PASTEL b/demos/lovebyte2021/tiny_pastel/TINY_PASTEL new file mode 100644 index 0000000000000000000000000000000000000000..f20629e5aa61195d7181c9d372be0e8574430048 GIT binary patch literal 16 XcmdN1IM5Z!ppf!I;qBiSKE(_GI!gyh literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/tiny_pastel/apple2_70_zp.inc b/demos/lovebyte2021/tiny_pastel/apple2_70_zp.inc new file mode 100644 index 00000000..9737f2d1 --- /dev/null +++ b/demos/lovebyte2021/tiny_pastel/apple2_70_zp.inc @@ -0,0 +1,12 @@ +MEMORY { + ZP: start = $70, size = $90, type = rw; + RAM: start = $70, size = $8E00, file = %O; +} + +SEGMENTS { +#CODE: load = RAM, type = ro; +#RODATA: load = RAM, type = ro; +#DATA: load = RAM, type = rw; +#BSS: load = RAM, type = bss, define = yes; +ZEROPAGE: load = ZP, type = ro; +} diff --git a/demos/lovebyte2021/tiny_pastel/file_id.diz b/demos/lovebyte2021/tiny_pastel/file_id.diz new file mode 100644 index 00000000..b3b2d574 --- /dev/null +++ b/demos/lovebyte2021/tiny_pastel/file_id.diz @@ -0,0 +1,5 @@ +Tiny Pastel +- +Lo-res Scrolling Pastel Blocks +16-byte Intro for Apple II, Lovebyte 2021 +by Deater / dSr diff --git a/demos/lovebyte2021/tiny_pastel/hello.bas b/demos/lovebyte2021/tiny_pastel/hello.bas new file mode 100644 index 00000000..25e4d122 --- /dev/null +++ b/demos/lovebyte2021/tiny_pastel/hello.bas @@ -0,0 +1,8 @@ +5 HOME +10 PRINT "TINY PASTEL -- A 16 BYTE APPLE II INTRO" +15 PRINT " BY DEATER / DSR" +20 PRINT CHR$(4)"CATALOG" +25 PRINT:PRINT "PRESS ANY KEY TO 'BRUN TINY_PASTEL'" +30 GET A$ +35 PRINT +40 PRINT CHR$(4)"BRUN TINY_PASTEL" diff --git a/demos/lovebyte2021/tiny_pastel/tiny_pastel.s b/demos/lovebyte2021/tiny_pastel/tiny_pastel.s new file mode 100644 index 00000000..64582daf --- /dev/null +++ b/demos/lovebyte2021/tiny_pastel/tiny_pastel.s @@ -0,0 +1,30 @@ +; Tiny Pastel + +; draw some scrolling pastel blocks in 16 bytes + +; by Vince `deater` Weaver , --- dSr --- + +; zero page locations + +; ROM calls +SETCOL = $F864 ; COLOR=A +PRHEX = $FDE3 ; print hex digit +COUT = $FDED ; output A to screen +SETGR = $FB40 ; set lo-res graphics and clear screen + +.zeropage + +tiny_xdraw: + + bit $C050 ; switch to lo-res graphics +tiny_loop: + txa + eor $00,X ; get value from zero page + jsr SETCOL ; set bottom nibble to top + jsr COUT ; print to text screen (which is same + ; as lo-res graphics screen) with scroll + inx + jmp tiny_loop ; could use bvc to save a byte + ; but we can be sure here and we have + ; a byte to spare + diff --git a/demos/lovebyte2021/tiny_pastel/tiny_pastel16.dsk b/demos/lovebyte2021/tiny_pastel/tiny_pastel16.dsk new file mode 100644 index 0000000000000000000000000000000000000000..6afc197b5488a99a9fad2d62433e41846f167d59 GIT binary patch literal 143360 zcmeEv31CxIy8pR1+s)D}Ewy1Oxj=yyDJ`pI5mMSpz|e(FivkfvE3ztR$EQ+fD35U( zsLZ&{Pzi+siA^b%P!TGK;7|mN3vT0rjv_lj1#u()-*<1)g~dT<=DqR#4K(-Mv)yyg z`PSce?hRMfy-^#qR$V;`AMN(iVcwfdhg$vTg_&W&eO{;u3+eF({$-=7!%cwS8V4tYNaEJm-@s1%&hpcB+e-o(V)*47GMw$43i)1!9( zu7LCPHU3GPLc+<0Ku~e=g@Bi##Cctnduu>kw?;f#>3p!#6SrY_u+8r63Bj*DZ8r>m zYIR`u_Qc>>=hiiq;U$wc1<$e{`-nGw@7*Du_`%DG@BQHQQ;H$wx^$aO+9gNomwa9C z<=p4$-AC*F2kYG**L!*Q@p`Y)eWJel^ZLbQr>Xd>`bTJnd|p;@PFYc`6#rOX8UFK< zWA)D6+b{{vg%$qK>oZQAruIm=PuK59yQYqi`l=pnsObDA>z!Iu@aj-E;|S*tZ^ETe z7#XDhv-;{!>%~BQ^@;k$W{t;m^yvQM^<^ih$NeAI2S0KN#Ug8{RU}(o$r&#H)&O65VSS9oD zi#H4%HI!|ozo*!i!v`mnpQvO{1`k(`Z(v(fhE=ewd(3BC8H>6vDONYQw>K==p8kNJ zZ7uEQ4OcAPo>;csQFPR!bQFo3pIaO}=RF`k_ng;9Uw(W&>6s8zY-U>v!&`g}DbKIz zUV$FUwl5AItLk>NkV%;}#cDZsGuyH|cvP+@Zh6l8VN+MfC>6#Ru8!s|tD^`_aBY`| zRZiHG{vDc5W?OsE-=jl!@9Y*l=JJS(L${!^`MZ$^f?pk#QjNag7oG`n(jWZX%N8%} zww0s#>ovo4CKGpdA?uRZFf+4s9Weux%@yd z6fMWq6msU{bhXm%(n*ifZql)9x2JltobHRwpRWtn%hf&%)-<(UZFMcWy0pi>Vr6J7 z+II67D@(g)eAXk>w^lPUe6mZsmMcw?;!Pw=P3Gxp}kYd67L|)!izd z-n==H(ucYQU&6tP4pJ07R9E{NO17`L+U4_jDlL10KODL)$R8R3QVfP%TzYbn*fFsK zedhG+*4Y+GKmNp@|5EYviZyGWsrJ{bf3|L8U{n3(=eKNacwyTs`}V*3##?W{``+K) z|KP)qK0EaJk)y|spE!Byi!Z-AbN1_RzWwg|AI^vC>O2SQUfR|CUAN2U`E8x_y{oRy zxA?b_@11qEiy8OiQ@moiQl<8+tX#FaN+YcE`m{Q|A*ObN(PXy7#y!_2{;C9P+jiSu z-0{-RT`&K2cjKP+dpjg{48Hcd?ac#Xr*}GcN$T3Id-B1LKl%HoDLt+}+%vUTT6*t3 zq0@c)^&fCe#<_um1`oM*=rB%aF&cV`yjjg(%WH)$orQKh&je=bEC`JCVCLj@JmUq6 zPT-R*+`!JgIh9pRrs`T=)zi#`UQER&Tlw@Zyx2!+;oI;6*HJX4bxFs6Lqd;X-Mge; z((PV0y5cLvEc8xGvCx-^zRVVj#S|OMna~0~wd19l z*EF>mgp^voiZxOVmuWX>&>+6Yph2Mn3;(UUga)z)Fd z`lXmx=4AAKwnP}-R)0pH)TwN-SS09~s2KA5P9n$F@peyQ1!B$W#(k(40DW94V48$k=7@^xfSvt+U6Ki)`%>K zR71x_M~@!W`i>rzgagi9r6U(Q{A_unKd2CoEEkmx(UURDt*&tRjn&SNwvP1hW#`l$ zW!X8Uhuh%rc}%Z4LhT=MAX(&i)Pak5goZL2cknFaTaiz`td!{}auh8&r=l{&;^0Z4 z;rH7;>J5&k2C&`Rb-}Y9#fBlJBRu>DhsTUg)sH%oJxrKhu4;H>d#$4358H3=pDYCR z9u1gtaq!!kb1IK=gF~G7LUPcudW1Q~6T4XA&aV%R2!81?Y;c4oHY!6mP8VtwB74r0 zURJC^8!>xxdD8x3mHA8Xx5MiaAA4c{Idv!*D(svR>Tlu;Mfh`WZCKrFFGNWPs`Vr) z741K#41N~cQ{=TLI~^~C20GWjfDtGKWkrDi?I(T`%Mo zD_x~M#3e6mE>PgiU{r*s!9t9l@GW)tbnH5pgJx{{?fp@|NY}E8 zi-uR4>GhQk^-O?7%zi4o1@i{2g>P>@?#Y{6ngcSL_<|U-%`4OBd;Cy#Rd!>S@;9vIDjIqQjU7D>^OOYn)j8sXt+jXIR%&lQG^}b^qq+RJ z$Fy*m)Y(((wk11fy`1xM4$*4s&xNKI ztT6w>+wmWU06XZ+hMH&YTYc_1KKERoOT1}qSv?L9)zti@?$6>?tDax-mpZT3Sz32# zyXgO)Tt8RQxIB2hI4ZnmNt$J zuO$l-#mDMBhrRW%-o)?L*Tzd3u-kC_Xu0<RtYW%Yz!P(qnkk;oASv^59AT z`Q<3jIAV66U%vm~a+Iei8X4*^j|z1fxsbAv$7$H3#HmN=wcx;MVeI*s4VLHg8=c>+ z_y4dwRJH&6%AC|iy4#zC_^n?Wb%fnAMJU!p{N1w~bmTw6hecH03^NL<83RbvQ z%vq6J6}$TJRl(J#R!^;*Q#r5lNM*aKT~(&4_p2%^pQtRUoLSYUa!6%zWol)9SY>JIb5iXnzC4E`ha zWLvwZ`}{}i-9J2+AdTHKp_mAZyoDk{cT>53VXD`wnWp)>P z3x!u5RQad+LTdLEpR;78%ek!r&Vcg=pEFSJH&s*{D_s5^zUmi!E@#2Iqeq>E-#Vv- zoi~Q*ds=u}SbXhie}OL)@9^L3do;NyUE%BoN2p?TlGpWUG7U!|{&tO5fx8i(Um-sK zwEJe?l8HWN-HI6*jM|Rz>3j{A3P*#vg1T_Y zD0Qx0AsrjtAq|b}j^JcR1`;5q$!m(rkJn&a@l;*qRnd5jYn)H5K;NO##z#V@E0yRX z_%YUIj4#v`hk75D%K83kKjW#A&g*tF9v7JC^V)-IuQ|wj#nj2Zkcn=5*9zPi?h2)7 zRa5BuGj6u}CLgYu;hHgkdEL%kD?H({DZbrhQ++3=_$ryuAaxw%=w8ItD^nH}E?l=; z7vhVA@=$U}+`MpI@U6(j6}UG)$7AejEV}ct70#V&j8lBoQ+&9!a)Xy2sP%?aUK1SH z?dl-Eu&-C7oLj`lJOa87b<~xsuyo8b-C5`n|F|*~^VEFb?(IX$zr;!KyX2q=U3tya z#^C8F1Yhz0(bwn+#rfys5WJoWw^Jc+9)?alL%g1+=J}x0T<-ZkuYpRsiVrb<{&M{zLBY#oY#22rcAC#U&*#3~=bqtn&-97!Z}Us{AGG22zOI?# z^H1|7>b#S5-ryf=ZuN!kg`U6+1>djKePG93?g^d?ZE6_hO&<}1o~D5)Zu8N_EB&|m zbh>p_IYDhz_PgV~PmV&Rr|$4oWj}Sh&w1G6 z@RrCu6{ReQ!N}(Mf=5Ej#gdiI->txGi#033WxfU*h`3{g!vzAw%7Mt#5)oFrXgd=s6=l+#Q2i0q`tDr42j?|`iYSh&xisx5O%ZAfF zEoU8)`2WbX?9%Kfr{$F9l)swcQfGI|aV66N?xH3q8+GNv5l#A`Msw{al}c3K6Z}?% z22N#VRkri7^Z5G1dH-Y|-Nj^|tLHplzCzr+!aHa5JReAv@-Y8(xN`@TY!PIN^Ubwh zmFTMk65?S`K5BP)HK1z&InJY%UPJJ#U#M_51pL|xH{cL0mO|W3MdGSTv20E7JLlX@ zUgkWo3JT;_pMQogsPoVCfx$Q|E>5ZGOdpy8JHf&zoV(YQW>#gF+QqE3V(wb8-CC@O zdrQE%ZjD50p{@kU3=+SWuCabCdZO`4LqmNi3#qQS3?<^9*M`jE`n936qHk^JG_|>E zmCLJ5o#t!QLXt3#S1BG?<-f;QeYa0MxC#TQo*^Zq9A|iyxUSN>AazFoHmkxJTq9K% z?_W&>n8F3sOYZiS-Qz<>&E3B0dwkBhtHnvHz}++M_CcW<6&RCL55qd)^7*PppSZp$XyPy4HfHsP_6se!I05ivYn1<_rr8_VQBt5pL?Uv zpYL-Ad?0lYb|@bkD?{!5vwT6JX0|V6*+1L2f0hqy7Rn&W82ZcwVPAVY6blxn znO;C9l%MNWlTrgyn!pNP#XhL9{azJD)_Aa-Pgwx{1Z5P`?t$>qmOzC}XWjBD;a z9Ew@f_uvO1O_9}A^=|q%MQ{tguOv-Vw*tlnah@W$QeOKgiM|wY`2)VvO#en-sof>2 zpDDiz2x_AWi#~aibJ-@ix<{ccQO&Cl>L5zgUL!vR=tyMtYjj;wg!3p zTA3bjFF5roY85jkR=_lB80PaWxz*P&*Xz)hK+i%Y94<1SaA6JI+XDU~pL=`2KbbFjrRKD;q;15rTTz zTIW4$Nu(q~n!vZQmu6RGmgWSHdlEO;-<|IZ{(Z6eEF`zf&njxTilENZb%Q;m^)23D zUzAyDe+1oau)APwgp8PxUG|`W+1ZsD;`X>kgC%q+3qoq*kl-3u2D&Jf}hew)|yB~Sfz8@Mf!B>=Vq#N=bk4QC3GmA>? z&d=7uz(*$j)9mVtO6Qf%DJs3EbhfLgbad$}MWxQtJ+7*O`xF(SSoZ{9Rfc=K&(Bws zX808qr30(3*{7m{alWbn?y)|Uq|Qd&&|VE{+LxGb61Vdyz#n`&YU-{3y#cvb2wdSI9+i#E;k`( z%*gBBWu4}D=B&h@82RU7oz>#J&g#y}F2$Yaq|dR>v6t9O1VCd-hBWK+8*wiF&3S9* z8+%{h@#0I3ui8bkpyavjo^r;3H8UQ68vKP9u;#yTjK=NE%pEa4b3~4qn>S9(oRB%v znK|6KYYcL8#GEl>@?NL=%MtU()p3RS&E4VpBgSNo7RTk~iO$S1BX-envqz4>n8d8S(W5hSce2L!CF> zHnVu)lfEsfPcRnxq5TgE#aFsyw~nz`j_EmRI@Fj7>0@BKckSK#s^{l@6bsRboxKNC{?t1W(aO@jZSx`*n1U;SA1 zqUvSUly0bQtbV@wWuy2<;aA_L12NljK zb}OG>;;$RsuLs<32K=W36)$gezZP)65%BK_RP5d;UQ;U? z){Ae|i-XpqCZz7JK!a4JL2A^ndn1&h`)r`$^NsG4fri5y-KPRe-VJ!e?t=mM#{vH* zb?)~9R_EmPUgoQKEpYf?YVaI%4k9Dp1pM0r?sEbEivb-zz9nA=ycYMjP_2pU#eVC> zm!9?VsRsg~PU6>SY!xlF8T;P}z-E3o;6E9_`2D8>xD||$W+eF;|JgwL)tHXb;r6s`Ckf%?^Q?IP~{E7agNr`J!rkD z7Hz%um;(X#fdCph|Fpqd;(oeLGWwpT8^DM$ov_NEu9Ih8?n=Hpy5y;op9X?wPkt6~ zw)Z#HhgJ4pb<|W^m5M_ff%3k*aqC|uY;p4Lydk<#ii|r|aQT@7^$c zU6J^EfA#XZ-MfRI``-vuzZt-JM(t~WLU6$h@q=f*tP&y;2F157qBmMFT8XRN6?I}- z4gOc^8`jq$rU#Q_QI7v?eQCBhobvIXR;zXr6rwb)(Ae}*9uMx#%zJ!KqJ?yu9*s^{ z3!L`b&1Hy#6Xd3Y~#zyVraS04M#>N=>k8~{i;MRziXb3{#%wiYcGoB!q#h4s*gy8;Ml zz6K4M8icl!@;1K~K(LN7q`Ov%H`dC5ycg?0oyY3HcgO20Gr&b#>JA6Ltu!1g3$^hm zHhbzSzO17W9?T9NuXF?tiytqqWRFyA*@#+VPOaBc9jaTr7_m(E){SKi8+Rjw2s8G^ z+Ukali_=xX<4=YTi971WQT4d7N)}RA@dK@KcwmLe}wNMU(q@*2I=LNyb&k^{fwyv zGCkb=e!x2Oy@30}0J?O45SaP5fcv8WW)}nJoMURMUkUj42FgMdm92RzQ2jPcCJw#u z)i3#wTfNhV7;nwD0S`lE0W+6eD^xes)oiT`>T0%b3}S4UvL#Q{l|6~Yz(vt@PHoK- zb=5fM(Z;{aM|b|R4;OGPkz%z7_X3ks$4E04jm!GK4!Doh`_Ba^)ci@n{YAb1?*aFh z6kk4E4~Pgr5yHNpYZzXj{$usEoXdZv-lJ(0LxM-yXb$ln;Ypyj{!at@4hCQXeHPgF zaUgU%!O-T8wvXXDy^H()>WW?HTeIjvvrI21W%R|c$AYDPXn_K0fqS+xpKz?Gtt2zNwuB`%tRlbqK}!N7%U$6 ztTQ;aBix&IUS;qquPPV|#MJ0KvF)f=7gWQev6gcg0(3mQ1P1~X$rPb*Sz;JFc^yv{ zQ#j1&ZhN!w#T{?F{^AbLu6+mgzWSEul{Xr9GK)z;mgYbB+#fBsptmmOs1+vXhQoA$ zpZ-U!e@?p#+eF9qGmiYvX!C!niGDc@YfjDP`*2%Nu*cbB(cAmSQ{&<|OSJZ{$6sgQ z*BSVA27aA^UuWRg8TfSuew~3|XW;*v8BqL=*@O}{Nhz@Q=^Tp_IcBo57^RuDzZRz{ z&8Gu!n$&!1$LZBgrzXawwjaqcr5J6FoS7!ZpRmE<3Wra27Q(13Ao)qYw3Dquv2e$Z z(o$D}-6b%`!w)MIW@@U!l@}%@IUM)99QR`fuE>Pu{P*4q1ZGZf%tV7_%aW3s3ls`L zczEW7hf(17-*i(`0S#;6nKQ?Z-R~+uZ;n-~MvQ1#D2=cHJK;Hu5~J(l;5eSg?q4gj z80Rok3A+`!b4?{&xED@RZ@BBbKXiDHW2JZ1eV6rzH~;V^I>QK=ftl>As|pQEShmv2 zxFn`fGHo$4mN1NA46?%$FdOkVpnnCfW#&352Jk#Dwbw-2tEKi?XwN6HUK}57UeMHh z;2n3kIA$=jIJ73f3wcn*#b**ILety=VCF3S$g^oS2E3mD$g!HY=^I$J*4CPL$C}Zn8T5@ z%ptJMg5*^NhgrX?{S%59CvZasyocrBJk(0b@~LlbG1m{Rk!$5Lq(-cSVUqC8onxKT zcP!*G&7)O;d znej?a)aw)I)-i#Rg03h)k141V?t%1${Mm}#+W%wrY|YP@Vu|`qEIu4QbrE|${Uhvo zIfh#J^Z4^J+#VfZ3-(;qf<0-a24Ru?SHhFwoR&-pcK+%L7%@zo9OXo``7!}4mM{UN z1Rf;bN@8umP3c+J4&m59yB*(38&i*Cx|?ODBOV+kab2vToEeLl-o@s%e{aCdz|J4(2@^2E@QkQi{x~p^th)s>#j%k;BkQuT$rJZ%Y;|`ESh#6{`3G|D?u5@PR zR5G{PC2s9A;cEXUtaI`z#&t?sHjhQQ_DSL`h)|FfOK0>zgM+@aXUa@_m>L^cIpf}8 z3Of_P(D_^jI2#o+sGqM%!F|r{}JwNG4&)y`77Z` zsSTJC4Zi=mj2Ir?#EGZ6=B5uYE>0^f+Wa(N#qhqGU z;LSP6htS839#ATIG{QV!#w?}`7Gtn7v{9j6D(WSI94=(f*6el#{Mm}1anT=DpZEU= ze_n>6ekOZfj@zRnY{8%Z>FRT-3kt3PACRvdJOe`S4SlAOm7AF;J==wk#4f}%U>JD6!AH;kTJ6!rb;+;6TlhRI>|CXaAqkgP1%> zlS^@v65P~_xJiW>)iN%goz&^HT0zig)M}MV!M9;EluCtyV{J%*Pco!4({_nJPh7}+ zt$67Qc&|0HMoIfJy0JgA!I*9RJjRcmS!9cs{ilBvF$$x?6pKA8v3#r|RvD{`RmW;# zg;;H@E><6F_)j1I&l%tKV{S;YWao^|>&J}43kQkgbFa_MyCIhu(g&rdi(;B6_8FAk zpMA_FWI%&-0QXy2|Af6r*G1A}k@iN?4jNk`|BV6IP=umi?Ln;v*GFn)`B|v*8^hYx*6C4T@d|(>FR5(i*fj&`Bc!UW( zsQnqCBNK*lbe)LIv7t&h#+Ju1C#mpRa&|a;<1AjGP^twr24lj-F;Zj5gwDb}F>o6u zEIi%@r&6U!V*QholTj~`X{9L$_r{oV@VsVX>QyEK3y+))3mb}A&G^2?7+nvhK`J_5 z;Xc|DZb}UFH7+GF+VKSJt4h$yvthdm150R+3@CUSc0wDZby7MJ)`{MR%1+ZFt`H}g zHWXfGdNK}1ZyNce;qYOZJty&VqbnsXbYubL6|>NaH!T^~iUt=;E65udFOAg_S^TD9 zC&D@!EX-iSb~0qbMN^?X;QpZ_ET=_YN}BpHO?|;}B#HK0WU2%MGs+F8TCjG!Rbjz0 z;A(V-mYzs9Wz8#ia#&gyEBlMIrVoC5 z|G8%hM@(0+yl?y5@di4^AUZsl@CV)Q>4l4sn~-(?sc5ct0r&jm{9@#4ubK04B-bQz z{c)?p-E_C3AN+RCSI^{UN&O|?8to4yOQ)iL{4e47>v&Y2f?1)Rg4{4n4kiGXO=Q1O zn9`&X{E2!sx|kxCnOv+L3T>u?oF9{v-ihNTGv<ux6WRERwbarbH__MeVhk7Z&P zOc*GfkEL%hIbX^Z1~7;?mDni@g%hdJG!BpOVl53!Oq(qAKou~}iI`C;;5qb4c|uQ4 zIt*a~u508>YO)ZyL}Hf`ZiIjfO_Z*j2wyJ}DJXocR>F_0TYAj^^j=bL}+CU*8l^PZE)3COK`RxqLORb7zZEFEfCPEHi;=f)e1t6Qf-&f+w^?%mX2sf!Ij3bn>K|CrzH>nnI=1?<~4= z`du^bl1haenW-2|D6p!fS(*0Ctn3_MMDdu>LTV`BF>+9hz()VFsQ4SDOuH@3NrRD1 zA#2jWv9D~{qpP$*WhiZ6OQj9oqSB_pmuVh%#&k2MGG%kB1T{oAK%)c@(S;Olfiy{s zP`Cxmp9l^BgtfC}WgH zC3Y7nI0b}=La9(G)C!G4P-qo8h5qN*ng6M6VE>L+jmEC~LNUuRX5`p$qcg{1$Gez= z#{vq6=Vcd)ofuDsaZaXq``xo=ika9(KPG3aID3{@n3*f~9yoBI*r`*e76xpK6{fB%v7C12y8D`OypuY!~rr;yu1E6}_ z9IQqkd;nUA>FMcaaA6yJ>cUv#kmYKYTP#2D(2pftz2>CU$Q* zc)JXG;|5041xZ#SpmU+e-P(gDms|OcExOottnNP(EP9n+Sc*?1SOkt#G_CYP2R@ey z76tH$1dEdJX>K6k6A2dGgilieCOLKCF?=Gyq7nGCERiC{BG*Ctw~vNr`Yq zOr!%jdKAu9ALLM^WV&L!w}v&7n?z?9lN(WCl-x+ESKGJOqS;Yv)Ots40D(s z067tBQ#8gdQK-6^E?Z77tQV{eGjM{vWspV*!^X}!5jP`nK-e%MWrku}M`Ek+sSD|O zWJ~Guvk|0-nRHQjh5ZP8dpW@MXX3Y)L4%`f-U7b;Ukh;8_Ne zK(MVj5(>f~9KSc}&ySEArV8I`mB-1l43= zW`sLrg%v}{sU1o!nf))i>`R+Hk9adIgOZ4w0zbVZ;=RDLDTz2W@aatsX4OL7!lfV1 zgmI*r2?aw$#tgrGLByxo2sb5(&6+%Me1UVsa;ez3kq&95jm)B*#|^vvmPt;;t`Vi( z%>iuFYu{nE@g-b)>TECTh^on7C?-!%n>=~)h!I_&1qus$78Vx9vZ?v`$@%&DvC*LP zFT{s8r5h6204BNJz!Tyi%;TWM;|L;40`>u30O2Gt4Me5sMBvgB2@4>6Q)&$|$Slgr>Xen0B|&av19Z4RIn0eHheWn9q8kct7(WIE9pbJ@BL>(7Ae}SM|@oo>UfuvSm8Z{Gu7uR3)rqrsc@$ z53OxQI0FJ14%jb5`5dO;EuqJR7-nJ=^yfO4Dm6TQ$?cTQ>MZ84q`x&n;T)}ub2RY2fW?W0RdrWS_9)CIs*9X zd2=LoM(oV6OE#+nj%AW03__qq_>K8o$F9x%n$KB^@(DRt3HcO)F+Int_y;t68#RBk z8fx&D#kTEX;SqWl2Od%kwW(QC2D5Du+>X zXa3_TDoi;<$1jeeKFHpUMp0=KfYT^PQ4t%<@6;-E%6C)nvlPaBZ2n;VM+_;1^9u#0 zSVtjffRB3P5X#W;&oh3a%4k=j8X}rhhk~WqpQt8@#3OU_~1-OPJJABMf>Sb}g(#kc8BoN_9aH^q&q9o%?rC z?^Ov`#a|WQCcaHvo4DA;Z7e)iR?Vi^dU33u4f_uI*>dP>D88`TVI!fxX4_)=LaLt) zh+qeKWyjbM)-}UWnI`S4=nHQ>e8^@@LQMmttErsbxV_~rsYQzZ^K*MCSoRAaX&yJQ z1sxtY?wdcUOu=*yc6jLczDa|T%zpDkoF8R|-zi_Xb?gHQro^s?2MzN+d0}jCoWXPb zXy@N`6=Qp!n0;zR?$Alp_{5ZVRt)WqWOmhl_7EDYA7b^Ba_7s9N2q6iRG+}ny=*qB zSzFi|-8c^WK=IaZ>`EI7bQc22!P?QL37zgT}!HkbG+<~3iHN>cytpb2j!WP&U z$E4u0uuht&L8wLIgWCUJQD*;0d1yp1lORncw%?6ubjn)7{66%`ktw639d-4p#_GT@gWxJYpdLsaFx10qJ8iehcnZ4 zYCV-Y;8S*t;t+f;&GIz5{8sEQfY&&`cW*$&8Mv!-d|Q|n;tudQHpfV9Gci3eQlmm@ zwBrjU6trN)E83F*F(YuH(P%)Y-(tf9b`fIx0!k69L>lk^K{^Qt2X-fM7)F=bF(}q( z9861nm$RFsVSKHG=SLA!ic~6L#v=HD9+#mPhzN91%vK%9(^o!To?4v};+cW*{qqn1D|SZo@V#OeZ$DD7$ft41Nx%K353*Mfj9F3zD+o zHfSm^#cW$gY?1^rIez8? z!Q0PmAg9~pnl?~EB)NiuyBsHlc+m)9?E6;8Ya!(~v0&yl6_Z6U&9 zrCu@B=&F4<{2ScZtxX}}dH;@(Fs(9nvuV{jwO(yd$Eb~JlL~OEidQLASbCLOrBMkg ztxBiTs|>0bl~H9v43JauN-4IkRAbb?un*$D<5YOpT-LZTBeTRCGAHDS@+Y~Un3dHX zLBtfMh{S)>nu5Q*MEaLG|Bm_h%oF>dQj73!pF#cmuz?)yD@*`rn*a|U;*hW-l8TFD zBO2a%I!gd*ONV0A0_clk0j5SeY(tSt0kA}tJ5=lF1Z=LL_AnbQIQPr+91j2KXYF_? z7&g6dgo2GS%~FEicvGw)9R48MW%x9CnYLtj*bAf$j3e!|+375wM`~XJfK013;ng6< z`w}4HTJ8x+fVoV&H7S~G#(mMYFBc)yPyxa^1~wR0n|K2lAP-ti_aI8_GTa+n|t0x<}`P^$oB(Eb-3|A_Twv@;Hv1%VO-Ss0)Q)7fZ(D{=|` zXadtM>QQ)vO#;)&#bWn!%7-}RZ#nXRjJ0GeZ#$2;mb~=`GKUn8AZWGNwx>53Vq%O& zklv*UXYRklK8)^?yY!0lTv{LON>Z?dH)0vsV;DA(4q(cT*zbwk7cc3(x% zZQC8^g5V)&lU|IwMS&R$hyQk&4Nz0L@IZOTWgDyqd7W536BueJ7F)VjScHQ`EM{P4 zP)Mf^Fzn~j&*NCFZL#&r%I>zdZHrL%z))=3z=0r{q}9@{%oKT}ChfB1Sj!!p(B<;} zZmDO^Ks@*GKzWyy8EoHT$L8C#-6NdW2ZajO#Rv*RcNgvAY~3NO4waR~8q?Vv?Bu|9 zE5v(xBY6G<_N$u0;SXEx%)E?K(jQjHU^t8*$8b@^e7Mxc%#Oc;VIaoKY)PhV^PMgo z)Vvb;*D|I_Ax>z3l86L^Q2%E|;4f?g=Z}RjNzQ^xt|(|pkX9aT+i4cJ*xE^(@fBCK z@5KG9UG|*+c>d5lp4$tqF(gn+2b|n)$pNSQ*3P%=Z^QYXD!bwE-N?t7BKZq2NMOpt zCCeiX20S&52cU2joT*s@;0DNb_a*d#kOQIsVGH}k0v{NUoWSU?<-*NeF)Al>NJihZ z9^C;SCIEckGfDD7BhdExyXf17M{dlv6nw#y`9kr)0}o7^G^wJZ0*NhKwjcpR@_Qz< z6u2AXcBjyST#9PIfRx$5pOlr0_eCf9u%Iqnh99a|LAbY#}y@yaG| zosgyb$YTc??g(pTzZ^b0HoeGBx$KZ*j94Xrbx@Wc!N!yQa_m2bhpFUYAG;VokWG=? z@^Y3$(2cSjPADsaz6;3aR zX@iDl#Z<;|NjlvP3~0B7yn$Md9!?@NgMEjWZ;0IOC`lybWf!IuNfF6P+RE5!A(qUAxWWSZ?|M>WRci_1P~)I+GQA<-^ES6kgF3Qe}wbgg)D8d^P>TF3HNid z^a3tN55k!FD879LZ_!8x!?s}C?8~z3k4pwK0Yeu`2EyrJpf=-lyU*YWB_3Scf_Je2 z`69mkIg;U`i@gHbl1)tC#{hTJG(fE)mlXb0NBC_Zb^-v)5$BN>U0&@=BY53=_wL9v z=}hZjBmf*N0qqnx-XW35-A(-eBhcM?k__NR9GWBp;Jg`t^UB!>c?^=c3YHT%Cvp4? z)(2=Eyk-NNCkqCBCsqqF5ar$#YRIN`gC!1M1|UzCB%RnS8L(T(^yQ2=9`XGMn`X99ik}o+#m@UqevswH?h5Nu&q(q4qTJg zS^#vA=0xUw8O5U~7h9otdlf$@=L6-Qlq+-aWjOc2pQPC@Cnezcc7)MDquNKd({R%E z@>?Q!HQs+B=~i7tQ6Jvv$h{s#0c`_IvpD$@IU=Y<) zw@liyWzr;UfqG!*0}l)xiY-uOIb~%zIp9n&3>)uwbzP@WE5XUZy`ITt{J;#&DgOJ_gJuqLjQt6QA1tJN*g*nMx}yc zf!UG7evan8yci%pmlppkXbT2%2V>*ANtH*g`I8j*=<@i+h}frldqeTJI#gXja4jfsLzwK7Ey76ZNPU=xYO!IDz_FYxS7Gvi)T z=bLq1*=!lC2V?gl_#C}-MHdzsn$MJaB5+t4;$$|K`4qez6N7hnXz}hPVsxyZYy@EZ zRy=qut6@Eo4|Y=d3**h>cqO#F)|KOTYP z0oB9i15LdoSx8O%Ocr8?<^Y<+)bOn36>Vnc4mP1T9yM!$$$z4}9P3?~g8pf2PLtUN zj3WHy)TvWdCk8x3tc2H8slYziT$4u;wIZ%}Higden?lW3VChq*ntmWz#8jjnZFoqv z9WCKItaAc#e}r*iU=eXk-#c=<=jL|rKB?EFNxgch$!S3TtfGEJMg96=*izJNw#?z+ z-l;F&`tr-S-rAyl6A$Ck=#=ia9luzGM_JizJZ$0wMCB4H;Jlz1W(MMOHst6aHxSz9 zC81$MCf@EtNYW6~VcMdkHa*M!`|_Nd4Y2^z1^r#9!GDf~pdlM6#L~}#&>f*vk+24Mw2ayO#QXbLZ>*>X`7r6-)MYL;v^gObYuiAj{# z1yTBcg|i`i!N^Kz5gNQj)rS9#Kyf%TD12JTAUh*1-H;|RmB6wn0tkwO@7sgoffOeM zt;w;#$Jq#&ED&%gZW1@+2wzUYZg%0*R*^vj)_P*XIw;(17)+T@nM!d72Wdrbl86eU zv~VmUhjMVOeY>{p+FILM6RZhL5$+YYjAQX#VRbB9)`n^0@vKDrGZ1iXSbY2#&^eAJ z$g)dWDe?P|zFkh6tQ_88MvtmdFL3YT;VeSYw_!OjVz_uRRNFA@{*bEONpXQb2XAX^ zY}bGKfdke)NHwaND{)Bi>C>n2^Ehpp`GA_KJJKZ~Ik=T^$@v~ne9ywVIvq26P}Mf< zp&x$u0lIi1<06&PtbIHP4dt`p1zkrymYS+#Tqc_ki}Yi-UKdt?%Pd=_V+EbE78#9g zsc+823edCNjv>!tTyO3s6FPp##BMTRWYbOTG}GeJ`8r&>(13B?hVd{%cmQrHOk%GK z_Rp)BzAZCe&rDC!8)y{a-rT)0^f)c{5+ugb2KB+D_3fL?t}&>nZXD`FL5?6i{J}-WAIu43Og`Iz zQ0HQ)jk2S9m>J zeE2s&7Hm2l3s=$XrTjbMwy=!;B_Ba4s06iucnju5C+G!(5F;1`lZI(H4X;sXlo}Nr z1q5U?T8&Pl*BCT08lwiJs^-+ZTA?Q3gH`WS)}*Y1qf7+@yB6N@;qnhmClS<+~8&!r5O-iVTr zS*9dxAp%C?a4`}|R-6*=13@7_O{xhH5{4sTkxC0d4029zRWdEeNR*&W-pI*7*a#Sr zWFjJng@MrKZD{ex1{|)d&UP=S1x@Zr6Q(G9DOn>efC*?;uC1<91Tf^@)=CPT-j_k!cW6RkGbe(9 z`P(U(n1~V}SV&5)y(KaRpp3BbT4IfnF*NxrU=HV z>;#n(-4m8zf#$;@4J#z6e=KG=+&>B=O8CJr_!~_?;&H^HTtnM4ZK_xHY;F?Fv^SIL`439C9+%& zXhb>ugW+s80>=m)JMhR9D5MQ}p+FBMrz8SPB0o{aAcZ1A1rl29_34Rin7*b>J^h+D z`cP-a3$X&)EptlR=M&k3hvBT1iQSr1hkmOqvUH`;JT2+kR`ys2q(O}n{g3#_bX8N4}rq{U;Ie?fS4V4f*cNj3o5;^ z4SbF*ek60~#Y2z^O<=;dW-x(p3a~Ws{@4ppg&)XHzamrtjcwR0ND6{B3wBh@h8@=w zFd+3L?b5wa8bCrsq6U{i4qy8PA&0Y~5I~dj9|8IR{mB?0V7w~_0(f}$YE~qJhIf-X z2d;p9T2MQ23bnmH)b!<>fHM6N+Ck#Q%8=k?{JtvbK+adf>0wvdVAX&5LJZ zW&b=D8+h+xHUN?;dTkt;08Ih_F${SZ?=UbX@Iwj>W7jrWGv#=e=1x4vCPy0)5u~gx zRD?iv6C5d>m$RtHe*9;{hatrkTi22`D(^q+MQ)m8DCol3in9uX(WKSk%l^{Db7!^2 z*aVx3y?WZ1I_80AKIt%VwN?l3lUz>OyDbF}5Uz=Xa=S~~y@mvB8=nFoiop-QxTYPR zV+q_$yPe!jzz^|&A>d|mEf59{oyV9w?6G(c$~^3**}w`u`Q#HI0PuwckxhroKXKwj zoVQ_m7d(7y%fg8ck*MF&;cB&X;Lz6AmE*3Zm&Zx~g_fWSG{SQ)bhw%>VsI;Q7|+io z^nos*72#P7g-9a!ZI2O$B+OGU>5)*a5GJa2ApM=ghV_h!x}@F3t-0W zx8DvZLxMHx(rXRxe*RW^Iz(5v7Fb*u&GSuL5II|s)YBp~w;qM^X zRG@yDcFe88G!0>c@qG_s{v5l(B=CE%9D42@HQ^lmCu$W?jwdxh9)>i791DpI*2nd| zB7|c)_Vj0D^aco$PCNVsz%0q^44{vnfO^PuCqo{hG3Fr0zUR)WE`@Tyod7tb#$>m_ zmMt9%m>yv>P20I;O~^+aPz^#i(h?JiCINz|H?>7=t_92yCCRXg@QiCB(mW3FxHMfD zFb8c7j=~(f`86$>=QL}{J>V=bPXx9h-f6`*8Q_~ZD*lRCjEY-~faqIMF`zj;F~X0L@fwMYC03CLd8Nc15@L5{q-^G{W9mapEix10;JBpQc$5R* za^)P?nN#oJT0w`Hj+wM@f4YFi!$Aqbvl_UQ^h}UuE0H+XKtk?l4K6_KA_PmY9ZIWD zGYO=V;5y)LP$CRK;B*&^lCoMxxj7Nf2xs1?!-=GJX;OeT>}$YL{Dr(A+mTXKN3%wSUK zLj4htMkkO>aovq7r#-j_CbeuV~8a$94RXkAfK{hds_);^G|QSi9!KL(FiO5m}C zMbBc-NOb*=0c7_BW8Q0mM=|B`qL~P@e*@GmOJ?t*J|JnqIWEpD))zXimNNX{RXg5-& z=39+gDbZ~c;2b4g3zQ*NZXS`ZhfS9zG(y67J_0XnR-|F81QUorIUhTJN%;$+4MCfO zXn%)#j{bOA2o^0%UNoZIT&pC2E~dG6O9dF|d5>JxOM}q#H9w$To*e7lhqS>}q<2CF^#n%HyBGr#WK6T`FSIOx3Mz z8xITb&$|ug1IIKg@n#{92k#l8SO`_W)VNG+LBQe;G;Wr;JaQxy<;2;RUfm=G}>U`Cu~lFcI7R*2g? z^NeI!OhEjkq$HJbm_>+ew8LhwYghryn|=GFUdSZ5p6n2eF<$={>Xk#lC6$@uvy|#< z7=#!?JzO8Uq8yx&Ixdiml-`^k4MDfP(7=Y^kV-wCu3|>O2tpoHLpPn40@#rmq1f>w zJj1XDr45TU;j&m-Qz~3;58e@W29wkUl!?B^VFr6?N?=?uZh#>YtO5VoFvS;9!99KP zkzzCPEsYtl6<9_l87@jPvo2}KD85of12@rl4Fz-BU=xFm>DsW4h|9gx};JNPAXG_aj%n%3k;Aec!+|7DmEN%K{_BY z&~UuGYYBQD22qWk`#{O1$rA(W3rfx#_cLGbb2uSUVWl{o@coOa__Z3T4C^SR}5c z`fB0hq(rqI2~O6-C8!kg<% zeHc$y%V*ArUNOfVSDE88)xF<+*1-i<57nBr97Og>qI zjaMeSrmNywB7Cd94>N+<|El>~A*i`mFd9jN;mWv>CS8p>8%dKzNs1nEj)&9G#B_P^ z9@3LpJ?SzyOsG%c(@WZFzdNmN$%|3`lo=8YH<5-5;f}X5}Z!AvhiW<(qh-r`=%_p&xOPiByd`cQ@kK)d|0z_ zY4OG-_th;aMxqW0oG!hu&dP4Y#@yo+Ef1eE{6#$!QK2o^KhNwW>G0;w@U*z(Cu8s? z7Wzd3{c|xWQsGsE$+TOOil;6F7$ZGREwC~fSfzLWNYw<$6sj|aX<2_ndIa_$J>P_l zVp4@`qnEgCiuAM`_Tx*{XVZ%b5Ob5?FE7t?)st#VvY`u2ixR{s4ufFjBd~4UvIGI>)%AnWA36 z^mt3REM@s_Zd2nRo}b*i9TYK6gas7%}fUarnq_;thFYoY_o8 zk3WwcKW0QuUapv&>CCx)Y)+x*96xSsPVV)2DXisB#q_xbZ;oiC6ffZ~LC#3LNBZ%{ zo&GJLijr1(<40`C8c>z{60SUFx(%8MhGLDRR8ohNf@@N^kg>x_fgwXykjQ{y9Zs4J zA_}Fl0v;}_UfOvxoXk{|UB`?NW**iFuUX=7ycQOz4Lia0NJoF>Lb9^dY6~|TFGeD1 z-t1B1DhU?6{Qw(rfx#uSFKre(cke_5Zv}8v4<-yW!JtFItW;#A-|F5cZr zm|xzM!b;GODw13&llH!ZN6L(CQC^uF-M3&4H*pRWZ+e&HSOr^(DM^k6%faY?W3Xo8 zoP2K~H_>jlV~Z-R>nK54xf7T1xzlGWRlE|qowcD3@Oo&5P!%k(i#llSke5K!+99O~ z7-~U!#xlBYIGn?Vs{pH}5Uh$iBO*2m7cI}jJ1J$VDv%5=6YC3FYs)SoL6|RCb5K+( z>Wk1^gaT32n)={rqS0lX8PF+A7fjf{%b6*V94&HZ0KZ<=oiUpSb7sRha%arNsf_yr z#~tLjzj54N%Az6S&T9Z!F?BayJ&4Cra_X@>&bb(#dy6wKs$%BpRb*_UA)2T&VUI{A ztYn&6u|KVkWOAC=nojVYvLv$=S3-lw8DKH)K`DJ5(*Oep0j&QCPE!N-7$neivjvpX z*^qCsVB@)xbyZlfRWAZE&=SZzXN9iRNpO36^+cwEcgo$yG@q)C9%AAz(i93icP6`U zU*gr3;MG2TV(DG=@Y&+pu-@QgNDhe%BHa-|w87JR41op^mmZzQ_bJ9+D;)69i6k1% z$k^Mle+XS<6iAHRhSf^D-@s7VoU&Abe&f+^l!?gtvvNlx&_*|%c9{xGM8-Q@Rp4O{ zO@Y0khu{f^d$h(cTJDJ>!SD!S8BKhU6Y;Aq0aPsf*+9iCOwehawnD7ypPQKzzD5@w+qqv%Qx!C@M1 zYF*w*dzwiulZ19i9+ZhqkVv|}c7h})l37SkZrlO#28|bj6_d1P>oxyw_BOXWL_VfD z{tUZ-yUm>viC-aD%;rKcHvn0c&0xc37N&c zC`6g9O9T}$6)V#4ZcpOAW9(k56rXv-+A=oNQa?8n-4);(M#X9FF^L`}ij!!Mpf8EB zJhWF>;xnu|WI;>3tB&G%600@gUC?5u*`=6KhOi1Gegxty@jxn*DgFWl65$Gvku20B z5*{2+q6)i~^;K}8x#L%0YL-F{F(_$0i(rV7{0A+3hz?FnmV&kM^ok0+0uAWaQqwvG z859u|Cu&<X zZlAm*{L&#MbDWhUPy@2rocx#+$HcEMBWjBN!aYo0ZY4L16XKZSMm5tj?tBYc2l?Y3 zTf+*V*m%(HboyNf&CM0avaXoB%;CG`^SY}OCC!XQ!qOW_VO*gzUdf*{HyQh-{9TGBBC7!+H> zJ4b-jnnel)*HK+TSBKO2CSD6hPR1}4+rTy#DMoj@bO|ca1V5-F%umU=qN)63$Kcc)1 z=@bu_CCc7p2?Dw&olLJ#Dg!YOmrU+Qz#uk^vcPnf4~I*BqBpJQ^$NXGkLQB)8oi*` z0<6VLcl0rOqaI_%!2S<@;Qv}-fsu>b!jYAJ!YP)I1A1zkf}Le?g;*6JH3s~K#&n#U zS=@bcP8=LT8`AU>hS3@eq#8=l7n;PKLWLDn!5*!EC+(<*7vvtWz1T*58ZtNo#$abi zC=qQ&TR=%CB9;?DGG!f{4^+Qz7&1eKdw{gG-wW7QI?JN74_lo9^No}l*Fn1Qf2Ut~ zGJ`@4@zi?+k-D`ba^!j$5brYq8U65cp|7yuDkz?kf8UgXVpqX^NZ{0k(|id|1H^VY ztc`9oikWF7%uLffLK!nNG+|~2X=?u6m>Cp;1Y$*AP8Ru*)Kh%ZdJ=KaNDQC}5Wyu@ zG!CQ_twAJ$H3wwyv&jBmM+t%z!>*dPtDCHyupo?Q$T}WCHT>`o(VTRHCy8b*L08SRLv#h&Ye8krG#8;Odf^*46xvgwM0-b& znOuD^5fiO#SPO#qS(Nc}NfB55x1z8!4Q7qg*0EzEUbdFlp+kGT7Jx7sGi{3n8=Ep1 zC$?(~ezS6HT*6gtVxb0%C9G#+2f_-87EqCv7|V*6`r&tI(5Qs9B^ETBwER!OcTHyV z53pqt+Y_Ez&ZW{jFb(Z-LF%7={5Oey^tVI%tFY=w^dvl(ejC-em_yC>&0x*Fz2?@cd(S$W1OD1d@P(TDkR6wm2Q6Vm%wX&$yw$|2Q z(F@W_FWBB&Z)>efi>+I&OMBZ|>+Rq6c7@w&ZEx%Rzt4GRvXLma+x_=%=99_HyzhC> zd){-N=l3kK9=)(jReS& zHbDN@>Hjn2e{hXz?dBCW_|f_huMPU!S9tA`Z$W^>tEO39DijtBxiCxh|08G@tiFbP zcjw6GyCQn}oxc&Bb7#~Kt%6*f)dsv;8}QPJts&fCGX2F2T2apoTGO8~gLZLtek^6J zk*;%N0oZKv2lmnf>Kwse0B(rMwNRIc;w8E%?=rP^n@h%AWH-Wl&Tk_!>`3-4GVWLK zl7VM0hP5*C$Y!=89(3q$kfFbamsi~&V~?;$+TS39{|K*vqu-`}SLI`S6EtzWGBRy6L7HZ``(R z>((1?xc>U; z$3n6*zUP(p=pI>;ym)|`JD3^^S-h*NAhebCy_*V}A-kbnbsvbHK8W= zA0Yx--czsBk6n*kNY101dpqcgBF;-FV zUzN2%E4xJ}w zFnhR!7>6i&$Z1(Gki;1GF~a}Vkf}d^PM#V1f=-g*$2?!9W7P=n$_cyqnHo^n^y7UnohRvOwrmrcx`-J9mHj zzWet+u>Z3MR?1{RN34oH`j)AV@-c+;u(ZFbQ7_^b$18~D#1G?gAQp`n*vqsB?|=?h zdt6O!TPv|b4V~MzRR_dp%QX}w0Hwv!UR^vUE86fw@86#SfLUEYo`Do$KneHcWV_?Bwlz30rur!RTv(CT8lj< z9ethF5yOM;d;E-@JIaXunXYEt^QpP*lceF{lTK3qrOI~f+_h^ar`x8J&uDtHn*Qng zA9!%0QQg^Ti7Rli5YvmHZ&-Gls&=>fjky*RBht0DaVtG8a~JW@ba9u&LK6+HILCg? z^~Dn{&n3Cz{C+YRBr~(GWmFV~i3sCFmwu27=DNlhlFy4}7tTDZTQ!&sOtHq9mMw@^ zCRLJPwrF0niZX#izm?zyistc|->fe8GquxDdTN0p(LsF@e^RlkcCl8R`L1|A#NtUw zqYUGVa>tT-JkW2bXcaeS%7;1Rf@tL~kd%v0 z6v3gjNJ50XZq+Ueirp*`t0yy%c2-F$XQo1l9UgI}r6SGn@iRe!LHYm8zL;9o{3Q1`?GdyP)2P82yHL*Tf__Yra<^{JJ6#@SWPsEF(mphQ4 zh~CZ+L|>O1q*PFXhpmzKV%}qUV=p;OzAD<^7e{-Kfd-E^Hi&yJgA5tSD?rT@_gqg{ z`GwZK-H$9iy0QD=6;IygR&94K*}RcfLj5V`s(5g>y6xs!H(s`JN86!JxUyOE*=XYe z&B(CxIvIk|x4p3L_3lG2tqpCXOs7*O063J(qKByG0jUb2PET%MJ*BmCj3Ir<_(UN2 zDeTm3x>cpYYKvT()E&?;(WL>5#{$(=O+r#tE7QoM8Po|91x+=ly7#&mf*@+s$nI7; zs!Mm22E`F7`Z`CB^6o)aS%x7o5%FUYRswiWTXyKs!GjN3>ZY{aNDy#q%ct(?-rdpB z-Q7O--upVw7NA+Z>YooDdI&Eq7%}~x?o{?su@SattSaw4L~Lb>;#5h+S3osc9?(fVhxntJTENiRnDb?MN?UVHx7sda zI}4EcxGTyCK6*-3gG@t9BuS-PEvgaR(lprP2M<$MxB(y#XSc9K+ysG2s`ygbEJ(-= zM^x#Mh#DDcs7)YJX0r>c78r2-xnY@881Gh9uymho+wcMcm>Bw z>bN)8b3n!U?k;K4L}!GH2=64)z#-j(jzeDJ%Y1It1f&TP$p~|ChxWeHW-t$gj06z} z1?rVJKSzupmPKf|#rPK&ZFPe-bb>~z2uHBCEP(0%Rqj|Vo)y=9fL)}L=$ABZ^+=xgme(3p|RS&7yEvm^sfELxiDU=#I+S`n2##yH9@pGm#pEN$K4v* z9UyA{n*q@v;5fKWIRsSFjStHpPZ0R^uS3 zF)@B(GPy?z{JsAH99ohqqq4`AW8xu+*5g%deh;ILhGH8{`1#17MxN@xkjn zLm*hIF$98b{~IZUlQibKj8`4ZWl&3ax(4X}!>$^?n^`fRs#DRIQ2(dnaNt*H=P@V(2%F{!y398O<#at90 zyGv&NGa%Ox5tLg}#F6nDfx=D2YII~g+?7<-k`X$ZU_8d7I3~FZuqW!hR)Y)=tffcI zrMi^oRyl(@&{2Fcb!+BY?$R-T=%V1ZgQdrf#!-Rn8b8R)gVjvSDw0II3sXIy;-_@0 z5l1X^Jq@h=w8%L!@u|5FX#gAM*b=!|>+_`)j%SSvey8PzYyqWJ%Trl2&I1Cu!hGGr zeBae59QVCOG6yk=gz03O(NfL8?Mf1L8A^T3%SKQR+~zZ46_g~-gfT#ez^$GB1F?uF z+l8iAMzGXpsSw6EI6Pb8a@h)AQdn8)4z0#II;`DoUNt%ps4^JR?fGm6t`YiNL1-Ec zEPc( zrRS&J#VTm4D$(yU^UKdbhpTxz=I-DylqrhGMb^i9Uv~pCG=>2gCq|Z@s6MK+HK$EG zZONgx77(O2(EbzC4pJBOd?@SgI$>tf_ZE2^3r}LqH+z2-zzjhnN2Z}tpyZ20mwR_% zAGD+Ka3(^OoVMSJ6}pG84J*j9&w71>FpXxIB^d=LTuCe;GGhWJO_RGKC+7!310LT> zWnUItE;-#iFIqBrqDrs>WGaX5*U^=R4ETj&)h8GU47`G&wpVF_IGFJ z!GAuh^U&uPFFtgzi6!zAFTC)j(`3jFXj7wEYz?d}mc>L5Xi7|piIP2{O^r^W+RPE* zYro11d{OjGqtY<`q&!|N>&5r5rsqeWGoEEav5XG!S_ZbQY%^9NOG(hNYh{?Nz-Z}Y z*D@{oNJd9{I`y6*+=o_ZJ$6Z-qFkAg8)BkKhG_|u;X|+quV^dz|fohd;a!~BE zq@VSU5WLl1{>6*@>Q2PTB}sC@l{GhyR2G%f zLE1qRtrYXckNJVpU!WJ*@q{%f4ST&D5u<&fCdiLJ}=7=<>5W9UF4JvGn zlv2h#Ew1lY^~CC5uNw5qd&!Q(QWb+K<&^ro;30s(-ROC)U{9v+8MVP+fqEd%x5~9% zygP30x!-tRm0$)*Z#be>h0Kewrx2rDW~fCHIE)z}ely)P3txhR$@;jG&=U$QzsE#RmSQ)>D{Xg^0Ho|qi03m z1wIEA!Y>wkRyqb#kDfcY3_dNZHnR$O89v6fI+~M>P}-pGp!GcAfu{}L|Y8iN(P#& zy0F6RRTujCTV$bxuEf-l9bd7oB;grTs+b*&nftI6^k-8-U)i&#?2qUj(~~^7nxjKq;xt77fMm zDX(BC@IMC`c(`eq?!d8*o}!)1VV9QYB{)|okoBkZXz*gNRG}^pp~a`T2ET>}p?jdK@IVE7j>}`}n?->I{dN80|$m+L2~smQZDHoTF5k@%3K9 z6h9evL-i(%WpxM=`KL>2fp`ypi}u|m{t@xAK*>DcUMYR7`knqxIG0Jsprr=HLV6|? z52Ah_de+|9*vOaw24NKPNDzmq_+)>G{)M{vPu8PtFRc}p)4b;s+XWu$ z$T~-k^~cUW>fYM2?8En7@|g#p`^<+Av>rNi;9qWh1|cb3hwX#1I!5#9l@R>ejwvUM zo8HVZ(R*5rO?fnBNyf#&eP(kX@x-sjfnhoh3?CjcT~mOiV%^f1ALq0J>@vCGw-2kU z^2$(;;5{=UCqq$*OlwwgQ33UeqAd4vGA?decyBakqD(w-xi|(^@fi^JNK!SXm7YmD zYvF=<9jQ4p+os}7Y(Mj?&c)JLM1Xh~GI#R+$>c`mBKdDNQDwxvP@tAVvXgM-R*v{Nf>Dq=x2YOYh3z*tnLZ2m|!-+7^IdOPro-w%381izKdjiTim=rpr8& zl;;UIxw%0G5vsSaWWi9s5v<_*2gCz`LUV%U`JT_qbD=NL&4zl@gD>r6!}C2uNYj2; zZOX$Tu)H$kA85hcDNwjy70Ls1d(l&L)l2j1uT#^-$&1!fLkvunuFs*X74fQ#YQ1VT zRwcxjQ3vHicu^OfXQJteQs6jy^|J4guelJ~GS%d`3)n@RFzEs{-|-E^q1%<`I=+BA z)ky#f6O04sm`7M97CN&{1_qlXt~jhNcfjQ23O1Yf8BbXGC?>_;~`&)TDvrO>;r&P?`%ZBSJPpmy) zCChyne*L*G159*ZT;`Or+*ifdW4VukjS4JeTn2d{R12si09D7ip#6|?{JFuey07b1 z4#I0a$0#G*aJg^+6v;f&fH|TDG!VL{KVB#h8cB^ z%sj~s+s*C%yw{TjL=4i!_SG4e?H*As@^9VB%Dr7_jom};4rYH1|dGwXk|T=QYdJp3RI_W7m8O~%A8V=!Ey+ZwsZ8DS4Cm}*GnZfTK1zTW*lH8Uypwc= zU+4YJ-b1u&&Q4;g{+ajZdk&koEZcI=SALj|w|M1w=Ik6hcI=6~YkNwR>cg@mgm3#u1A~Hblpmx(0C#hOY8vSdKwrL{$L0WF zFnJzjFL2j1b_2i-0*P4DETB=BsUw5=FKyDwo@lZIUL9M*=ZE>lMwo13Ingj(Uj**e z35tv;PzR)C?Ua3;MQ`ls#ZpG)&E>f^FJPw_C6Iobv(raT2CX;t4yfLI1nao>QdOPb zE<05B95D&oaxY`!XxRsNhSY4&V2p&^;*cX9<4~O#hU}Q^5ZZ5z#!*6O@uaC*hak4l z6`E+5Wav#0GJWx1i|Ql-69)dNJH5!Y&d3tduPy6;iFoXDgC{Z2wv6EKbD;$p**k(V z&N8wx>igxF>kGlgEGcB@qc7p`R2g!@1(6{!O+OClNl~FlKRyI0>R=G{OBUjwDi#0) zh#KuKe|p^zbkm{f=F-9v$VNx79RH|KelK{r!kDDVq)3nXW8#A@PJE@sr5^k8m%j9+ zFMsKWufF=~4_^(cb-SKVJ)ioVBN854LWb5Qi^qW<U#!e(itMSI8df4JJ*w&lj|j_j2(Amf4SUHF3cD-g6vumw1V!SCzuoT27SoFfL5JCK|Amd(h02p zo9LdDNKKlzK(gK}m@zG}aPG`S)8@^emNtVKRAVu7bAGFO z&0NbkiN|hO_M;7lItfjT%0wgy1ymS88eYkJtf4-4;)y4Y9XAf_9E0B?%yi}QRV!Dm zKA#zt`?qf0y6wiBh+p5v1PaqA&b(Zd8uVJ(jDp{1W)2UDR{b#nu+6a#0+QRsz@nS( z!3)o1QHOn<3LMZ5n)0@)&M{&?W{&<)TSD2XrB~cdcF-DOeap-@UNlRPRSZ|?1CoHw zw8_mtfK`|qwCPBUJF%8<*M$~)LMuJ?V>t`8UD~bgit`v%@jJ*aXdHEW$RbTO9rB-S z1sp83o*Qa#+t+x+N(Bo4 zMY}IH80pU19Q4o1k}_s}nMGMuRg+96aXdixp5-2KRuF&qxr*^bOAu`l4&&DfCt(3X zCrZKvsyY&(BM5vg5~mUop`3EjyCuY@;Nc*N3dgamPhl=Mfvzb*u#uW(F(h$ug)1Q} z!g1+STp)1*+x!O34B{Z7E^WhynUB^JIfU_6lkigNih6z8bugmnL1AlgPL3GSgf|pl z9{n~tUUXPhVN%B>!;*C;zLCpwWm$h54Oq#RfXogth`|!58gzRi{9$FY0w|I}Dn03aL zZgE6$uL_^6~)DWa!-uKVstOXzE3cmLAsO@!}U8x-KdpWrG>&)^xR$W zd-Vt}U}&g9DM%`TZ=cmsD$j=NTpR0WJbs7qxV!JI&#&8qO`%u-8b?{Wx%f-&n3iMurUDzPWx9yQ0U z{7f^}I!1B%)XQ#vXeRq$?!n=5*Xx%#M-ktBF0mVxbw58~+u1HM_Y26f|s2#sIN5S?M*Q8hva9y(7^E zG|GdGWm02$f)E(xS9hsoax|}#abbi#vQhcDb#*77d@`ngB;iq!Vzv-dO-HXJTe=`+Omlg7I|zGtB{ZP+ohh+R40DW%l96^?}%7KN?<`O*4(EKY|* zRk$%4V@bZV&Q*V};yVbTzt`|R>U@`rLa_=g*5|x&+SBORfTKbff#^2rbS8~*VsTU$1^*#EAN-O^z_xl4NjyQ|JSIT>xa}{A-#2;zCNl($|Od_c8q)D1pw8 zW}HS@yMG#XKVb5|Z}{g7Y54azjE1!Qb+!~9?!Ri4cJ^eFI*fQPvmda??hNq1W9<)n zo&OTAv&&--=5&ss{A&^PUS8*`T-#W%dpYkby=vRal`B{9UhP#~sZ?q%?|EMJj!E>@ znY@?q#@n;x?6c3QQ(f35H}Jj*FEV~+yjMAsm8pM>-bUco8r~Q2^HLSaxkzp9=$JQe z{`>{Ily|aj*t(2h|A(cy7A81M4j72`g{wbvUC-5XYltNT31(F&Q;gCOWns zmoG_fGu3fXX8h=mM|-q(esIKl+t5&Z* z|NJ#;F1TRrg%@Q|2AhjDW3M`J7?+AWy{aKTe&SXM4#&FMNMz3Gr>2EXd2`G+KATG zi)ak=YzNJ}0IoBL1g<)ebSej8_Glsum`?+uoN2K4GuXxUktW|Uv9W|LQE=&((dVu0 z?(SZ@))HgTa@D8^K11(CT2fjj}>b^2=?nvjRvY zcix6aS@$T7xtqi%9!l`~%Pg0#vBYvULM>1so)440+`Ct~cByh4UZJ~HXt@e4AYz(?H04Fr%5{;X_KM-E z8-XK09_ajMGO2we@GrouKQjl~J(5m89AOG>UAd&kD)p%KB_+koYw=*r=dEx|evhh@ zY2WGMp7N_!kEf($j0#bv&sMr|q4HWt*Z-2h{h z&!oZ+4CN(=VDLxq07%pbjhHVJ9XOlW_cTUJhWHM^O3H<=5VeWJhg2yD<0Z37p`k* zX>FY}=~5LhS)lx`8ERYGjG1T5nl1HibGS`Pu9-G<8Yh}nBRMn{XqQl9UJ|anWb_H6 zYfc<{qQj9{EqkD&O`-&PV1U_bVNhrvAYI|Ge_xj5XlSxpv0>l7eH%9PJu!e+U87{` z%+M}5sGBI^1q7fXZSkrFcd1%g*b(D5JO`}=pf_22$Y!Z-;`{PLN8~Qj&8xduQH{&4 z4j1o>UxH$)R@OgI?9hZMEhSO0B zIvknM{yh&G{*N7|o9y}M7jCWfXxj9cB}dL*5r;9Cr&WzpAc0XUREBmz_(lvfPNuA(7=p z4swqYx{kqayg;udGZ|R#lIxCQn%;n{qVV_OhAZrj;k~Dl*-P!XR`A z1&3J`M5;grx`#R2ZR9EQDrs05+H;(MTyn zka=L;HYN&p3zZmHW0|u-|awK3!&`5WXvcEY`aU5uV!UktJGp; z(b5_SbUBZGw{(y@^sr)%i*F6OgBBT~6{0Zh4t-6S)u#G5%sfAaQs*r+b9NRLHDbin zscT|{?8Z>l^aNbyP-dDZmZGq8C}(}i300_V-nPE=oiaN6%@q#Dw2e$0(lBIv?=)eT@NWQW)++S%^wJrFDOBad zEc`!b;pw5Q>NU*5{|hXf^XnpqIlo?b`G^RlA1#ikrD&z|{bt8v(Z zrc&>`*9_*SY=KgMzls2i?C=+>*RKf6xZcmNNY4BD=@s_&`~3NR@AK#PzrUaV{r>ckV73R+ zKI@y$z3|+F-+bW}CO?mT6-sb{^}=(HJ@?{JWnu{_^5T<^AAWYIdWx%wy?ggs%BaP7 z_gY3&XIuT3enxV$HKa}JrDvad`NzeR;53IOAec#Izni{K8Y; ze({;FetWQb3Zg3Qr7h1q_t0RcrJiU6{10WHzf`#nKl$|2&mBARnIkS$>at#Z>e=u9 z@cFNP`^6`p9;Bd4M((L+Uwq-Yp`Jf6Ql&lC<1ah|sSVoh?(RyuD98HRQ(r&K&AvWp zP+U=7J>> zUuKDM53i8a0Xn%?omwWD32PuAa@lnQF3SXTl$ATn^w%++#{z5ZQk;)#7gwvRkpudcUE(i()Cnk9zbuy( z;LL8Y4muIc^~gpida*+_iO`yHl@yV;=~2$sMhb9(<7u58C!xh`*;Q&b-tETBGiN4D z`mLx=x-NM(GUir0dR8{0@4z8vnvYyYX$Yzc(x!vc;s~q`t z&k>x;;=|?{WSVIOD|}dMK?ywb5!^F0Rl4eRe7-WtD8H7ovP}ENS#&VMjLf1S(;Za8 z*~SP-#v)7_M>=~CF(>WXtRn5bM{4yEnaa^uxv8^U-#;@~)>1nX%5XLE34zRNsTES7 z1=DJ2riY>`O&{8PQHvn z&LpGbjPFM&BeIl|8Sws;LcC7wC59nODKRFS`O!l`@KlDT22zmFi`QYSHJ4=Qg_(_B zV!2LOk)5@fOmL`YLn!6MbF|dSDu6Ru7Pb+E+O(#vv5vo>j3LP<&S+^BBsilVA@m(E zu!Zy40WFUeF#1&`Q5n!0p^6qhOjXiYTP3hErb?iuFOg)gtP&y^1cOG&oiEaKa8x!i zw$}AQnJefYcy>1rpGB2gK^3zPpnNb>#(X$i=G=E7hHQ=Qp3=)&{Ic`l8MXev40SS- zD%@};L)^GIZU(*OgFm`)wx<3b@k8`s0 z!Bfb*P=EU9ha?b224wl-%m|K9JCS>HPt?h1&wicG-~ z?xta7j3%ib#Au8Ns9ZD>PYctq{eqpn_&}$l~8pP+e(c z1l4C+vC(4~fu4&#eN>5wM83mgm~8(3!Zivd~!b0=C= z7?QJpcl=#1klzpBPY^cjkQ(!!C}LoxVueY6FlDsGc*f%WUh9BeH|RYktap=TpeFHPn}-7g|7!mYnq#9)J{(FbEKhsl^bPWtM!>*OG7y0%O2MG zMp&iG4O8)j<4A~_xoSDBx;*Z^j7_}Hb?%lm(*Bm8`6{1GL-}UDmG92?8+>qLhnlT@K2HxMpsUrw{UJx(Pd82N*N@tzPRs^R!YvApISzakvY?rO`I~LQeCfW zqxAN_<+vVi-FZE(faAU5AHSUBe+kWMpVvNl+RV8#XU$aJ1@k6NnKf-{Vsd9<(agD1 zrX|jtHi>*NDp+?)U0otkn@H51(l|jac16C7R5-}{PTo6s-vgwxbuowaCV*ivFU6kJ zrl#1I?M?v>mr3aTgDOINU}OVt0`f$_#HpqdIPC;okqaU!&=o-q%(OmQfMZe;r%%9? z+q+Q_e&+p-icyLE9b$erqmf8u{I*d#HriUQl01l*`~N{cm{lW44k@5*##NBim>4%nn?9wk8v6-X zVdyYfv9Zp>t}4W0Yg{c?-8LE0>mTu76Rt&6+ic{y{@7tDU0x_h~${Z4cHcA-RlHao9_`ebIrWA^^6@QU`LN|8U?T&NY z%8sc!4m(+Kid*r+@(;ogpF*r0BGl9gsiJ0ie_?p%suWd50Rr($NPH>lIqK8_AtJfAQ1fh| zuU30AfqSG?U+F4$trn%-o3i4x!3uTB;{-GbL-KC>X-}iQ(P5wXDyfEtjq?c3Q+HEB z4*bbEP|hlza_BuKoB&%m&u8z>aeI$=yl3ZlKjI$vWUiuMoYI!}r*58y2eZ#++0fml z+i6La{j_h6U0_vdQifMw^k1WSLO3KW89{dEy2g0xrSaDAja58?t<<~O-*Bg`g!^eU$a*)(6#O%Y~6Zb)?=;Gdq;u8c6-K&+m*}+PRg<1X}I1B2hqms8?DLj2K4>XxNw4Nb^H$U1Et@lIK((l{B0*BS{M) zfJ_7$jr>42i|2Q{J}8T#B*mw$q#zs=ENA@P zAh+B8fK{FmT`9*0)N1iPCbs(# zlOyv(XF=VbBlvWXca^odjuj#)HTu$Rp(_S$Xk`Dp&~Cu{V|nx{-H3B_2Vy6g=@lA` zgjh8BRSF@>a5DIi+aRP$^gXmOS^sC2qv$UtRM%7kI*lP5oc2JJe#v|SHI|FC9*Q`< zD~uS#sxR{H@e6wu+ArqB?6)C4xKR_}(tzkb_o~q%FTI}$l!FoQvn*nP4l)@jS4kZi z>F;z(A<})65I(eXd?XcD)N%2M+*X%R$r&Ny-ZADr24ThcvMNg^B9^(g@{mU|FJlL; z(a`p@zn`Hugxa1T%+Jja<&*NPz$kDPm<3jWyTDVBQ{XM|75EDR1;K*cf=~h34x>iY z6*Z$))E)IibE4j;FZvH^C+hr2IoxN+kK z$^TeCQ5|&^b{lH_Cq8*k_b2Z0sWF9M@cIYYNa?|Y@(aa&{dG5N-FDqp`L%;zA7W|n zhi>5)iO{y&uNkKm0_p4buylCOUXI4QkPecMkhEm+XV6|9j9Vv~bW%27v!|*Pq7`4U zIvQW%MJBxDI0U`wC_&V%b#euAu}VuM(@ES^NApo$93K<%P}n$0_Ft1dfclhS zhY-o6#wH=8P~-;}^JARclKg*?nJu480V!zq0X8h0Bqt3v7?XQPQ6go_@$!wlsGbD! z6k~Vfda`Uk#fd4bHVJWJnJ!*Lrh=9Hx=|OqQ%V|F7+liP#;!3sL7>yjSM(F;Vm);> zOsSaC-r zkJSBdxzpc<*PFQM=|B&=R!wJKGKIX+G9sI(JKrw%x69^*Y5cb`nW%(DjaC~cPj>A%ASEjqXXzQDP$oDwUc@u zXbMm+nb}-0ew%!M%DHgAe5bdC=!%ReX$VnFSP{gQGAN&tp%Ys*T1sb&b}pnZJTQtf z=ZNl|B-tukugO#VCuv1LYI|L^M_oI1=%I&3wr$IjMs~~{($IvXQx&LA1{oFj?ui(T;AND7gpA<)V#25%CJl03VHSz>`Eo2^|QJbIv#<=@P39fZa312mo)u8^UO0s$QwubqDPkp22%T8 zAJh(fB%e8awIZQ?4lpAG(bf9+;zN_?Fuj%8SLTl6h6h zqbFbcRNhlv02LGgC2$&Kc+eXR7nH@T!tp9X7P{FWFezuv14r+gUsP1P;PFLIO5V#9 z?{1PuKA`9mDc3-5#~knSfaeNdA?u3m&jrdT)Dl-I#g;_=R+25EA)bQ_bh|437zNzU zH{Lzga7{(?WScb@gp8ZiG@74<_mJ3@?d1*Al)H7S^z z`J6GCwWknq+(@Zr>g`ieG<2u5PWI~VkQR#K`kCUQkUFKg3=Zay@=VB26g5?;VqpRL zLDGvlEjfXoeJYr}EL^}#p7RIBfnI2!g=upze2{KRAB0=vkqkcDs5orAfy%9N>H+~f zr>KSe4Suzk-B?)BeOR4GJJSbIub9~7INLm+AaZewY=|eRUGFBOM1n<3*NC9AgCTp{ z1+P*SezJ`i(^N^W9?UxT{Om)>y`$tb6`<-?7t3&VQy(pe0X?!aionV-h}2EWgnD87 zVy6J!^<;K)%|YN}3VkQ=Q6UC__U6P;yJasw7p*Ss>4-SnTP^11H4e6Y*dwzPRvd6D z5EN4x6r+5HfEAyC3_1z0`JrzCHTlFNRd{EH%r}6&2YM-cgc{w4T%j0xiWH;)&mWX$ zsS(&^1THl^3k)g=qauV+A*7EyXBe_IiC`xv6Mlr}KIr1g=r+Ew2P~YnPAwCW3(>U- z9CFnXMl~+!h6N*6Z)1-V=-h&sy@!Q9PXGn7gq&ncE2kayQnsF3V}vd>?0V&;7D-w> znSOHrNW`i}Q;laDLOV3Xf;3qfoI$7t0C%qGU`VuaA@;uln-EDI8Ia3DQ%43q`+yT0 z?yzy__vIQYa8wk)CyT~exlMf?8T?$5!BY?LaskAw0_}zeP@@-k8sq1(=@~g>!x}s%V#d#}>9qO!cp!OSTPt-@in8ye@_oAp6$;Nj$v=|yD(`( z_xc%bivf#=fFr<>^v!LsY(QP@PVC?B5l}l~?7zSMf|u@pV1MIUM9I8)^Ymdx*iZ-D z3E9AfQp-`0UVr^{0?bNQazGB<`_i|H8rFX6#g|GN)9%TirIYyBKo6zelQz&jheal_ z6I0F+@go~aaDCFpa@W(Ahi$kuxv9`5vB&Lu{Q^Ou1n< zKbED_gdC4vgq~ChJME4{J#V^;K||xP3Z0qRrpzy_lv3A_AUFfMo(U=n7&|OxAVDN3 zk1eO9H5)O<(0}NuHhLj@WV8_c7DoU&9`~Nby+_L^ zMeC@IT}8)3v?J7s?IcFvh@1K=6wk?qCA36Uc#}U@(^#8b4h-vdEGj1F$H`A%A8*I1#mExX3bB^6SfzIOVqs?WHMvtKm) z_H0+1jP6?yjG;P$0bh>$`=a;KO{AKk4LL#vkVm{iP#u|3Ad-b#;F@tc(;+g1PmSsb z4VjA2sE(}BpIq~hy~}6-Sd6oTST!z>btIMGauwHq$z^z6_ln$NjCe64pk+=mGG_!b zhwcnYPm314l724a$2<-mqW9w7H*gzzp-%Z%5GTI4GnMw^6d3P`fFNOHfPlZ=q&p)I zME0mCLMnPS?|Hmic_W{+xYDvg6zGgVA>FsM8>$N%M^GR%bM%uUu4aQlDfT>~H^kyO zJfxa(whd%_pgFCyLuc>+IwGQ~Te0(X6w&ATmRx$82Q%(2qlCKAc>r?Rd=TxK9S=1c zG77Cmtdw36MLt-flbX}pw96w2$_I!Y3eVAu*^MmtS7Q~wqxJ$2hG~hytr5+mV^5^YR$Y#xKrLUG82Oz=y#)ndaUruNB3==v}f1&SpJyl0^@ z9uk?iWI?&MHaNprBQ}>ziD@CXq(%0C&fc3YGZr=Fmp3=_1(m+l$Q1%@7-^u*j$o5x zNHLwh`JWx_Tk9=u)uDBEwinl^?pKpVKi7aGn5vmyqpQAk)TbqY=Q6=q*{UE5{@iO* z;6|aLHXe+2%DKR;BCN(4=NX|^gKx#9u8qEB1n#=bYuRX1SDynAI!a;+px(%QXi9CP zw$0?LklK`_aF^POo!T0ls)}Uh!U(G6Ah%*`Ht9~NwjPE21XW10X?(SZX$Tp-Wg6pC z7~dP2vP%(^(Q4EX$VADuhI1 z3yBcQVN%^FDmnW81(%`I^Ri2dM#&DlUCjSh`f374j`1Lvhr zO5r=Agl)Gn7+V|zOaJ$PknzYinj2_Q1K8MQ_c z35yUF(i!4EI*m_B2ONg|si=vL{b*VNtQu-H#BLsAtD^US*DOx|c>sGPF)WnyPp^)qQgZmOKHng*&j2qnrG8k{FK(`8UL96kS=M8f)cn|i%gnk;JYjt1Y z0|mT#|8g*40L1%ys`~^0=v4H*UFchVz}`s6b7pM;r>WYJ1mg;g0Ol=h_0uDT8gg}| z;v&6^hX}PBPQ9|j1++K@MJ!&{&Gd3goj`N;w*%>bw7ZXM2k_x0 z+-p178^#GEI{!Es%cS}?*WC6X`ndz%fVqRh<2*o9obnwogc0$-!wz~H^*NM&YZuCW z9~fS#wS6FYwWH`eqoT1Hgyt?e+~3^~fq5z((HZ2v9heW+innH5lFeenAZ1v9SkM_5 z7335Y5-0_Q`s>pU0)NRPSPMS)BB$2d*&mpFkwN%-iFXgXl!vs| z`idB+g8qKvi-J3GB!xc%LC6IyBe)=ZA@2o)VNeF0_W-kAnXvN%(*n^TEWHvIwf)x6 z8!Ch&s2L<$sYZxGl2p$#D%(@~l6%b_@Dp?t1lGEHMvu?p-=&Vjfo+UU>~P!*xFa%N zi2`+?*D-;m)t*eXcA>k~Xjgr%;G+^pkbEf+2qdnd-f&^kvRfy6<1%(FBzh=NxjD@w z>7zgz4~Ur#9aX)4^9)jD9nbFtFnPXzb-S`{&GQU&Gio~RKBh0gjdyNOCueUSMIm8ZM?L|yLwzKHbsUN{1*AOJ^A z1=z$i)jD^vT7<`0Tyer&Zsi48MA2otv0yrUI^grNdlr3Q`?($6Ky}1~T@j=voJ93ZmeHqh8WLKdx$(P>B+CYf~bTDB|5@sS1@ys(M`0 z;6c+OvNx);y-Bim`qj6BIERB)K|z^i6_hcHZ&_*viwL<$y1}`t*zWuL`UeEXVaT_b z6=S`ilh-q2|NGdb3e1RNav#VfSd{&biDRCoE^&oJ7rWwUDhCUKqzbm5%W=9gNSu5)n@jqn7(PEvY z?;Us8{U;eSZmxMbEZhs4h?duvo8xeE`Z`;crfr^ji(W`{w)lZn3EV3tccJt~`jh|b z^X|~s{}ZohFq#_;MeRa1=PGm+nuS)OyUE$>>n(KnEC%k_ta^V7R*RYZ=aW#GwzIW?c*f&_l$*e+a}FB z;pE0+rvK|tsc%qkx%y0P-JaT)@%iIIEK}(Re|>b(muv36{*sl8_q@I6$)imyYUt8d zuAX}mZ-_2tlq-<9)-);L9=WHBoWFja@2^f4Kh=A zM*7sQD=)if)zULN&Y03Xe(VVqh55N2_1hyq`|)>Qe*US)|K-qU_ul>S+i%&n>4O_C zTz2-N)XdXQZWvb?FU}7de|+oc8?XQ1<>#LG`r)UZILwT{PvxhZo_hY}AN=^|N8e6+ zf_70NSu>$|%FNjd&sll?C0BNB`_OG4-@WI-FMR3oC!c-!)z^Oho8K!}AiuOcSv&Ex zmKmwD7O%YMvQ1ZS-G0YMcRyv2(G&)~HSkmGM8k-Ru5q!*w}^;_G4?9dpgf}@uazC5 zv3vYc#dC!y)}$)(Fm;NtUiu%L$H5;BI7pYAV?S!7$Jw7UT@?3kGWky(alqkh`!%f4 zCUu7{Q4Ic@r791ZC!_blg=~SBgAAIUqxd4%#6omwlRBD|1(rqQ5yy2>@Ulb`=qs70 zFRd6~!K*4q#VZ%6z)bP`7HC_%N&P~6uW4`3v|28PxTF>LdyyiFdJZ1QqH-W06qRqU z31-3sI_wQ9UFrX+K^Iswg$EkDB?Im`VBAkd0Xkcz(aw(3jI(>5I-}fFh*DGRrCI(E znrj;WT@#zE`Q( z{Kp;teeJpzpBnk!NAEbqpC&@P&LtguIV+e9)rUopx#ej0^dP*^zZ!DYH)9skP98LK z`R(1GCc(K-zE2gxMm>QT1-&{I%a>1U?dmF1M-9=;t}0it$v-??37%qs``%KKH9846FAn z6@vNz1U>>vT}c(wHA_|be3i=eaqnnx*wMvVmXdy!?U|nx9g4TXTcPq8P(v%8Weob}4h`}+-wK1wvXt3v824Uk2* zmw$_6p{q&8yHq{k%Vw~)7-9WD<_2Y6tR&$@`rIw$WtyusPnW{U!q*=WzOK&0zADC= z>KP~Ta#hPa^xndp!8hK^D<#N&8{aAIhJA3f!tOhjLkuZ<+A+hFt>k$ z!}9XrL-C%uM6MoL279c@Mtv2(Di z9V_}hdv=UhBYyMa>RCS?kp(?rQN%(Na ztCI*`TcO4u>O6GNa79(Cc#PoVsM@4mK2JTR$Ds~q(Ooi#@?>)y)F@MaaA>axg0Wi+ zUifKp*z$I+$pic7*qQDx$yYsA9Pzb?WpIv2gF;-rBNznF1_Jn5a<1~!8SZf+fO4;p z%EO@pQg$MockGnsd42>KRgV1h{m67J2pSg3u$Rgt$ z|DA^apx_`j>#wcFl*-*_h2F9ti5;9j(>~xK=pGqP0C*Nb9MaMv`*fuma&mjd`96aH5&Xo>9|Hc&gjLp82ItZ3jOMlyY ziNBZr%xDMair|;^uf?=sgg2{YrPH6$rjz&E=9Nw?moG>CtUpo!h}wAHVECGtPtin5 zL&r*=r){ZUCRhOjl;BdO+6h`wO=^Z~K*5jU+wRM0m1B`e5g7CMn2l~RufP|KH-(*; z-7qsucN;Ibj+$EsW4p^>yVbn@EWWGQ*KMfEJ9c1^ZiDX$1fF1wlw%zPjJ)&|ZGYq` zRdNUPC~h0giyDb0UHSM(A1sL%>#M%=pc*} z4>eKevE2I&&p_@SWw`7KhEKR12&TeL7=9#cJ-u6;DB!rm)QJ7E!NtDJ67E&AdL_T+ z?QD-`*jnbBME+}?KaSQas(Lzo(D8@-*8Gi1W)%Gl3p3z3>OcB3xWlNJK91C1D32Zu z6Ye=KwGT=yQ_tkXDyzYA5J7ks=&Ez&jlDwjhRUnqHt`%UoRjJh2;7M`U_UrUzjo;j$oZ0< zRZRa1pBQ2`$kc7D7+o4Vk~*P6*mz8S=swFVG&5Ser`bf-n%m5*?EQ@V?r%j4L%(bu z!|VPhdRbkx&3=4N7p%13Mxr#Sk>(%WVtrGuwajf_>=tV~^iembYH3i`E?C6x7wwyj zEAm|Vnu+NHYF3gw2>8i(o2)TgsbeMYiVN4_J)9irWwiG+1pP#Ln5LE5-U3-3Sx;6iNr znIIMB@59u1W9T3k-tI|u6}rd<_3+cozNA-<99Jz9Pl)veO~4JnDC zAIz}&crCv3U|%{xblwpHr*Qkfi^@Nej@X*gvm5uCtxmLdUzb+9@PC=Qd@$C}X!uPS zj@tKeK6SlguW_x;sPy9NViwos;(Ev3l~wz>QdIjUViEL}D`hwgxpW~E{WxNz<^FvS z&}Acq(mSAZ;nWII$AuME!v-=Py%467V_RTsVTBFYRnuWF7^X&z?CvtuOxQ048}((q zylpS2iX9owJ0zU<)F7OfH{}JD*QQyoLM)Dv3%Kb_c(3gyBX4EOC|-+s?(lM6^GrkT ziLAT}y9Y~8bi|&9REznLql`k9aEa5FpVV|C!r-s|N|nEa%t`m)Tdh&p6uP)Le+}|DbV>bS=uM z7S}IoMlpd|rZ!9yJ>7=pL`~Nk`LP!r@eihcYh9hLFH;)_a&<--Kei@yJ$}F7dQrs% z09=fl4=aKm^wFc~v`3vl*od(ASz8>XyUY>LaA33W?$g@yW_S1Ym5A*Eto00keh>v) z+-r_{P5lPFP%FGC{iL;^^$y%E_iXo{9T*R|O-Vz`!BrIeupPKUdlqFR1Tc}>hAGMsH z_HIlUuDw8hI5n6DL}W`*iKCWJ396RU+CUbakvr|pme!`J%lwHhVH%Xfknx$w>Zmj@ zYs@Us>q@Bv4%kEq$FXZ%Zi*V4Jc?rjTs%gDJw^_q($*e5x>rT}2|H=r06*9dCQV zb=PX2lon*tg=5Fkg#&cdo({@zMi?bE!Zw6W5Pn8o;#pchlCZ=PB4q}AT zuQxgvBSI!^AFGd!6Z?~5TQjDoE;gv|r$WThU&;B?-D`&q;r;oG%ur26q6zBsRL5)Y ziDxJDC2f2qSo)Mz32UsuRKoYR|Bg`#2jLUl(9r#6?!TG2x0)hb+APtBlK6YpQ-Qb- zooO1e>&Q_h79RteWeLal=r_a_V$nt5hhvPrc%4HHV&=&(=gP#(Zw!pMex@E`wG`K` z-ro}7*G`-3xu@88a#I-eS7#iO+GnC= z`6eC0_R>rG#}>T`_b770#YkPI7xIJ{<;!%ans(s!bC?XYn+noeO^kM^2O@3G46p-5)|V#Yk#G&h===@ZYV zw4nu}WGpf25)p)A+s_%I9WJ-@T3Y^JDKtGwy7LWhks%^$fFU+V6It+l)4tqvVO|Kh z4KV>DQbzbn?%iu>QGO&x5VJ61W~60OrN_9!iXtNx^OuxNP||Um%yQ^w(1{XlRiUHw znIsXybG#-qoeS&ze!G*bO4=>48jEF1#*7M~ZS^spP?gZM1tdmF=l7c#DL~}piFQ?i zaWue!8qjmuSrVtaGD2t2$q{ZYjHMN|n_WXri*)x2Bki-rfX|?l|1~C9u+M)PM)5jk z3Pig;agR{m@rT^tdrjxI_|>YHaA%13Zz0}4@q%>VwGe*6IWO)-;g()+pmrTL;5s}m zm*AjO-PgY&fi}N^qJJt;JHMK@pO+9T^ackUqZl0{4Te^bgxJ7&sZ}J@tl&)n5$vQL zp`#bcZQmq&Sr*_OLUh1?ipv52em$!z8qA4L-AHz^9(w%vTNw#men{8-a&hVT*}wR* zjNgyOW*zs}XRn?w=72pbRGW*hzyqa*umPrHembN%hRpzFre-5wz z9A5u9y#Dhq^U(hNt}gZz=M;O3eZ~IbKyk1*w>VU6m#7k>#8qOJSS9WfPf1RRx5QWC zFA0!4FSjIAVwb8?qtsPumRhCmQcr14srT>K-oM73j(h!QsAv0^;q{-x>pzFrf3hg7 zU-;SZ`cFMqKD_=@CWd83et7*S6Tm&IpNH3f4zK?lUjIqZ)Q~I3oq&+x^`FFh46pyp z#+_vriVm;;9A5v4Rx!N(b9ntHVxn(+;_&*<;q{+v(AT?)b9nuythXIr|2e$=b9nt{ zpVe#w7P<|u|5U^4KZn~&MdF_?zEU!)RMDL4?sggx?+Pp+P zmFhxofqM8S$qAz?r%YNfX?A;CrTUn+SUr5o!YtpA}Nd-lX~>#g(|V~ z?Zhwt^wZgwy|239xRYlMx>9a<{paxd&)zi8+G#nw{`06_n4EFvzlXcuSr0k9{!_0e zXFY81isir4`p>>#U+OOnlm<(4OGBmDM`cEttIRC3%G_)e`Ty@L_|0JAtUo3u{`Wq$ z^;cV~w~pL;`_|uV{m9nq_8D7m-uLL%clH%-O(!PWiQm|-8ArnVe(W51V;Sg*6o2O_HEf7dSc(B+jF0g&!23!p4ivE-Tg%3Q;~hv z)?X!J;eBsy9Yx(IaNi_{Mkh)mi8q3BUK;YDv+ld@h-;&|Z`+Z44s1?*C-;rb`$A7{ ze*R5cwqqt_wr<&HZtbF^T(B>?b>zNZWgd8;o%mhuKCg51zCUh# z=e|GD4IJGck>X`r(_HdSx4Ew%@o?_GsQin`zvk_!ob9Q=zOmaoa{h07UjrCbd8Ye* zXU?3N%uLQXlOGa8CNuc~Clttt2?mW4NFYIjnLt2;D~u3mhzdd78e74*l9ak85Py1$ z+m;bbmq@W7c5myh$lXOtthl+Zv~^c4SY>IiVDPUf=-lW1&P;yzQQE8B?cSZhoO8bO zo$v2`-{<`~-+W(Z9Us5u==_?y_lnNj9i6wkql8BVDy5y2xs=+e2kRstf!a^?E^Pk< zN3@OG#BFQuKo=uXWNlaX;mh8u40|R}kQ!+pv+p}m6!a#U eWTQARQ8sSt6`Uv0I3)HapuZP>}F zO(RE}BdBgwgZ8cVpte;DI<`8(YQGw`_uIo-zZQ1%J9@^rw*K^8J#%S6d+?|4=}V8b z7e)eknC8Co(*Z|PTX%3@{|AwM7&Z{1mzKubW09U?)flm3kP^x7lZ~eKW0Brir?R)x zwzu=I_IBR0H_}U;w6|B~FY0XLv$nmFF0r@k?!CPZq*`h6*wfu9_I4s&8<`&2tfQLl zK$r2n`7sJ?@9uRZ?PE6{Wk&Vf z8IeZ}L&K{dQKtD;r03{>XMFu0 z?j|~PG%|kP9-IlB_0rb%kK4xty1W3CE^pr>1J_j-4)jF_>`j|Rzo)6t=(i#LG5qfi z9u7kA3abx)-1G6X1slfq1pEGW0}?WqU)vr$Jm&D2o-uvK28OpWr9Hvl{;ECLQ}|n3 zdm$1&ZhF4|nSt*!0!4O?JvcKI-i4?QY!Ho6_C5Sp>9{9isy6DI45T*UrX1`+%+Hq&IL| z$_`JY_XFazt-T*o@9$~*OSbkUQChor=i=&pI~TVvX=-2Guk|eHS4tU!77wV=g8tw1 zwstQ@?V`Ies`L_Sk^cg}v8|1?-w+i$BUj=R)%f<#NYsvF4wtv5V8e;1x2d~v-5$@5 z6E(lEp$w(FF>rm#jhYl6%W>>a|rbH>wom$O39`FVpPJJx!Oll83 zi%f6XcTB;pb|-%&flF{VbGiE1fC`3i^oZ&nsxIwO-f}_#*xpKN7kIL%otoN3&1I=g zk)3pyzycz&S#&sny=J?Hf*#9Us`2AT1_mOy>{bpd$YhHIcBMYw|M7E<{tqMDX^(j! zxN}V5qQ3NgrMI%riSKEBZhT+U=fd~gzR~@T-nyC-tW}SXH}^!MINP{BZJqgX@3BX} z6}UF7cNu@Zz+aX8^%{R|y#Jo9@)1mQROrM@Q`O%5rfAIc$?;bxs zV114sfA_ujKluHLk4~QYw09l|>gmmDpU|tJslCqXKIg!SzN~>}jEb@OvW|N;ujyF3 zd4ETHO?LWbFD~hbE{o(FL2d!r6-=-JRWslOO?Y&dqvaqN(L`yqGVQ~mk9J30?J zIuE&z9dsN!=-z(N-S>C>IuZ{$IuE*!z3w>ny8F=wH`C5jru(1(1BvzrYW{xI!Q_6m zFE)_g-d$)s)B+up+4ITLw)Rhs9MnTEAAYcZZ06Xzb{GYBwfOKr8~%T@rft-abps<# zMdDxeQo&szS6Jy4nadZ}+&`*!UHd1jcz%->5Z=J^sXfOBvihR^&fc~e541nf@9wMY zr@m?ZRI_^&Y`v!Pn%df{pz*B@jA|?Re0uG)Ih6?31?JY&)(7&7>1rHi*3P@Sl4@$F zRU!ukAEzy5`IZ3wVfXqiruWfq+TyL;l81lvXt#HZiFMb!-Q63vyz<)q{d>r}r7{oy zXwUxruWfl{~qwx|mJ(H0Gt`(JzIm2MLo@kR^CivtDn@+kh7 z7oaT{ll6xK&hbxX%G*MDfkZysvNBy9Lf#NALZP8-ip-X$a2_igU5so9;n{5Q(v+bp zALU%KQk*0zG-cyV?^cSYm-mv_`zY<%LX}(QRrbuQoHt`$<%5-1{EL@f6=cjz_<@g`z2yjrb#6$ftU8haN$Z_4Sp@@JEDnE)#<_yu=wZDre$v z3)1;%Zdv6uTjiO3o4WU9>rb_F5~$`$w*DUD%dw{Sk+WjQ`6gyNvy-x2+3svlc5=3! zZTQG1e2UNJQ+;-y=5zR*z9gT^=k|Gg$v)kOo$GT%j*?@`QFH7$T8<;fnUj>`%5k4> zeg7Hq!GOO;Sa$ZM@jbr+wKD_LXVnL;shv|(8JIbzc6Q*ZSu^I7eR{*Cfw}YMRL!Wp zIuM*zGvlhc2w&ICtDigL>Z@uC$usO1A|+GEVOuNkGf>1fgp*R+u->Ujc26d)y>iwp zXv|qxI%)lwf`Tz)#uSW+-+6fB#)lt%c;mykv;Tz`_V3^S!hY+{= zsEz0~3b$cPqjK!)XOb@_#>|>EX3Ukd#@t^}aR2>d3htMQ`!{afzyINl`;={L-Ctkhrsb3#>_Tb0q3vNSQMuaBVs!5HIrwJ>= zf(`-R_*r)cDEU`plvAgtRoI+zIo_kWLcHuWP|_-4vuh5AvxVp>)oGPNXO`l121u8s ztVbyzHfCSEZII(AlgV09F7}RJ#VVX@v1yYl*;MSv6Q&Xm1~`+Ft+wz%{|UF{uRSM7 zMO!jajEU8Qr(zRvv<3y_;0j$SaxI~v($Y*atw&Ql<)mKDk3NK|X+7^m!fO>fv0&Xh zr-Umh3IF(Kcp7@+aA2L^a(cF$7rV5Z+|M>%C>c97YEG(jyO5KNYw02t+m;WG7rKZR za~nO@co`>15HgYn-Bc%_#MtBUzGBfbxz1hex7Gq>FDrY%t8I{gKZ;q)=YvyKDj#B2 zjBD&zxdL89@(??gW3MP|tcm@hhOuKTw(|X?L57HPNrEvj5&>|Q$pi9jwUilEmOUWi zl$Y|$QjA}^KV3c9w~0ipOEEG*b^*YQ9M0dQ8GQ;(cBS*U}Vz86~z@!QcPN# zdqE(Wn-vI}^u7pBUlOiptgVQ4?2C5vZR&7xRI?1#>4|n9EtKE^DYN zYnWNq)%rwN>#ok$MAk~ALUz~Y`@WLz8=vRny!A7i>#OjuxAQ=6*MY5FGA}mh#9*!+ zmsdP4zoal9<2on-$5I(#z{A)t%#s1Dfft}0q+syxMVmbF!A!-vt<3fi0mV&8GMi@% z=enP{TMqaL7C$mTasFkNhCzT=T9S_~aycb=yRp1=yoUc{bK1@-71cI7YWXoL4ncq} z5Plc9`WNTmYEu~vj$U6rb!vHe`P6dAF?T%y=s^7YE$LRPX>9_`k%|RCS>};KIN|JpW4H!;^)<|Sy7|z6=1rY+eUavRF5qR_GcWr#~qg0!PdKyEHD|sRh z(xa=Qn|KYQO*X=Qvo1H;1LVf$v?i_U+sAt}?z54Cp+*B%?Rw&gUAuNYF__@TYTcey zyPtk~_wL5(3EF_AnuUPatwlc|M2-Si0HenyhIGO3l)xfaXYDlO-9)CLq?0Za~SZv@N9Pya#i|2^5 zAm@%@TK+Zivy$I2OvfXMRs2~|^XO;umOV@%396=;OGOHhBqxrkGQkEO%8{mkB-#2n zYjlA*hj-I7#hrT~hPQ}phnT!^(q~E>#e){Cj$A6Z< zx&-!>Q#$TX-nDhpYMNSKdnI36aL`6RW+tZS+iS7I0eo!0hh}foV3zC*`Q z2Wx?cRXhnrnZj&QPGkM{avC>SST+jVt?qN9Hnq*3kYFIe`nc@%v7lGeXuyDaFp(kt zfK!VgEFnnqkVdQTqD&ty}Bs zd$GBcI64~OW51T=QN^l&+{iJ=vQ%>Y2YyJ@`;6o3hj847f#|fxK;Ogg^lvO|Z}K+q zNhaxlVVGLT^N_S(NW42j2;UhYc&0N|yGD!G%R={%O0IuN7$_3p2hlwl^a(Q+3fQ1( zqif@IAn`lcB&TpA_WC(w_2VzvCRzLC+4{=S^R#tt{#+~mX6%`9^!)812mts6CSr}g zjt96HOJ1plQ>1e-3G4V54tf=P<(<_)8Z2OzRlp^@W>%trKcUsMK4CPmwPvxzF*Tgb ze0;OT$JpKDbZbPK?CAC7XtX`i=+CxJ?7#~QSGm>OQhfkdVib?o2$nx@ivaY`(<85)(15E@4bxJ_NK*cm4fOhHa zg?@ofMQKO=V81{>YX<2)%cOHSFlh#p8-cd*XE&1)0(3-(LR9z7a6@Q%(d zr$Gx2s0Rz-Jk?Ze1zN%Tg7LcVD)&Ac1D4Ju{6TWc{V`t%&<(H~A+LhU2VG%LfXr>B z^bas2A-pgC7JgCyolV$EI*N`TxT}23iyLm+ zHV2WcTjHW!I4Ia`{GB1#vK>l*MmY=ZYJg=Q)uUHwaO9>!$jiw&*{%V~UDHUdq#_|u z_S%H|-ij?&c!ba9y{}Ceu*d{l`qTRD#tHXZz&gpDpWxyEl;wFk@gG1u(_uLb`e1$# zc=hK9DTYx#X9?-H;nI0{{h)6q4*y}^{_#9jG2Na_1?nApm#j~SYdJmcHf`+Z=VGUc zfSQgL#&$!~zIG0ATw;4q>18(*UtgRAT?8Mfl~hZ9zF@V?e94_rN61++j-9UT*Aotx)PgeH`vW#$S=SJ}?+@ z+A!z_Owh{N3kYe&(g`rbN2oaA1DK7!qOy@LfZ4E*o;;@mU^YKXy4g|6=(j5MK?bnITvv0%2o!#ptW4L2fUBLhvP zT8dVr`QcVrjj$q3k0l~aY~QoN<)q^(zY|LU-k_OZq`~{aLmV2`$Izha5!1hm| z4}5RChb#RK3<2x4-3Ozwr!FeXrU;2LHp~CpP{$^ofA)rYQ2Ejgbyz#_6#OYVEJ{H? zWJ!OUA^R+rHrw8q3}8F@Wn0qx?oL(|H<**o-xrnIhTF)dF-O$7Z+P>-eZvcWcK)8j zIc!G_0Cx^s^t;IM4j00RJY;dc#*-ZI+~a4nFy9J$FipXgELz~-A$a0Q6RFMu`$ZbR zd#hCO!5dS4|A}4H9^X6!&8DztDiG_l*`tqh=41K^Xa@vWUNthk2MkJ?!KwJ{L@Q&l z-<^Huw4CG|J;(4PF5y@FHoxk(`(b+fo&F@h%kTDk{K}2)a$u{;AO-8TZTk13+g+rkk+zQtD!^}btyAvqOPMSR%AQ4;QH1PUDP1cU z1>^VQ(S_wgxJXEj!AE!&Sn7+c`3z))c{&`MG7 z$Vx{Zm!RWzcSg~|-FKeA0g>GNX1uL0>T+R3T3dsyE^=Q$nt?Fo!i5X{3mY05d=24n zI4g|d!045sMDCV*6N10vJMbumBo-_ZN|a(dN0rQXyYLf{G&0NnH3^4N<4w1HqC358 zhZ_%>OREqD%&u7DMg`hTu7>-I;Ylx1< z@-#{xe;*YTeS&V~Q&xG98>HPL}-LWJpZbOpP0XxO3A&DLQWEktv(jDG{e*pw`0jZx}zm@^<*xeoW!YwTbX z+z!%pJ2(`m+3munDH}w(@{lN0M1ljZOA{X@qp+_#ex!iZMw4*sPK7C15a5{y0gKPL z%u!zn1lA?{b5Z1X0>Mz|qEKld5F`h}5wSV0?J3uyVKJA?#_%IGbqqNp$)z zjg#J3f$a)$Hy+hpn9OG<0iHpFHoLTZZcq)n)`@X&L`ifg(@=p6cO?n250Ef$hVk|J zL0L9{!O4c{lY~nj1?kI>gmqhVHS=+!`3#QH1{nq=&m=Qdj=B(G;{90-^D?VAZ0VX_ zG@`gFq*R>AB&U@pPO_W}J<2>1k+K&%R^Wo#p2%NWU=4rFMLB*~8cj!UThl#hl;x2O zqo9vDeq0ygMl9LV98>I-_hvEhL?rM4-X!wu9fCy0tVlD%9l+57uC(jaZ?p9KUBj0WoNwJSizyu$_9&Q{kx;1Ooj9W7Sed5Qon}v3}C~9EPjvae**|IojE?c&& ztXv93LCFx@LIMlMGp91tx)*?KX8^Ss{B0652|6(yO*OTP&`56Twt|K?z)Ny`EJ_Lg zad^(?vzdE=2W>&Bn7~wUv)T)3fHZK}7A9wJoPyB@SpG#Izj3hYin1+x>Q^u<5Xqs*zH5k+0 zqvPSPW7tp`8l0{iqKOKC1lkBUj-jNOy`;1>v@WeKR4P#w3zg_DzPYL(6tWXtz(WH> zPQrv&iO6s9L&^z9k~V~)hLM2VG+K$b2teZ?t-ctEcDkEis4 zB+(*Tg?Q=$cDA#C~rP-9YD{IWpLbfC%zVy1^t7e5b5rZe8=Tj!vmxFpw~ z#rqV$b8Rf&Xrp_i9x)a;T;CY|NMG`ta6SQb9J_+26ls$_Nuqz?~5r|bc z9uwd9yGBByog(47Lr+}^#V{w>h7XE65^CKOl|WV}EzJd_VZ=~tq=9Wm3**8PbDyS` z+zL#iE(KN&0v+2YAPlh2AC400J1f#fB&Gw5>q_S1gXa^d4sO%J6sdxEwqQ*DqO0+T z@0Zyre^;}O1a?h_jDiO*-gTg3#PtC^I^ufwp{~Je#mntUySTZdVK5EqJ2gCb#U-|M zEgXIgUYTG51ExV(l_Z*jAHdkaca@4_Q(aW7C={d&OCXpBEWE_@167s7#Y`5M$<9`o zyyDvuJ;MOg0dhH^OJLFhXZJxMqQn|e(B)|YGe)fr+R$J@?;c{qQUYn*?2Jg*Y=9_X zkX^7pC0I%XuCK4puFvNibrlsA=@rNWVMt?3RREO?0`|FAlb9w^4!4%eTk_I^#X1S% zkZ_r%{gRPWyKgv7J|b~40pt^8Nz=%E6+Z%4)(-KB_9QG)I-cgqDrr6-cY((7zyX-t zyLQ1W2RLz56ef5>1L~5@GQXk&Z_6i8T`td;Kqm%ogrl%!aU&RpIv92XJ@0~*T-tKz z&>`6AyLY2EC`#JO=~_2)VA#yXK>V7#CFm@)3la^gOATTOWkK3YR0ltys%jC{jk)rn zhaN(u&_=hC=wWQ0Anlo^B4I0{(THM!*l3}VPbsKKzm<%YqSx3@N+aVf>jl%7&PXgF z-5mc2pVyVJDL;YsRzP=HYJ?#iu)%j(@(WtqgdA||5@IG~GMnge6~Ncv-t;r!UaBE8 z>oRGC0E!E8SvapEV4o;xo{EBV61oCx7vU;l$%xgIen4u}74|)@aYE$UP=y^}iRV(; zp-L+A=z#SwltmhC*4H5Zk|{Rxv$~I)a^o%V$Su{N%)u<7A18Yu5iGZm1B@bISDK|Z z$i;`a$`z2Csj%^(P*<2Ch?J!v%up#J6TIuC4NpofVLixZl6)`_bWv>}kPDMAcJsS- z2LB-qA+-h?S`5A5ViyyISejy3Ddn)LG1>io{7i#wcU`}Xa#>9l^w$9)l8Ntsj=6 zR!LRWaENaOX;w&@Cy2}zHmijMfro2>bd;11bVLJ$_E!m_rr(G{fUV3C1GJPWf}1$p z8aKWhIT+(%+Z&j|G{kgae=Ln&Vvm9qMyxl?8YPyhF53kJ-E{!C_c_RU8t{UBfK$K) zHrk>DOdWxubwJUUF0lTg?A?d5!4<2CY-BcR)bd0E^5?`MKODE?!8sABPcRsDQlAV{ zCwwz{{O$$6gRZO#1B=07k?6Yq%m`$TZ+7j!e)4nq&~#E`uqKik1A2X!CPJ8FQC2>5 zl4MVa_x5Z+_8Ex#kntmOPv=O6iz*oJCs7${Orwi|>p_~qa2}*w1vZ(*?v)S&_=Bm6 z<@~_`1~tA#E>a*)<>H6O-OUoyB>G7ll!zwr4rp{btev!<25S;9C9uIX7K_;QdgM&} zghKj5qomJFqaBv2Z3P@@rrB11EI=qJd|Q6cK(ew8IM!3*_#4&_;ko3;1%A7=_Rp ziDrTP-EDkRCNN-`B^whNQYyZMS99{#5MpV@ebV~D52HlvaZ!|%C5jonE@jw))#~zt zRtkhBT{l(aoeFAX%DjGn&l>4( z(F7-XfmyIzher!OkZ@(}qir|3q@8M~g>d!VE~ua&eIHP&6(l(Yy@vrw*sYeCi+unjCE4P=!6a(sf0n%6}rE$10O3C|dSAKX5QVh^-GURz?Jb-bJ z4bsnb_QgL6L^)p&L#{qNb|a*S)0}&KtAg@u;!NLu8ZZDr0Z32*2?hMcBB8vbWPsD4 zaLxb#wC;?*(Ede9J1CWGZ z9B=^AakFU^yWy~-{8%qXm=m63KU2o}p# zok=1&X_UxKvX4#T0Lt^yt{UZj-UTj1mFWi5IjeP?N6_~ya0Dzbhsm9sQbCC0%=Q4C z$_VImNKXN70ZoH+Sn+AT{-BCAGh%ACn6O^e1ag*%Lr>qZ(HJ*w6A`Y@XZb6xqQee=a4Bx z;W`-w4U^4+wj_w!PlOpSZV_C09X4hI0B$P*;IIzKRUGq_=*t#YBRMfF1vqLLmKwP2 z1@S>08wbHuHj3gvh|v>%m;}U#2nr^QCk8_H-7h$2aFczpGs$|IXMY-1 z5;GM=aS<2SYP}zpWhS^`beyv@g+FPZ zM8_s^xp7bgIh49VeGt00JZON36`1^t_#tw_V&PE#ZbH};6|gTI!#rHB zukJG(b+*6~UOM7HmW%FQ$RyCjG}(m51&W9DE%NvTq!3~P-!MKB!hNMcs1!7|T<{+y zz&fi0t_R`?vDk7`jAKAsk%qXVIsRKN^am!~b@5k5GWaMV)y&-)zlY*MJ2wv#q|>tE zZs2Gkehw;Fs))bz$h%h=oR98UBW>H&jMA0HlQktf`yhV_%z{|g2s%8 zcqlyqph!xP7M>C;=Hbrjuy|y`6HWNK3?mQ@j;b$^bWFEATlO}aM9OI-9P(Y|!khR3 zVfrmu2wJi)YO;|G65fZ%?8V$gRpjCE!)TdtQfWH-WP(4#xc~t@VB~_Sa+O?Lu9|Dl z)p8xV&fKJ2*Pmf$&a=sw|4{3id)xAxZVJp^dCStk%;jHgx^4N2uM+XBhv03u+_2=v zrHz5bcN7NZFJG}_Y2ccrH+*gBErGJH@l|=_(i>>_%!uL;{hjc^Df7LC*R=(Ng`fD~ z?S|EyLrOI{J>>luD`zYtC54O)v|3+7KHTNJORc zE3SZD`N=1r96NUG<(FT6`st^ic;bmon>IlWAoO{=poRQnebc`Xb8&odIelzK766D~ z;-l7T96yHKdG&D|zkSBng4+wI#P>F`p2UB|=a8++*i3fky_AuU$L1pW?~%NQN_1WL zV72+)m$^!e4O)p&?%eK!ZvdTF;!=}i`QBv+UFyU;G+65xgrPy+M`eB*2U&?Z~XZBrk^}0bpaLO zqC|g^j1@vb^J?`B9+CtXEII)hOGg zpw0fDqgnVJ0B1Ix9u4EES2H6_^Tv6Fd4{L1#VT}6uUH|BWmM%vhz`kbFr8}rn*?18 zBCIwFJYK_euGXLQ7*F9eThBKdb+5j_Gf2uK z23hiN5OcsD%q_nGBj)Q78*=6tF2#j`c?r2v+{l6U4zoWG|U}{iGUwEgts2o5L|G>%Mgreax;^(lK0z4 zPa!45OnjLF2}CEc+kqED>ciMt<93Y4teCNadE#8;e2Pm-kkfdRyu+z^56i6gL)85w z+4Z1;CgdwG3NKhraVe<$Mjf?*q{U3?OF?71Q^EWsjO7?FfTDg!UWlzZa@1<@eK&Uymbe5in>!DQO` z@Q5D?#eP&^`cQ)pH82bm>!--jg_oh02d$Wg&~!Q_hXUs$2*l~-g`{He(f<<303aAE zf&|5IH|Ts*iuOe1SDbu1i!1mg2(b1ecd@aSd@RFEMkc6BZ;|AedH`eu6{a!Ki*@<@ zjTn35ULv387_nmUey&2NqfeM04md9y^oA&g5~DKXB!FQD~K#k%`<8 z=^zs+k8K3>eZ5{O&}C;>Fx@%dFZ66h4+!5s;{FLSn+a%2G)^^2g%^OrDX$uTC+^Wl zxt;S}+*l*(gnDC>y4Wc5*o~+=7F%x|v6mP}bj*b{Kp`iOU+kBxQOC;;^4G;a;IW@T zA{~qKY$ms#fK(Xm5>P4jRnVnM|By9NEDPE+hZB>Hhn1xu!*p35tJK+pSU>V-4-bnB z48fpuuwT;7fXfKawbR)i)-CjRr)-C&`3jN7_3;9_Cv=nAntr88+0wNmC-2|0+I93~{l< z*30D>V=*LK>_xc=Qn5Nt+%cG z+J%+%fk~mzlwscA#g~SP=^CXnXX+x@Tp1_v>;D;DIWPr{5cw)2cW(x>XS5-8U4JBz zT6X;!*aI2RT2mW;Se8h=^?@g6A@xzDKK#b5R;st;?UH9RvCe{s>CO;kK=5#YEtEfh~%H-IbUb z4;>d&)iQW&R{qp#ngr$Y7VDo_+~qEuZlb&o^1|u3^Pk8yXcW=Gktzx2%nV!9skdK!Qz;qwP0fOs7mqg0c=kh%eBfm`Y0v zXv~x;ypAxM$#!Fi)X9NRsGgETl#_%Zh``9$N|d|-mv9_#X{)D+rKMZ(%P*nB9Cr>- z66`P3d2=P+6PRd>i;7G$lVVru=ngkt(UM+7IBRhF^clV6Tq_n^v#jw`=F{&N%KI69 z3^-#`CY59aah?`G&&PR6{CphF)2(xUNYBVcjQO9Pezd0qktZPDSUraO9)Q&AR(z?- z_9ZcvPqv_PVr>gpDg!!s>iq9dv`Fv!BROg|7wH9=u3R;D&gwPu=0hb&o5@2NV0YNm z88FQW*9d`TNy1Di9u9@ew2%O-~Nvd5BtL$KWUG?gd zRH;63s*F|jQogbqD^#~Jo$M(sdsM7hh{hq+1wKNX?2^nwTphbX|GMC`Ca;;2;tgtq zRSKAo@oFnG&d1QyEVCdlygq*rzY%dp_%tIB!4K-|*_mLb^*OhavH=EcE9u`QJwkdt zmVpJg`!Wkixrt>q18ZRCfb+S+ukPnJ_L%g~@AKUrvL#cp#DiGuseqC35ozrXad}7lKWrM~}{e z2`hU_Nj5xu+qq=G3;FVbzrBzHR5%xN=HU8Bx+epha7Pr4!ncOX=6WF%_M7N3(=>0v zv~_L(<4KxV&?GbvEA=UL5zW8 z4FMrrz1$L{TfZzp@-7BKKl2nHO*=s>Ll>hNY!t_>I#9 zQyH6#6d@H3xE|9Y>&feZ`hd>xY=BWs-=+*)L%_f*3@l#o8@wL7@f4-%16&JJaao&L zS_*{}f`*!GPMPAN@u3i6HyW#+3=;)FV&GzAgdnWAlDf#PZzX*LaR>`#+j955L|&zH;MT^KNXLf79J}UlVO!Gvdx&(Hq+yx#{lBn{UR_kKDT9 zhTaxT_8u%PLlWg2axr9HS(LFfP6pT%Mjsd&c2xCP0qrCUsf4-#kB((Vof-;-GA&DM z(%4YQ%5kRMGmP1kNu!k3bR{Rz#h+Y>mGjI@7Lh8*W#p!LDu?Tha`) zt%7j^ksT{R6@wL`rDKZ_RYI7bc?wd?Nlv)MZQ>Dz<=5$s>#w_h;i4NBIVsso4ku%= zMDDSS+;>bIKd~q@3A6pIn`6C@%{#DdMt;HA0<4JYO$3n5s$bYru~I%@19;_~iDsZm zjj(DuYV<;-a^)~IY&CX~Lbh+HbK|xZP#6utD+8zuZYJV!aADxh!-(PKgFG|{*jC{P zd!Marhf~H~jGplv{CG*W}C=99mr#^)WI~?)~f z={PWG1l|5F50G7dir~TF>|!}U^96_4(SH3oRtW}*_d}THX276xjSU3LqU|4ry}3q+_$&%7ulMS(>FP0M|(a z4LM<(TH^e~xy1QwB6<#SHo~G|geQD9p)G-1jD|tS4>`eYEWd7Wv$U7*!6;pMHiOFd z-y-~ni||Z6VS4?y2-BZlgdOi>TEh9W3*y4LIuVB$Cc@zO*&KCXyDg*Qd}VgQm*qQs Pxj_iljQM$I4MO~1BVWFN literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/tiny_pipes/Makefile b/demos/lovebyte2021/tiny_pipes/Makefile new file mode 100644 index 00000000..4b52ed15 --- /dev/null +++ b/demos/lovebyte2021/tiny_pipes/Makefile @@ -0,0 +1,56 @@ +include ../../../Makefile.inc + +DOS33 = ../../../utils/dos33fs-utils/dos33 +TOKENIZE = ../../../utils/asoft_basic-utils/tokenize_asoft +EMPTYDISK = ../../../empty_disk/empty.dsk + +all: tiny_pipes64.dsk + +tiny_pipes64.dsk: HELLO TINY_PIPES + cp $(EMPTYDISK) tiny_pipes64.dsk + $(DOS33) -y tiny_pipes64.dsk SAVE A HELLO + $(DOS33) -y -x tiny_pipes64.dsk SAVE T HELLO "/======================" + $(DOS33) -y -x tiny_pipes64.dsk SAVE T HELLO ": TINY PIPES" + $(DOS33) -y -x tiny_pipes64.dsk SAVE T HELLO "\\=====================\\" + $(DOS33) -y -x tiny_pipes64.dsk SAVE T HELLO " BY DEATER :" + $(DOS33) -y -x tiny_pipes64.dsk SAVE T HELLO "/=====================/" + $(DOS33) -y -x tiny_pipes64.dsk SAVE T HELLO ": 64 BYTE DEMO" + $(DOS33) -y -x tiny_pipes64.dsk SAVE T HELLO ": LOVEBYTE 2021" + $(DOS33) -y -x tiny_pipes64.dsk SAVE T HELLO "\\======================" + $(DOS33) -y -x tiny_pipes64.dsk SAVE T HELLO " -- d e s i r e --" + $(DOS33) -y -x tiny_pipes64.dsk SAVE T HELLO " " + $(DOS33) -y tiny_pipes64.dsk BSAVE -a 0x70 TINY_PIPES + +### + +submit: pipes64.zip + +pipes64.zip: TINY_PIPES tiny_pipes.s file_id.diz tiny_pipes64.dsk + mkdir -p lovebyte2021_tiny_pipes64 + cp TINY_PIPES ./lovebyte2021_tiny_pipes64 + cp tiny_pipes.s ./lovebyte2021_tiny_pipes64 + cp file_id.diz ./lovebyte2021_tiny_pipes64 + cp tiny_pipes64.dsk ./lovebyte2021_tiny_pipes64 + cp tiny_pipes_720p.mp4 ./lovebyte2021_tiny_pipes64 + zip -r pipes64.zip lovebyte2021_tiny_pipes64 + +#### + + +#### + +HELLO: hello.bas + $(TOKENIZE) < hello.bas > HELLO + +### + +TINY_PIPES: tiny_pipes.o + ld65 -o TINY_PIPES tiny_pipes.o -C ./apple2_70_zp.inc + +tiny_pipes.o: tiny_pipes.s + ca65 -o tiny_pipes.o tiny_pipes.s -l tiny_pipes.lst + +#### + +clean: + rm -f *~ *.o *.lst HELLO TINY_PIPES *.zip diff --git a/demos/lovebyte2021/tiny_pipes/TINY_PIPES b/demos/lovebyte2021/tiny_pipes/TINY_PIPES new file mode 100644 index 0000000000000000000000000000000000000000..87a701413a6f8e540c0f66e52ff34971ee4ea489 GIT binary patch literal 64 zcmV-G0Kfkr*z=&Yq6Dn~&?y0h=jP{zMubP0pa38d^rEJz0H`2c_8>q1=6H%2X$Gp$ W*_i1DsL;{y%@RK(BrPpAHa`FlpB@na literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/tiny_pipes/apple2_70_zp.inc b/demos/lovebyte2021/tiny_pipes/apple2_70_zp.inc new file mode 100644 index 00000000..9737f2d1 --- /dev/null +++ b/demos/lovebyte2021/tiny_pipes/apple2_70_zp.inc @@ -0,0 +1,12 @@ +MEMORY { + ZP: start = $70, size = $90, type = rw; + RAM: start = $70, size = $8E00, file = %O; +} + +SEGMENTS { +#CODE: load = RAM, type = ro; +#RODATA: load = RAM, type = ro; +#DATA: load = RAM, type = rw; +#BSS: load = RAM, type = bss, define = yes; +ZEROPAGE: load = ZP, type = ro; +} diff --git a/demos/lovebyte2021/tiny_pipes/file_id.diz b/demos/lovebyte2021/tiny_pipes/file_id.diz new file mode 100644 index 00000000..e007ecd8 --- /dev/null +++ b/demos/lovebyte2021/tiny_pipes/file_id.diz @@ -0,0 +1,5 @@ +Tiny Pipes +- +Hi-res Xor Pipes +64-byte Demo for Apple II, Lovebyte 2021 +by Deater / dSr diff --git a/demos/lovebyte2021/tiny_pipes/hello.bas b/demos/lovebyte2021/tiny_pipes/hello.bas new file mode 100644 index 00000000..441777c2 --- /dev/null +++ b/demos/lovebyte2021/tiny_pipes/hello.bas @@ -0,0 +1,6 @@ +5 HOME +20 PRINT CHR$(4)"CATALOG" +25 PRINT:PRINT "PRESS ANY KEY TO 'BRUN TINY_PIPES'" +30 GET A$ +35 PRINT +40 PRINT CHR$(4)"BRUN TINY_PIPES" diff --git a/demos/lovebyte2021/tiny_pipes/tiny_pipes.s b/demos/lovebyte2021/tiny_pipes/tiny_pipes.s new file mode 100644 index 00000000..b2aac249 --- /dev/null +++ b/demos/lovebyte2021/tiny_pipes/tiny_pipes.s @@ -0,0 +1,107 @@ +; Tiny Pipes +; +; 64 Byte Apple II Demo for Lovebyte 2021 +; +; by Vince `deater` Weaver / dSr + +; Roughly based on "Entropy" by Dave McKellar of Toronto +; A Two-line BASIC program Found on Beagle Brother's Apple Mechanic Disk +; +; It is XORing vector squares across the screen. Randomly the size +; is changed while doing this. + + +; 24001 ROT=0:FOR I=1 TO 15: READ A,B: POKE A,B: NEXT: DATA +; 232,252,233,29,7676,1,7678,4,7679,0,7680,18,7681,63, +; 7682,36,7683,36,7684,45,7685,45,7686,54,7687,54,7688,63, +; 7689,0 +; 24002 FOR I=1 TO 99: HGR2: FOR E=.08 TO .15 STEP .01: +; FOR Y=4 to 189 STEP 6: FOR X=4 to 278 STEP 6: +; SCALE=(RND(1)shape_table + + + jsr XDRAW0 ; XDRAW 1 AT X,Y + ; Both A and X are 0 at exit + + jsr RESTORE ; restore FLAGS/X/Y/A + +nextx: ; NEXT X + inc frame_smc+1 + + txa + clc ; 1 + adc #6 ; x+=6 ; 2 + tax + bne xloop ; if so, loop ; 2 + +nexty: + ; carry always set if we get here +; sec + tya + sbc #6 ; y-=6 + tay + bne yloop ; if so, loop + beq eloop + +shape_table: + .byte 18,63,36,36,45,45,54,54,63,0 ; shape data (a square) diff --git a/demos/lovebyte2021/tiny_pipes/tiny_pipes64.dsk b/demos/lovebyte2021/tiny_pipes/tiny_pipes64.dsk new file mode 100644 index 0000000000000000000000000000000000000000..ddd34aa97dde1e48c36bd97b1e9980bc47b3e654 GIT binary patch literal 143360 zcmeEv31CxIy8pR1+s)D}Ewy1Oxj=yyDJ`pI5mMSpz|e(FivkfvE3ztR$EQ+fD35U( zsLZ&{Pzi+siA^b%P!TGK;7|mN3vT0rjv_lj1#u()-*<1)g~dT<=DqR#4K(-Mv)yyg z`PSce?hRMfy-^#qR$V;`AMN(iVcwfdhg$vTg_&W&eO{;u3+eF({$-=7!%cwS8V4tYNaEJm-@s1%&hpcB+e-o(V)*47GMw$43i)1!9( zu7LCPHU3GPLc+<0Ku~e=g@Bi##Cctnduu>kw?;f#>3p!#6SrY_u+8r63Bj*DZ8r>m zYIR`u_Qc>>=hiiq;U$wc1<$e{`-nGw@7*Du_`%DG@BQHQQ;H$wx^$aO+9gNomwa9C z<=p4$-AC*F2kYG**L!*Q@p`Y)eWJel^ZLbQr>Xd>`bTJnd|p;@PFYc`6#rOX8UFK< zWA)D6+b{{vg%$qK>oZQAruIm=PuK59yQYqi`l=pnsObDA>z!Iu@aj-E;|S*tZ^ETe z7#XDhv-;{!>%~BQ^@;k$W{t;m^yvQM^<^ih$NeAI2S0KN#Ug8{RU}(o$r&#H)&O65VSS9oD zi#H4%HI!|ozo*!i!v`mnpQvO{1`k(`Z(v(fhE=ewd(3BC8H>6vDONYQw>K==p8kNJ zZ7uEQ4OcAPo>;csQFPR!bQFo3pIaO}=RF`k_ng;9Uw(W&>6s8zY-U>v!&`g}DbKIz zUV$FUwl5AItLk>NkV%;}#cDZsGuyH|cvP+@Zh6l8VN+MfC>6#Ru8!s|tD^`_aBY`| zRZiHG{vDc5W?OsE-=jl!@9Y*l=JJS(L${!^`MZ$^f?pk#QjNag7oG`n(jWZX%N8%} zww0s#>ovo4CKGpdA?uRZFf+4s9Weux%@yd z6fMWq6msU{bhXm%(n*ifZql)9x2JltobHRwpRWtn%hf&%)-<(UZFMcWy0pi>Vr6J7 z+II67D@(g)eAXk>w^lPUe6mZsmMcw?;!Pw=P3Gxp}kYd67L|)!izd z-n==H(ucYQU&6tP4pJ07R9E{NO17`L+U4_jDlL10KODL)$R8R3QVfP%TzYbn*fFsK zedhG+*4Y+GKmNp@|5EYviZyGWsrJ{bf3|L8U{n3(=eKNacwyTs`}V*3##?W{``+K) z|KP)qK0EaJk)y|spE!Byi!Z-AbN1_RzWwg|AI^vC>O2SQUfR|CUAN2U`E8x_y{oRy zxA?b_@11qEiy8OiQ@moiQl<8+tX#FaN+YcE`m{Q|A*ObN(PXy7#y!_2{;C9P+jiSu z-0{-RT`&K2cjKP+dpjg{48Hcd?ac#Xr*}GcN$T3Id-B1LKl%HoDLt+}+%vUTT6*t3 zq0@c)^&fCe#<_um1`oM*=rB%aF&cV`yjjg(%WH)$orQKh&je=bEC`JCVCLj@JmUq6 zPT-R*+`!JgIh9pRrs`T=)zi#`UQER&Tlw@Zyx2!+;oI;6*HJX4bxFs6Lqd;X-Mge; z((PV0y5cLvEc8xGvCx-^zRVVj#S|OMna~0~wd19l z*EF>mgp^voiZxOVmuWX>&>+6Yph2Mn3;(UUga)z)Fd z`lXmx=4AAKwnP}-R)0pH)TwN-SS09~s2KA5P9n$F@peyQ1!B$W#(k(40DW94V48$k=7@^xfSvt+U6Ki)`%>K zR71x_M~@!W`i>rzgagi9r6U(Q{A_unKd2CoEEkmx(UURDt*&tRjn&SNwvP1hW#`l$ zW!X8Uhuh%rc}%Z4LhT=MAX(&i)Pak5goZL2cknFaTaiz`td!{}auh8&r=l{&;^0Z4 z;rH7;>J5&k2C&`Rb-}Y9#fBlJBRu>DhsTUg)sH%oJxrKhu4;H>d#$4358H3=pDYCR z9u1gtaq!!kb1IK=gF~G7LUPcudW1Q~6T4XA&aV%R2!81?Y;c4oHY!6mP8VtwB74r0 zURJC^8!>xxdD8x3mHA8Xx5MiaAA4c{Idv!*D(svR>Tlu;Mfh`WZCKrFFGNWPs`Vr) z741K#41N~cQ{=TLI~^~C20GWjfDtGKWkrDi?I(T`%Mo zD_x~M#3e6mE>PgiU{r*s!9t9l@GW)tbnH5pgJx{{?fp@|NY}E8 zi-uR4>GhQk^-O?7%zi4o1@i{2g>P>@?#Y{6ngcSL_<|U-%`4OBd;Cy#Rd!>S@;9vIDjIqQjU7D>^OOYn)j8sXt+jXIR%&lQG^}b^qq+RJ z$Fy*m)Y(((wk11fy`1xM4$*4s&xNKI ztT6w>+wmWU06XZ+hMH&YTYc_1KKERoOT1}qSv?L9)zti@?$6>?tDax-mpZT3Sz32# zyXgO)Tt8RQxIB2hI4ZnmNt$J zuO$l-#mDMBhrRW%-o)?L*Tzd3u-kC_Xu0<RtYW%Yz!P(qnkk;oASv^59AT z`Q<3jIAV66U%vm~a+Iei8X4*^j|z1fxsbAv$7$H3#HmN=wcx;MVeI*s4VLHg8=c>+ z_y4dwRJH&6%AC|iy4#zC_^n?Wb%fnAMJU!p{N1w~bmTw6hecH03^NL<83RbvQ z%vq6J6}$TJRl(J#R!^;*Q#r5lNM*aKT~(&4_p2%^pQtRUoLSYUa!6%zWol)9SY>JIb5iXnzC4E`ha zWLvwZ`}{}i-9J2+AdTHKp_mAZyoDk{cT>53VXD`wnWp)>P z3x!u5RQad+LTdLEpR;78%ek!r&Vcg=pEFSJH&s*{D_s5^zUmi!E@#2Iqeq>E-#Vv- zoi~Q*ds=u}SbXhie}OL)@9^L3do;NyUE%BoN2p?TlGpWUG7U!|{&tO5fx8i(Um-sK zwEJe?l8HWN-HI6*jM|Rz>3j{A3P*#vg1T_Y zD0Qx0AsrjtAq|b}j^JcR1`;5q$!m(rkJn&a@l;*qRnd5jYn)H5K;NO##z#V@E0yRX z_%YUIj4#v`hk75D%K83kKjW#A&g*tF9v7JC^V)-IuQ|wj#nj2Zkcn=5*9zPi?h2)7 zRa5BuGj6u}CLgYu;hHgkdEL%kD?H({DZbrhQ++3=_$ryuAaxw%=w8ItD^nH}E?l=; z7vhVA@=$U}+`MpI@U6(j6}UG)$7AejEV}ct70#V&j8lBoQ+&9!a)Xy2sP%?aUK1SH z?dl-Eu&-C7oLj`lJOa87b<~xsuyo8b-C5`n|F|*~^VEFb?(IX$zr;!KyX2q=U3tya z#^C8F1Yhz0(bwn+#rfys5WJoWw^Jc+9)?alL%g1+=J}x0T<-ZkuYpRsiVrb<{&M{zLBY#oY#22rcAC#U&*#3~=bqtn&-97!Z}Us{AGG22zOI?# z^H1|7>b#S5-ryf=ZuN!kg`U6+1>djKePG93?g^d?ZE6_hO&<}1o~D5)Zu8N_EB&|m zbh>p_IYDhz_PgV~PmV&Rr|$4oWj}Sh&w1G6 z@RrCu6{ReQ!N}(Mf=5Ej#gdiI->txGi#033WxfU*h`3{g!vzAw%7Mt#5)oFrXgd=s6=l+#Q2i0q`tDr42j?|`iYSh&xisx5O%ZAfF zEoU8)`2WbX?9%Kfr{$F9l)swcQfGI|aV66N?xH3q8+GNv5l#A`Msw{al}c3K6Z}?% z22N#VRkri7^Z5G1dH-Y|-Nj^|tLHplzCzr+!aHa5JReAv@-Y8(xN`@TY!PIN^Ubwh zmFTMk65?S`K5BP)HK1z&InJY%UPJJ#U#M_51pL|xH{cL0mO|W3MdGSTv20E7JLlX@ zUgkWo3JT;_pMQogsPoVCfx$Q|E>5ZGOdpy8JHf&zoV(YQW>#gF+QqE3V(wb8-CC@O zdrQE%ZjD50p{@kU3=+SWuCabCdZO`4LqmNi3#qQS3?<^9*M`jE`n936qHk^JG_|>E zmCLJ5o#t!QLXt3#S1BG?<-f;QeYa0MxC#TQo*^Zq9A|iyxUSN>AazFoHmkxJTq9K% z?_W&>n8F3sOYZiS-Qz<>&E3B0dwkBhtHnvHz}++M_CcW<6&RCL55qd)^7*PppSZp$XyPy4HfHsP_6se!I05ivYn1<_rr8_VQBt5pL?Uv zpYL-Ad?0lYb|@bkD?{!5vwT6JX0|V6*+1L2f0hqy7Rn&W82ZcwVPAVY6blxn znO;C9l%MNWlTrgyn!pNP#XhL9{azJD)_Aa-Pgwx{1Z5P`?t$>qmOzC}XWjBD;a z9Ew@f_uvO1O_9}A^=|q%MQ{tguOv-Vw*tlnah@W$QeOKgiM|wY`2)VvO#en-sof>2 zpDDiz2x_AWi#~aibJ-@ix<{ccQO&Cl>L5zgUL!vR=tyMtYjj;wg!3p zTA3bjFF5roY85jkR=_lB80PaWxz*P&*Xz)hK+i%Y94<1SaA6JI+XDU~pL=`2KbbFjrRKD;q;15rTTz zTIW4$Nu(q~n!vZQmu6RGmgWSHdlEO;-<|IZ{(Z6eEF`zf&njxTilENZb%Q;m^)23D zUzAyDe+1oau)APwgp8PxUG|`W+1ZsD;`X>kgC%q+3qoq*kl-3u2D&Jf}hew)|yB~Sfz8@Mf!B>=Vq#N=bk4QC3GmA>? z&d=7uz(*$j)9mVtO6Qf%DJs3EbhfLgbad$}MWxQtJ+7*O`xF(SSoZ{9Rfc=K&(Bws zX808qr30(3*{7m{alWbn?y)|Uq|Qd&&|VE{+LxGb61Vdyz#n`&YU-{3y#cvb2wdSI9+i#E;k`( z%*gBBWu4}D=B&h@82RU7oz>#J&g#y}F2$Yaq|dR>v6t9O1VCd-hBWK+8*wiF&3S9* z8+%{h@#0I3ui8bkpyavjo^r;3H8UQ68vKP9u;#yTjK=NE%pEa4b3~4qn>S9(oRB%v znK|6KYYcL8#GEl>@?NL=%MtU()p3RS&E4VpBgSNo7RTk~iO$S1BX-envqz4>n8d8S(W5hSce2L!CF> zHnVu)lfEsfPcRnxq5TgE#aFsyw~nz`j_EmRI@Fj7>0@BKckSK#s^{l@6bsRboxKNC{?t1W(aO@jZSx`*n1U;SA1 zqUvSUly0bQtbV@wWuy2<;aA_L12NljK zb}OG>;;$RsuLs<32K=W36)$gezZP)65%BK_RP5d;UQ;U? z){Ae|i-XpqCZz7JK!a4JL2A^ndn1&h`)r`$^NsG4fri5y-KPRe-VJ!e?t=mM#{vH* zb?)~9R_EmPUgoQKEpYf?YVaI%4k9Dp1pM0r?sEbEivb-zz9nA=ycYMjP_2pU#eVC> zm!9?VsRsg~PU6>SY!xlF8T;P}z-E3o;6E9_`2D8>xD||$W+eF;|JgwL)tHXb;r6s`Ckf%?^Q?IP~{E7agNr`J!rkD z7Hz%um;(X#fdCph|Fpqd;(oeLGWwpT8^DM$ov_NEu9Ih8?n=Hpy5y;op9X?wPkt6~ zw)Z#HhgJ4pb<|W^m5M_ff%3k*aqC|uY;p4Lydk<#ii|r|aQT@7^$c zU6J^EfA#XZ-MfRI``-vuzZt-JM(t~WLU6$h@q=f*tP&y;2F157qBmMFT8XRN6?I}- z4gOc^8`jq$rU#Q_QI7v?eQCBhobvIXR;zXr6rwb)(Ae}*9uMx#%zJ!KqJ?yu9*s^{ z3!L`b&1Hy#6Xd3Y~#zyVraS04M#>N=>k8~{i;MRziXb3{#%wiYcGoB!q#h4s*gy8;Ml zz6K4M8icl!@;1K~K(LN7q`Ov%H`dC5ycg?0oyY3HcgO20Gr&b#>JA6Ltu!1g3$^hm zHhbzSzO17W9?T9NuXF?tiytqqWRFyA*@#+VPOaBc9jaTr7_m(E){SKi8+Rjw2s8G^ z+Ukali_=xX<4=YTi971WQT4d7N)}RA@dK@KcwmLe}wNMU(q@*2I=LNyb&k^{fwyv zGCkb=e!x2Oy@30}0J?O45SaP5fcv8WW)}nJoMURMUkUj42FgMdm92RzQ2jPcCJw#u z)i3#wTfNhV7;nwD0S`lE0W+6eD^xes)oiT`>T0%b3}S4UvL#Q{l|6~Yz(vt@PHoK- zb=5fM(Z;{aM|b|R4;OGPkz%z7_X3ks$4E04jm!GK4!Doh`_Ba^)ci@n{YAb1?*aFh z6kk4E4~Pgr5yHNpYZzXj{$usEoXdZv-lJ(0LxM-yXb$ln;Ypyj{!at@4hCQXeHPgF zaUgU%!O-T8wvXXDy^H()>WW?HTeIjvvrI21W%R|c$AYDPXn_K0fqS+xpKz?Gtt2zNwuB`%tRlbqK}!N7%U$6 ztTQ;aBix&IUS;qquPPV|#MJ0KvF)f=7gWQev6gcg0(3mQ1P1~X$rPb*Sz;JFc^yv{ zQ#j1&ZhN!w#T{?F{^AbLu6+mgzWSEul{Xr9GK)z;mgYbB+#fBsptmmOs1+vXhQoA$ zpZ-U!e@?p#+eF9qGmiYvX!C!niGDc@YfjDP`*2%Nu*cbB(cAmSQ{&<|OSJZ{$6sgQ z*BSVA27aA^UuWRg8TfSuew~3|XW;*v8BqL=*@O}{Nhz@Q=^Tp_IcBo57^RuDzZRz{ z&8Gu!n$&!1$LZBgrzXawwjaqcr5J6FoS7!ZpRmE<3Wra27Q(13Ao)qYw3Dquv2e$Z z(o$D}-6b%`!w)MIW@@U!l@}%@IUM)99QR`fuE>Pu{P*4q1ZGZf%tV7_%aW3s3ls`L zczEW7hf(17-*i(`0S#;6nKQ?Z-R~+uZ;n-~MvQ1#D2=cHJK;Hu5~J(l;5eSg?q4gj z80Rok3A+`!b4?{&xED@RZ@BBbKXiDHW2JZ1eV6rzH~;V^I>QK=ftl>As|pQEShmv2 zxFn`fGHo$4mN1NA46?%$FdOkVpnnCfW#&352Jk#Dwbw-2tEKi?XwN6HUK}57UeMHh z;2n3kIA$=jIJ73f3wcn*#b**ILety=VCF3S$g^oS2E3mD$g!HY=^I$J*4CPL$C}Zn8T5@ z%ptJMg5*^NhgrX?{S%59CvZasyocrBJk(0b@~LlbG1m{Rk!$5Lq(-cSVUqC8onxKT zcP!*G&7)O;d znej?a)aw)I)-i#Rg03h)k141V?t%1${Mm}#+W%wrY|YP@Vu|`qEIu4QbrE|${Uhvo zIfh#J^Z4^J+#VfZ3-(;qf<0-a24Ru?SHhFwoR&-pcK+%L7%@zo9OXo``7!}4mM{UN z1Rf;bN@8umP3c+J4&m59yB*(38&i*Cx|?ODBOV+kab2vToEeLl-o@s%e{aCdz|J4(2@^2E@QkQi{x~p^th)s>#j%k;BkQuT$rJZ%Y;|`ESh#6{`3G|D?u5@PR zR5G{PC2s9A;cEXUtaI`z#&t?sHjhQQ_DSL`h)|FfOK0>zgM+@aXUa@_m>L^cIpf}8 z3Of_P(D_^jI2#o+sGqM%!F|r{}JwNG4&)y`77Z` zsSTJC4Zi=mj2Ir?#EGZ6=B5uYE>0^f+Wa(N#qhqGU z;LSP6htS839#ATIG{QV!#w?}`7Gtn7v{9j6D(WSI94=(f*6el#{Mm}1anT=DpZEU= ze_n>6ekOZfj@zRnY{8%Z>FRT-3kt3PACRvdJOe`S4SlAOm7AF;J==wk#4f}%U>JD6!AH;kTJ6!rb;+;6TlhRI>|CXaAqkgP1%> zlS^@v65P~_xJiW>)iN%goz&^HT0zig)M}MV!M9;EluCtyV{J%*Pco!4({_nJPh7}+ zt$67Qc&|0HMoIfJy0JgA!I*9RJjRcmS!9cs{ilBvF$$x?6pKA8v3#r|RvD{`RmW;# zg;;H@E><6F_)j1I&l%tKV{S;YWao^|>&J}43kQkgbFa_MyCIhu(g&rdi(;B6_8FAk zpMA_FWI%&-0QXy2|Af6r*G1A}k@iN?4jNk`|BV6IP=umi?Ln;v*GFn)`B|v*8^hYx*6C4T@d|(>FR5(i*fj&`Bc!UW( zsQnqCBNK*lbe)LIv7t&h#+Ju1C#mpRa&|a;<1AjGP^twr24lj-F;Zj5gwDb}F>o6u zEIi%@r&6U!V*QholTj~`X{9L$_r{oV@VsVX>QyEK3y+))3mb}A&G^2?7+nvhK`J_5 z;Xc|DZb}UFH7+GF+VKSJt4h$yvthdm150R+3@CUSc0wDZby7MJ)`{MR%1+ZFt`H}g zHWXfGdNK}1ZyNce;qYOZJty&VqbnsXbYubL6|>NaH!T^~iUt=;E65udFOAg_S^TD9 zC&D@!EX-iSb~0qbMN^?X;QpZ_ET=_YN}BpHO?|;}B#HK0WU2%MGs+F8TCjG!Rbjz0 z;A(V-mYzs9Wz8#ia#&gyEBlMIrVoC5 z|G8%hM@(0+yl?y5@di4^AUZsl@CV)Q>4l4sn~-(?sc5ct0r&jm{9@#4ubK04B-bQz z{c)?p-E_C3AN+RCSI^{UN&O|?8to4yOQ)iL{4e47>v&Y2f?1)Rg4{4n4kiGXO=Q1O zn9`&X{E2!sx|kxCnOv+L3T>u?oF9{v-ihNTGv<ux6WRERwbarbH__MeVhk7Z&P zOc*GfkEL%hIbX^Z1~7;?mDni@g%hdJG!BpOVl53!Oq(qAKou~}iI`C;;5qb4c|uQ4 zIt*a~u508>YO)ZyL}Hf`ZiIjfO_Z*j2wyJ}DJXocR>F_0TYAj^^j=bL}+CU*8l^PZE)3COK`RxqLORb7zZEFEfCPEHi;=f)e1t6Qf-&f+w^?%mX2sf!Ij3bn>K|CrzH>nnI=1?<~4= z`du^bl1haenW-2|D6p!fS(*0Ctn3_MMDdu>LTV`BF>+9hz()VFsQ4SDOuH@3NrRD1 zA#2jWv9D~{qpP$*WhiZ6OQj9oqSB_pmuVh%#&k2MGG%kB1T{oAK%)c@(S;Olfiy{s zP`Cxmp9l^BgtfC}WgH zC3Y7nI0b}=La9(G)C!G4P-qo8h5qN*ng6M6VE>L+jmEC~LNUuRX5`p$qcg{1$Gez= z#{vq6=Vcd)ofuDsaZaXq``xo=ika9(KPG3aID3{@n3*f~9yoBI*r`*e76xpK6{fB%v7C12y8D`OypuY!~rr;yu1E6}_ z9IQqkd;nUA>FMcaaA6yJ>cUv#kmYKYTP#2D(2pftz2>CU$Q* zc)JXG;|5041xZ#SpmU+e-P(gDms|OcExOottnNP(EP9n+Sc*?1SOkt#G_CYP2R@ey z76tH$1dEdJX>K6k6A2dGgilieCOLKCF?=Gyq7nGCERiC{BG*Ctw~vNr`Yq zOr!%jdKAu9ALLM^WV&L!w}v&7n?z?9lN(WCl-x+ESKGJOqS;Yv)Ots40D(s z067tBQ#8gdQK-6^E?Z77tQV{eGjM{vWspV*!^X}!5jP`nK-e%MWrku}M`Ek+sSD|O zWJ~Guvk|0-nRHQjh5ZP8dpW@MXX3Y)L4%`f-U7b;Ukh;8_Ne zK(MVj5(>f~9KSc}&ySEArV8I`mB-1l43= zW`sLrg%v}{sU1o!nf))i>`R+Hk9adIgOZ4w0zbVZ;=RDLDTz2W@aatsX4OL7!lfV1 zgmI*r2?aw$#tgrGLByxo2sb5(&6+%Me1UVsa;ez3kq&95jm)B*#|^vvmPt;;t`Vi( z%>iuFYu{nE@g-b)>TECTh^on7C?-!%n>=~)h!I_&1qus$78Vx9vZ?v`$@%&DvC*LP zFT{s8r5h6204BNJz!Tyi%;TWM;|L;40`>u30O2Gt4Me5sMBvgB2@4>6Q)&$|$Slgr>Xen0B|&av19Z4RIn0eHheWn9q8kct7(WIE9pbJ@BL>(7Ae}SM|@oo>UfuvSm8Z{Gu7uR3)rqrsc@$ z53OxQI0FJ14%jb5`5dO;EuqJR7-nJ=^yfO4Dm6TQ$?cTQ>MZ84q`x&n;T)}ub2RY2fW?W0RdrWS_9)CIs*9X zd2=LoM(oV6OE#+nj%AW03__qq_>K8o$F9x%n$KB^@(DRt3HcO)F+Int_y;t68#RBk z8fx&D#kTEX;SqWl2Od%kwW(QC2D5Du+>X zXa3_TDoi;<$1jeeKFHpUMp0=KfYT^PQ4t%<@6;-E%6C)nvlPaBZ2n;VM+_;1^9u#0 zSVtjffRB3P5X#W;&oh3a%4k=j8X}rhhk~WqpQt8@#3OU_~1-OPJJABMf>Sb}g(#kc8BoN_9aH^q&q9o%?rC z?^Ov`#a|WQCcaHvo4DA;Z7e)iR?Vi^dU33u4f_uI*>dP>D88`TVI!fxX4_)=LaLt) zh+qeKWyjbM)-}UWnI`S4=nHQ>e8^@@LQMmttErsbxV_~rsYQzZ^K*MCSoRAaX&yJQ z1sxtY?wdcUOu=*yc6jLczDa|T%zpDkoF8R|-zi_Xb?gHQro^s?2MzN+d0}jCoWXPb zXy@N`6=Qp!n0;zR?$Alp_{5ZVRt)WqWOmhl_7EDYA7b^Ba_7s9N2q6iRG+}ny=*qB zSzFi|-8c^WK=IaZ>`EI7bQc22!P?QL37zgT}!HkbG+<~3iHN>cytpb2j!WP&U z$E4u0uuht&L8wLIgWCUJQD*;0d1yp1lORncw%?6ubjn)7{66%`ktw639d-4p#_GT@gWxJYpdLsaFx10qJ8iehcnZ4 zYCV-Y;8S*t;t+f;&GIz5{8sEQfY&&`cW*$&8Mv!-d|Q|n;tudQHpfV9Gci3eQlmm@ zwBrjU6trN)E83F*F(YuH(P%)Y-(tf9b`fIx0!k69L>lk^K{^Qt2X-fM7)F=bF(}q( z9861nm$RFsVSKHG=SLA!ic~6L#v=HD9+#mPhzN91%vK%9(^o!To?4v};+cW*{qqn1D|SZo@V#OeZ$DD7$ft41Nx%K353*Mfj9F3zD+o zHfSm^#cW$gY?1^rIez8? z!Q0PmAg9~pnl?~EB)NiuyBsHlc+m)9?E6;8Ya!(~v0&yl6_Z6U&9 zrCu@B=&F4<{2ScZtxX}}dH;@(Fs(9nvuV{jwO(yd$Eb~JlL~OEidQLASbCLOrBMkg ztxBiTs|>0bl~H9v43JauN-4IkRAbb?un*$D<5YOpT-LZTBeTRCGAHDS@+Y~Un3dHX zLBtfMh{S)>nu5Q*MEaLG|Bm_h%oF>dQj73!pF#cmuz?)yD@*`rn*a|U;*hW-l8TFD zBO2a%I!gd*ONV0A0_clk0j5SeY(tSt0kA}tJ5=lF1Z=LL_AnbQIQPr+91j2KXYF_? z7&g6dgo2GS%~FEicvGw)9R48MW%x9CnYLtj*bAf$j3e!|+375wM`~XJfK013;ng6< z`w}4HTJ8x+fVoV&H7S~G#(mMYFBc)yPyxa^1~wR0n|K2lAP-ti_aI8_GTa+n|t0x<}`P^$oB(Eb-3|A_Twv@;Hv1%VO-Ss0)Q)7fZ(D{=|` zXadtM>QQ)vO#;)&#bWn!%7-}RZ#nXRjJ0GeZ#$2;mb~=`GKUn8AZWGNwx>53Vq%O& zklv*UXYRklK8)^?yY!0lTv{LON>Z?dH)0vsV;DA(4q(cT*zbwk7cc3(x% zZQC8^g5V)&lU|IwMS&R$hyQk&4Nz0L@IZOTWgDyqd7W536BueJ7F)VjScHQ`EM{P4 zP)Mf^Fzn~j&*NCFZL#&r%I>zdZHrL%z))=3z=0r{q}9@{%oKT}ChfB1Sj!!p(B<;} zZmDO^Ks@*GKzWyy8EoHT$L8C#-6NdW2ZajO#Rv*RcNgvAY~3NO4waR~8q?Vv?Bu|9 zE5v(xBY6G<_N$u0;SXEx%)E?K(jQjHU^t8*$8b@^e7Mxc%#Oc;VIaoKY)PhV^PMgo z)Vvb;*D|I_Ax>z3l86L^Q2%E|;4f?g=Z}RjNzQ^xt|(|pkX9aT+i4cJ*xE^(@fBCK z@5KG9UG|*+c>d5lp4$tqF(gn+2b|n)$pNSQ*3P%=Z^QYXD!bwE-N?t7BKZq2NMOpt zCCeiX20S&52cU2joT*s@;0DNb_a*d#kOQIsVGH}k0v{NUoWSU?<-*NeF)Al>NJihZ z9^C;SCIEckGfDD7BhdExyXf17M{dlv6nw#y`9kr)0}o7^G^wJZ0*NhKwjcpR@_Qz< z6u2AXcBjyST#9PIfRx$5pOlr0_eCf9u%Iqnh99a|LAbY#}y@yaG| zosgyb$YTc??g(pTzZ^b0HoeGBx$KZ*j94Xrbx@Wc!N!yQa_m2bhpFUYAG;VokWG=? z@^Y3$(2cSjPADsaz6;3aR zX@iDl#Z<;|NjlvP3~0B7yn$Md9!?@NgMEjWZ;0IOC`lybWf!IuNfF6P+RE5!A(qUAxWWSZ?|M>WRci_1P~)I+GQA<-^ES6kgF3Qe}wbgg)D8d^P>TF3HNid z^a3tN55k!FD879LZ_!8x!?s}C?8~z3k4pwK0Yeu`2EyrJpf=-lyU*YWB_3Scf_Je2 z`69mkIg;U`i@gHbl1)tC#{hTJG(fE)mlXb0NBC_Zb^-v)5$BN>U0&@=BY53=_wL9v z=}hZjBmf*N0qqnx-XW35-A(-eBhcM?k__NR9GWBp;Jg`t^UB!>c?^=c3YHT%Cvp4? z)(2=Eyk-NNCkqCBCsqqF5ar$#YRIN`gC!1M1|UzCB%RnS8L(T(^yQ2=9`XGMn`X99ik}o+#m@UqevswH?h5Nu&q(q4qTJg zS^#vA=0xUw8O5U~7h9otdlf$@=L6-Qlq+-aWjOc2pQPC@Cnezcc7)MDquNKd({R%E z@>?Q!HQs+B=~i7tQ6Jvv$h{s#0c`_IvpD$@IU=Y<) zw@liyWzr;UfqG!*0}l)xiY-uOIb~%zIp9n&3>)uwbzP@WE5XUZy`ITt{J;#&DgOJ_gJuqLjQt6QA1tJN*g*nMx}yc zf!UG7evan8yci%pmlppkXbT2%2V>*ANtH*g`I8j*=<@i+h}frldqeTJI#gXja4jfsLzwK7Ey76ZNPU=xYO!IDz_FYxS7Gvi)T z=bLq1*=!lC2V?gl_#C}-MHdzsn$MJaB5+t4;$$|K`4qez6N7hnXz}hPVsxyZYy@EZ zRy=qut6@Eo4|Y=d3**h>cqO#F)|KOTYP z0oB9i15LdoSx8O%Ocr8?<^Y<+)bOn36>Vnc4mP1T9yM!$$$z4}9P3?~g8pf2PLtUN zj3WHy)TvWdCk8x3tc2H8slYziT$4u;wIZ%}Higden?lW3VChq*ntmWz#8jjnZFoqv z9WCKItaAc#e}r*iU=eXk-#c=<=jL|rKB?EFNxgch$!S3TtfGEJMg96=*izJNw#?z+ z-l;F&`tr-S-rAyl6A$Ck=#=ia9luzGM_JizJZ$0wMCB4H;Jlz1W(MMOHst6aHxSz9 zC81$MCf@EtNYW6~VcMdkHa*M!`|_Nd4Y2^z1^r#9!GDf~pdlM6#L~}#&>f*vk+24Mw2ayO#QXbLZ>*>X`7r6-)MYL;v^gObYuiAj{# z1yTBcg|i`i!N^Kz5gNQj)rS9#Kyf%TD12JTAUh*1-H;|RmB6wn0tkwO@7sgoffOeM zt;w;#$Jq#&ED&%gZW1@+2wzUYZg%0*R*^vj)_P*XIw;(17)+T@nM!d72Wdrbl86eU zv~VmUhjMVOeY>{p+FILM6RZhL5$+YYjAQX#VRbB9)`n^0@vKDrGZ1iXSbY2#&^eAJ z$g)dWDe?P|zFkh6tQ_88MvtmdFL3YT;VeSYw_!OjVz_uRRNFA@{*bEONpXQb2XAX^ zY}bGKfdke)NHwaND{)Bi>C>n2^Ehpp`GA_KJJKZ~Ik=T^$@v~ne9ywVIvq26P}Mf< zp&x$u0lIi1<06&PtbIHP4dt`p1zkrymYS+#Tqc_ki}Yi-UKdt?%Pd=_V+EbE78#9g zsc+823edCNjv>!tTyO3s6FPp##BMTRWYbOTG}GeJ`8r&>(13B?hVd{%cmQrHOk%GK z_Rp)BzAZCe&rDC!8)y{a-rT)0^f)c{5+ugb2KB+D_3fL?t}&>nZXD`FL5?6i{J}-WAIu43Og`Iz zQ0HQ)jk2S9m>J zeE2s&7Hm2l3s=$XrTjbMwy=!;B_Ba4s06iucnju5C+G!(5F;1`lZI(H4X;sXlo}Nr z1q5U?T8&Pl*BCT08lwiJs^-+ZTA?Q3gH`WS)}*Y1qf7+@yB6N@;qnhmClS<+~8&!r5O-iVTr zS*9dxAp%C?a4`}|R-6*=13@7_O{xhH5{4sTkxC0d4029zRWdEeNR*&W-pI*7*a#Sr zWFjJng@MrKZD{ex1{|)d&UP=S1x@Zr6Q(G9DOn>efC*?;uC1<91Tf^@)=CPT-j_k!cW6RkGbe(9 z`P(U(n1~V}SV&5)y(KaRpp3BbT4IfnF*NxrU=HV z>;#n(-4m8zf#$;@4J#z6e=KG=+&>B=O8CJr_!~_?;&H^HTtnM4ZK_xHY;F?Fv^SIL`439C9+%& zXhb>ugW+s80>=m)JMhR9D5MQ}p+FBMrz8SPB0o{aAcZ1A1rl29_34Rin7*b>J^h+D z`cP-a3$X&)EptlR=M&k3hvBT1iQSr1hkmOqvUH`;JT2+kR`ys2q(O}n{g3#_bX8N4}rq{U;Ie?fS4V4f*cNj3o5;^ z4SbF*ek60~#Y2z^O<=;dW-x(p3a~Ws{@4ppg&)XHzamrtjcwR0ND6{B3wBh@h8@=w zFd+3L?b5wa8bCrsq6U{i4qy8PA&0Y~5I~dj9|8IR{mB?0V7w~_0(f}$YE~qJhIf-X z2d;p9T2MQ23bnmH)b!<>fHM6N+Ck#Q%8=k?{JtvbK+adf>0wvdVAX&5LJZ zW&b=D8+h+xHUN?;dTkt;08Ih_F${SZ?=UbX@Iwj>W7jrWGv#=e=1x4vCPy0)5u~gx zRD?iv6C5d>m$RtHe*9;{hatrkTi22`D(^q+MQ)m8DCol3in9uX(WKSk%l^{Db7!^2 z*aVx3y?WZ1I_80AKIt%VwN?l3lUz>OyDbF}5Uz=Xa=S~~y@mvB8=nFoiop-QxTYPR zV+q_$yPe!jzz^|&A>d|mEf59{oyV9w?6G(c$~^3**}w`u`Q#HI0PuwckxhroKXKwj zoVQ_m7d(7y%fg8ck*MF&;cB&X;Lz6AmE*3Zm&Zx~g_fWSG{SQ)bhw%>VsI;Q7|+io z^nos*72#P7g-9a!ZI2O$B+OGU>5)*a5GJa2ApM=ghV_h!x}@F3t-0W zx8DvZLxMHx(rXRxe*RW^Iz(5v7Fb*u&GSuL5II|s)YBp~w;qM^X zRG@yDcFe88G!0>c@qG_s{v5l(B=CE%9D42@HQ^lmCu$W?jwdxh9)>i791DpI*2nd| zB7|c)_Vj0D^aco$PCNVsz%0q^44{vnfO^PuCqo{hG3Fr0zUR)WE`@Tyod7tb#$>m_ zmMt9%m>yv>P20I;O~^+aPz^#i(h?JiCINz|H?>7=t_92yCCRXg@QiCB(mW3FxHMfD zFb8c7j=~(f`86$>=QL}{J>V=bPXx9h-f6`*8Q_~ZD*lRCjEY-~faqIMF`zj;F~X0L@fwMYC03CLd8Nc15@L5{q-^G{W9mapEix10;JBpQc$5R* za^)P?nN#oJT0w`Hj+wM@f4YFi!$Aqbvl_UQ^h}UuE0H+XKtk?l4K6_KA_PmY9ZIWD zGYO=V;5y)LP$CRK;B*&^lCoMxxj7Nf2xs1?!-=GJX;OeT>}$YL{Dr(A+mTXKN3%wSUK zLj4htMkkO>aovq7r#-j_CbeuV~8a$94RXkAfK{hds_);^G|QSi9!KL(FiO5m}C zMbBc-NOb*=0c7_BW8Q0mM=|B`qL~P@e*@GmOJ?t*J|JnqIWEpD))zXimNNX{RXg5-& z=39+gDbZ~c;2b4g3zQ*NZXS`ZhfS9zG(y67J_0XnR-|F81QUorIUhTJN%;$+4MCfO zXn%)#j{bOA2o^0%UNoZIT&pC2E~dG6O9dF|d5>JxOM}q#H9w$To*e7lhqS>}q<2CF^#n%HyBGr#WK6T`FSIOx3Mz z8xITb&$|ug1IIKg@n#{92k#l8SO`_W)VNG+LBQe;G;Wr;JaQxy<;2;RUfm=G}>U`Cu~lFcI7R*2g? z^NeI!OhEjkq$HJbm_>+ew8LhwYghryn|=GFUdSZ5p6n2eF<$={>Xk#lC6$@uvy|#< z7=#!?JzO8Uq8yx&Ixdiml-`^k4MDfP(7=Y^kV-wCu3|>O2tpoHLpPn40@#rmq1f>w zJj1XDr45TU;j&m-Qz~3;58e@W29wkUl!?B^VFr6?N?=?uZh#>YtO5VoFvS;9!99KP zkzzCPEsYtl6<9_l87@jPvo2}KD85of12@rl4Fz-BU=xFm>DsW4h|9gx};JNPAXG_aj%n%3k;Aec!+|7DmEN%K{_BY z&~UuGYYBQD22qWk`#{O1$rA(W3rfx#_cLGbb2uSUVWl{o@coOa__Z3T4C^SR}5c z`fB0hq(rqI2~O6-C8!kg<% zeHc$y%V*ArUNOfVSDE88)xF<+*1-i<57nBr97Og>qI zjaMeSrmNywB7Cd94>N+<|El>~A*i`mFd9jN;mWv>CS8p>8%dKzNs1nEj)&9G#B_P^ z9@3LpJ?SzyOsG%c(@WZFzdNmN$%|3`lo=8YH<5-5;f}X5}Z!AvhiW<(qh-r`=%_p&xOPiByd`cQ@kK)d|0z_ zY4OG-_th;aMxqW0oG!hu&dP4Y#@yo+Ef1eE{6#$!QK2o^KhNwW>G0;w@U*z(Cu8s? z7Wzd3{c|xWQsGsE$+TOOil;6F7$ZGREwC~fSfzLWNYw<$6sj|aX<2_ndIa_$J>P_l zVp4@`qnEgCiuAM`_Tx*{XVZ%b5Ob5?FE7t?)st#VvY`u2ixR{s4ufFjBd~4UvIGI>)%AnWA36 z^mt3REM@s_Zd2nRo}b*i9TYK6gas7%}fUarnq_;thFYoY_o8 zk3WwcKW0QuUapv&>CCx)Y)+x*96xSsPVV)2DXisB#q_xbZ;oiC6ffZ~LC#3LNBZ%{ zo&GJLijr1(<40`C8c>z{60SUFx(%8MhGLDRR8ohNf@@N^kg>x_fgwXykjQ{y9Zs4J zA_}Fl0v;}_UfOvxoXk{|UB`?NW**iFuUX=7ycQOz4Lia0NJoF>Lb9^dY6~|TFGeD1 z-t1B1DhU?6{Qw(rfx#uSFKre(cke_5Zv}8v4<-yW!JtFItW;#A-|F5cZr zm|xzM!b;GODw13&llH!ZN6L(CQC^uF-M3&4H*pRWZ+e&HSOr^(DM^k6%faY?W3Xo8 zoP2K~H_>jlV~Z-R>nK54xf7T1xzlGWRlE|qowcD3@Oo&5P!%k(i#llSke5K!+99O~ z7-~U!#xlBYIGn?Vs{pH}5Uh$iBO*2m7cI}jJ1J$VDv%5=6YC3FYs)SoL6|RCb5K+( z>Wk1^gaT32n)={rqS0lX8PF+A7fjf{%b6*V94&HZ0KZ<=oiUpSb7sRha%arNsf_yr z#~tLjzj54N%Az6S&T9Z!F?BayJ&4Cra_X@>&bb(#dy6wKs$%BpRb*_UA)2T&VUI{A ztYn&6u|KVkWOAC=nojVYvLv$=S3-lw8DKH)K`DJ5(*Oep0j&QCPE!N-7$neivjvpX z*^qCsVB@)xbyZlfRWAZE&=SZzXN9iRNpO36^+cwEcgo$yG@q)C9%AAz(i93icP6`U zU*gr3;MG2TV(DG=@Y&+pu-@QgNDhe%BHa-|w87JR41op^mmZzQ_bJ9+D;)69i6k1% z$k^Mle+XS<6iAHRhSf^D-@s7VoU&Abe&f+^l!?gtvvNlx&_*|%c9{xGM8-Q@Rp4O{ zO@Y0khu{f^d$h(cTJDJ>!SD!S8BKhU6Y;Aq0aPsf*+9iCOwehawnD7ypPQKzzD5@w+qqv%Qx!C@M1 zYF*w*dzwiulZ19i9+ZhqkVv|}c7h})l37SkZrlO#28|bj6_d1P>oxyw_BOXWL_VfD z{tUZ-yUm>viC-aD%;rKcHvn0c&0xc37N&c zC`6g9O9T}$6)V#4ZcpOAW9(k56rXv-+A=oNQa?8n-4);(M#X9FF^L`}ij!!Mpf8EB zJhWF>;xnu|WI;>3tB&G%600@gUC?5u*`=6KhOi1Gegxty@jxn*DgFWl65$Gvku20B z5*{2+q6)i~^;K}8x#L%0YL-F{F(_$0i(rV7{0A+3hz?FnmV&kM^ok0+0uAWaQqwvG z859u|Cu&<X zZlAm*{L&#MbDWhUPy@2rocx#+$HcEMBWjBN!aYo0ZY4L16XKZSMm5tj?tBYc2l?Y3 zTf+*V*m%(HboyNf&CM0avaXoB%;CG`^SY}OCC!XQ!qOW_VO*gzUdf*{HyQh-{9TGBBC7!+H> zJ4b-jnnel)*HK+TSBKO2CSD6hPR1}4+rTy#DMoj@bO|ca1V5-F%umU=qN)63$Kcc)1 z=@bu_CCc7p2?Dw&olLJ#Dg!YOmrU+Qz#uk^vcPnf4~I*BqBpJQ^$NXGkLQB)8oi*` z0<6VLcl0rOqaI_%!2S<@;Qv}-fsu>b!jYAJ!YP)I1A1zkf}Le?g;*6JH3s~K#&n#U zS=@bcP8=LT8`AU>hS3@eq#8=l7n;PKLWLDn!5*!EC+(<*7vvtWz1T*58ZtNo#$abi zC=qQ&TR=%CB9;?DGG!f{4^+Qz7&1eKdw{gG-wW7QI?JN74_lo9^No}l*Fn1Qf2Ut~ zGJ`@4@zi?+k-D`ba^!j$5brYq8U65cp|7yuDkz?kf8UgXVpqX^NZ{0k(|id|1H^VY ztc`9oikWF7%uLffLK!nNG+|~2X=?u6m>Cp;1Y$*AP8Ru*)Kh%ZdJ=KaNDQC}5Wyu@ zG!CQ_twAJ$H3wwyv&jBmM+t%z!>*dPtDCHyupo?Q$T}WCHT>`o(VTRHCy8b*L08SRLv#h&Ye8krG#8;Odf^*46xvgwM0-b& znOuD^5fiO#SPO#qS(Nc}NfB55x1z8!4Q7qg*0EzEUbdFlp+kGT7Jx7sGi{3n8=Ep1 zC$?(~ezS6HT*6gtVxb0%C9G#+2f_-87EqCv7|V*6`r&tI(5Qs9B^ETBwER!OcTHyV z53pqt+Y_Ez&ZW{jFb(Z-LF%7={5Oey^tVI%tFY=w^dvl(ejC-em_yC>&0x*d<{L#9mv# zzl$8YFVR0zr#R%_^ylNfNPyN|yxtJ#ok!F_clRx-m7Qj`bgR~jc=54{*Bc~2mb3x# zzfS+3DgOiORcjBgu)&YkM|o}5-@eFew|ol#Bpx;0?pDFDV8}%os{bEByI}RTP5!`MdO)2k_zS=dGr1P*7E!!RH|1TX*7le(<|4Zh-t&GPkzq%&ZeT|*>n5tyLa!}b=z&X-ul50e&7TD@DI1#a`VkQcHDH+ zjW^zK!}Zr+cU_tB#x`EpUVF_oS6{t#>s41xG46Q(`>(j-eeb*c@|CC-e*t|sL!8G$ zvNOKt7x(HO8IrtYkeWM`8VgyxtEwQhmGZuu3YsRq;b(T2I5a8Koy|<^5}jJ6rt~Aq zkGz|T`fem=sKvMT;o`2$tZx}+F}rNi^7jLZXzuX(urw+9YY0464Jxc{>nzZh+iD9Aes|DjLU&oG-6;c*B-osI$Z5b zYD(J%i4|(-+Oea`FFsqYp(p_;Ew=XR;xSp>h9COCfg}LT>H_i%Bnbm5b4_U`RIl4+ z&$S&>yT+zh2=KH-B#psa;6LU&y<;za!=AnO-@kxw$@abbmMlGc*`tTo6{?N}TYq`% zONt)8jIC5f9D~cS$UGn!y$4hTbqg zcdG5aG<&?yM+SpLdiJ%1io!4vVVvmFk8r_!bF3lxyjXVO%(J>wgVn$kYn*A>ym&=I zB?xAV<}|A)6FBr+5pJMp4xhQr>IxrII}OEW<|z^#)F<#K6{;$ewc^Zo#d9GRcTyT< z7@w0n7S-c{eoI9wxj9ol%pvDRD;_75SN}HRrjL2cYYg{B6|Om|xGR^=!)ZljMU}+T z_NckhhAra8I?BS0Y=RQ{xvb(;d3h9X6|+0U>vW0(%iFAycyYfuVHk@r&G<9K5)IZVDbI?xwKdyj(#w+7 z_WeDNuQ;})=dsmK?{TTNyOwR+LMx&EBy&|fxJ&K%z?_>e-?Fpqa2H(Jtodw=aiL~p z*mV8P7f~Zqd+Sg5O?HX%HA2L1>NPa3i zbz3e~VX)dFJ4EUZXqf0yKgMI8>aHRosj8J}#aL?&78S&=ZxvM?QENw zP(6G1?f+DB*P%lfT<{T9b&eoKt3kXsf;$}}?;d06I1Q+av5YdUuG^yF6_GRnSd-sYGcmF3J z{8V$X$~k08E|Og5QF`|3M@dd^+qv`BGW!8h8E?B4zt66On%lT!1;U6v+7(*mx-NK^ zJ7gOU8CP*YB%*7qr`%as|JPQ9jCZSX2-G+& zet3%e^ptt{kq19{|HnRj$LWWb8R6)$Z zI5p08Ul)LYq9M%yrsT9rvKO=*=^?~{d9MhuKK{v?@*Yy|gSyJIgKr3`&NE{s#mDWI zng2A%HADpEmKAVhf<~ZlQ=u9YnE-bsRF!0ej+QYV6Hpuz+y&SZ^qh_jZ z<-T3cpbm5tPNHtjT&qkS^M@`9ZaY|d!e|^7$gc5$%sg1l^o$}&w7W3XLn?koj~aE< zM%UB8IzWq@CljBV`;Z2(VOB^Y7i+!Vq{8v6alz-b+>kAxv}$=Ki^h3CAXk{LN0{$5 zjlyy78zgfOqez%erWtM34BW0EQJ10AM?7o<<-lz&BUVmH;!GF=bO_wqsoxQcI4P8G zd1M5Oy|xNsjDy2-B`%k(;3b8Xt?txntfRw*daSF*`2CdzLwZ{-+ktC@K2H#uMuW?c z^B}d5l2vhZnC}jO#S)5@j(FFK!bs~3t?Mo(j*1@KK2a-N#wAf}ZNO+e}S zX;+~NgjA*Icj@`%BhcYG-j2CDGz_JS;&GAnv7R?wfDDacK*o!ar6;P7DQ(SZ(@tA* z=&gAK=?%93#I%FdMLi$Ry1QPOS@gY5w`1W+jQKXt&;6JoXynK=R0@=Qk?3;oZtR10 zG#<`Gh?3LxTcJYt5Vm0jS>{=9Xb`5+46`h);DjrPB}8US#H49*m1kvrM`*z9U86#m z2UbZ=H}}i7OrEGB>;Rd{q5F080O4|?RbQ_#;WD&AXE=lqjb21wi4}o0k){pFW55!L z&AI8A*p)>*4(CKt5i8GaUl))%+Y!^-T$kP))cwd}Q`h)uH{Tr8UAyd-P_Sh3sxLl% zjgD3lJ!jU%ufBRfTL}OQL0A}uw28&;nEBLCC-^Iso26$imhi4S{OD&syA1ogtLxA| zozr#rGfS5qKGeh#`O_}C=#{f%$PQ{#qgiYXtSy$sL=S38Op1w;IigLCE}`1=5#ei} z%JF|r^i89}F#e$29xdy|_prYAN53=fl|r$M4)IzBwykV4RxV3P(6MV}m~H11=I z7X5M~Iuw|l9p|wFw9Jpi8OKKCuA!G8@;tS}^GmsD)+f&G8Y@1D3!0VzN^bR!p{F1? zc>FIRdV>#$pY4HAnVs)K+Rl(5n)jBrIcRKCaP8nd6+cgnm3F&K!R-=+N^~ZJDJ|L& zL`(fcdt7YoaoKN`jh(tlsk>BJW#bKMmAH^g$F`~88C|@h#J{q~J+Ja|TQ#^^a+_H6 zdc#LoNpBkA#?wd_e4kiX{p9&pwerva<0@^DylpSQcg?;FJGC3T*Cy%t$45Yn@wlZ` z)#1ZfK|r_sRhWnlUktp<$D1>>i; z@in2&!q{mQd@c%|>&g#)#R~0nRpc4WR)5^`J?|GyZMiD0GSvb$<#isYRvD85VxJ`> z)nu74QEJN65P;xUE;K;|X}ghiHZ5Z3ngoq#~jugea24b?=F zWeyjMwvML0-0%598O3)lk-&xWdJ|1DsSNOKCY+qco6!gjTk zG8SlYeXpt~R{sXopjX~Yb|jXn7)&WA)n^0`0R-+w&vONPGJVUa4FvMkLpk2H<|gs( zxVYy5<3&}386>^oh*}l2F2SBcjB=TwIwf!zGeG=iy2&9LFvj?ash)G4j~|AO0NMM| zhu8}S)~cx}0E9s?&{Ifg?@d_t9uZbzPnBtO?6ap%C%meJ)qB#rR~zJIy;euhioOec z4k?6RE_APP45nT^cW4=WRz_`R6;!VbzA(UaEmj-C!z-o0iINM77LnbeOLq;RedPhVyIR!&}7tw z)mERnFu>m;Yh{u!Iv4Gw;RiGT0gbZw`)!xwHtB<@`+9mINqb3-gCxgI@>eo2dUcZb z+ZnHO>iP3KI~R8@Sz>EH(fOed*+v{8oAC`m@7QP9`QI*pT}AnOUkjj=P|HO_aeT_F z84CQ*0R|p!TB$p5oTH~`Cv(`;^1KM=3I#I$lwJ*943Qy;KXYJ6<4TPl@ItSHBzuiI8*LxocS6l}h>6ke)X|PK8?%He`{Ep>%CxWdQl|LH zxErjuU@W^skjOVfQVYa;`CGK_Zt;(Zmjz1Z`SwfcGK^v2Y0W z`#86)b}kMkQPi{c#>Pg*1TYArkVgVIOvNYrUGy*1&409?XnSRYu$<;Suh=f|SVzV= za=brw?lIR*Eh|5G|D~UL4(>CT`-mrg9S#i3abS4ykm;KIEEVgK#(Y1k6=0Xi4PWRPb#+b& z>JhwWMdV~KDv@c;DlRIZUQv|cUQWivEer3B;Y^f?CoUJqz*;{2;vPw;#+1@CN#`tH zw4ft7Z&uqhoQdsA&gohzjYR~AcOiWz&mSyqR4S7H0~V@`xEJ!&3W(h3SFzmSEY9+@ zyW5w*H@4bxUt42E&XFU}J@>q=npQsj^ixlLnaG`kkACvQJD4Z$zV15Ax%L}wzHK*F z%`v6bVXf_IeHcB{C7s)$6=OcNTC7DJbWaQ1oOFty60g*nFSAFpiz2)4)Rx#rMDaI;h5nwPm=v}L-?Jz2S* za*>-GWDucxD@zs(^((;&zQ0dA5GXXK*zRw6JvWe9189#dO$ za0slbNc#s`Fn974?pKBKz}#N+3|;k#-1_U)3~}p87=xTMma*NueT8*`3 z;>)Om@*%vaN#|K;dZH9K&R)IjyZQ|ip)FHQj=O+e#0itmQwts6KpeVVbDrZ1xJ#W5 zpfJHWh>m%Lm13bY+hky{N#crU)D;exOe$xyd9U%5or_|U15`{9MM$mGyUTw@Z4C!V znro|T;$-h$j(90CvXt?bsDpl}G|U2AnL$$COmhnGlHa zEz|h5sjovuFI5%6V4K$d0{fg9Dw(@lYIT#oj{U9N?m3qDfRid_?PtSvl_S<3u#(|E z48MWgmj))fFD`RR8Sbm(>+#&jz(xfY(k_D>5UK@K5`e1XTp;wQa{Rf0e{)^mryPVg zxQ|mtxZx_{0w|Jsq(O5;4QL>APoCO)c5&9qH4D~#@crF-CQz)#=JcefwGuPxT$y>2 z9k!d>eK~I?@`xCui$m9>UAFr~xyaqq&u7ukuPk~GL$3cLvVyyf(7za`ifY8h(pxfq zia5=<7KSjUiFW8x@8iFCGQ#S9?8IIiWN5Gxe3`~&afM`NYi`HeVP~4P9w==!#O8@;ipB zo85gc1(dlT{4DwXI5UAp7pnm7f2xqpAc%wIaBsD;LF-;Q0M|+d&v!J}C(o)+Ha8@j z>&cVN;TlzXaBg$`oU`iZG&jr%uyD>f_{EN?^~q`V$*B#=si^FkqD!aNcTKDBn%dCS zTh#SzM@wU}wK3UpTC$~)WYgR!9YhsJpSL&K?ksNrKPHV#)sr4tH>Ea#Ib=yH0zyUg zsR``iuCJYr3lCo$8!lwQTcbm(Ai!PEz~~qPrNq5To z4|E!Ec|7NO7C5VTv!Uk3=g2?cYHoZQI-|K&cK(%}lV#no?6m3x3&SNQL| zzuI?*cFo&GOw~W}{!H&->(-Uq@B89+Q}Gs$JkPvcX=;-M_Oh!$`SvhJtD?TtN7)SX*3IuRBE1;&6?f~@V+xct`00xui zQT75ir?VRXZV*Vso^At;x=bAz%zqXlt?a25JK)u^HGFQEUu=ZQCYGlemiam0UY(-I zhyry$TJ|p4*ID$&-d-$bRDQE6JH!jvDMSgR-{$S|l9NH}jeP^EHy^<|?z>b~<+jTX z)xAe7!nRy1**IGE0iG!}hh{QHLT+)$k&bbwP7FhKOm+zE*GA)LA+&hHQmw-fTkuLv zw9C@;CJ33jAJl<1lp7q3Pzb{36IkN3fjus84w>c)8M;tjVN6kNK10gDx!lVvDJs{KDry|M@R` z{=2Wg{`z-c52%g1UrfH3{Inwyo?J$T)@4h_gCFC^^^*&gPgYk|RaI9Jm$~PTJp)d> zw;Y%CLWyk^b#sS+vGetb6B16Dn}oBQ zWQWLs0?Mi9-Gvl=hK%AV!1lC|if<8NyGkz?O5xZ3dwm6cL4Pn11S*4}Y?Vy{hHNX_ z&UR(Hv$L{2M3u4Qj_fa&9n6Lq14e*dD*|@F6>tZ#0-k^uS?JfQQ!o(n|DALK>;F!3 zO)g7LUa&~A-Yl9qy=?LPS)J1tESz38X?$JN_(`V?u{v<#B(=o7X)_txc1(4v`cM=t z7dxWklIHOP!Sh%uXJQ#VZOnWbq>Iu><^VKC@v1JAhmMv-=W^UH6RiBw_ z8>jQw4J*I5`EVDZiBXw|B%y!`BS^z*c#kvGr%yfg)N$j-gPmjXTZEaeT(x%1+I1H& zqw>H_H{G=3=39tg-@yb5(NbNYYBHu|w-vRb7dB>y-!ax4D9yE`Ddb69Ff5xPj2&-IANHpEF_#&IlYm z8E=F$&1PW>84_V!kd=-w#uPQCis#i(_w@!CH6;i(QqwGkBrdLS1%yR7 zE`5p%Bu-$P&%l{M97NRAHhh@*Xg!fb7;hB`FQu-i$E#fjqY54owif5)s8LOLL-FO& zZ)4&G&!|dF>bPWBvhTt-az(Z*>yM)WE7=l|*X6&mo8YQeJ$`Mh3H(UzJU%>S%idKZC&Kiu9uKn$>w8jQcd`| zwJqzIfOvLn0=?jU0QsV*b{M&TI1Jz zsu^n?qqub1<##+fi+wQn;c&U<&C8vmk;b1kK8+fOfDf`@GX^E|Aqn~F*uB2{nXUr1 zn$*sKLb@<6mOp38@*sj33W7{;rw?(&pK&ylVa`lRSXP~`*gws(rI!-6jnkIBAEk=i zsH;FlDhzf0A{OKXAcFZhdR}OkgDYbF6VfR6Xj=6GebC~L+(&k$P*$cCFBnULfN4p1INy8K{IFt?Vu~@4rT>CgD(6# z{yB91hx#)n)TA29jfH~h1PHT-)WM#EbEI$H`4_sd$PojvKK4x`@7><26|I|KahSopZGEnM}^-y}+aXXc2w2 zg!eMuczc#DUw&?#>c%#?k@qclk?}L*y~>)REd6854g$B<^S+p$mnnbN#cEqe$ASe5 z7cSbZJX3VTHl_vp-!09xFu`Fdvr+r(gH@t5#o}`;WjoH*^pV=+R9yFsi3B>*&!WWyXqn^d>RntOoN@wU>AFkH2IE+jU{Z0f=j=QIe$Y> zPtS%8witu9S)(HO3_TZXNsZNg{t6*)qdv*36-W`*seL5y&(EwsGY8r|l1e=mVG3?zsiem$cB@TAMTN|3@nFp7t#(X)x2lk7 z-x=bb@~Kw0yQpZa3R1S9AQsEdcgliVv}+|Dy@^BeLQ2yG;gShPZ86a{Hq@-$0ArQc zqQdtL=Ou_>@JH|fNYn_8SSS-6IGfq`G)7C~oH1(i`S0d_3nRD+Z+$igk1JmKRrLjy9jWZ8JylX}7$W z4{J3(tbP0T;f3dJ$Gfpst|JGO=wToH+1 zCOi4YN}4UYe{@l!p~_;lFqz(1I2M1x+H`jF*)6S;SE-o`&T5@9t!)Y`9@;b)Zft33 zZJj*%G8HdcqS zQ^%d^aAZcy9_(n7D1lxWV76Kq6xs(!S3B(ApCvgOoT655e(=EuH*fBLVi2#Iqh;#M z&@MTsn<(K$1fU{q@u~%Ot6Evu5#u*J2d(&_H(7hgW~naX`*MRv$+J{jmxeM zAKq!%w6h*R*)fQnMK;&_iy#{vFH79quGVg@fb^&w#&~p#v!i8e&8v_=@=@ z&E+}7pn;_rT)`7?hG(ZAVt75J(v2)x+bKdzqC3((P5T`;=gz4Fv*f!wI_MVHn_g;M6{r6w8bH(Om+e}0`R?-` z+`O~j8FtiTP|~{EV7};cKszJj2%#8cid!6l#?w4=v>|hTQpq$H#X_T_g-~0V;wf-^ z(eYNhCdxrZFn^~W4Zi$+sqOvqU##~ASiir@TB;*x`(((mS@rBRRH+x>_N1Vtk zl|e1l9Qz)0bRv%8bXZ!ib9i~07t%p#N33aY$4>90fd_cT(sge;5Pj`5vqJLt#Je1YSjEI8hjc4x!*M ztAa=s$Uyh7=DLg=WnFDAOsiX7B}H=4o<4DLw=A8fLoy;a=PSfjPv3 z{#FaDmI~B@yYyb@%j|gv$RBk+y7f6u_=iF9fU0h43Mel@P-to>TlsNt-1#@EPT%1S zxkJ3}DAUZ`KxSjQjc`1CROQQ!xI;YLZIlcK^3-b`Xlp@~9hi(6MS*Qsv6@WOhQPL0 z^A(ip86^cA)K2{eYE7xAf)L3f6fI&J)wk8g3|iatuE(ef1W;#CI{DSC?CX?Ts%%+RRLCKNg1K=gGXR=xwp7C(5%+{$w={ThLPK zkMA{uxiwP&98#48U}S!$-cUftpY{%M+Y`A~?j>=B!tud3_mxn78E0ZEGKmDa=o*%BB!YX3#-o2I*YBAou zmQhuiR{y!5k?c$j#X>wy|Lx_cUvhry5#lSg_=aiA!St0B z2{>)}?4vz;K&3O%C!G&dl@e$cjT7N}W^E;*890)eZf>b8%_nZHWo%`P)A1ttM?u0w z<-6I~n2;-48d)41JiRf&g(T<4a}n{5HEPH5)ATT%zDoSCDdvJD5?^MCaSyMS)B!rV zSDjiZnF(-bJI+{UL!MAK;D~gI1D+{5u3bG^l7%+1UIssTop$$&Q*(iHVGI{BwQ||@ zgDy)4bd;1j%k(!goyP)e?NVHbYZq6m*~kHX%Wm-(Kj8!vY+9Mk3UFpOSO;Ae$ac#{ zCwj3%6^YQAag`L1x9JJa)<*Jhg5znO8z-T~T-jA>F5d0N^fRX?Oa`o|PMDWIADqAQ z`Jl{jUrk)VmAn4&i{JQ#Zd7IDIS#I)BQl=P%E&g3FuC3D$m<;WMeh-u%HqT38DyGi zH7k5rYe5M-^AX%LG*!ClO?ZGe3GM2%bvQ)L;q{dhs}nwf@pHy)d)UM=aM1E3&gTlL-#> zYz`)!c#f7jSp_h=WpNu(s7>qJ8teEA$QY7*Vs=ZbAi?YbgwTJ$z!uJD2DCJm$LLoU zMP)#1geqG2uvAfFZKc4<*h+z#{zQ_wvQmg(2n-r6cfMHD!7Bu_M1vQplJl6m@E6z^%2Sp-Je6y$GI8$;3;HYXdrzIKoSTe z4YGW3W&}s6UTDdXN-5BFCUcn?;usE0(zr{dtnVEPcLhYu0!wg&yJ=V%t4XRCF&ZNR zDi;q16=7$ncE9pVE*54$<#PHaGY8*ND?~9OsGu1gviR2&R96{kLG|9`g@CDyEQAUH zrCvZfUUZ#^pt@cXdm^43@QU!D^E8n`1ea*pBy*IAI&Z=CsAp)(<}{*)5uSSmY? z%$l3#pJB9*)Y%S0=u*Q-hY1CubVw841rCOh4J@h%L zmjh{m(QhaQOAAL@569rw_tO@Ls?c4dm4X#02JCw2F!dPC=7c!G+Y*l8r~;a?m)mJ= z0aZ^pSpuO%XJ{7kNMCJ&eHXk~Ox90j2mEmKqqN82=8+RsF% znBB5S1d-X&*2phAUc>j^q&CCr1F5eLGtQ~U-)($TPYjg!1)>(t6jcv$h5ia5)^&VN zbo#5mW>}&(9uY{%R4W&8{ZT`4W?srB$bwK%Re z7+-PX1TNNX-sU{Va%l{S+Q~_Nt~8Xda-+;^oj&smX$WV$nZr8Y2&;6tVKTmWJPA?L zSFNH|SHwM+vx)b4&fPLb+F$cCSLKpvDA&rhb6vUa+^k$rt~dAp=kB2;#4)+lW2%<5 z{Et=4ZJ#`C*8H}z&Us79rp}y|T(o%p^s?mK$z9VIlyy!RKcRwsdh02@*t14G_JhR4 zF%?r5ES}$6bfr_YLI%mBFYbS&m6CH7CRdVUWZv|Zr%jz%p>EK%QTn#O=D40{-8sFk zfD^sq@4uYne+kZMU(h~f`mFi0=FC!_MGGcRoilw}*_5ub&RO%PPA^+BeKPrARG{vR zy1KHm+Oo2`Ga4tVrDo&{NQFbZ@8Z3a_kBP*TNiU!Zvq$=^HS_dZEA{b+3pnJaEXN8 zKcXVU2SzsYCLm7)Oq^;efYUC}6}cdy0$mZ*z)b6>1vn-pary*Ixjb7G;b)$2su-1o zzDdmQHZ&5cjNi6dtjvcD4A9U%Bf?E3l-Pf<#IvLDItZG|jboGB@ju8vzKL^5BSpF3qr zGk4{&Y>CaYB@2htIazXYg!$sq{tL7!@dmu;a;hOrPKQ?45!LqXpyW&7X(cUifJP9n zE}3XTtJr93l}hj+V($Mx^1-YfMRG_1Z7Z$Z%DnW#$K;AuBf4dDyH( zEVjngD%BGrLt1E}XItn+HvcM6AJ76J<)v0F42}&Dhc#B5F|>OJF%HGpdRI*$kcl#| zVLdnyl^uhsB>wT6D)fCFf=Jk&5Cn(x>hyU8qxsE$R(s~mnbX$R*3!~KZjLXjjVuIf zZ*SMOa!`~MQD?Lgqg5IqI<4n)8|EHKVj-GN(m-=DUssVe^vmy+D-v_;XEB;}Xr_Lg zA9>!fH4}&-jaKH65VTRmxEB4I9moHbkT<1JobC9_{1dtfg=*gR88gV2o?OH zX?{T1%QM!H=(|vr<#L)jxd>_z3))X0ei4Z;Wj#loIw(XW_ZDiNEA-VG+D70WY1P-5 zrRF+O+C51-P8;lCw>*wtlQ1Oj3O(m;3~h1PC%#sy;bG%Eg7efpl#l~|a1NBRil-EM zj|nHh7S8j9_GY;}N8O&~S)LEM20xiu5QtOS_WaPr^YCDy6sSG{mofpcp zD>W&@t1tVm)jS~_5|)f0d$V$~d!!W+Iw~fC)})wqg`PBA!G~mj_*CjQX}0Jkd0R;S zoH$Dj#88Rs0B@-(wU-*drlLdAYkZPr(DerR#Gg?@(00LP%qYp*1@;MFJP97y+1E> zs}XVdP_Pw8hUXEz(t=>bI8s2vzN|)C7~EirC1y*WQ+-s@aMFwfEr9o;OR z-(|jE7DY*lS^2mYOAx*k52yBDh>012u<4yh1RmiL^jCPpyi7M4-VnBga?F>sK;cm$ z@Q3-B1Exp^uJD5dl>l{&s=peVX*Ei++EU1wCae|vlQJtK(7Jh-1pBh=4R(j#WZ-lK zkzhB-rc!yJn9JP9!Z^!h{SKUjNAzC#B34Lnxi6ITxk}+*&rxsaBR*H?UUx)3J?nkJ zJwDt}^a8y!MiW}XFCr}DK{W6+bUzf4Z<29L^(CzI)>kC-8{b-ME1YJvE! z@ae|0*O+6VksukS@rD|4nGu@AbKikhph@xsO0tF}|$Lkco(8?ycP9k<3Zkf$KH2{rGQZ=nbQ` z=LT}KbA!30Jj*lk%sea4&U59t^Rn_hdEPu;mz@{PBimurh?-F=YDZmBcQh;N ziF%`dr*@*w|2SnjCf?+-snZwCY40qXI(hEgvU$_XCbvzVHGlm0@k8W)tUpa1GxK{4 zwdtcDyRYY?_j%RWd@y*^BW$Gf$RYWKV!!G78*kci{Y~;~C%^uIrNRGjE5AsDc3bET zWb?JqG<8a}{EK!+<14(#gqIkP zpjRD5h`J3h?uYqAGWzcTC)BAL|KB!m=- z{NQ4KjF(%I|4%Zr<&r5N3C%vlhJ};mq`?Mba_=Zgq-;4}x`h|jlR%zg?2cSdmhERb zF_qOOK~AjH#S6$(u!dhZ>tc6FN#ja`OFG)vHAW{0bej1M{RFyLZ=DTO%cpjm9UB_i zj+{0n6YQir?;6YIGP(m=D07i3^_m*vqGcq5 zJ0lb|?yGLY^|a}0Uw`?Puf5D@R40$|^QXT!dhDl1ogqt&ukHd5HhKMlpf?~zRxtOl zDOOlq5-a9s{wx3L-*nBk?cLXG_o{;E23Eq%Q2EEc<=^zoSD!ob%yV8+_rL8*eH~tJ z;-+TDH2q)C!)ht@XuP)&z=L~%mbstp5bi=*c0!!ijG-uplq{?2=^n2vU5h3I)qrK5%tNeqheZLoK2R;%mp7Obi&rLcDig;hUH5Fxv)up{ z6agi08f19H6A0&(#4E$`ND|{FQ+|E0}HO?@np?R{+ z8Vo|lO==p$&;0vHY|HlYhGog!dekP5zSDHd7@;TJhl^N1z;bTM#p(XEF@?3K5OLf{ zs%Gl#S5Y*0m$Xjy>h6#hisJgI!h)bWqqzhQ=8$qv%q=Tus#Jx-0`!BV7j;^43P1Z* zFn47*kC!~>cZ`F5&_D}Q)=>B$-IO{6x5y(Ie6~?>*mw(-TV>Vx{h_Ra7VU`RnI)r+~#4g9#<^ctfi(6zvJW1_(FCiroEMl3X0?rPG>}?mgT9y0AHeyUy zMcH~V>s$*n4*ob$j&GNE5{*H4=EGsh3yNS0(jRGnawqa zfRCy4oxn%A7zEmz6GQEmz5GmCUEJFdakjTw%FSyWZ2O>FW-07A;FKpQrqU=z`3?aq zKK*HQ5@7Q|-vVm#iAO5`t~8l%273?oQT8Y`rXRUNG4vEENd4~LDfbG)zuWL%X1Es_ zR1!u-2%|zsAGv26vNefdCnytsoaa7da%FS}-`E2dPTQzfipYiNS_KZdY8j&%mvqB| zk?VG_M+tOpL(HCMgg#FJ1u}%3U`s2f9ibI$J-6NnUS@>qm4{j+Y4H^L$@M)Es~Sx; zo@of}&=3pKWMps}p&9_(`Idtr(Zw82b6FZs-N4I*5VP{P8*V_2Uf^krpU9?XP4GD;UBfmGia-frsFmfYU(!>X4cb2ql=EDot_q2BNF_tvT@K#kVgA{FC z<*I5zb%R(R=yB9Pg2^CuO0fGXTM||!wiv3q5*NW;>(*bmVcmr&XwLcS@-^&guyXbJ zYYH9etZ|_BD{5cVYIK*f4`mDE^d;lVMvd>jURYf2OB0R}+UhegX@mFs7;c*ZiwA)t zz>)OLZLq9gUE?Y{aKJ5~cGNiVK>dZUJn+zg#tn#)1q&AF!;G+@4!X)@0~boILP2`- z%{K`!D_X+=IduOkUn^+X@U@p;DQZl)raVk1@v)g6O1UO)rhA?dnZ!;^Sx3c>Y$V9d zB2X>lt;KSYgVbl+r+7=v=WI9IaBFf?!L4GChwk?Y1j)A96@9IrN$Y)BmQEA0+RJ*6r$N^}QAGh`hs6vehy>-a<&?B$3+5R5 z4?WdJPi1kh(-HcThhvQBA6bv83d@xxV`$zGv3TJpY?EE%{YNDuv~jC|n47AdN4rSv z&v~GriQR%U5Pv?WssK2bO|}ZycM&mzk%>k|3&C%31fb(_?>XFijEqvWj@sB&bOJ;> zN}bwHVg!!3sJ~qCoNQP^OH{ch@e?(jwb`Y>ux>|ZAvr%Lk^Pvp1rHWH0&*%_gVbwB4q?XmbOYNpr5E(w)`Ts`KkIoY+x1R(KkecKh;}p z`Jr1M+fZJvM)`jyEnnih((u*T!R2oq zbp-t0EZ4V1@1>haHA5S+gbW~$c)6fDG9ynU3%S5G<8r1$WC))c)e#yp6`@fbS))I> z=F!k@qXA$s&JkkOxIE60RD#QuT>p8~aKGshxy2aqVn#sAoI+&IC}a-Z8IYb9EqV?8 zoX?L196U_##XWD~HuON9@-Hv$OQ^17%7;^6f;$3&gpmOP{(6({v^)^mqoN3@=rz0- z@NVUeeA41d%LY-P(*lKb-_mZVE^Hh{fzZq`K#G{n27^-Qeq3*e#dEkxHRWs@$oN2W zT4{&Q-~n_*L{*Ps=j$k<&;2#I^ei`K+&xASb))kDC^UYF9jd%{zR6^dR3pJ~Wz>U8bmUY`&xcH=?yax1x<7x84KHv))own}Bjw z;I7q3UdbM-T&|&fh6Clavgnw)vk{AQOHIqRZ9D5{-+3njO-oJ3fbCdQsqNJNRx8R; z{5K~s5@r`^W1?f*Sd12mLoQ^3U$&zb8x}G3plpP$4^xr9P?EsA7c1jYk$KA&m1=8) zGmJH2bJ^6G7IMp4WDn@fz3DQevnjWoiU-xhiDwpk7H${A}2W6*HY16H0N-No-viF*+G-5-1G#7Kh8F&ULZ5?3c(I?eZe=T+2 z==8*#D4T?ED1YE+m^+ja^9mS8#fnJdv!FSu8V725*8n*E&|k!XDgyq)2nTA+0HnwY z<*t#9J2jlUo|Z?;2lApz0X3c|sXx%Zqeux2j~FHLZ2iGeyl6NFz+QpJe>b8?7kJl! zNB@5r{y!S-v4$IG+L>GoI;LfYYN zt1+qNV!!xOA9c0z5qxrOwgs|Q&agMDy02BjFj7t$lzww8bu^@A}XXa#D8=a zpOOwZ4Es}26CL~Elmb{a)M|*`Ha4UR-UD7UIQ^#q>@lS+9_@fiJ0?5Q{-6$ROabc| zBWi$m%8yPrSuM->kkSSg8`d(E#$^2;;f|(ybFW`0VA%zbI`q`5LJ5;HH6eEt?Cyn$b}_4H0ZC(#Ud55r{AcX>sR&zX@F0R0X{_Op_u`HVjZZx%Qv}S{#ES7BA^xdO4|1p*aWIfpkFH)z7s9_;3^MbsN|l#t9=j z|9Bb8g!($y?0E$J+yQUE+(F^-ZlEbn`3@Mui1_}<4tg5(Ih1;PH_ClK7+#~beIR+A zqv$)MqOlo-<}O*>-!%Y%c`6>!8RY&Qm0vNeCJaf8H%v3qJQDr`FrqADDfS(DO9{33^h4Ahqc0{Q!uGcQ?C~2esDv4KYv!{e8yg z1b5;{3SSz6kPBKya6$MY-iwC9pfoz~17X zjS__?5k4hXt@+D6okhq3=!^EUzw@&uPW$ao=^pK~rvzkfLM}ZU`5Gxft zruzKmX{5?Hp5F^#a=icIGBa(>@fhw2o^ZL=J=lH7y}*Y*{IE{@iL2nWo>osxZ2Q_| z5BDzC_m-YLRU;4zo&9Te6P2cVA@kKLM|by>JZzt`n*|^E)+LW z9zGFssn@!wKpr~P#MnD_`xP#_Ga7opOe=z!kpyct$ht-R59x8VS!e0}qbuzCgNzwB z*Ss7S?gdRm%j?h0akx2keMl9jLOk_$y^v_R_<>as+$$z`zVt@wWB=>(?$Fo&iB~ib z&5j16p?o&y$~W_^d^_Kj@6ON4_vCx?efj?UKz??9Fh3Mym1WF~Sus22in(K1F;C1J z^TqtJKrB1$3v=(+zB`+7d%Ri)231)h@vpV;>d1qiH~?E9f@5uB2xMs;tNnY!7jGrO<4{NlAM zmUPUX+B{+0DdqXO*>3ggqd)%sYp=fe?3e!e@WcD>z59+^cWizC=8IM??@Z1*ds4&r zig;me!1(>!$KHDLJFmX*)W1LT>{HJ$w*xHM6F+F30#ljkg5bMfU{ zues^AJ3qYlS%ZwGFzD_6AKIrHMpSf-OGLg!L^O;ItwjyWG0JmV*&!Oc#}`#RSCC>& zsyqi%rx5F9;K2nP{NA90bji7)Cydni(8n#4;=ZjG|EVJmI4lpnffd@M?$jj;!GEh* zih+7@q8KNa6=%F{comW?4UX~lgWqzIV@Qil#^P}%PnipmYG52V8cIzpRO zs>1g}gD$XX3J)}Hdm7xcz_=fZ0<>JF(U!+)#`4~$PAfO%qSO?6XqGRC=9LTzDbpZvJkILx+TC5Ejv1nvtUGc~TD?j_LFH4SmhufKWEOOUQp5jBK6+1n-z3Fyb~u&k|Gv*Et3}s+hx`xi zCtv+*x^Q_TB&@H}1v?jWt$5Kp!I{=I%O+H5`Tb-ixEuzJr@A*lC3;G>|_ zHB>P}vs9(mTcOOmJ!8aSM;B*UO8Qy0XMS3ADBcEdh00?<4Xu37Dk1t-6Ts#)arxd6 z+Gpf4BvAzWHPs0t21?XQVKfdH6Y9zm6I31CXshYMi?*6jR+fMQ%MxXEWo6|9YTe?| zwaUa$9TA_DI%@j;0~wQN`gkwTmZ)7B9vwusTipb+K0!3OSuXVy`^lo)$G?TK;58)U zU7;TGW-?gYjIe$nYqPR0QEbA;TCnYPZz_K!NXa#hJ- ziBVN0s#29EB^ZrhhHmMXAoXCLs=SBeEZeuOlZHM>YiQm?8l6{o@CGGR9$dKuu^V%IzF5U>U4tFR;vkz zyAB^R%&2M=j}d$vRa>>o=h|4o@}0j8YRjH4(%5~FmAiS3qMU3Ti(vM zcwjFbJInQX`Krf?Bi5jnLH*KY?X?RXu7PkeJaRw`Lc3XZTAI6m?8*Wo3ygDpcNxAzf`izs zzp$55D*Hh@__hs6?Bx8C&_Opr_sDPpz%vNqkd_wNXDii^mEB91L2TkTWDudM7**UT zR24rwVFEi40~i`7xEiMSI6@p@3xrT|8y#n7;R&yEu5<|cSC+_UY^GkL$?p%M5vV`9 z9P>qDWco0pNdpiG`u##9DiM;XCTpuXZ1a=1L_bdcQ zjk4dTa(s|@kw?nbOf%bTTsJP;`WL~XopHoQ&Dr)VOjq2r{_Qz5Be zCRhOjl;C2e+6h`wO=_k&sNhHNZTIK2ig8G!2#k4R%tp6ZSK@ifuojb8ecfj`q0#7uml z1H=@Jkn(2`CVZDs{Wy%im}p`tdj{(DJ*xWfgM8ih!2U&w&hu7p-oQ`hLb-ICz)tzL zEkNRmF2O~DOe_az_zzpHR@HK%e({oXYP5?;2Nw^)=^N}JI6Ziw(ALZ#EGPW*Pkp1{g?r? zH>*<}8hz8zzcUORR6ifc>Lp}331)*4Lfv8pY$n7*Q+HZ7_veJh1Yn$au!%a4=iaZl z2XpUe!wgL{yu$53Fco&e@YSsK^lW#cfa4BRBlb&%7W*uGd-GSYng8n z`LA{UI9jWy>Z#Nr#~<=*>sKm~R`k;>%z$U9|2L4q9Y)3UaisoYdGu(QaL@6{2cgsw zbtD%i-<39g`AW(y(d#q9pIa6<^1j2ll$n7bK`q!46Ltt8YeMgU(1klhng>;d2-66h z!FSDRsOr@_VYJ0Cnw(pVpcQUwfZJxMa$zQ#rzQCrFn=GnEr#1fTrWmkzbDK297Y|) zZN9CBNb~`W)}R>;2py}9`o$RaqAWB3Ve}#90aSp9Ef<5nd}#|0QmM+{Il{wsRbita?J4lo!Rc-y=CDu3f+ACe5OI%`Y2S4oMR4on4*ae;ZemQiDab=E~tC^TS zKrZ!}2K*K+zn9`P*~s(BmPwFX4y^64GbP0aU+eHW!6RCzb7j;K=Luoy+#%X+=}1_o1r@D~4kIw1(e=;i!Ed7f{z9 zL+j0TX_a1lUCiQ|Cf7Uet_^DIN|iLAT_y9Y~8 zbj03D2gGUi2O=5);n9;l;nC@b>0w~#}&-8 z%=+HB&xUofXTRdu_EX} zFFl${xz#CzjR1;9WeJu17OEHWM=j@PXfLJ<*Ip<; zoEofyBC@5Z#8Jzq1XasvZ6J%z$es3QN^8^9w0@vVSO(=VWV{x#Iw}p!7&FWCx>72E z1GZAaaqJpbSfa)zj^Wq<7mw3mkCTI_w6({M?N`wO!cH1D$Pad$Qp-IX8O_xAtbel# zjTfv@;@39Rs^En-!ZX0A-%FA4x(G}!O0C$i;_rL=y_w~=`7nv&^s1dOp+x=mJ^19e zFZOpa(~d9pda|WOjVbk}O1lon*t zh2zH2g@bg|-VRE0Mi?bE!Zw6W5Pn*8c^uJ|+V3c;h1QQVCZ=PB4q=4SuQxjwBSIz> zI$j?gFZL(JZc3Y;y4aAupK=jLe<9~jPoEt+jQ8iyGD9^Pi6*GiQ=O>2C!U?)=e6-w z#?q&ZN?2nLr4qik{db&FI0T>Qh6W$7vj5e}zTFbp(q@Z3l)&G!i3-Gh=uFdyT}O^8 zvG^F!3`;o1$ABR&7mF?eKOAT5#p@hu5HnAPIa?-Peq~_94KVc(tHro>_5PLszjoT( zz&*vrlgs?Mp}Nfc%e(t$?5u$n-c|Z>kDH&VIspx@*N@xloavpq8PzMkQ>jlolQl~Q zOxA2ovx$b-K{GX{q`6}=K}eeP^@PmvY$DS!iC*tay6k_hTbFi7YM+UgOYyx^fLqfgvJmkRdit6ItK_D|CfrVqOTi4Ko2FQbzbn z?%ii-QNEfZh*=mhJ<>9%(ra8{MUfGU`AbTcQPOdn%yH;v$cYkdRiUHwnv>6pd+v;aLp(>$i3rJK;=MR_}$wTDih;~(uaWu$+8q{-{ zSrVta(n4p*$q{ZYjHMN|517MFi}dsfBki-rfKQ{7?+qqcu+M)MM)5js3Pig;agR{m z@rT^#eM9HA`1#sbaA%13Z!z9K@q%>VwGe*6IWO)-;g&vcpmrTL;5s}Zm*9|8-QT|= zfi}N_qJJn+J3n7=fR_*}^ackUrx+b44Te{cgxJ7&sZ}J@oWL!95$vQLp`$zHw!a~J zSq9)8LUh1?s_B6LfS%PA4dukAZX~-{550c;n-~dQepuK2Dsk!g*uVJlwBL`$W*ql7 zWUgK)=0Ippur?cCfg4Hh__v(r#b$p`Z@dI9@j3>rh?8!h z>;1vdZ_U&%9R26d3mqC+|2eY$b7cMJ$ofx0KS$Poj;#M2S^s(8pvC+n>pw@4|0ydKM%I6htp6NY|2eY$b7cMJ$okJe z&qMpSySmU_m{sU0^cMOG{e^+T?80DSs7MtVMP`vzWEZ)L+(lVMo+59NugFjCyzHW2 zQK(oI8^va^Rcsf#irvLo#h$-id;b!5I^p%7!QSm(M%I6htp6NY|H-1T0pVvO>p%5e z`N;ZDnHZKC`H}UXOaS+;ejZu>IkNt9Wc?>WQ^T$tcLG92)_)T3F|z(M6L*$gC_1wK zb7cJ|TE)ov&yn??h>8C3i6iSjN7jF`L0{i0&XM(>vfg%N{pZN~&yn??{Z_LLTIe>i z{!@*t{~THWIkNt<{~S`W(f`-U@%>Vj<#DMGlM^>jJ@$jd#4#09Coh^jx4o@G&F~be z$If`HBDrAt!i8m%=XaIOnch{lsJ-lzDGL_QFIzNge%H$6tmO2Cr&OrA)Y$yI8R zr&2w}9(jp$WrIpq{GaaBVv^FIY_E~=?;G)Al2)H=uaWWZ8}Z_-zV9c?w~_Jh8)>$2 zKIvW~L?IO$#^Fx8&5|ign zpR*8u_}s;d7EYf(r+thH^jjg)I7ww$H=<7%oxN4CC+yGax|RBl zN_MlQQ7ilUg;iex_b7k>OECed-haU?a>F1+2 zcYalEe)!?e1D%}*oNJ5Op)Xgmg{SZ5=BK0*c7BGsRcd3{oTE0oTqe1k4d>X=?k1GTAdp!~ubfkinqA3?ve& zQYMg6gB5}V#K#O2$r& zx|do4ev~Bh5;|MOw&i(xVTkB4_c7?w%RG*S$xx7j7^XTIH9GfZ$BISE2}$R{NjXqu6gsa$f2$YcYP+w%DT8@8u17;F524{C6lkol{gCRPokFTDWgH}Wd%CF!8^|=Jp~pP*9H0a7Z*{d> zol9GCV2-O;0F-qeWyb-}x9`3CZph@_d)-TNY~ujKrLY>gVhqFO*t4LMfUcJrt0V

z82>Z?Y1Ej?$fX!(wTL0uB-lOr5hZK++ z9az7A-@g6(_wUPJu(4WqaQ%TtA3bp3z@rF;G+IBfZQH=Wz&3eeV-8x;lBq(=_2 z&lBwNm@jyWxtgPDFs%h&@T}jnd-t9_dv?3c?BG=u#iPJMz!7%*7W0Bq&?aLcQJkIS z^6SVtU(gh45pQ<(+YrRbhFD=E#1WG7?@M(}^(cDiRn;pF7UE=iQCx?>R;o#%CYb&j z2=-0pkK-IYg+$!#`S>Mb6y&_Wz{>xMY&P=u7ua}QViP|qY94-n+0rtUl%Q&fg;b{i zNvg7#Dld2-LsilhkSyDt6^#K1=djE{wESQPWC0k1Kfxr85Hs8y$6FtOdt0(>#mc6) z{g_Smx|@HEbw#gct6g_tm(lNX)@|EbTL-qarn>bz9v2Q=BY3%K7gq>(W&*al- zn%g}z)D2gzkHXvAckga*--FGi#NlxOAMFy>M-{6AawpF~krh(v-?E`mZ!(T=AI9-0 z2(itjfpL)G>0epdUgmA!eHIyjVYpf-bG!6kNc?_;623k{@hoqt{#iZSE-T&bD*68< z=b%V{&!c-W_>*BS)Urd>N0(&zK;n1sN#4Z`=lN6U>K{LDpJdA?=j$tnPt(^~^MPLc z8tj>I`1JiDC;;RIF5<<;O-$f=EP17xUJ>SMa^CUJis(7)l{cz`l&|1ZZUyJ?npwFD z{)ArB_MFqi-kK{CN7PI)%kdglj*o`^E?AI%fdBEUeJrU}pZH#YTK9EX|Wob}fH0ku^QROArOX!tCD<8`FFA=&@tHy~p~p zrU#Y?2I^e_0|OJ&Jp}8rXAkTPY$|Gd^+U%60#-9YTV0o~s0d`k6)@v(3@?-JjrZ3| z>H7tPQ^+`Wh7YBuJRa-E zFH(pje^w_$yk)d*YZ|tqyy8!37{<>pBH>A&addJm!7a)_hA*j##r~!JVsT9K&}Z& zo+j^fO$U_wXOY@MbwZ%-ExGW$7h9|_g-46tx8xjH6ap^&Y2$YDc;Gj{Iw_s+;baKL z@-&0^x1gS3cnu(ZEAg*qF;Fk5uKs+?W|`%Z z2cwSAvtsDkn$yi{x4JvhN5JFO=XoZ7-`lUNE|pZoQylX(v8X{ zXj-|(G1&*IR*#6$Spt6ovO+Du6|xORXvZYU%Jr}U>ZhZC`kzGse+EUu{&F02RX(2! zxTF=7>E7?M1IxA+8I%*fSEDl@iB*n8B!+}B=eA5T7L$Qg^9@Xv+fctGij&V07bcHi zxHX$tgATFXf(}s?Jq9~BtVR!2`!VOAJ0Z#KmHZpdw*Ahu)OX!bbwVgTehcT&~xB&A3(An<{CpZ3INvQd;kGr5>OCtWq$)El5&zn*G*ppiS zbp&?8dY_D#uB!;l+j=WU6p^zphJQigH_X}B?#|_-@Dh=Yzi+GRnXbADb_aZ53bB*T z#nnT;51Vn459BjWJMv+`WIfGMKvJ)lL4cKcmFjabfYtRZHI57ctd2wU;3)$DtNU?E zv-|(7-gtudA2`QTCdxUvq>Y|ra*9U+Ue+#v5{Mu$Y~-jg^r!NfCe#wf?&X{dX74-0 zgn6UTiEu_Hni}*Hy-v3gR=AyTGfj8rGEMB?v%}@3V=BKB%K={A&9777*!^cDsIdPQ zxAls7Tyt{C1>U%4#5=Gn|37r*M^EZX_t|774IBBls^3tXa_&3q$iz4B=8?nhkLtO1 zyQ-7Gdk1X)1pXlQ)`HyV-@y@ZU)#Mk4twgNu}bPB(a3K3UmbO9;`tZvs3(+9+);uk1oZpSUb6Qn#l~HBd$V=FY?Xgu`v*CK%UfXB;?SLJ$i*3UOl*B|#iFsm( z^<#RhDCUj%V*Xel7Nozxk6iz@dBLp39kF@y7tCK6!z8EN94E|!x}Y^qB|Ps5IY4ao z7>m`#VrQQ_bq2kwKGxkIdA-ki_1dNbmhHo_mb6Jr+@m6=MUg&FWv_vNIcZh_2yHT9e!d_-DB8NT2D~h zq<5OX@(g&`OSLJyDGzrKQW3VAOi-e2@6La(yT(s?8R>_pwi)u));r_ICrhS_+Q!FG z=O9w{y%g5dqIS|#cyvix2tSE)fd696W7u@sqiQ_80~#AZg-!oSRW(eF@$!4GGO~*@ z2&g8L(aWusxnGJhfk&We=Wvh8Ad^K2?f~~^0VbTNY8Bx}&mQ!L5R*}csuVo$_M}Wp z3r+^1V)Yux7J4CF_nJq%p_fXedMVnAD#9q^7j#SuMCz6txc)f4kjbs-#@qV30Y50x+neb1Q(!FVCeoBkmMpQC zbaZq?J2II}MF!*m^%_wl4=b<}$=^x+coZaY5_LiuB5m`PSZ zn-T~&-XFk2){0i70V|s~2he~%hnwN?f;{2WWM&~+K=rt@G=cdDgSY^alwp#6MM~DX zs1Y+K(q$B$w3TY>-ovnJs3M)<4(V67UXM>RUXy-M<)OqXVIV0DYNy3=^ADcO)tP~! z&4Iud1I8l(a}&*AMr3FvhJxKXbnMU=VDGSumY};8=D$K~Kufm+Hjq%Kb zm@8*o=a{o%v73tRII8@+SR$D`JJ}G6C8!9}oFJo$+gd2@ltMR1pI6f&oSYN(`gzk; z>|p5@n7LEN=$PT<^Opqi1Xmn!JSH}%XE>FUy^1i|OG7IVd_=AAa(Ck)>}kn3o9p*G z81&zm?}VIM&-vm;JZktcnNK4DnL&qk`Slv^(8UjSF#ZM00|RE zpl{R$XW0RUB%4-LGA=v@+82?G4Y+)@YH*|bB#F`U0)v|8kX0(FE<}cSe^%2v&#ewy zx`yjURM(0t%_j@V3H6D0SkHwq$THF?bxbE%!hb3t8n)x7D%)R1voYGK}ie1YwLu)2y`&T(-vL%hafmFy1b;z10{8;S(ZiW2ZO3~_6KP=q zBk;vl;4el48gxorWsH?o3cDkL3=lZm*}v#puS@}Kqq7EtIDxfm%SE_z$vWrftH8sy zy5snOJxBS`;2^aWAtfzn8KP6605=#E|9%);%V<7sKu6A8D&ZAM2n=lj>bgki4wQNs zg^H>uP>7{>FqW1=XvIGfrXdzWX_*2$a-IkPO4Gtd^$JxGxP$(V!7$X2SeqT1iSAe8 zeW}1@^O#aMAmLF0oy10yzAN!iA|nDg46TMhrAsA(;=(y3rqUt_mlts_aXWy^QyG%@ zPHSsxq;(Fp0ozi8P?_$fHnlg*#d$xFZ6C#QTYXBjQW}J!6GK zQ)vDb5O{Q>oKLT=2#$G4qaO%x+*~Dfr=u( zrjgR;L%9jSUg4igMU7k!ng7KTLPr+i4qo+%huQx5WfS$dgrFVM5XxxAcvT%ovt6Wy zU~1nn@bGmY8yZ80!^(>^MFEh&8sWwf)Z}QXhKA%#Wo^j@iK3wO5qU5YTz8?+V+(A zS1FT$p^TvDziKv8iJ_5!LC?YTDjx8IJX=P*Y;>{R`T~rb*@e);h1X7W=|?d)LR(Z#ro#N0=z zC*F){)D6JOJkYU!0>%LQ?93pcy-|^_Q(`*6xGl8^A3UE!bx50@q0UyQXAfxdxq+_l zz1gTy&Hio=3GA8<9fb@|+dtGl;(W*$9C3c&#ew{}65{@pP3!LO$X|o`F3sf6xW=A= zC57jZmB|(`U>1~B@zDbE;NzzjMe(S9s#g?BP{dUTmH`(pG5tVQCAnD0Vskjy%1}t$ zA<;7fFg;3sFKh{1THx#SJ3tRQEa>+yvSTTMH0ySDO4#gxDuKv; z_@5FiB?7m%w^z2;@Qt?S=H_rS%0L;)*i#iiC6j=CflVZ4NtDB_E9EVD>cV211aWA% zyr%!0kyGDVh?93ooXi3FWLeWJ3S7jG0G9O^`9OaV9w{9w3s$7MhvY8M_#PU9%e{X; z+;V^uXGP(HcXXgFDJ+Yc`|-AXGPT8dz61u5zmXY)FN+(A49r2n4UBvMUUEavi!Z(i zKmEV~j0ROnUpcJb!V;LV;vmGYDU`xsVO?<1q_)xoNGL1Pe@bnL6IxrBQrj69-hTV- zXcX3HBZ==YHcyuR%&I!!siSeoVu9J{p;<#EXvo+|<~p&*e2SDV##^=vRy52=EFs;R zbQd4DrP!69Oh0Ib?QqQqLpWfA@3Q9C_Vx)$aQ75yCgf#y(X%Fi&mq0xli^;PA*4X4^YvZh(7ZI>e)OI(a;;NjjfY^0%lkjB3CJGNpi@H`j=$|M=+=C`GfF+(Q<$%i9 z7&HLu85oN)+GT7;{-s>(;%AL0cjc-Lh{#>jpe(>Fp@)h?&Pk;#!J=TGH^UG1>iA{7i#=cf&Z3;%p`uMvSqH-p&kTJi*(JEtQKI zq8it1eZe@yG)S3SgkEc!K{Bpqr`-8m)?^?-#kL|0kY%)ILt(+IhM>^%74%v~s~P!z!c^orVHCn8U2(a3N{$do(x+Qr&L|J9|*dC2)OqF$aw6G>a#AuWDq`;i;&B0?g zF8&>CWm^VV3<>KTyy++9ozOYHIq=kF)1Rn;rIQweEs?Ysuiq(Gh0;(Nye-4fFz`biv=h$it4Xmld1y`!A~YZ5Reu)#GJOWF0h>ty^yKm)P3 zO9CEXH&uvuOC{o=iX7N2l3)ie3hK#X^fGB4^->YIk9&PTS^=Q|kT?;Apm>j22TRO& zV=T~sg~g18b1;!#zs?3u8URES&%9bk_`T5mA%IvczW+840Tx~0i~AUb&>M+nv6=&Y zd{bUvz_L!ND<0{ z1RijlA@(7IPFhRD6$9#g4BFTxwXskcrRKeVC|{U^6i4Z5nese2AHcZB4(SI5`{Ex3 zvYbB_!=XMRb~CAn6M}nVw}SdS;^f$V7BB!n0Z32*3AOx(RYLix5`m<_;G6^i0ay7S z98QNq=0XIGl5hp47iKnZ%jQTq3{N9Z7)ms4h9mM#S*P zN(KB92|E&ihC!ncC0vADBw=VW<)PvjNykWnPtG-hDpx;n^it_%!dV1_ zeuiUy?mmtJ?~?#v4W7V)dj-4*d^yhgG#snct$|v0lz=g&o`aFZ5Hul?1ss5M)@@qP zxZ*lR8#zYAU?BRs)wnpwh4K+Rpf8s!6<;U)Iqu>6W?{vAnQ3>EU`|~1`b4pBjEMWR z@jgzV{7Cv$V*)?&LkiJk*n~M}vyS6VjJ*O90ne+*;z7=6CggGE1%XbD1ax}QC;@H( zO%rt9cZPGNnlVQGCLvj)9p*&k|IPx}!wB7hDaaJeLQDqCvKyduE^5^!8&JnX`Q&Ik zsh7c4=7aHLE}lIsN>RmoNCG{Bw5E)b_iTZlcW0;h>yTrci}TWfn9;9v9kt zP_>7Jm91_mLU{u|W(NRnHv!<3SHwk}^OWez9#mbX;2-|D3L>-?6!BTdL zvOoyx2^%f}F(QJ4Ip>LikYo3;r%Y~gEOs(mPl)VK;A$2$+a*kDG{JEow`Ft_+$sf~ zb)2dUdsR+G%g5Ubvhj9iIA{hb+{ECi@fBG@P{c-_PJ7=7`J|{ipXmfG&?Ax0pyo3H zoU=nJAYP ztP=eGfjn#Ol1ayGnA|2~W|vLEAt3wLSum61$7N=UWh4`lD5dhjm5WP*0OUfeRi#~PRg*L+!|K{++r zCXr9IVa=>mm}))rO-5EE0{jK3O;q%bD+FlQu`#lIyb8Ip zk`qf$?f&6`C_lh)YEvv0#{;7{d^hEAQ>sDE=i_Iy(djxjI>ivv>`OjE@e%KW0vwxX zXg%`*PGK#mHvz{tq4%8HZ5CmAEIa#J7`5U$vqucKNjvVvDX^yL?6Lvn!T; ze#M4Z?N3s6Gg}wWjC@GKm->bt6_ZRIqSL4mG^pvOA13Z^X9>YU9#-S}< zYgy=DlypLfYGZOm0uFT0n9aR&7V&6o{P~hiLDagK>Tr@W9wf7bs?B%xxn_?NGGpR# zGp6!yOB|;T=z0(41F;X}{C>m~&7TUUR>GwlDEO)kaH&)li4gh)1}NnenvbK5;3MP! z@C6=509Rfd$pXrB<}u%gXXpJi*|=F9#Zz_%bAuBH258}qab}3+1OmeHl9iAU#3m~u zs1d`!dOIIRJ~lDcRPXsB`UN}(L9{h-d zLYq-LgodR9IT6T1zX-MC9EuBRcm|3wmjWy#y%f3w*GouAvJjuAKm##I?4J+KQ3Keqmi>$Mux6$^4q!|eXU8qr>79oh7;#bi4RR&rE zOY51{=Yq$YSHb)w(DIBB^c9$t<^EiX8oz`iizi@959;@@Zd6A>(mc#qBRKBdl4ZG> z^hC#iJIv?=Ixq{z5o0=&g8`}Dd_i4pTrQy1M~wT0ahn3x2GNsh19%5+qghvX!T4&%j=aA3Y?Q5kmXkhnhN4${3$5_U>F;M9LI1s;eA=E_7vq=zI+Xt2k7?=Dp47_9eCj}GD61@n_B$&qz^ z6l9iEmbeWrH%qeeP#BY0_c0=6Gez*law3Jv@1oNHC{miIT zjF^c2Bkms;^O%8_MB`GkL4*J(eC0XwAH)}pF#+!)KX=v~^uoNcOI>d^1~qdq;5ggO zSGAP+s)4z%COG6}`Z+&mi#kaTkpE1J0*`G1iwrEzvx@>Y0jWUk6u9JE0lu^vZ?h$G zDqu~k_+qj7HDv|ZFk9BgCUssO>qq|V;cFrS66CdmHN%oB0*cjhUoHDR{&R%?FxjFY zn+cN}-Hpd^TtAF2t_hvbg`hdCQkK*$oYZsk-(w0{Zb$q~U%#Yp5(Z>0cnPwC$Wooa zggm9$M&?L;zeu4d)__vJuAHkV=L;pRaHXGTO)@7+tM!uPL$Ntk@&PzueBWq37%c&0{wFi&w{d{Svr(_!D7_$M=u;1Uf97A|tJ^t{|4l8po0T z4v>fC)`k#Uk}(CO22NTM!R(9pC`aOYiLEmwjj&uidb0YHZ`WwO34; zG6gt?NO0Eb6}`*Wb|Laxux{hk>pp*GOM7f;GC89l`kQucvYtMxv{W@Mh0hgv2fzNm zV4w=FpqZp-OXrQdAncJoT)pWhow=)xmu-eW5P{Wd>iS+|?&`*`KKLnI{Wh+C?WK+G z)lllS)Z^t?XF)iIH%SpF9=>1;PeOp z4xA!zz^SL5rZhC{#xK8w0e9Sclzi~N(B_&JyeF{G89&uoRyjEr8W;|DUeSF|Bb}9> zK7GE|8w6);DyLK=f#b65aSe`3vd0r~9CnZSAtMq;j`@Fh zZS*IF%o7lAyy4(}5Fquun_p`6d_sa1l|5*gGQS5R6@g7|TJ)_cF6*NoQKIH?l_9X{ z!u1OmY})*pMKB4{X9`jT;troW0@o~ptE*g@0_#;O0zo@z!t!p+pz7vz$%2SnC(5AB zyPC`mh@T*YOOWDNvP23YT&`<&e|PtuyZ6G7t=jsPtpnS4z$;%#5vDI}*fk5=7Pf!p zv!C(049-dCR8=!FDhC+XsUc&kD$OSjmGP?4z-OAdRt=c5Nh|3&sAA1RbPiYjkR$X- zlWZQAYG;{oo#1P$LRLvhD4`QpDPTFqtF7{^9K%wx&Vs#&`s@ULBjWAk!$_fKGs4mhyAWPF2+PBPlD3@oHQT3$=aYS!6E5+V=D=LWBMir?5{(m%h?4+P0m zOvMrp9OsdkuIu5o75I#W60IvQk3`0d;dDZfE_a1or)pjzb`Ga>p$od+i8cSA(w(#v zgk6Wy{DCWoA>V*x)3|ZtD&WG(k&@4Yhp#@B4uqgzA;@AE zbll^aNQzs1a8#%cVR9KlufC~ulP2N=6$sfIJYYejve5&z8()zU6pPfsc9ckov=4gL zo!@wVQ+ie>&0R1vJ-elKHpOb-@ImF)^4g}eW^Ew5qMiJZjsDiTpStj(i@Dx@SGBn0 zstZ~!z>P*qH8(Z3OTbH&B%p%pC!9H9;#rf=a@B8bR{c&iBkXeLbzWpa6;U_@)z$Sv z{lirxt1j=sGAunkg*Q%6Ol5pAt_W#xAoZ9Q*-oJ#%m-{na0i@fx`QG(hk`*?7+6A( zH$**}`3RL7N4XW|;>td&p#cUd2@5sdnlU3tlafi~Zge(15epSSV-R9Ql2BHhNm~>! zc9XG#IE96}Jym=HA+&H8c8FgoyOpo$WK(2WSm6*%Wm5!B0V<@59ry5x%|%7UQo(tR zCLCZ42v@*5z+yluRLBojAsc}j(H8sNP$@8%!2_VeSO)HM+=|hx#nht#O#P82E=y_j z-!$e6TVI;_{ruF}o^q}(Dcb;~ch%V~t_t^J5*D>WUz z_bR7CHCsJnb9=Xkc;ymIXSAsF7gycznXCF1t-kTb&klBP9&zV}!K?c2T7BcLU28D2 zu;9+vU#uV4zI4O(&W$^k?dic}?|f|$$&^#*#jtzja>lhd5r`?AJ_t1YsJY_>tdp#y z1?C1aI-Ui!DVa=`yPnq6@yVoH;$*+4K-iS2W0c;oQWXwi7DuEA+5m|O`S2g&Wkb@& zK&#z#;3Z0wykhZpt9p7sDfBYS~0#3StX?TS*D=1e94QjxKG^0u>3q-d)cLz zEm^v3sh5gFRODqWmdHJxk^9;ylcv-qr((9BdvkmUx_NDNth%Old@WW)4doKZR_o6^ zrC2E+umQXZ%|SQNq)u2h9W91nQn_(B8lHV*|(CKUGQD!nxdnoji&}4jSNCqfc12brk6`G=vsxt4~y(2;|N$+TfZQaCV?% z$igseYvX7ZyK1=RlX?Y7Mf#YvP@=Z;OSm;r_WK|t?ef=9rSu%F&7rjl&Ur;`FbH=B z9wyEjNxc!vu9AwLPK1DVV%Xnc0%^u0ga{5t(NYLzx#w;Lv%; zc#5`{m#2_mf-bTDAAzeT!^`ZYY!DO4ps;(XXkEpbHE`R!t>YrK&<+TPbh+WuvD@vI z!otd|&C(P=>ZF5)lJHGkb>4R>bskMcPod6cMs$pbghx}_6vAS33^sl^2<~J34M3Wu zzx+i|>B7+*s_0);_`|F493$s?{Z)nOPp`s7ua~>Z`NOeU<=m9ZLlmemBz_)e9oTP+ ZG@q`{F8-uqr%yHs!IrV6dekJu{{h7l?5zL* literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/tiny_text/Makefile b/demos/lovebyte2021/tiny_text/Makefile new file mode 100644 index 00000000..3e3c74c3 --- /dev/null +++ b/demos/lovebyte2021/tiny_text/Makefile @@ -0,0 +1,46 @@ +include ../../../Makefile.inc + +DOS33 = ../../../utils/dos33fs-utils/dos33 +TOKENIZE = ../../../utils/asoft_basic-utils/tokenize_asoft +EMPTYDISK = ../../../empty_disk/empty.dsk + +all: tiny_text8.dsk + +tiny_text8.dsk: HELLO TINY_TEXT + cp $(EMPTYDISK) tiny_text8.dsk + $(DOS33) -y tiny_text8.dsk SAVE A HELLO + $(DOS33) -y tiny_text8.dsk BSAVE -a 0x70 TINY_TEXT + +### + +submit: text8.zip + +text8.zip: TINY_TEXT tiny_text.s file_id.diz tiny_text8.dsk + mkdir -p lovebyte2021_tiny_text8 + cp TINY_TEXT ./lovebyte2021_tiny_text8 + cp tiny_text.s ./lovebyte2021_tiny_text8 + cp file_id.diz ./lovebyte2021_tiny_text8 + cp tiny_text8.dsk ./lovebyte2021_tiny_text8 + cp tiny_text_720p.mp4 ./lovebyte2021_tiny_text8 + zip -r text8.zip lovebyte2021_tiny_text8 + +#### + + +#### + +HELLO: hello.bas + $(TOKENIZE) < hello.bas > HELLO + +### + +TINY_TEXT: tiny_text.o + ld65 -o TINY_TEXT tiny_text.o -C ./apple2_70_zp.inc + +tiny_text.o: tiny_text.s + ca65 -o tiny_text.o tiny_text.s -l tiny_text.lst + +#### + +clean: + rm -f *~ *.o *.lst HELLO TINY_TEXT *.zip diff --git a/demos/lovebyte2021/tiny_text/TINY_TEXT b/demos/lovebyte2021/tiny_text/TINY_TEXT new file mode 100644 index 0000000000000000000000000000000000000000..95f9f2e1de71324e2029b32247ec8bc1c726a7a5 GIT binary patch literal 8 PcmdnWpz!$bi+~>h6C(uX literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/tiny_text/apple2_70_zp.inc b/demos/lovebyte2021/tiny_text/apple2_70_zp.inc new file mode 100644 index 00000000..9737f2d1 --- /dev/null +++ b/demos/lovebyte2021/tiny_text/apple2_70_zp.inc @@ -0,0 +1,12 @@ +MEMORY { + ZP: start = $70, size = $90, type = rw; + RAM: start = $70, size = $8E00, file = %O; +} + +SEGMENTS { +#CODE: load = RAM, type = ro; +#RODATA: load = RAM, type = ro; +#DATA: load = RAM, type = rw; +#BSS: load = RAM, type = bss, define = yes; +ZEROPAGE: load = ZP, type = ro; +} diff --git a/demos/lovebyte2021/tiny_text/file_id.diz b/demos/lovebyte2021/tiny_text/file_id.diz new file mode 100644 index 00000000..f1c9f3f0 --- /dev/null +++ b/demos/lovebyte2021/tiny_text/file_id.diz @@ -0,0 +1,5 @@ +Tiny Text +- +Textmode Hex Scroller +8-byte Intro for Apple II, Lovebyte 2021 +by Deater / dSr diff --git a/demos/lovebyte2021/tiny_text/hello.bas b/demos/lovebyte2021/tiny_text/hello.bas new file mode 100644 index 00000000..78952d62 --- /dev/null +++ b/demos/lovebyte2021/tiny_text/hello.bas @@ -0,0 +1,8 @@ +5 HOME +10 PRINT "TINY TEXT -- AN 8 BYTE APPLE II INTRO" +15 PRINT " BY DEATER / DSR" +20 PRINT CHR$(4)"CATALOG" +25 PRINT:PRINT "PRESS ANY KEY TO 'BRUN TINY_TEXT'" +30 GET A$ +35 PRINT +40 PRINT CHR$(4)"BRUN TINY_TEXT" diff --git a/demos/lovebyte2021/tiny_text/tiny_text.s b/demos/lovebyte2021/tiny_text/tiny_text.s new file mode 100644 index 00000000..d0dad00e --- /dev/null +++ b/demos/lovebyte2021/tiny_text/tiny_text.s @@ -0,0 +1,32 @@ +; Tiny Text + +; by Vince `deater` Weaver, vince@deater.net --- d e s i r e --- + +; scrolling hexadecimal numbers +; hard to do much in 8-bytes on Apple II + + +; zero page locations + +; ROM calls +SETGR = $FB40 ; set lo-res graphics code, clear screen +PRHEX = $FDE3 ; print hex digit +COUT = $FDED ; output A to screen +COUT1 = $FDF0 ; output A to screen + +; load to zero page +.zeropage + +tiny_text: + +tiny_loop: + lda $00,X ; get value from zero page + jsr PRHEX ; convert to hex digit and print, with scroll +; jsr COUT + inx ; move to next location + bvc tiny_loop ; branch always (depends on the V flag + ; being clear, which it should be?) + + ; we could maybe use a proper branch code + ; if we can prove the output of COUT has + ; any guarantees diff --git a/demos/lovebyte2021/tiny_text/tiny_text8.dsk b/demos/lovebyte2021/tiny_text/tiny_text8.dsk new file mode 100644 index 0000000000000000000000000000000000000000..55ed92bd734f17e279de19ad2d516a4a73083e67 GIT binary patch literal 143360 zcmeEv31CxIy8pR1+s)D}Ewy1Oxj=yyDJ`pI5mMSpz|e(FivkfvE3ztR$EQ+fD35U( zsLZ&{Pzi+siA^b%P!TGK;7|mN3vT0rjv_lj1#u()-*<1)g~dT<=DqR#4K(-Mv)yyg z`PSce?hRMfy-^#qR$V;`AMN(iVcwfdhg$vTg_&W&eO{;u3+eF({$-=7!%cwS8V4tYNaEJm-@s1%&hpcB+e-o(V)*47GMw$43i)1!9( zu7LCPHU3GPLc+<0Ku~e=g@Bi##Cctnduu>kw?;f#>3p!#6SrY_u+8r63Bj*DZ8r>m zYIR`u_Qc>>=hiiq;U$wc1<$e{`-nGw@7*Du_`%DG@BQHQQ;H$wx^$aO+9gNomwa9C z<=p4$-AC*F2kYG**L!*Q@p`Y)eWJel^ZLbQr>Xd>`bTJnd|p;@PFYc`6#rOX8UFK< zWA)D6+b{{vg%$qK>oZQAruIm=PuK59yQYqi`l=pnsObDA>z!Iu@aj-E;|S*tZ^ETe z7#XDhv-;{!>%~BQ^@;k$W{t;m^yvQM^<^ih$NeAI2S0KN#Ug8{RU}(o$r&#H)&O65VSS9oD zi#H4%HI!|ozo*!i!v`mnpQvO{1`k(`Z(v(fhE=ewd(3BC8H>6vDONYQw>K==p8kNJ zZ7uEQ4OcAPo>;csQFPR!bQFo3pIaO}=RF`k_ng;9Uw(W&>6s8zY-U>v!&`g}DbKIz zUV$FUwl5AItLk>NkV%;}#cDZsGuyH|cvP+@Zh6l8VN+MfC>6#Ru8!s|tD^`_aBY`| zRZiHG{vDc5W?OsE-=jl!@9Y*l=JJS(L${!^`MZ$^f?pk#QjNag7oG`n(jWZX%N8%} zww0s#>ovo4CKGpdA?uRZFf+4s9Weux%@yd z6fMWq6msU{bhXm%(n*ifZql)9x2JltobHRwpRWtn%hf&%)-<(UZFMcWy0pi>Vr6J7 z+II67D@(g)eAXk>w^lPUe6mZsmMcw?;!Pw=P3Gxp}kYd67L|)!izd z-n==H(ucYQU&6tP4pJ07R9E{NO17`L+U4_jDlL10KODL)$R8R3QVfP%TzYbn*fFsK zedhG+*4Y+GKmNp@|5EYviZyGWsrJ{bf3|L8U{n3(=eKNacwyTs`}V*3##?W{``+K) z|KP)qK0EaJk)y|spE!Byi!Z-AbN1_RzWwg|AI^vC>O2SQUfR|CUAN2U`E8x_y{oRy zxA?b_@11qEiy8OiQ@moiQl<8+tX#FaN+YcE`m{Q|A*ObN(PXy7#y!_2{;C9P+jiSu z-0{-RT`&K2cjKP+dpjg{48Hcd?ac#Xr*}GcN$T3Id-B1LKl%HoDLt+}+%vUTT6*t3 zq0@c)^&fCe#<_um1`oM*=rB%aF&cV`yjjg(%WH)$orQKh&je=bEC`JCVCLj@JmUq6 zPT-R*+`!JgIh9pRrs`T=)zi#`UQER&Tlw@Zyx2!+;oI;6*HJX4bxFs6Lqd;X-Mge; z((PV0y5cLvEc8xGvCx-^zRVVj#S|OMna~0~wd19l z*EF>mgp^voiZxOVmuWX>&>+6Yph2Mn3;(UUga)z)Fd z`lXmx=4AAKwnP}-R)0pH)TwN-SS09~s2KA5P9n$F@peyQ1!B$W#(k(40DW94V48$k=7@^xfSvt+U6Ki)`%>K zR71x_M~@!W`i>rzgagi9r6U(Q{A_unKd2CoEEkmx(UURDt*&tRjn&SNwvP1hW#`l$ zW!X8Uhuh%rc}%Z4LhT=MAX(&i)Pak5goZL2cknFaTaiz`td!{}auh8&r=l{&;^0Z4 z;rH7;>J5&k2C&`Rb-}Y9#fBlJBRu>DhsTUg)sH%oJxrKhu4;H>d#$4358H3=pDYCR z9u1gtaq!!kb1IK=gF~G7LUPcudW1Q~6T4XA&aV%R2!81?Y;c4oHY!6mP8VtwB74r0 zURJC^8!>xxdD8x3mHA8Xx5MiaAA4c{Idv!*D(svR>Tlu;Mfh`WZCKrFFGNWPs`Vr) z741K#41N~cQ{=TLI~^~C20GWjfDtGKWkrDi?I(T`%Mo zD_x~M#3e6mE>PgiU{r*s!9t9l@GW)tbnH5pgJx{{?fp@|NY}E8 zi-uR4>GhQk^-O?7%zi4o1@i{2g>P>@?#Y{6ngcSL_<|U-%`4OBd;Cy#Rd!>S@;9vIDjIqQjU7D>^OOYn)j8sXt+jXIR%&lQG^}b^qq+RJ z$Fy*m)Y(((wk11fy`1xM4$*4s&xNKI ztT6w>+wmWU06XZ+hMH&YTYc_1KKERoOT1}qSv?L9)zti@?$6>?tDax-mpZT3Sz32# zyXgO)Tt8RQxIB2hI4ZnmNt$J zuO$l-#mDMBhrRW%-o)?L*Tzd3u-kC_Xu0<RtYW%Yz!P(qnkk;oASv^59AT z`Q<3jIAV66U%vm~a+Iei8X4*^j|z1fxsbAv$7$H3#HmN=wcx;MVeI*s4VLHg8=c>+ z_y4dwRJH&6%AC|iy4#zC_^n?Wb%fnAMJU!p{N1w~bmTw6hecH03^NL<83RbvQ z%vq6J6}$TJRl(J#R!^;*Q#r5lNM*aKT~(&4_p2%^pQtRUoLSYUa!6%zWol)9SY>JIb5iXnzC4E`ha zWLvwZ`}{}i-9J2+AdTHKp_mAZyoDk{cT>53VXD`wnWp)>P z3x!u5RQad+LTdLEpR;78%ek!r&Vcg=pEFSJH&s*{D_s5^zUmi!E@#2Iqeq>E-#Vv- zoi~Q*ds=u}SbXhie}OL)@9^L3do;NyUE%BoN2p?TlGpWUG7U!|{&tO5fx8i(Um-sK zwEJe?l8HWN-HI6*jM|Rz>3j{A3P*#vg1T_Y zD0Qx0AsrjtAq|b}j^JcR1`;5q$!m(rkJn&a@l;*qRnd5jYn)H5K;NO##z#V@E0yRX z_%YUIj4#v`hk75D%K83kKjW#A&g*tF9v7JC^V)-IuQ|wj#nj2Zkcn=5*9zPi?h2)7 zRa5BuGj6u}CLgYu;hHgkdEL%kD?H({DZbrhQ++3=_$ryuAaxw%=w8ItD^nH}E?l=; z7vhVA@=$U}+`MpI@U6(j6}UG)$7AejEV}ct70#V&j8lBoQ+&9!a)Xy2sP%?aUK1SH z?dl-Eu&-C7oLj`lJOa87b<~xsuyo8b-C5`n|F|*~^VEFb?(IX$zr;!KyX2q=U3tya z#^C8F1Yhz0(bwn+#rfys5WJoWw^Jc+9)?alL%g1+=J}x0T<-ZkuYpRsiVrb<{&M{zLBY#oY#22rcAC#U&*#3~=bqtn&-97!Z}Us{AGG22zOI?# z^H1|7>b#S5-ryf=ZuN!kg`U6+1>djKePG93?g^d?ZE6_hO&<}1o~D5)Zu8N_EB&|m zbh>p_IYDhz_PgV~PmV&Rr|$4oWj}Sh&w1G6 z@RrCu6{ReQ!N}(Mf=5Ej#gdiI->txGi#033WxfU*h`3{g!vzAw%7Mt#5)oFrXgd=s6=l+#Q2i0q`tDr42j?|`iYSh&xisx5O%ZAfF zEoU8)`2WbX?9%Kfr{$F9l)swcQfGI|aV66N?xH3q8+GNv5l#A`Msw{al}c3K6Z}?% z22N#VRkri7^Z5G1dH-Y|-Nj^|tLHplzCzr+!aHa5JReAv@-Y8(xN`@TY!PIN^Ubwh zmFTMk65?S`K5BP)HK1z&InJY%UPJJ#U#M_51pL|xH{cL0mO|W3MdGSTv20E7JLlX@ zUgkWo3JT;_pMQogsPoVCfx$Q|E>5ZGOdpy8JHf&zoV(YQW>#gF+QqE3V(wb8-CC@O zdrQE%ZjD50p{@kU3=+SWuCabCdZO`4LqmNi3#qQS3?<^9*M`jE`n936qHk^JG_|>E zmCLJ5o#t!QLXt3#S1BG?<-f;QeYa0MxC#TQo*^Zq9A|iyxUSN>AazFoHmkxJTq9K% z?_W&>n8F3sOYZiS-Qz<>&E3B0dwkBhtHnvHz}++M_CcW<6&RCL55qd)^7*PppSZp$XyPy4HfHsP_6se!I05ivYn1<_rr8_VQBt5pL?Uv zpYL-Ad?0lYb|@bkD?{!5vwT6JX0|V6*+1L2f0hqy7Rn&W82ZcwVPAVY6blxn znO;C9l%MNWlTrgyn!pNP#XhL9{azJD)_Aa-Pgwx{1Z5P`?t$>qmOzC}XWjBD;a z9Ew@f_uvO1O_9}A^=|q%MQ{tguOv-Vw*tlnah@W$QeOKgiM|wY`2)VvO#en-sof>2 zpDDiz2x_AWi#~aibJ-@ix<{ccQO&Cl>L5zgUL!vR=tyMtYjj;wg!3p zTA3bjFF5roY85jkR=_lB80PaWxz*P&*Xz)hK+i%Y94<1SaA6JI+XDU~pL=`2KbbFjrRKD;q;15rTTz zTIW4$Nu(q~n!vZQmu6RGmgWSHdlEO;-<|IZ{(Z6eEF`zf&njxTilENZb%Q;m^)23D zUzAyDe+1oau)APwgp8PxUG|`W+1ZsD;`X>kgC%q+3qoq*kl-3u2D&Jf}hew)|yB~Sfz8@Mf!B>=Vq#N=bk4QC3GmA>? z&d=7uz(*$j)9mVtO6Qf%DJs3EbhfLgbad$}MWxQtJ+7*O`xF(SSoZ{9Rfc=K&(Bws zX808qr30(3*{7m{alWbn?y)|Uq|Qd&&|VE{+LxGb61Vdyz#n`&YU-{3y#cvb2wdSI9+i#E;k`( z%*gBBWu4}D=B&h@82RU7oz>#J&g#y}F2$Yaq|dR>v6t9O1VCd-hBWK+8*wiF&3S9* z8+%{h@#0I3ui8bkpyavjo^r;3H8UQ68vKP9u;#yTjK=NE%pEa4b3~4qn>S9(oRB%v znK|6KYYcL8#GEl>@?NL=%MtU()p3RS&E4VpBgSNo7RTk~iO$S1BX-envqz4>n8d8S(W5hSce2L!CF> zHnVu)lfEsfPcRnxq5TgE#aFsyw~nz`j_EmRI@Fj7>0@BKckSK#s^{l@6bsRboxKNC{?t1W(aO@jZSx`*n1U;SA1 zqUvSUly0bQtbV@wWuy2<;aA_L12NljK zb}OG>;;$RsuLs<32K=W36)$gezZP)65%BK_RP5d;UQ;U? z){Ae|i-XpqCZz7JK!a4JL2A^ndn1&h`)r`$^NsG4fri5y-KPRe-VJ!e?t=mM#{vH* zb?)~9R_EmPUgoQKEpYf?YVaI%4k9Dp1pM0r?sEbEivb-zz9nA=ycYMjP_2pU#eVC> zm!9?VsRsg~PU6>SY!xlF8T;P}z-E3o;6E9_`2D8>xD||$W+eF;|JgwL)tHXb;r6s`Ckf%?^Q?IP~{E7agNr`J!rkD z7Hz%um;(X#fdCph|Fpqd;(oeLGWwpT8^DM$ov_NEu9Ih8?n=Hpy5y;op9X?wPkt6~ zw)Z#HhgJ4pb<|W^m5M_ff%3k*aqC|uY;p4Lydk<#ii|r|aQT@7^$c zU6J^EfA#XZ-MfRI``-vuzZt-JM(t~WLU6$h@q=f*tP&y;2F157qBmMFT8XRN6?I}- z4gOc^8`jq$rU#Q_QI7v?eQCBhobvIXR;zXr6rwb)(Ae}*9uMx#%zJ!KqJ?yu9*s^{ z3!L`b&1Hy#6Xd3Y~#zyVraS04M#>N=>k8~{i;MRziXb3{#%wiYcGoB!q#h4s*gy8;Ml zz6K4M8icl!@;1K~K(LN7q`Ov%H`dC5ycg?0oyY3HcgO20Gr&b#>JA6Ltu!1g3$^hm zHhbzSzO17W9?T9NuXF?tiytqqWRFyA*@#+VPOaBc9jaTr7_m(E){SKi8+Rjw2s8G^ z+Ukali_=xX<4=YTi971WQT4d7N)}RA@dK@KcwmLe}wNMU(q@*2I=LNyb&k^{fwyv zGCkb=e!x2Oy@30}0J?O45SaP5fcv8WW)}nJoMURMUkUj42FgMdm92RzQ2jPcCJw#u z)i3#wTfNhV7;nwD0S`lE0W+6eD^xes)oiT`>T0%b3}S4UvL#Q{l|6~Yz(vt@PHoK- zb=5fM(Z;{aM|b|R4;OGPkz%z7_X3ks$4E04jm!GK4!Doh`_Ba^)ci@n{YAb1?*aFh z6kk4E4~Pgr5yHNpYZzXj{$usEoXdZv-lJ(0LxM-yXb$ln;Ypyj{!at@4hCQXeHPgF zaUgU%!O-T8wvXXDy^H()>WW?HTeIjvvrI21W%R|c$AYDPXn_K0fqS+xpKz?Gtt2zNwuB`%tRlbqK}!N7%U$6 ztTQ;aBix&IUS;qquPPV|#MJ0KvF)f=7gWQev6gcg0(3mQ1P1~X$rPb*Sz;JFc^yv{ zQ#j1&ZhN!w#T{?F{^AbLu6+mgzWSEul{Xr9GK)z;mgYbB+#fBsptmmOs1+vXhQoA$ zpZ-U!e@?p#+eF9qGmiYvX!C!niGDc@YfjDP`*2%Nu*cbB(cAmSQ{&<|OSJZ{$6sgQ z*BSVA27aA^UuWRg8TfSuew~3|XW;*v8BqL=*@O}{Nhz@Q=^Tp_IcBo57^RuDzZRz{ z&8Gu!n$&!1$LZBgrzXawwjaqcr5J6FoS7!ZpRmE<3Wra27Q(13Ao)qYw3Dquv2e$Z z(o$D}-6b%`!w)MIW@@U!l@}%@IUM)99QR`fuE>Pu{P*4q1ZGZf%tV7_%aW3s3ls`L zczEW7hf(17-*i(`0S#;6nKQ?Z-R~+uZ;n-~MvQ1#D2=cHJK;Hu5~J(l;5eSg?q4gj z80Rok3A+`!b4?{&xED@RZ@BBbKXiDHW2JZ1eV6rzH~;V^I>QK=ftl>As|pQEShmv2 zxFn`fGHo$4mN1NA46?%$FdOkVpnnCfW#&352Jk#Dwbw-2tEKi?XwN6HUK}57UeMHh z;2n3kIA$=jIJ73f3wcn*#b**ILety=VCF3S$g^oS2E3mD$g!HY=^I$J*4CPL$C}Zn8T5@ z%ptJMg5*^NhgrX?{S%59CvZasyocrBJk(0b@~LlbG1m{Rk!$5Lq(-cSVUqC8onxKT zcP!*G&7)O;d znej?a)aw)I)-i#Rg03h)k141V?t%1${Mm}#+W%wrY|YP@Vu|`qEIu4QbrE|${Uhvo zIfh#J^Z4^J+#VfZ3-(;qf<0-a24Ru?SHhFwoR&-pcK+%L7%@zo9OXo``7!}4mM{UN z1Rf;bN@8umP3c+J4&m59yB*(38&i*Cx|?ODBOV+kab2vToEeLl-o@s%e{aCdz|J4(2@^2E@QkQi{x~p^th)s>#j%k;BkQuT$rJZ%Y;|`ESh#6{`3G|D?u5@PR zR5G{PC2s9A;cEXUtaI`z#&t?sHjhQQ_DSL`h)|FfOK0>zgM+@aXUa@_m>L^cIpf}8 z3Of_P(D_^jI2#o+sGqM%!F|r{}JwNG4&)y`77Z` zsSTJC4Zi=mj2Ir?#EGZ6=B5uYE>0^f+Wa(N#qhqGU z;LSP6htS839#ATIG{QV!#w?}`7Gtn7v{9j6D(WSI94=(f*6el#{Mm}1anT=DpZEU= ze_n>6ekOZfj@zRnY{8%Z>FRT-3kt3PACRvdJOe`S4SlAOm7AF;J==wk#4f}%U>JD6!AH;kTJ6!rb;+;6TlhRI>|CXaAqkgP1%> zlS^@v65P~_xJiW>)iN%goz&^HT0zig)M}MV!M9;EluCtyV{J%*Pco!4({_nJPh7}+ zt$67Qc&|0HMoIfJy0JgA!I*9RJjRcmS!9cs{ilBvF$$x?6pKA8v3#r|RvD{`RmW;# zg;;H@E><6F_)j1I&l%tKV{S;YWao^|>&J}43kQkgbFa_MyCIhu(g&rdi(;B6_8FAk zpMA_FWI%&-0QXy2|Af6r*G1A}k@iN?4jNk`|BV6IP=umi?Ln;v*GFn)`B|v*8^hYx*6C4T@d|(>FR5(i*fj&`Bc!UW( zsQnqCBNK*lbe)LIv7t&h#+Ju1C#mpRa&|a;<1AjGP^twr24lj-F;Zj5gwDb}F>o6u zEIi%@r&6U!V*QholTj~`X{9L$_r{oV@VsVX>QyEK3y+))3mb}A&G^2?7+nvhK`J_5 z;Xc|DZb}UFH7+GF+VKSJt4h$yvthdm150R+3@CUSc0wDZby7MJ)`{MR%1+ZFt`H}g zHWXfGdNK}1ZyNce;qYOZJty&VqbnsXbYubL6|>NaH!T^~iUt=;E65udFOAg_S^TD9 zC&D@!EX-iSb~0qbMN^?X;QpZ_ET=_YN}BpHO?|;}B#HK0WU2%MGs+F8TCjG!Rbjz0 z;A(V-mYzs9Wz8#ia#&gyEBlMIrVoC5 z|G8%hM@(0+yl?y5@di4^AUZsl@CV)Q>4l4sn~-(?sc5ct0r&jm{9@#4ubK04B-bQz z{c)?p-E_C3AN+RCSI^{UN&O|?8to4yOQ)iL{4e47>v&Y2f?1)Rg4{4n4kiGXO=Q1O zn9`&X{E2!sx|kxCnOv+L3T>u?oF9{v-ihNTGv<ux6WRERwbarbH__MeVhk7Z&P zOc*GfkEL%hIbX^Z1~7;?mDni@g%hdJG!BpOVl53!Oq(qAKou~}iI`C;;5qb4c|uQ4 zIt*a~u508>YO)ZyL}Hf`ZiIjfO_Z*j2wyJ}DJXocR>F_0TYAj^^j=bL}+CU*8l^PZE)3COK`RxqLORb7zZEFEfCPEHi;=f)e1t6Qf-&f+w^?%mX2sf!Ij3bn>K|CrzH>nnI=1?<~4= z`du^bl1haenW-2|D6p!fS(*0Ctn3_MMDdu>LTV`BF>+9hz()VFsQ4SDOuH@3NrRD1 zA#2jWv9D~{qpP$*WhiZ6OQj9oqSB_pmuVh%#&k2MGG%kB1T{oAK%)c@(S;Olfiy{s zP`Cxmp9l^BgtfC}WgH zC3Y7nI0b}=La9(G)C!G4P-qo8h5qN*ng6M6VE>L+jmEC~LNUuRX5`p$qcg{1$Gez= z#{vq6=Vcd)ofuDsaZaXq``xo=ika9(KPG3aID3{@n3*f~9yoBI*r`*e76xpK6{fB%v7C12y8D`OypuY!~rr;yu1E6}_ z9IQqkd;nUA>FMcaaA6yJ>cUv#kmYKYTP#2D(2pftz2>CU$Q* zc)JXG;|5041xZ#SpmU+e-P(gDms|OcExOottnNP(EP9n+Sc*?1SOkt#G_CYP2R@ey z76tH$1dEdJX>K6k6A2dGgilieCOLKCF?=Gyq7nGCERiC{BG*Ctw~vNr`Yq zOr!%jdKAu9ALLM^WV&L!w}v&7n?z?9lN(WCl-x+ESKGJOqS;Yv)Ots40D(s z067tBQ#8gdQK-6^E?Z77tQV{eGjM{vWspV*!^X}!5jP`nK-e%MWrku}M`Ek+sSD|O zWJ~Guvk|0-nRHQjh5ZP8dpW@MXX3Y)L4%`f-U7b;Ukh;8_Ne zK(MVj5(>f~9KSc}&ySEArV8I`mB-1l43= zW`sLrg%v}{sU1o!nf))i>`R+Hk9adIgOZ4w0zbVZ;=RDLDTz2W@aatsX4OL7!lfV1 zgmI*r2?aw$#tgrGLByxo2sb5(&6+%Me1UVsa;ez3kq&95jm)B*#|^vvmPt;;t`Vi( z%>iuFYu{nE@g-b)>TECTh^on7C?-!%n>=~)h!I_&1qus$78Vx9vZ?v`$@%&DvC*LP zFT{s8r5h6204BNJz!Tyi%;TWM;|L;40`>u30O2Gt4Me5sMBvgB2@4>6Q)&$|$Slgr>Xen0B|&av19Z4RIn0eHheWn9q8kct7(WIE9pbJ@BL>(7Ae}SM|@oo>UfuvSm8Z{Gu7uR3)rqrsc@$ z53OxQI0FJ14%jb5`5dO;EuqJR7-nJ=^yfO4Dm6TQ$?cTQ>MZ84q`x&n;T)}ub2RY2fW?W0RdrWS_9)CIs*9X zd2=LoM(oV6OE#+nj%AW03__qq_>K8o$F9x%n$KB^@(DRt3HcO)F+Int_y;t68#RBk z8fx&D#kTEX;SqWl2Od%kwW(QC2D5Du+>X zXa3_TDoi;<$1jeeKFHpUMp0=KfYT^PQ4t%<@6;-E%6C)nvlPaBZ2n;VM+_;1^9u#0 zSVtjffRB3P5X#W;&oh3a%4k=j8X}rhhk~WqpQt8@#3OU_~1-OPJJABMf>Sb}g(#kc8BoN_9aH^q&q9o%?rC z?^Ov`#a|WQCcaHvo4DA;Z7e)iR?Vi^dU33u4f_uI*>dP>D88`TVI!fxX4_)=LaLt) zh+qeKWyjbM)-}UWnI`S4=nHQ>e8^@@LQMmttErsbxV_~rsYQzZ^K*MCSoRAaX&yJQ z1sxtY?wdcUOu=*yc6jLczDa|T%zpDkoF8R|-zi_Xb?gHQro^s?2MzN+d0}jCoWXPb zXy@N`6=Qp!n0;zR?$Alp_{5ZVRt)WqWOmhl_7EDYA7b^Ba_7s9N2q6iRG+}ny=*qB zSzFi|-8c^WK=IaZ>`EI7bQc22!P?QL37zgT}!HkbG+<~3iHN>cytpb2j!WP&U z$E4u0uuht&L8wLIgWCUJQD*;0d1yp1lORncw%?6ubjn)7{66%`ktw639d-4p#_GT@gWxJYpdLsaFx10qJ8iehcnZ4 zYCV-Y;8S*t;t+f;&GIz5{8sEQfY&&`cW*$&8Mv!-d|Q|n;tudQHpfV9Gci3eQlmm@ zwBrjU6trN)E83F*F(YuH(P%)Y-(tf9b`fIx0!k69L>lk^K{^Qt2X-fM7)F=bF(}q( z9861nm$RFsVSKHG=SLA!ic~6L#v=HD9+#mPhzN91%vK%9(^o!To?4v};+cW*{qqn1D|SZo@V#OeZ$DD7$ft41Nx%K353*Mfj9F3zD+o zHfSm^#cW$gY?1^rIez8? z!Q0PmAg9~pnl?~EB)NiuyBsHlc+m)9?E6;8Ya!(~v0&yl6_Z6U&9 zrCu@B=&F4<{2ScZtxX}}dH;@(Fs(9nvuV{jwO(yd$Eb~JlL~OEidQLASbCLOrBMkg ztxBiTs|>0bl~H9v43JauN-4IkRAbb?un*$D<5YOpT-LZTBeTRCGAHDS@+Y~Un3dHX zLBtfMh{S)>nu5Q*MEaLG|Bm_h%oF>dQj73!pF#cmuz?)yD@*`rn*a|U;*hW-l8TFD zBO2a%I!gd*ONV0A0_clk0j5SeY(tSt0kA}tJ5=lF1Z=LL_AnbQIQPr+91j2KXYF_? z7&g6dgo2GS%~FEicvGw)9R48MW%x9CnYLtj*bAf$j3e!|+375wM`~XJfK013;ng6< z`w}4HTJ8x+fVoV&H7S~G#(mMYFBc)yPyxa^1~wR0n|K2lAP-ti_aI8_GTa+n|t0x<}`P^$oB(Eb-3|A_Twv@;Hv1%VO-Ss0)Q)7fZ(D{=|` zXadtM>QQ)vO#;)&#bWn!%7-}RZ#nXRjJ0GeZ#$2;mb~=`GKUn8AZWGNwx>53Vq%O& zklv*UXYRklK8)^?yY!0lTv{LON>Z?dH)0vsV;DA(4q(cT*zbwk7cc3(x% zZQC8^g5V)&lU|IwMS&R$hyQk&4Nz0L@IZOTWgDyqd7W536BueJ7F)VjScHQ`EM{P4 zP)Mf^Fzn~j&*NCFZL#&r%I>zdZHrL%z))=3z=0r{q}9@{%oKT}ChfB1Sj!!p(B<;} zZmDO^Ks@*GKzWyy8EoHT$L8C#-6NdW2ZajO#Rv*RcNgvAY~3NO4waR~8q?Vv?Bu|9 zE5v(xBY6G<_N$u0;SXEx%)E?K(jQjHU^t8*$8b@^e7Mxc%#Oc;VIaoKY)PhV^PMgo z)Vvb;*D|I_Ax>z3l86L^Q2%E|;4f?g=Z}RjNzQ^xt|(|pkX9aT+i4cJ*xE^(@fBCK z@5KG9UG|*+c>d5lp4$tqF(gn+2b|n)$pNSQ*3P%=Z^QYXD!bwE-N?t7BKZq2NMOpt zCCeiX20S&52cU2joT*s@;0DNb_a*d#kOQIsVGH}k0v{NUoWSU?<-*NeF)Al>NJihZ z9^C;SCIEckGfDD7BhdExyXf17M{dlv6nw#y`9kr)0}o7^G^wJZ0*NhKwjcpR@_Qz< z6u2AXcBjyST#9PIfRx$5pOlr0_eCf9u%Iqnh99a|LAbY#}y@yaG| zosgyb$YTc??g(pTzZ^b0HoeGBx$KZ*j94Xrbx@Wc!N!yQa_m2bhpFUYAG;VokWG=? z@^Y3$(2cSjPADsaz6;3aR zX@iDl#Z<;|NjlvP3~0B7yn$Md9!?@NgMEjWZ;0IOC`lybWf!IuNfF6P+RE5!A(qUAxWWSZ?|M>WRci_1P~)I+GQA<-^ES6kgF3Qe}wbgg)D8d^P>TF3HNid z^a3tN55k!FD879LZ_!8x!?s}C?8~z3k4pwK0Yeu`2EyrJpf=-lyU*YWB_3Scf_Je2 z`69mkIg;U`i@gHbl1)tC#{hTJG(fE)mlXb0NBC_Zb^-v)5$BN>U0&@=BY53=_wL9v z=}hZjBmf*N0qqnx-XW35-A(-eBhcM?k__NR9GWBp;Jg`t^UB!>c?^=c3YHT%Cvp4? z)(2=Eyk-NNCkqCBCsqqF5ar$#YRIN`gC!1M1|UzCB%RnS8L(T(^yQ2=9`XGMn`X99ik}o+#m@UqevswH?h5Nu&q(q4qTJg zS^#vA=0xUw8O5U~7h9otdlf$@=L6-Qlq+-aWjOc2pQPC@Cnezcc7)MDquNKd({R%E z@>?Q!HQs+B=~i7tQ6Jvv$h{s#0c`_IvpD$@IU=Y<) zw@liyWzr;UfqG!*0}l)xiY-uOIb~%zIp9n&3>)uwbzP@WE5XUZy`ITt{J;#&DgOJ_gJuqLjQt6QA1tJN*g*nMx}yc zf!UG7evan8yci%pmlppkXbT2%2V>*ANtH*g`I8j*=<@i+h}frldqeTJI#gXja4jfsLzwK7Ey76ZNPU=xYO!IDz_FYxS7Gvi)T z=bLq1*=!lC2V?gl_#C}-MHdzsn$MJaB5+t4;$$|K`4qez6N7hnXz}hPVsxyZYy@EZ zRy=qut6@Eo4|Y=d3**h>cqO#F)|KOTYP z0oB9i15LdoSx8O%Ocr8?<^Y<+)bOn36>Vnc4mP1T9yM!$$$z4}9P3?~g8pf2PLtUN zj3WHy)TvWdCk8x3tc2H8slYziT$4u;wIZ%}Higden?lW3VChq*ntmWz#8jjnZFoqv z9WCKItaAc#e}r*iU=eXk-#c=<=jL|rKB?EFNxgch$!S3TtfGEJMg96=*izJNw#?z+ z-l;F&`tr-S-rAyl6A$Ck=#=ia9luzGM_JizJZ$0wMCB4H;Jlz1W(MMOHst6aHxSz9 zC81$MCf@EtNYW6~VcMdkHa*M!`|_Nd4Y2^z1^r#9!GDf~pdlM6#L~}#&>f*vk+24Mw2ayO#QXbLZ>*>X`7r6-)MYL;v^gObYuiAj{# z1yTBcg|i`i!N^Kz5gNQj)rS9#Kyf%TD12JTAUh*1-H;|RmB6wn0tkwO@7sgoffOeM zt;w;#$Jq#&ED&%gZW1@+2wzUYZg%0*R*^vj)_P*XIw;(17)+T@nM!d72Wdrbl86eU zv~VmUhjMVOeY>{p+FILM6RZhL5$+YYjAQX#VRbB9)`n^0@vKDrGZ1iXSbY2#&^eAJ z$g)dWDe?P|zFkh6tQ_88MvtmdFL3YT;VeSYw_!OjVz_uRRNFA@{*bEONpXQb2XAX^ zY}bGKfdke)NHwaND{)Bi>C>n2^Ehpp`GA_KJJKZ~Ik=T^$@v~ne9ywVIvq26P}Mf< zp&x$u0lIi1<06&PtbIHP4dt`p1zkrymYS+#Tqc_ki}Yi-UKdt?%Pd=_V+EbE78#9g zsc+823edCNjv>!tTyO3s6FPp##BMTRWYbOTG}GeJ`8r&>(13B?hVd{%cmQrHOk%GK z_Rp)BzAZCe&rDC!8)y{a-rT)0^f)c{5+ugb2KB+D_3fL?t}&>nZXD`FL5?6i{J}-WAIu43Og`Iz zQ0HQ)jk2S9m>J zeE2s&7Hm2l3s=$XrTjbMwy=!;B_Ba4s06iucnju5C+G!(5F;1`lZI(H4X;sXlo}Nr z1q5U?T8&Pl*BCT08lwiJs^-+ZTA?Q3gH`WS)}*Y1qf7+@yB6N@;qnhmClS<+~8&!r5O-iVTr zS*9dxAp%C?a4`}|R-6*=13@7_O{xhH5{4sTkxC0d4029zRWdEeNR*&W-pI*7*a#Sr zWFjJng@MrKZD{ex1{|)d&UP=S1x@Zr6Q(G9DOn>efC*?;uC1<91Tf^@)=CPT-j_k!cW6RkGbe(9 z`P(U(n1~V}SV&5)y(KaRpp3BbT4IfnF*NxrU=HV z>;#n(-4m8zf#$;@4J#z6e=KG=+&>B=O8CJr_!~_?;&H^HTtnM4ZK_xHY;F?Fv^SIL`439C9+%& zXhb>ugW+s80>=m)JMhR9D5MQ}p+FBMrz8SPB0o{aAcZ1A1rl29_34Rin7*b>J^h+D z`cP-a3$X&)EptlR=M&k3hvBT1iQSr1hkmOqvUH`;JT2+kR`ys2q(O}n{g3#_bX8N4}rq{U;Ie?fS4V4f*cNj3o5;^ z4SbF*ek60~#Y2z^O<=;dW-x(p3a~Ws{@4ppg&)XHzamrtjcwR0ND6{B3wBh@h8@=w zFd+3L?b5wa8bCrsq6U{i4qy8PA&0Y~5I~dj9|8IR{mB?0V7w~_0(f}$YE~qJhIf-X z2d;p9T2MQ23bnmH)b!<>fHM6N+Ck#Q%8=k?{JtvbK+adf>0wvdVAX&5LJZ zW&b=D8+h+xHUN?;dTkt;08Ih_F${SZ?=UbX@Iwj>W7jrWGv#=e=1x4vCPy0)5u~gx zRD?iv6C5d>m$RtHe*9;{hatrkTi22`D(^q+MQ)m8DCol3in9uX(WKSk%l^{Db7!^2 z*aVx3y?WZ1I_80AKIt%VwN?l3lUz>OyDbF}5Uz=Xa=S~~y@mvB8=nFoiop-QxTYPR zV+q_$yPe!jzz^|&A>d|mEf59{oyV9w?6G(c$~^3**}w`u`Q#HI0PuwckxhroKXKwj zoVQ_m7d(7y%fg8ck*MF&;cB&X;Lz6AmE*3Zm&Zx~g_fWSG{SQ)bhw%>VsI;Q7|+io z^nos*72#P7g-9a!ZI2O$B+OGU>5)*a5GJa2ApM=ghV_h!x}@F3t-0W zx8DvZLxMHx(rXRxe*RW^Iz(5v7Fb*u&GSuL5II|s)YBp~w;qM^X zRG@yDcFe88G!0>c@qG_s{v5l(B=CE%9D42@HQ^lmCu$W?jwdxh9)>i791DpI*2nd| zB7|c)_Vj0D^aco$PCNVsz%0q^44{vnfO^PuCqo{hG3Fr0zUR)WE`@Tyod7tb#$>m_ zmMt9%m>yv>P20I;O~^+aPz^#i(h?JiCINz|H?>7=t_92yCCRXg@QiCB(mW3FxHMfD zFb8c7j=~(f`86$>=QL}{J>V=bPXx9h-f6`*8Q_~ZD*lRCjEY-~faqIMF`zj;F~X0L@fwMYC03CLd8Nc15@L5{q-^G{W9mapEix10;JBpQc$5R* za^)P?nN#oJT0w`Hj+wM@f4YFi!$Aqbvl_UQ^h}UuE0H+XKtk?l4K6_KA_PmY9ZIWD zGYO=V;5y)LP$CRK;B*&^lCoMxxj7Nf2xs1?!-=GJX;OeT>}$YL{Dr(A+mTXKN3%wSUK zLj4htMkkO>aovq7r#-j_CbeuV~8a$94RXkAfK{hds_);^G|QSi9!KL(FiO5m}C zMbBc-NOb*=0c7_BW8Q0mM=|B`qL~P@e*@GmOJ?t*J|JnqIWEpD))zXimNNX{RXg5-& z=39+gDbZ~c;2b4g3zQ*NZXS`ZhfS9zG(y67J_0XnR-|F81QUorIUhTJN%;$+4MCfO zXn%)#j{bOA2o^0%UNoZIT&pC2E~dG6O9dF|d5>JxOM}q#H9w$To*e7lhqS>}q<2CF^#n%HyBGr#WK6T`FSIOx3Mz z8xITb&$|ug1IIKg@n#{92k#l8SO`_W)VNG+LBQe;G;Wr;JaQxy<;2;RUfm=G}>U`Cu~lFcI7R*2g? z^NeI!OhEjkq$HJbm_>+ew8LhwYghryn|=GFUdSZ5p6n2eF<$={>Xk#lC6$@uvy|#< z7=#!?JzO8Uq8yx&Ixdiml-`^k4MDfP(7=Y^kV-wCu3|>O2tpoHLpPn40@#rmq1f>w zJj1XDr45TU;j&m-Qz~3;58e@W29wkUl!?B^VFr6?N?=?uZh#>YtO5VoFvS;9!99KP zkzzCPEsYtl6<9_l87@jPvo2}KD85of12@rl4Fz-BU=xFm>DsW4h|9gx};JNPAXG_aj%n%3k;Aec!+|7DmEN%K{_BY z&~UuGYYBQD22qWk`#{O1$rA(W3rfx#_cLGbb2uSUVWl{o@coOa__Z3T4C^SR}5c z`fB0hq(rqI2~O6-C8!kg<% zeHc$y%V*ArUNOfVSDE88)xF<+*1-i<57nBr97Og>qI zjaMeSrmNywB7Cd94>N+<|El>~A*i`mFd9jN;mWv>CS8p>8%dKzNs1nEj)&9G#B_P^ z9@3LpJ?SzyOsG%c(@WZFzdNmN$%|3`lo=8YH<5-5;f}X5}Z!AvhiW<(qh-r`=%_p&xOPiByd`cQ@kK)d|0z_ zY4OG-_th;aMxqW0oG!hu&dP4Y#@yo+Ef1eE{6#$!QK2o^KhNwW>G0;w@U*z(Cu8s? z7Wzd3{c|xWQsGsE$+TOOil;6F7$ZGREwC~fSfzLWNYw<$6sj|aX<2_ndIa_$J>P_l zVp4@`qnEgCiuAM`_Tx*{XVZ%b5Ob5?FE7t?)st#VvY`u2ixR{s4ufFjBd~4UvIGI>)%AnWA36 z^mt3REM@s_Zd2nRo}b*i9TYK6gas7%}fUarnq_;thFYoY_o8 zk3WwcKW0QuUapv&>CCx)Y)+x*96xSsPVV)2DXisB#q_xbZ;oiC6ffZ~LC#3LNBZ%{ zo&GJLijr1(<40`C8c>z{60SUFx(%8MhGLDRR8ohNf@@N^kg>x_fgwXykjQ{y9Zs4J zA_}Fl0v;}_UfOvxoXk{|UB`?NW**iFuUX=7ycQOz4Lia0NJoF>Lb9^dY6~|TFGeD1 z-t1B1DhU?6{Qw(rfx#uSFKre(cke_5Zv}8v4<-yW!JtFItW;#A-|F5cZr zm|xzM!b;GODw13&llH!ZN6L(CQC^uF-M3&4H*pRWZ+e&HSOr^(DM^k6%faY?W3Xo8 zoP2K~H_>jlV~Z-R>nK54xf7T1xzlGWRlE|qowcD3@Oo&5P!%k(i#llSke5K!+99O~ z7-~U!#xlBYIGn?Vs{pH}5Uh$iBO*2m7cI}jJ1J$VDv%5=6YC3FYs)SoL6|RCb5K+( z>Wk1^gaT32n)={rqS0lX8PF+A7fjf{%b6*V94&HZ0KZ<=oiUpSb7sRha%arNsf_yr z#~tLjzj54N%Az6S&T9Z!F?BayJ&4Cra_X@>&bb(#dy6wKs$%BpRb*_UA)2T&VUI{A ztYn&6u|KVkWOAC=nojVYvLv$=S3-lw8DKH)K`DJ5(*Oep0j&QCPE!N-7$neivjvpX z*^qCsVB@)xbyZlfRWAZE&=SZzXN9iRNpO36^+cwEcgo$yG@q)C9%AAz(i93icP6`U zU*gr3;MG2TV(DG=@Y&+pu-@QgNDhe%BHa-|w87JR41op^mmZzQ_bJ9+D;)69i6k1% z$k^Mle+XS<6iAHRhSf^D-@s7VoU&Abe&f+^l!?gtvvNlx&_*|%c9{xGM8-Q@Rp4O{ zO@Y0khu{f^d$h(cTJDJ>!SD!S8BKhU6Y;Aq0aPsf*+9iCOwehawnD7ypPQKzzD5@w+qqv%Qx!C@M1 zYF*w*dzwiulZ19i9+ZhqkVv|}c7h})l37SkZrlO#28|bj6_d1P>oxyw_BOXWL_VfD z{tUZ-yUm>viC-aD%;rKcHvn0c&0xc37N&c zC`6g9O9T}$6)V#4ZcpOAW9(k56rXv-+A=oNQa?8n-4);(M#X9FF^L`}ij!!Mpf8EB zJhWF>;xnu|WI;>3tB&G%600@gUC?5u*`=6KhOi1Gegxty@jxn*DgFWl65$Gvku20B z5*{2+q6)i~^;K}8x#L%0YL-F{F(_$0i(rV7{0A+3hz?FnmV&kM^ok0+0uAWaQqwvG z859u|Cu&<X zZlAm*{L&#MbDWhUPy@2rocx#+$HcEMBWjBN!aYo0ZY4L16XKZSMm5tj?tBYc2l?Y3 zTf+*V*m%(HboyNf&CM0avaXoB%;CG`^SY}OCC!XQ!qOW_VO*gzUdf*{HyQh-{9TGBBC7!+H> zJ4b-jnnel)*HK+TSBKO2CSD6hPR1}4+rTy#DMoj@bO|ca1V5-F%umU=qN)63$Kcc)1 z=@bu_CCc7p2?Dw&olLJ#Dg!YOmrU+Qz#uk^vcPnf4~I*BqBpJQ^$NXGkLQB)8oi*` z0<6VLcl0rOqaI_%!2S<@;Qv}-fsu>b!jYAJ!YP)I1A1zkf}Le?g;*6JH3s~K#&n#U zS=@bcP8=LT8`AU>hS3@eq#8=l7n;PKLWLDn!5*!EC+(<*7vvtWz1T*58ZtNo#$abi zC=qQ&TR=%CB9;?DGG!f{4^+Qz7&1eKdw{gG-wW7QI?JN74_lo9^No}l*Fn1Qf2Ut~ zGJ`@4@zi?+k-D`ba^!j$5brYq8U65cp|7yuDkz?kf8UgXVpqX^NZ{0k(|id|1H^VY ztc`9oikWF7%uLffLK!nNG+|~2X=?u6m>Cp;1Y$*AP8Ru*)Kh%ZdJ=KaNDQC}5Wyu@ zG!CQ_twAJ$H3wwyv&jBmM+t%z!>*dPtDCHyupo?Q$T}WCHT>`o(VTRHCy8b*L08SRLv#h&Ye8krG#8;Odf^*46xvgwM0-b& znOuD^5fiO#SPO#qS(Nc}NfB55x1z8!4Q7qg*0EzEUbdFlp+kGT7Jx7sGi{3n8=Ep1 zC$?(~ezS6HT*6gtVxb0%C9G#+2f_-87EqCv7|V*6`r&tI(5Qs9B^ETBwER!OcTHyV z53pqt+Y_Ez&ZW{jFb(Z-LF%7={5Oey^tVI%tFY=w^dvl(ejC-em_yC>&0x*JF<6_-2WG7(BBR4#$IMFzopo5b_ci zt2l6Z2D=P~@z;jV#Kug;yUlK_@0r`Mij1HJlp*IeG`+W~b0NwTCHV|>$%IJMq5D#Z zy|##d7ddoarhlYQa>&2wFUNb40Ij=ty(Z8*hp2(Bu3JlC5TnlxHC|;tQ@(xpLx4UG_MRp^+=lnV%!;WO%D&u|? zFBy3DVpu05k8EZu;z5W0CK>vBd3n`MGWH02r2S1Y_>b}$IQmU0aP;I)eB$FDzvrHh zeeCYLKl;&+eB{F)zU!_#@BGk*?zrRj+i$yV_wHT0cI>$I)(?L010Q(*`)|4B=9{-~ z-?r_hn{K@Eh8wQGK4HAJh1Yf0U3={{*KFQ=_0^M&JFdL)iYwmtzRNFPj%x8&(1)|c zc|0UL<9mK-kM5Br$x8;Pxr3>(ki|Qy3PM|H-#e+G8R8pyX7_A|CS|&_nQ2|3Qxj@( zAENxoJE^GeL~@2&cxx{%?#j;kCNPWHWs{a)2`Hku!|OxRr0A3HN|W|q2hFV4jQjo@O^01F>ktz+SFBcn5X3 z+T&_++d7FAYUtd)y*eO1Tdtuf0Vpk&_Uhs>S=ojk`oMt{0LlXGAjglS|XB0<1Gjr^Pk$W2ftzWp8M{b$G24bp1o%;K6A;#hu0LVj(MB^ z``GKWju;+%-{WWO+F3^Q&vZ5G-cQVJpCkoZn9dgJfp*wTz0wFcD##=+X~y!CcoEL-KjC?82F6b*l!mfhpEF)3OEe z%A`sX%ofdSR#7H!=(iHwK+!xt^PANbex`OBN>49PBs!>1;!i48)h^bGGv5`@hgdu* zX_R4nUhY^@j|ch<6|LgtO!+W}ToA2%lu%y%+lagT%v)Y-c-E_M%~8c&xpWRrD=I6g zB9^vW&5kx~5;xXS7H;Gcl+edz6{pI}qj;;B-639QkvOn?%_@awCrIFrjCnm?D`eoU zm?Ai|7DtAR(zD)NB08Y+_c5CK27q|7OyN z(_wtWj}J+iQ{AVq`j9?phC))B&J534#z9F;O--y%7JltRgn7YjMn%BC(i8FG=;aP1 zD5AGB1ku;!1}PPk;9+azy_ok{-q=eHldq2U_r=lP0Q>N1?6962_Wzj>_^Pp4(QKu)jubI-?ImVDaWPBo! z{1kTTHr=YyV6{cAP3jJ4nCQ{~#$$o%swN?+s+DQv(G2PYiGrq@)7|@A3_%dJX=HaR z9o3~fN`vAE6@8r}$9VT3t1QEin27lC2rB`+XDmN__|Tz;Ep#BAWL!O2Y^LqpSyp=Mtvh$kn6Y!`^l3YG zw#`VY?mc_=eX6LMj$85j>`JQHjb|@I7|}<&>=o|o zLw9*>OUZ(t)8a;#nFm_k&GGa#9JxcV%N0;fmIrha&msP3rWP=CHsyR#p3>G_)2(*M z*vb0s#Mvz@5jR1gk}AGbHVYDR z!x2?FB%(%!8fp`Wl-caUss#pIe_mMT6vn$%)%ZH6h6wS$ae@|;@w!`;iYE$`KVHGH zk~;3q^&C)fzPn4BG|?I1BEma~G;m1wkmHb-_%fecH34aYL^8r$+@Zbiv>D6;AtOP= zL4kTD&d(7eh-DEPZZZDFMO)pV4V|EoYDENTx(fjE$n3bKwt`h6IGO#>1s~h4qoM?R5n6$6K_>nm7-j#oqhsdWt&1;3 zicrT3LqQgg>8!-+|5=g&Cl)Qub+C#0!z}11Ct{wDaUW#PK_H5*oWoQd>xp2*Oj8MP z(f~yYjHCpVBYGH4(a5`1BdK7kf#iQrt#wQ!o&E4JZ(i*^Aw8B%oB+M3$jU!cdKy- z)Ho%6c(UizwCnIg`|rQ+;~&0b_XoFKzvXJSm9W&9wkxikgE-3I*Bj&j=>uS$!119Q zJVPK@t1$$E?f4rhgp)Mpx{OyH%wznfV+IH2CnbGbwnL^~Dgicsui zLCoM-HP-T6AB2FSwq^jA*{uL-Koam8E| zAG=#-{xcxg5D}DHQpAz*8iB%1#cFh9JlvI3)shi9nqWM}qc|qH3$Q2Zy;g$^53Hp} z&851O=QcTmI?z!(k-9Z=t#Ij>KXg%W+riQkM&qbJc8woo=D}*FWfe)H-G!+hRPocg z)rg}Ox}FBsep=)lnfTP)hctix(ua0;$KvMjt*;go7apE1gZ>%bVokhfop_5PY{|$ zgUgWf0JV^k)p2x~9}I%U5{i|HcW)gfBj zVMlFSA~<`vKz+CmMmK@a&nfc{spu;u19dmbZ7|ImI<09*0y|23g85+ZYj1wbEPgEaM+M3g* zownrATMG!%8)*NDX$Pr`dOnnOcY`pq=zEJij)f;N=3BhK2w;Ywkt5SkDNyo7qRYLz zun*eNcsLUwN>1Bv#R}a+*oGBk*=N16L6}A}%#w_P6Rsqd5ScLnlcvdCk(2X%p#hI? zm9j4nu8^E=o);~dJW(as0Wy_C_v`2Z!sSG(zCmHaWod)Xa0nq9y@HBc`{wFTFXW`;o<_?r~FZzB#13cF8SvsBF@T zFFks#j#d&qXXeE(zkEPj2>=U0SQv)1iN)@i`P5G*1geyWrDtxI@UA)h@Mk}_1pB+Q z^Uyz^(|P!_ix(e0)Wj0`Q!cvbr88v64r)`QS!@lgEtbVZ4{AzGiHVXuqD_rXq1wz5 z;cLIj3w&PmO{3B<{-iu!E$hYiu(sz%pEI82La~ev@mdD9t!y(^AxlZnv1?_Rt-xsM zWY=;n`sGG+C@_0goW~B*vH%um92=3l+Al!l1!}wZzvZSmpFFp7jQAujXj%d&dDK7I zPe5?+_+LZxh8_?<+XHsODs&@lr%MpcyGz?VG`7jOcJQ8xpQpymxLqdWb_qcxI+MZV z7VQY4rGddcE|&JV>@yQ%rfg8^E|sWiyiu(X7jpTSHuZa>lUJ1ZSN6E)RZ(H726sz- z6N_GN{MZWVO(WcR3h9FH73->xJm0LAAL?gZWh|06t$Fya*>_>5c4PP0B;Eh?5Qs4j zx3sE0d>AhVR+;_<#bJ|;xVKx4mXna=tDnVRedrLg)DL3{wHoj=8W_F|Odq4wfRVXi z+_W~nCe;}jJFSAxCHA@Q!qC@Ed#}5)z+kre6Q=*!fM{wCWML7lc(4KLO{9E1QDd|Mp{C`?Kjl3c?jNWFaP32esve(Y|z=`YX=?0CW&lm`)iBWjS--D)YeO|*VG$I;b!i+OYc0=cj*H|R4| z6G@s0F(UE@C=@Ej2}KK1stod8AsH6oAn7QNF;>lE4=g+zs-FF~fH@+~Da3ByMuQ4l zBc+rvPmAk&R6Vi!H>w7`@?Nqdu~fxiN;#!ID|iSXa5s9ME7+6iyGCs=SfC!v^R0Gm z5butgdmb>JS0$K1(i@JbRUz{d>?y=3ml%*`@)bji0&H)9&-}!>|z` zdq4USd!gWJH3bELFenCkG70T{Nz=Mrgq7G+35|}u_SC6_SCz4PPkQ$ngS@QQ>F8O} zcY)7Ah472To>h*))T8GPE`!g=s?Dr|>XE@0#(8=PY`WThP=6kDWb)f)KbfrYuD?vC zb4tRgfaD(;=$)Cp1_z67O*DXeW>wa{VuX#4X*KUbouOfw7WLR6NmlF@5u6CWoMhOy z(Zz@*t?1F_^tjyz&s}-mONTpmwz*YS&hMfJH7=69h4G~|afSl_9Xq(_lo@lRj-<+c zQ28wbqh0JB{GW8J&qe6lZORMlYDIusoEAP2Jzx;kb_2=qcLC9Cm4WUV?Ll0$G1bj|MLWOBL$!5L$eSYw&A$5W2T1 zKf~P<(@2+}-an*qxke9op~pdzyGosjwvX>Ssm^kUiP2u9qaA5BW(igH#yLur8DH93|eYHETm^b z@gVB=ac*1fTpUQEsAuhsjg5>6U=T(jj|6d;icj_j=wGOt|71PZ_R>0GIn8@Mv0dP? zj;wR!cz^8dWA1G&%RhMErJs7}xleuYVC&(-2mj^fXAqLob=W>At79~uUJb#o>zH!V zxarLt6TPR^*qldGmSkKU+-ElT5l{RY92lnK!0_QA(=`QHD%LHH`B6?Qz%G*;e*1{J zCa(0B(0MFfa1Qp~wEW2@pLpV{MD84X`2G)XXP&(4`s*?0+Hbsh z$8M~eV@j*TTHDq7FnXq2I=4eB#(ZkESc^F5o)&mG=@daFUamD?bahq&Ez+h+x9X@l z^XjXQv4DMA>ls&X+I02Ro3E)C1)s}3N7SBP(`BJrbl~NeU->r8Kxt*oy>=mmsb41R z?r~=(>*#^mf?qr&jMUJ)eA(SO92?iN3}JviUfTi?Y>m@%&Gve5bCJX~FL6I_$#j`# zlJY#^CO0?8AVT$4mMj?RSArFMf1h|DP-srFJm2+sc`oz?y4g^_@!(5)+36naGs_eoa7x9@eQda{^2FK$ zRol#$}KPLbZTO0#J3F3)&AW$DbSgvipW! zF}P)rgIy zH)Z`4ahh=*3}H+a?a-~>$A9r;gw=i6i9I;T&|oL{vyIE)3dzjY+>W=y$~J2)P}*w9 zjgo4*IfkUdfTq4}9Wu;a05(PiE??)(EYK^!cHd#aTUTKC8SxK=87wxhW|bw+)v zxgphDPo8WJ*QoM?vzzN@ol!rlxnWk2g>%lqFLg|*Pfe{)O=(C?L1oVtT|A|}b83C( zl!nfpqVA_US{hTWjj5JXQZ0=no90fLAgVa}ytUr) z;n#S7qxTT)nzM_Ts(^; zIc&0|#BHy&(u;&R@*xJF4^7zhoDvey(@)g(jS1eFnehSntqJ z$s7y5!^ktpqfUy1JIXm=d(Eg z7)+i=*$dn?jokongFqtIGz)0dW$MUa{$Dm}WluKQ0k4j&;q$}%Vk1m8u{^~vU7rW; z)k%tsC{PEaW$luEokef#>BUk;>W_O`3Tl=@1?3b zzg>2y?m1!-w&h;V#?i75@C>Qhp1~Lixy2zzI>wk$!v#Qq;j9>X$6UK~*dO z2oN>eUHt^RI{xx;XKr7MJ?U7r*d@ zFMRO}KX~=kSAXzoP_5tneCqksXB?66l_g|oU9xx__%Uv5AGuKVm66re)g!Bk%e?)L z+xwk(cR4g!-}^6;MUTm%F&^OWC66ZQ_{ns-YzTq0JJX&agc92->gEmtW9RD=CnTJ* zHwou9$qteI1(Z|II}0iL3>n2!fbAI}72hPnc7@a&vOMM3u4Qj_fa&8_Iyj;m`LH}RA~RtHX)sLu9m*hq%9?NdCe z-j1T>Vn=jb(mZbZ_FTp87~P*$rUSh&1hJQxKkp}iL=^%&`v`RW$0*b)N=%O*7zGdJhg zs@Kf5j8l2+hUGupc({|$#HdU}l2AZ}5v1W&yvG{qGbf*X^4M|Xz|JxFEy7G!u2{Wl z^_mNqQF&n7wr$&QzJ>Vp?M$FBjpEG9MX5ormCY#leP-tHkZ9E(69C&B`ye2>T?{O` z=^niBOcr(6H>kit?Vu@dtLhvh_G9Mg540teomzUu-DC%?5!N@&eB(v41X;y!h2AF# z=uDg33Blq{)DV#A$n*=tx;U4?n;lLrX2xkHQG{Lb|xgHGsh1EIsaB{yFmXT)Tj z5jc9X-Uw%!&BPWmB*M5TClg_eDQZj+&#R&C?Flf(>v1CC#lCL*Q^E5>4Q~57k65Wd z;lF72#YQ9DS(}6YSy@uXtS_@DtE*~~$s~>k=-#u!BhCuq4?j~eo@fc8Ey7{^THz!t zK)AoM#qbes47hAxMWze?!q^6MXoICkD~!A*%FZ1VTcu-d7NMV`S^7dC(XdXTxm8- z$w{1{N_<$U+No0Kcw%z#q2MpUkq{Px{8ZWS*}Yu%K#P}b0eT_@#eHE)v70C|VrqG=9tZ_fyHkXi{ZO%grL*o|jQ53Vz zxY{j_DDG9^^BqyAGf4$N*{Y(r_)qRrVzC(AOR?_}3}=uorNnUkmQgoqWmajSuoXRb zH~d~b!V4H0s!$4&O5p2e#Cx-kE?A;{E$}6U=v=72feunRgoIpUUgXuT7h5f7^Dz&p zCIZ}Am>Ax`U6bRkl_XUGMpVO}gnV`EUf*@3 zvxu!GwKJfYE{u!i&zVF4L=Zzkkm>ErA&vyHj)ny0%;cnL*6E4^Q%y^HDQQ_aZQ1)# zs>qMJi&Ui2Q0FgTLC%5&mcnXcPp?>LhUCV7#a_d1t^frMTbnUJ?9EnsZKy^cTS@Oo zv;mFsU}Kron4Ta6M)@^eDw!P3Ya%X;utzp3Kd-KC;>3xV{*i=7MT*%%Of@xCtC15Y zve~PmnKQI^z&eFI5DQdpof_ez3szvK?o%pvH$NZKKg|VdTvO9N#f~8%mH>N?D&HUV zJujIG`9mI%Pi;6{q|#@J@h6RUd3?`8Y1*)3W)Zt`z*9=8^(!3nVgFaptS(&F;CuJ5U0h zAImt6vUdM8?0&%Hf8X%W8Pf3YaTpD0`Ri;cJlucRD(&paBy||^Ze~AVk=+^Kf5+M% z_B#J1UT2rb9?a<+L;2St=-s@|*SNN`VD}2%S9{g=RjXF5BjsT;B7%>TQ$g ztFw78;f=Rv$Zr-TniH%rgAlEpM9uWl%`mGmZ>bqxtcjro0@{_zA;&ezcpK^ zQwojE96_gHUZc@ejoQnv1-`QucL-0CXbeMmk%X1E_d1->C5YouGbB)fftZY%Dia+$ zPRN%ex0&j=C^LR^$D=)3K31q->xRCwH|gD{Jchw(cuMS@ro_JCy0I6LEL2zb>eXx3 zTyVkKwHIEv?xKq`D1*(#8glOp)s@95uez}pzPRq&8T47OkN}NyQ2D)B&^{H|#~y8J zS1*ud=F&{>Im-L63fxNKD)oa5;+?x}+42?V-J{$V8lq2i^`fS?)tTTMMl_9ae{DqT z>P0jLdbWdRUI5n_L;_bGNIIPZF?%!-2F#}cQO-2jdl~Fv`$?1UnAljtmMFON%jomh zb$55KTW5(eXt`=s1fQYzVlAn$y3b!mWc0FS+9vnbsH^rpuy6l^2Pm@k)(;Xcz58P2 zU87=RZZ0s?Rr5RMFIaf?Lc|LKqsLgUx7?u=Tl6T*_DHDS6026ex<*-{Ci&&I*INN3 zk~?qXW2}3W#&OgynYDtMf$KH$)8~bpDfG3Ga9&;{=}|>WoApHT6lKhMwgVFv2G%a2 z3Grk#$z@i^*H~hO8le`b5YLB6U+&$fT)R~{4zJKXDzrj{77#H_LYnfTYUR3EQhUX4 z)s4UrAP;oGGnv#r68INj)}NUJ?H);|ABivpx4vA`W0iW;hLVzE=Cyb*=JQrMCcj5j z%CzrvaZmYGtH)DPGDd|cTT~Q_6&5;WK`q*~oQ~eWA$cLC>4I?Cc%!zIXd4S^)^30? z%4bsH`-buoL@@XxcmO17ghtGli4L62?0XucrE$&}wT1lmaKHHx+y?H8)#!cNG#=J9HCr@pg%!-FL&4ue* zT3TBtO}b3QOBN`lvt~=Z+Z}F`l53|;oyLh~)kqGF1==Oln3serFCBf- z=$ezqp6qaBR?8mfXp<;`9vEP@S{M}C2S`^s?BACqIU1U*R&LzCfB(jfeNPPFRo5t) zIy1CO4(cXKcmV;ZNL##W!QHA>7Iwt=4bMR<0q9NE9;FtwapNg&H&cpz+3ezpTNbP%_%N(v z!bmLnor|_Eyr^r@#n)edcK5n1{myLdzNl-@#n<0=-z7VjZR~TV_&b;G+_n6&T`Ml% zect|!JNukrM?D55qpJ<%i(Ut`Gct}4ib1Bh#UW@s%`-+BGUq3iOl46lG&)KMwS_63 zBF7h9KdP?IDRI1KxDT

I+?)qOK89S(+bXE+qoRM2Jxm@`^)gg@UN~!sqClJuCDh zPGpwKpq6TmeHS`95l3+*EUm{myfniLnV__1%&Bk2PF+L;5AclTYuYx z>>&3jLGG)^jTu*4S6`PsIVyth{p!j@WmWa4YVwptvMEQyZ7-YoZCZH(uOic(C=5b} zP;i)4L8J;~pnI6J-A0}=ud(K5)Gbh$hPW2!oyuwEDRMzMJ_Ga`X1f649>4~ZBpNAY z2r>_>+r~uUZlMwb5((HPMvQ7#vH)*cOUrTKttV1k+k0?Z09oc$^!!>K%QshmV*shZ z9O6NLs|8le1!}=vde8nUd)@)^N1cx@eU1}>Ay7Q1Mm9ACm5(4OG&Pj1!Zy=up zELvIvfv(`O?~x92haOSPaq+D|chDjuv{DqNJ)y4(v)WW2hneTcQ0lyeX3nmnqDG9E zI(1!)klh%nnx25m9Lh}d#8MP?4&`hpIjIV@&D&NQtA;xu4y}cw(upSSlq&Bd3ZM+F zpivTa)e#AYhGOTzSab+>wo^uDzq!J}n6{CLLmGyR@0}*>68;T9&02-NkzP7$Fomjo zn1%o6EId7wRlSB;_+wf{`dCtzt^8W63q5M z+Gl;^xfh;$=o>G*!sO@iuR;kfuwHoXE6=?+RGC;pioE#b<42wys-EI%V(;F$mNIHF z-no_$)!A16rJs@9Yz=ACdg#_0^}oc7&UKZP3OO zlMJCf{hb%SJk))!sVbjb7OC-L` z65}3TDX9Z=a<4kITrv~j&UT!!%!WLsZp0Dk76&|2bX>b~lq3snWW5Z2@;dGA7pLYt z=fW5+Vru2G8wOmK3Fs&*cb4g|XF87s*4m{wAJ;CfR#zhj^ewx@U;LO8P_SWnE-S#9 z-C!MbBADxujZXAphiVd`HRCENB5%`UoUM%%-~`9hIy+86i`lZP)NH)ljhSc8Oqldr zQJr*M`fOLW6hqpxyPXSu$AX0EKIb|jSHYUC3FnblG&q(BRr z)v05uARSFbji(EVw6vW*rkCK3C#=kMjhYl&lI2jMtFbl-8QM4DNGCXhZ}R9v(pS0( zO3HT7;FOd;nIb|%RCLKZP))j?2X;GseepXJi9w&d3Ek+>hV`0GSh>}QPGp^Y8HJol zM#mZ7k5WctDJ3)D{V9cbo!CnZLzYrvOg8hQhl1d#3{4HBAfXqp!&qxC&Cm-o8@jjgmWGtm)vGY+`J! z?}IW|(LeC)9v(i6Dz$HUBwOaZcOZsrjqaY(%Uk@i^Wa&v{=f`1kx3P9 zIFli6mxgU@53*obcDk#ES(;TnQ3#xKQAYNgNeIgV4vhS^D59 zWL~I0ee^>T2qOcsd~s$3N2nfX$&yMr&~-X6_8vk%z(<}^i6gSzNJ=(Vpvc?Gdg7PZz-s*HZp?h z-N_39Qx%yH6#_~X7lp_S^{$?T2&a5 zvwwTyT`!Q|58&lMMquo_ziuu1)?f+*J!0+8HxeBUOG%YTC+JJPVlyb zBRHynX6&U_Mq5DD6Hb;uDA5_3g*-A>yTCpU6Nd&4xQMlMkV4-qt43&LbXFV%p%g7s zR0>DibhWgfj!tn_%K{NZu9mh&ep&GvzV{@x8CoAme`APoPCxoi0 zdXOvhR}8VP;d6r1Uwt*hGQIJrNPGv}r9>t;vY*I5q&NIrT$@I+r>%I`zo~zUFI;CF zaQdS*?*5WG5QhU!uHDN2bb5pR71x+dXW8F$x5w4zEi!By>caSEw;NaME?8MhIylOv zKOaYrO#dqW;P2HN_LpFX^uhRpMMl~kPtQ}WT*Q$lMfO}9UpP;lS-X|5he&Ihp5}C= zw6wd{%m4JkxLRj?-H8*pShsnL^Bha1F(hgyC;7S3P`=8IvadDz%rB)OobhE3>wF`u z(&dJ!_`-1{M9o~af>vD-_g>B>-sd@Y%Nl8a%g=n3Po|-KGvCU0=X>&V^1b=K{QuA0 zLuV7m!nxBDso9e{r_D<&8b5A)CHwT& zQ+lCym3rjI$qAz?C(m0rx2NcGr)Z@Nl2>2c_ed)xXU$J7C&$Q~Y0FQUGNV%6sB5G2 zj=$x&o@m{9J+6Qgz2YCgoaBEA&1#?5K6%>Axie?YRNe*iCQX?&ZE9k2XJXOJxl^Vk z&Ym`jd@w3lcUoOtB2k-2)ScEiK`nMgzKB#f#QQGZJ9*y=q_cG~hxI0aVKFbop46tM z*p}^10S=c*=>0<~LVRFkBX0uoM8L$UrV=>q1YMB}A}Y`oK@H5bK3afdQWB?6z?9p& zNfCbL{kDoxiT!P2ez%~JNM-!CTzGXJG%!Hzy+(waN+@yQVu@!*;dKx+ogc?0x8i@2 ze|!_;tTFZtDxvMN4A`>2?Qx5XA$FaZ(<4^=`%Vq+*dO}b)O%;o z4Z93?{1;A{^6XuCEK6eZEXl$lbxx9;9AUn=wf_RGO1uHjyPawXlhdKqbwss&FC_UA zcv?vd9HbG%t4k&tdj%V9tx!oGM9lsFARo-?5hRBc&^F^LNNP-so1{&jQdf=rgsU)g zgsj+D=V4bBVzD)@R;X^93~BZR?-u)cHvcM8AJ76J?W0yL436~^hc#xLF|>LHF%HF8 zdRI*$kO?xdVLdnyl@)`kB>wT+%KniKK_qNX2!ca;b^08F(E_f2QMb>UHLIsbia-rlZl<&Y>TqRwa~MyoVJbXw2pGF-Py5)09EQU;of>s1w5MZf$}xg#;h zeioxyhi2->`A_d#mSzGmq|wS85`s2L7}t_tv*Y-`5%Q)KinA4ek$*xrcG&HXbKJ^~ zsXGokS#gS6@gwpN!VsTAtQ;cL)CsAgW_f>Zc;~7Z**RlSjA-KGU6K>pl^1$Q%qq9U zqG~EXOF^XcC6x-S*R-MthUPKJnF34G$aV5uB&)ri2{$ zlXIY)RXpX;drUY1ws4-$-jn0@9`$&a=6FBk9{6OgqF|iTmiH%ao`(msm$Gc=9@Fi# zq{@EUH^(lpsx&FXt1tSm(>x&@5|)f0dvfw}yQLKoIw~fC)})wq+g~xN_1**(%aN9(HCx z7jRXGy+6mk)rdHJDAecddc-|f0m7DY*lS^2mQOAx*k52yBDh>012u<4yh1RmiL^jCPpb(wB5ydi7} z<+xtZ0)_#oVsFEQ~W^!^NK7ScumV}F47WBja8U4EQS^b^V+=UFO4bA>t^Lr3MtlgM<_OUw}2 z8eRErHBWq3_;lmBt6Za@kq{ZC@rD=MOZiS}X}(Y8PCR}UPfGSA&l+mA_#PA6{iw;2 z`Jr>5ZqHGCI>@`q+FZv9k(3&J>9)`ngElm>|6OP|;Qf(2dX;X(dAb9!lg#u=4MsvN zn*1t-5M?+Se8_DOQYHEx+L)~WGs{u*7Za*$ssWwG5DrdzAWFYvK7ks`MOqI4kRu|NlzjFhXTj*Rqo zI;9ZlK1v85+Bq?jiYw~4WJGSOOQ__m5OMDqb02}QVtiShB@+?L+*^6bBbk@61J`P3 z`|01$&>KQ+&kyG3=7;i0c~)Q)xC+bytH530Daa}C7WfMM1%ZNKL2f~)fNY0RBkGEp zQ7h_>dZIZ|Z`2q42elJ*{>RDFF!3fOrc9eRt9?;o%B0z|6LY2|CbdnPId|N+af9T4 ztUpB^a}{U$QzH zU*bh3yyQ3pz3M1I)U9)J1#+{d?k&M|B*qdTC5G8ehi z-%+F8w2WkMr`b{C-jQv%o;G~*TQ9!!%@-Msk*Q<+{P{1B9{c%GXUNjyMs|V+8+?Ia z$QP6%%b0uE5GyV%isq5m@kfYL7=LA5?ks{Q)7Kcy-hX!>_624TvcfkLAL=rt*1AqlmUdLL*C zP%fF-TrhsSe1FQh@PK@$w}t46j3{XcQA}77#FjEBpOT>yTQyorXNz_&q%S-$iZbVl z?wusrDqF9~Q~W1sML%qNUA4ztJ9p}#heoz<&yq%V%pKCugridydQKfcHnRV7mQozP z5gw8>o}+Fq zX-IZK&4T^=A=$y0fgK{Ep0Uyb+9+8Y;e?ukngt8^)hQh1oS~1Ro`cGmW_VV*I7+v9 zDSW-*`HB?s>^0bxN=oZzbuDx}0*m4O3}@V7q!TY``hDh^XM~V9jq*j0tq2UH_I*C6 z9r#E-bL3h@Li-$GMhK#-_3_1Ty}8j?Y^uen{hh|*3O~Dsk_GThw=a|zi&rJ{s*=Yh zUjJ0yQ(XWR6agi08f19L8w?ke#jC>cDnb^z*&r|}XU&7h?w(&%RJ-8uMNdlJ%M|Z! zl1DzM=o2Z|KyJqz?}~uuDqkV%itWz?$|%$lS1HAoME+KiEutZwiwtzTD*YG*+|D=N zJ=SndMe}5vH5i19o76O#pN035*p}_(4bzmnb*l|teWz)ZG3>`Yhf7#Mz;bTM#p(Hs zF`2ce5OLf{sb=c!Q&BW@m$Xjy>h6#hisJgI;-Zi`t+@;i=8*DC$WIhCRjFcO0s2AG zi#jbiiJyHcn7uq)z)PO<`^LduXrP5@b1;06Zb~15TjY@pKHI1`Y`lTWt#axD0XwIt zh5QYEwU6CcSkZk%oliT{hfuGW*yT9eJfI+Qaf@t-C#hZUA*4itMNHR-ptFM^d)o!C zQ5Al&jTqBZNvQzfDzOe@^oVH#q7m*9mwF(?^ z)e=TEF6o8^BiC$aj}qwIf|$KWgg#FK1+s*kWJ@cj9riM|o?B~#E;HONgWxG%R*B}20r_M6C3WZ zap?Et8Y*y96u>8o##y;deH|J6T#~_45Abp!#H<4Ch6hli7kC=uXR_%TIb_2bAZO>U zsz_B^8+DchOBzW8(19*nLjvO8$nSM89azLi7`YKDYvO~lJIh)&^5FyPyIZ^X7(<$6 zc&oaWL5eo6a91~>xr(M*VW#r+2|=}AIcWS>2c$$Mved89#~xJ&k&AbZ}z(|X+!t< z8E%UKi-&+Cz>)OLZLn-WUF%L9IN%XbJ8B$wp#H*_9(eFT<2ppiym|BVVMf?c2i*zT zz=cvPP>^1K{dEG&N>*_|4&C?CH;Wq9ee=baN*dGd$)Bc^_}EAfrQMS@(mh8+Cb1J! z&Qb9r8%c7r2viGsYq4D7AoZEnNxpK|)0T&AxHY+{&}Om6?fd)!L9%UjWpC?e(0V_X zrPG8Qk6whHR0%unjzm4baTkMz#t{`dJF`uhUsx%nt|LKk26R0WR1`3FSj<3zNKhVI zPDyJvVUD5y&{J*nR1Wt#6`?PAI7W;9k@K*sG~GEehOQeUCNKPiZL({8;HYGTHf|LV z^H8<(Xcwt{c@Gpdv0IP^;xFV?7Xjz8$yO2jE+S?yGSSFrA^0th0CYU=J&SvfmQjk< zQ5(C8j)!PRsFT}CjKC2$^;amKlMPE~iK_4>f2O9fHoF`c*6mnSOwNyqWIyI|o~+0~ zm7#9g>nP0K`C5Nlk7hW*dZ4dpSnUXgq-xSCqmY54Ma>uF;OA=aO^|(&Ms{!vJeQ%ZVKJg<92ZZSr@m=Vx2rx=+t0+~a1 z2BoJ(i(W-P7xH5s2M^PGaqk22EOkp$%f#14h$XvXYD7W}KRn%`0TLZri^#IumE`-*kz1X7b< zwweMzr=9X0-7W=DUD- z&Ks(F15mEgYPCl4D)w0Aat-Cv9Vn-jCCAjAjaa0cYFf5z*;#+qop&P8wA6GA*p5|I z+D`q0T2_JLzcGoCa8`*nCOWo^!Dyj4oiUWmCN?48zTN!L$Xt;wCPqgxs_~DxqDnIG-5-1G#7Kh8F&ULV;x}S z(I+FR{yOTu$?1t%Q8o$TP+|YkaBWvc%qL(R6)Pfx&w}QtY8l!l&3~E?$mJZeo`K-5Xg%z2Gn?>l>R{bjv^&AJYtl{v-Jf>@uJ}z0DA=< zzh*>{F7U1ckAdGAfwv9M7{h}z?Q|{%9WydRw!I6pBSI7nAeu&p#;Sx%)7gRZ(kG>H zFRfw7ZnNLeYD{{m*f0L{$K0*FL=fO*p~Dz{y^jjQK+BKU`2@5@ZXrGB>H!wU0O0_r zFNT%5fbQw$S_jod+Td+Kc553cj#LtbS8xH%@Ave>Nk$mSBwZ6=TWe&SxDb&kpI333 zqPLu7HymTE7G9V^BFDllydUSPO~|QdgdnxcrPbKcjzSM!+1l^JNO=N?3~olPQAENb zM1^#Q_>a!uQ_=y4VSg%WqGLatRsgGpS`D#V#@MRpUEno~(|;bo9#h)l(GIALW3nUT z59-jy6tJE(qWXEK0_b#;)RL?ZDPv%?q?#cY_SZZ&aXLg}9*Ac**mIxfr|$dtpL94bZi^ukwKc zUcG-gm@ok1{XNxv3;=X0`tEM@tv+CHB;+}>wt&-A?MQ-gg+>7L7Pk87kwOi*x>9kG z-pxaV+6|}PS7Zls(7mG=ReK^e*lLAV^^4}^!;&2u^w;ELkqX(+3LW?v-qe2qYYp41>nE&6&N0Ak|Z!!G3^t+l=) z2CAUH-}t=XP8><$&p;4zLCXj(2w%i|!C)AaLFc`|tXC%N{J^w8Gzd$tghg$?E%b&8 z;RtF5iB_o*qL3uj^Nh-ll)mIXvj_YH9R-24?w--(v-o$J<8WXbV-q_Z_X6&Sj8~#S z9qe^XU}?1{Q>|U-ZZ+CfpDXyN#1SN43Iqa)Yp6F|n6&KH$=gG3RG@RGfDa= zkj4XIrbEY6uird_R9VOKy8%p|?_b@nY+LiZhG)DtT%mOjb|3Q0^WzUctkZttDmbOP z)f*GrzBbj(y-W4IrDspp2!ujs{hHlGrKvv1e5K0M-F~tz_ds7n`fM*80ag%zqox9E zVw!55yI3v4<1DT?VJ^4wf-IuwvfWrP9X=EAdD%USJ}~l?4_}zR)PbBnZnMm#flZ1q z6~zR~oG?md1Aud(mzuLUgO(-oNd5yb9RQ33Qe1DCo(OM`rAB&8j62@79@7o1v4=(t z3H)?}3iRWx&_DwjdsK9tgER$E@WD|pX`mlhwMwW&iMp*Rkw_HrZn9K`N+eZ1u4(X~ zX%X2Q)lzSgtet-K%^=RRR_;m{?nIGRd>@q|nLn=1}@N9c8Ri0xYa zz8pyxikqkapNMO*&%CHe9y;B`*gJOn6>hpSYCqu0D1zCM1Z&pGx<&jCnQ^pOXX$&} z9d`do#*CY5UJeWQf+nKn_2uR`+?>9_R;6j1r{1a;5-k-!uquIj#pEuO-bjD^e|_E^ z`ucz36%9snqoJr>$mU#yu0pfWDs&fm3Udm*g}y?6VW2Qrm|GYsv}3HYjJaZF%!;{V zo>)%I8}r5du|O;s%Z>em#Sk<9-{_t?ZPJ1niRtb05_86#HLiV}#QvVOaBkbAc_&S5 zJZ}2G{F2pjGe(0CS7Jaeio*OS+wRrDai=I5z#G-~SZRP5@ zC-H{pVn(?FiEB-h0`8G}yU1Cbok1?|&Qm!)75`+Z%4yl!)m^IGBU{j**JdZ2%TIR- zoGArL%TAX9&+Mf@_kEw-+xruZx`qLkM=jG?0di-Awe|q0NAHCz&?VGRMc+v8u zi&8VsoY*j~GG3e?H2(PJu{U1-{>#rj@wFpQJ#mB?f1k=vH$CO@~f}?>{q{2u0Vcid9wDDGg@Y( z&RM+b;>$N*yKTpvAKvqnK}J&;^tQlHtdk8RD!RrcBHtn+8phbGQG@b~io8~Kh{o>m zM-|T%qF9rv$ivhr#(L?0a2^MLIN%^%a<2WDksfD%!gNvGzuDwJb;JROrS@xBp-t*e zU7{HLH%nC>GEYYD%7tu!mxBzNo}>68*Th0}X_GpZlm(VW;}OSoQty zziVQXb$^q3nxYL--{_QhCe#0A-tpwI9%Apq12w7rW$L-Ubl;>Bb`IjTi5#iY4gYcH zAFf~j;!`94aO}>*{AnVz>s->oSFnP~P<>bgnOlx_PY=Qy{i`8YeKTeu?c_l-m*3I- zNfMk3<@;12Y}6BoQP8VXv3&WA)~>EHb<7aWWX&^oXVj|{6jU(V^#9NqM~^Vx|@mXIiy!&T-T%1`gf?`={nZ@W{O{_p>sGFx=r zcgTNxANlIPqYGCwLc;ngU9h4V%UXW=Co{=xd|DV`g0af16pfAti5s-c6H@usbc;TI z$sB7?+8`=9<$cT@j4JO>-5dzmcNvcqK=yf%y=#p*S{wv@d7{%*W{tkRhfz4?3R$Dq zaC4`W92jqnKENaQ9H72tqo!mxVJQX#1K zLEs~x)Kye5U9(h`&sV8jAN7tFhaFvWKKH)KOO;(4R4RrcdtjTdyDFrf(g0a>d-=CG z7P^*Xyvx*szHA0-ixJijWNuXEB}x)rq|e)0UZ%NP^K>bkEPVYT;p^%=?5kp|sh)8X zFITk;mKaslqAFEuQi9P4MxNvtGI{VkEUziAioFh-00=rioo+!Zg5j&IUzrl6(U-5E zJf_>#hxb!j{*9OJ=VHc@N9+>bXSn?16+<58$jzW>x-887nNxwFt4-$t(R(vN8D*TK zg4|N6;MzZ*PhNE1*f+V@im7N^Z>{Ibd2NK(6OT5 zv1iA4HS&jW-f4uFO;@G{75_eUDihkTsk*0DJ@s*;t~=HJc~uwM9;%X?o{A4=ygHTO zwUui8;m*T{3|CaOipK~(j;hVt<@3~2dK~I-7Tqm_C{H%WL5(uy2Z#2FAQ-#V;Dw(i zhb?dCnmn+Nj-BcLf_&9u#SveNSO({cG$_Q?JAy&*Y$Sl6CFd$no#h@U0x0(?sXQDy zC}mG!R>p-Vdc68?x4FkEc97Hcta|{Q>~;5tlcA9VVi4NRu`<%!^HX;Y7+GYT>%YtJ z9}*nIX8onLm{Pg>t{O`h(lUhWS^;2Lr!iFU52oUUz0(E zs$x`elTcOs@c8lUKn!4LoZxDh-s=c)ge?$4$t`r8m4hd|&biVd=wF#4pRt*GjHW;! zghrs=c01;a#K`nwMw1HZ%7nZ<4V36G4fFueIe1rQuZ{|>$}y+!~6NV_kn#26rJZAxp5sonG5C8 zEdo0gTQ&iS%Q^)Y2{JJqpy5AkwNj0g6ZH$vKBq>zh;(rAAe_F=8idnB7Yc372*HA) zpcc`GqF;kJaAF=nTnym#h@Cu;Kmyo$4$wTLQ2Wq~@2CuUtz6fmo^W-jNV9x(2=_{k zC&3YWtK|{{;C$*E0WaJWH-t*fe1%FbYI&CV>Ce0f!qGJd&4tGsDk-|au3mOWEf7T^ zKHe!W@_wT_*`d+b9sN7Yz#;XE{;Xa`mXlB}7$MXxX23>5JT!G@bn`%-Jvs>E#6wNg zc|7-i-7}DTM;R`Ag5eWx2ZE`v6NVqjT2Jp*Cki<3Fg0SoY;dtJvxNK9tX|2lc{|&q z8Mc=BCXxSI=Z~YcimIMYA9DO5zczoRk{Lxm!@>-Bj{1-O4DK*0rjH}_7s{hY!-RW| zOYMhJ%hWUZF!`>G@yk~iihUp9&n=4_dEen&%FIBBpcZV2@!N%vHKBJv=)xT$%|ohE zglPoM;JfBDRP~WNVYG!Xnw(pRpcQUwfZL|43SlOirzQDmFn=$%Eri=dTrWgizbni6 z9Y!6%ZT`)MNc4V;)}R>;2pyx1`h^(vqAWB3Ve}#90aSp9tq_C0VsQ%(Ql%>1dWMHx zG?Jx}iOOoQ97GV_1-j~7d1J2*Sw~#1JYNYu`w^-lQYb|%%m$=2+4t?0osahJ8wF?&U`$hW}p1dnK;&XrL|oX^(;*L&se ztgTIRszSLTwbo(!GWE%U4<^PQGIl)qu)DHJ0H=k^>)p@Lx^uAdykf1Ms=%oe?v-Q=m#^b zK3o;$pp*F4jZdm=0E z#_qw=6CJUqAr)hQr;5gI+U^+mvfM-lqGF2R7`)cGK%<*J3lDLqLc&e?Y+)^mp%U&{ zGI_AXzDmL+U~GRmA*2G@n5=QO?=zq>v&doe2#ik8ilS)yMdVkhF}|~;O)0LIRp$-% z9IjxVW#$im_(PsbJOoH|$A9KF-|RtxGRrx((`EM6?K6%xI5iid`#)%$BVCJfs>O8> z>Tvtkxcz5j9BKb)|IxFEa_MRAi*$e{;WA~eB4O4IS%J;iNWXx1Xv6r62GCLz;Vi7o zrcq2_mZ^=?L{GP&IZ@NKMt=N7NBx7T-&$9v>&w)pfn1$Y#*eQ_-H6{WxIt8L0RR`{ z=EI7h2YvKtI_*&>5jG<1ea==#=`M2wG#uD0y!*8FyxHBoeI;VM0Bb$NpI4$_i+jyc zuc_al7ixt!#s4;+9A~j&d8niWlL+*)MfrymoN>=VaWJQWOY;;m^EgW z=yj!30talSgyYyXt}sQ7O&-Is0WKb=!5$|EQE6+B9owg({e+z~Zh#-`IHi_*HZq#& zaXDW$i;d^Z5#rZ2)QZrB7Q!>gsNX}8ak>ahFG{W0u;TA~^WB-{w}mi?KfX-; z_Feeox6k)=G1HDO^?0&!17+%WC&D4WrI{6CqsF2CbozB%RGJ4g2ZSMUA@$_gvAS!u zPf80i>B6yN>B0dzYEK7cI3tXb8etp4CI~+xy1b6)O7C+N)nfCfSrgN-LI*KI>DQYb zj1eJ|wvX3G$BF$(v27XCQx_Z5_fsL_=&$7b>F%{dhw%RVMP{fbBhdtPda4t(_r$Xk z`hqsT5-fens)RMxU@GCe+keL?g@f>kZfNKMGxy)j+}li%Ep3+QLrMHS8>m3sht4#O z*mdNn5{r)k&9a1JeDoXQ3bE)S@WXM&UcAns1~Kzwm~&;~@UGU6d))j?^$BQrJ$~FC=S@11XT^XS>=;R1D7sk?x+6P=iPK$K+3M1{a#emPClm9g)Sg_CkD~#fG+!Tm* zec~RWyyFkK$@iMhZSjlMFX7G*@83eaf8qt{z-uA=f^%Nni^46v-azd-Y`}GRLN38U zsk*O!MFMSp1x5cvqIP~U?*K0$R_F~5I8HG-P8tlYAPKR7^HQrws9C{V0wUN+J3>b< zlH2}<>}6SicL>n||0ym9{QLE+u4phPK6NA6#d_%R<8Nambon7&_bbGu=V$-o%QJpI z8k=?8-M zS`jB*f7knd`?s$2FCG2oFAE(SUjI3~{&RT!=kWSZLO+Mse-5wz9A5uEftN{v!isaa~3x=TH!Ii=peUwi)=cRJzqpP`=ZUxwFz4zK?lUjNCWuzulZ z!|OlwT>0?&Pnj5&8TsM$pG*MvtbQI||2e$=b9ntHK~qDn9CrdjhSz@*?=igoGaGl7 zStvTZ{&RT!CtAhu`p@C@pNNUR@rlFhKZnU+_`p@C@pM6%d z4Or+ly#7-Sum2og|2e$=v+o>IsnPe>d*l0~D#zhB zs#N!QN2o_9Rjd2FRq7G-1yc1ob@eY;`PUwyQj*fY*IpZySAA9`{^L(SNxk)6dvp%Nq zeyP7SP#P@FEe(}oAC(zpt}?UCDs!_@+HnUcH)cn{vYgk z+uR@Bkv2E&Z`~1iV*l11p(pk~wj=im`TX$?>xupCJKRqsJ`ve(ZTm$c7T*8nwo%l5 z68BAVXmp}9l6WI1=cOU=fIZ4w{zdvvOo0Xmgj%N&+Yf$d^C{w zMDG0`+I9;+Z{DA-zT@b#bx&{N#~sobwXHfaCY%@%qRMk--xZ{#9NWEZ)3&R(ZQizJ z+s)gyZ~M^x#I~*b&23$jlneGpw~gHYi_8Nrv=hJ0-S2gd-v7sKZ{PnXx`CqyB2v6; zTbfJW?l$)qBp%7#AC-SG`PaN7m9rxi*gtkhN6wB8`Mfe`$CZKoXa3*zz6CI<@=W{v z&YU?jnVFn(CKnPzCNsH!6AEO+1cOEiB#@xNOdz1a6-Ed&MCGDxjjdo@NlIN4h?oAw zZOaIzOQcv3yMODhn12^7vEt@;rLDVa!75Ap3kGjRLFa$o@66=FMQMNSZuj2_%sJ;f z-}!Fu`#$gGeDi%Bw>vs+cSi}23RFtFD03;bQ8(5}KmxU$>RH(K365wRw~5=<){ZVl zqR85&?!%Y8M;Z1^pddBUHfG;(dVzj8QMGtbEEi7Z{qe;w~6 z_pHa^-Q+FwG@0Kc^GN^iQScA$?I}jZdfO)lX~4B_*Qx%K`<}0{>EFp*daCVI_a`Xv zlgQzFyYKBY`c<^;-pF(Jnkw?&8nf1Vwrf&wD~jS z$y`pe&cgHRuby?4JfBlLy|!MS)ilhRc3OeMDyf(=8`aE}IV-B?%$i$2ds_Tz+U(kS zGi#<*S=G#(RaFgJx=QApclE6KGv>^-?$pnysJ_~20jaGj*;}!bQ>#XfR!30XrUvcX z>_Kgt7IbWLgw=jEZ11;+wSFz^=y!CFac%qQyL#r*g0|pK-_w^KYb%Td@-WSP*QW!H zq}HzBzWxs)`!H-EL=P>EwZ$Uc$Eq=6#~>w=-zOVQZO0-#u?}T#hiz}iU+wLKa0z%+2Gth3z z9>_DU;aDQ2-Q>$qX7{n>YDbgVaih6hbgVEtR`apN?Dz&B*P0!7o6(l;&?ZthwW^!Q zzNyvT8#XyktP=t%oIyRdfTlleVvtt_`>xquYhUea~ny|-e)E-kl zr*{0i+3`Dm?x@)@z{mH@jt}|xk=b#I%c0@bk0{f8E7E;*z%#zSm%E7$9gU2i*NZcO zvmV;k_Ho;oK&Kag(&_DcWZ=5$!hyc%fW2vp==U@g8vQn;KZgHZ!NWlaUSaj&kGns9 zwqWDy9ly(gJ>awy2>dC@fS-Z^d!k*R z?(5Cz|9#Zi)6><1}z>?qXqrH>1pX&jM_z4V^rxO z)FS@{eq&n~X}cjRc15nlC#v!7U6H6A#~d!Nw_xLmsJE%Baec36=ZTtM*ieSj)fl)w zW#_smeWz`0WlLtPl!=leezO6?964zz{PyRn6aJ%0Om1gS^rC6R-2Q* zlE5Xno4H(lY(ND=IJ!l3H&vH*D{ncW0Bmn1wFx}g)J9EhqUN&HrpPWjOke>K*&^B< zz+SUWLqU&aF4g$)BLf2wTy`mk6=bqS0=rY6@BjEYNB@VB9n@=H2<{wHxTr6^U+Jmr zbK-kipBvxT^ttdow{LX6qo=Os1Z&mfvG@ps>Q|AXJ3 z_~_)RPkZKppq`$rwh28Nn%d*6?sE>T=*t>t#;6!uE^EJM%i8vJTlTlN)nun{@!~QM zmzC{p)uppy%QH*gY6*D;paKWf?t8#8_cSHa?-)#9hxB!W>0cjAZ$o-pQ_afsE!2Kb z`?~hF=)84k3kJ=@EdhW+NZ(57z6U2ZoD8L`J0qp-^c3!wd>mt|^kqZ1-%lIX*KE`f zsUENH?S25azBlUd#_o-MllqHM$Hrra9LEm1cN}u}J=LGzv$NxnqvMeK*g?m!gYF#% z-F<)8uOsoGqvN3a*z1mCue%?8a0~4^Wx5XvFpy|_pyuyK9Zc?5`(gv>ZC!=NL#v>J zGP^%n+S>NXk%M~Z<--s5kIfu=*G{A0u2nuf(2D=xtZ5xJWZl4sQ<3;rJydX4$Q4$4 zMCS5^HTRF|S>N^vE1ut^1%x;7d}{acfvmo0zq6-x#sh5+^t<~i`>AhQKh^9R1zWGF zyr#DHDrkIb1EbmsKA&DYZB8Y^b%D7xwe^AgV!9fKnYHt-uB4jUX_d%9!N+N&sbF<9=A`}|RrpRo03g@x1(Z$Gy5T4BzFHIS$@=?wuE5%8oLQ^)* z^lqbQdU+3dy^m7wR;t`Oud;hy<-8g5Dj%%88h<~aUUUHM>wTdYXNmjud>{EL@f6=c zjz_<@m7*z?jrb#6$fvqrb_F5~$`$w*DUD%dw{Sk+WjQ`6gyNvy-x2+3svlc5=3!ZTQG1e2UNJQ+;-y z=5zR*z9gT^=k|Gg$v)kOo$GT%j*?@`QFH7$T8<;fnUj>`%5k4>eg7Hq!GOO;Sa$ZM z@jbr+wKD_LXVnL;shv|(8JIbzc6Q*ZSu^I7eR{*Cfw}YMRL!WpIuM*zGvlhc2w>OD ztDigL>Z@uC$usO1A|+GEVOuBgGf>1fgp*QRvEHdkc26d)yK>enXv|qxI%&h0f`Tz) z#uSW+-+6e`riUMXc+sFmn73b$fQqjK!) zXOb@_#>|>EX3Ukd#@t^}aR2>d3htMQ`!{XczyIM)`~2f!c!QqJ5WLR#xWol^x)P z$qpRY?xfith!5XRo_O`9r+$6l*@GXaFSreL84;Rbt0pxUmeaH4yx67PvQFBtI+l8EDTuT?J*tUFdywF9onA_;F#>+TC0{z)1UPST` zJC1^h9))0J(!~|U6;4u2T9&Gw)bsrcXC#;QAxOBNs!hB0~ZBzCtaA!S*tEXx$i^_7i9HJ<1a!S#Z;~VM=Fjii^yEjWHVgGMh8$P&L>{C^S4TJV8b+IK zg#Bh+Zn6i+jm>FITHUvg_h{T_BLzc^2CUxw#1p%B?|x!1!H?CtJ*)RT{q&wad!B|R zWvA7h9UYyWogMPRkCnJRsV!D}Mq}m4)s0J*G&VLak#~)1h!QfClV>OPO9NPJ6CP7| z!bDfuOhva{200qhQaQ3m{V2$zhHSEvtv1gpn{m*V%*70-o^reAplx-}wrxE9;%VR@;0QZ@i`gE@XcMrID6YO@(d*dCB#$9f2iDt+{niV1@`J6g5#k8W`S(Sd zU9~Bi_lW8gy~A-b(~+xzVYAhcP=h@Fb`03}m_D)Cz&SYLG20i<5obZpox`;JYvgAo zzjK(5M-r>}v!dqF&*m+Am_ia%O)-~>6d*}X98+b24Lp=1O#w-=4RO}!1al7Y99YW* za)1|rG5GR?Xat+#=4fykQ*T|ItXSFf!53I%ue|rySXcBm*4jl^HcELNP!8;SdUsFs zq0aWTEvuRv7dCvDN#(5D%cvas{y;euL&Ik>TEvom_wxJclRF;&Spw@4*jG;JxIcOK zw#{p3YJKgMd~Lx&EBTn2n4)j5!wv`Vu>l{Ny-|Z*0=xhlngXRyBlsVz1s+!MBot)| zvqd?L_1nv7++bnZC~S|q&yCvDR(nE%fdm`kve(CgUQMF`1M0y40IFTFCQ| zv|mWPJ3|?I8#N_ys0njlP}-xEM=bsfJUe zb1@0)_!ka(6?^5K)j%37V3t+DCA?-gTc)#0r7Oisr&f}SyW%QR}2%Rs}ufWz5pJy)p=KNV6E&!0d@;!C?fl9*8q@Gf` zFJWpyuK~n>tQ-1v{OpZWvzuy2e6VrQRY+9^UsR1OnOw`lPrSN(O3XdONgCXJ^&SPS~>0LeXfrHfpjGzYm(Gudi`Q zUoftEJlDbtfQH$<8#<FI%fflftfNB&^H zKtO8-=|0P(b2u<;NC7eaQg`yuz4zHtDQ!QGXCmoWFa;loKlI0mBp{6fQ29!x3%qfh zqy-7^M<^byGb~VeAIv6JCGW%67@^-vlQ1xbeh_%g=Lji=Q9fr0 z>Gt8$d3eL1Zzc}^Vc!1nJXJB>o=gSm9ebB-NQi4WJ?=Jb?C0lVr-^`?juyuDK-0c< z4sl#!dr#?MHxyrAoCIA2AE<>?OMbp!wak3Uol!@~Su*78=JS@+T?xnROaVJ3w#PlS z{|6C+qDYzJr?hM+jB=J6a2zNx6pTB%%<{?#?eZE94@H^Ww`eTY{0UvMFiz^>s5~odSclZ zz!O8jm}6T8=?h3lsQE4)mIqP39F*8#lz4dX_~8BVz#3$T^%i7^a_AZ8xgjz7ah?ma z^tlmoxSf)IL(#V18K!#53a6#P_tygsR2%z;a|qc%`Cg^*=VE`h^FzemVj>p}o&UOv_XR=G}KcdlVTL)xf?W z@fK6|?Z*=FD7-{u<)3t)YMZQD0`_g#z<3wVwj{Ev`;z|9h?9I^Fygdv&<&WNm9rNR z(u$=MV1|!Sal!{M8-GP*BV7QqVIMttP6xnjewNDF{Qs3!cAE9?zQmR$GC8LMiFky_{pgY<-7$VBQ;UM8rl0no6}4tw{63t*{zl zMVcNDMq^K1RF+K<5@l?b|F@xzO+5eX4fUY%r5oz7cHk-aQ*>CAf_})7{x(DQSuAa~ zy)hZUcJ#}(r1{;QtSD|UC!N19Dzy!_kxgTcsB_=&=7IZ$7yRt}J%@AHjv4^&9Jc6p zk>edMgb{hj;(U!KIpDd+&t_r174~47f-709f`5nLi6c#vO!@sM zc2RqL%Mdi1!k(!>tj}hTKF*ns=_jBa5L|iH$n+jCC}jqx;Fsy=ll(5f+wbuw`*lB{Bp?Dxz!re7AJ77hfHRO3a0T1}5B(W_ z>lpnos_ar-m1!Jky;?z%S%`#k@+Wy>V@-;7ruyqPy{$2%agsKmGI@ef)JSa`T(?gu~&iFopx8SB4U~ zTkg#W{*G_QqZpD{ut+FTitQRzGT-gOPejtlEc@3a97c^d-S&y@^s=39JY+7dLKrZ+ zVyzn$XfwGQ?k|QXy<`HD5H+B9+*uUFe1s?xFeGW%Ju<6AmO8%-GbhT^D1H2WR8aH@ zx|L5^E{*h4K@iKL1~P3xmaR+WLvCW?slwoyYF%9Pq~dXG=&Kf zrpwV4?AD=ShekJBhiSAB&CN3Y4Gd#bvY0hSsn21~fMn%5+)uBugH3QdNZ0M;P@rbF z3!A2F6zR%CqEHbD4!AB&e3XpBzV7&u0#X}I!mT?Mrer~YXC4GBKI1Y+eI*cBpX|>? zk>3dfL!pa8rGY?@9N^|4={a22T(LAy5idSeB)E5zM+ zRCi%ApPdAF1`XQc((<`MHRxIg#=#LK(XLEG1uoo`B*Z>I!oV5E*XIXi*#HJ78>UYZ zE`1cFFGCX6ZPC@t$BpJQI7S;}7?eDd%v3q*LWGI;XEn^rtm3exYkJX$;;N8RaVC?T zR-QP?axU~J^GHO>UhG(b3u=2Je`SF+{4p2h_+4o<9ldQy_oPvlM>33pKIZswU5Fd8 zWJ_~Qu~*)k#lRDhzyo-b$g_6{5*f21%?x({M+>;pHYDJ&g_8FU0Ul#iWMLc-Eua#> zNQ|RsBwV{&NEwcNdsrmJJ~9Cld;oj6alnwHg_k3@KevVKqFk832pqTwe4{rQgNVdc z##mXT@HYez0Rm+s?eo8Xcp_jMjn%=#L9AVyDbgbgSH^zX1RB;Aoxlg=8Q@1fQL1zx zBrWI$c&9=EZZIhR?GU)8Q7vvjMlM_=;T1v%46Ov}T1aShlv)^t96973j-}sbEKLQ| zihm+ZLmUpJX$thnWx@?8Ef;mMtqQmqJlcG6c7f zz=HA2sSLI51t8lQKy3zpo5W0lPE1ErP3d+Ho}c#C@E$yDJ>1HPpb=+N>s&CCAy1mt}Y0L>_ivv&;XH>FyU1q@>~3n za>9|M4PmHZB;YoUR^lxJ&^SnIE=HoA?&cS245cs#WHB%f5_Q{BTusUZU??Lf+OHaA zl%m^7N2h0EdKGv0NuDhu-qageZ+!#G&1i&cVZm#tq5_y_0`t->P?P|Py3^y}Xi@ew z0Oa|UXu(+so4x?lm=qVkEYLF@s4{|>>0#8x&x4ZbjJNsLIcO*@$@ORPKE>}`8;f~j zF^sspHxZbrvk8Xo#5OT_-sf?Vgig-{Et-o@0yiUN&*B5@iby~NV%3eu#P|KKk&tMo zNVx9MQ&&PU%n7#QgW`^aT6&`r$m*n}xqvi`7;23)uX%plKJ@H`2?zi+q5u6svw@LFeZP|+4#fv%j}fDyV*tp zyQV`%!Gjm?KF~hm`hXrCalPkI=is&C<@Tgq+}z$UmDGAeaX%yu|baRh7fVOct2Q&Q_Sb;@c8E!vNC( zayg+(VA29-_dy_{#2Qi1w>XOVdzoH#)%O_A>F3*=hCkAhXqp)RhBN&D{7_Kl( zl(d!8wJzqsu$ha2_%(S;&{=2~BpOtg8pIIFg0z>Y4t_#a)gr1JbLB%1J%mc3jcz5; z&DcCa+A~c>!d6715yb+r(Ly7iQc#h8D;X1V>dR6}OgWzq-%6c^;O za9%~gK2gv-6$R%cbOqQh!d1eO5o;*@fYhif?7gmWLgd;|g&kmt=Th0BN-Fc{fb}qx zMH+3<*CPIsDYo#lx{sT3<1O&WE!CjR!7QO4Cwn0gEVqyYj3QuHnx!_##fP}c6_A^$ zu<@Z#SC}D)l%*leP$?o4yz8Y6Pf9IeJ;-K~d@vAnQEecQ3zIN*^SgEi{~-+_wFVkm z487oD7ZZh8nqpWf<*=$T+5LX}OoMHAUB8TSSxqpE=oc`0$EN6G3D$OCkzC9W#aL?V z8~Q#TgA!w%&RNmbQwh;IRD zR!EvBh|Cr?tAzxChiid!l#~v1L<5BOR|%q~--tqht;`Vvw3I1=n>gGWH@+J=7~^5v z8<@g0#B^bQER9}bkAf9OtS8JGC6=l#+YJQWeE_)kImme$@Pd7SQ@{l_+M)zZ9f6{C zK+#p5VEsecdk$rTE7lO%$ZXPR)e{NGpA(1taNLdu=R~AF!C=%$eKJg)@XhG)yBGWp zy0R_|ECz=~qU-xJBak`1*}4Dv$zy{M;EMn8^ku&iV4h`7i5eayJ z-IC#mw@4x$ib#Ond~=4LozCi12%%onC-gAb0nJHUcbKz?YlED1_EXGz;YKY2}+T zfdR`b*_6nTQt>Uknv=JN5KA-elhzM@7$stli=w0~QOxLdDZ>`5R+k^NQXn+xx~U@X zR8S*R=Jf-7-T)8@3IT`;lgFsaMIuiTcO=^e{q{I>4A2e^w!V=c#8y0rCOF9p%!1`Q zJX-L9gezkoZNJea?NmE0gsbm%K?Mcr`+!odAjv7{Jq$>~Znex@>_bpUnQ)1b@1%uS zT#SCP0%?6A&*KgV8^9*U!W(-v4mRF6R-DUfWMp8>;E`0;lgk=73mn`d1}%;h-Z)6$ zfmrMS+mN1ExxE~w7*OX6kj6SGjl+deO5SI?^21}0Vt{UwA#o&^AaRV!WD=FY3DXFsgrK_T*!?3Rag-?#A2()puvo6@OcKdSqeO0! zeQXj3P@b1|)hPG#E^r~LOgEs;S*_zdg1%>gBVc(sOzz~A3PK!bwg>1`MnI=SdJ1q0 zXd0x;x`$$=c72rkJwmX?ev|{1zmEggPvE)(QxGYb2A>R=s-a zg2u*TIbpV{VqyEBzda}JZ-;14SGrg%A_?hVhXQ?kfdCrJ%9pg8wK1)>$QRJrGZb z#g?0590S^lG{hav@!xWxKQQ60i@!3G!AA+HX6~-|Jrobxxp|l%ot71M1K(o_jDzc7 zUW7q8G+HNvkigqvelY@z(v(Crb`f)E`suFkMqmXLF!OJ@sAGg2?$2_gMaZT7uOxea zQjR#vFGfqy1QYbP-aCEOPf;ou=D!YD{;TJx-N;sW)K<~=7+Dbra19G>qM(x&6QJIh zA3YoNSHV|iXk6;K%|ATg;|JJJof-(_;(@ameCrdqDa9aR^YOEB?{uZ*ouZ57Y)d{x zxg*{Mx!E@l(`u#zjKTtpULnrcCmdApEKoIQ1FEtpSZKL|PZN$QXv}zshtd-OilhW- z;VHpl9`39Ti$^9r(S)zdFaqJ=sQLm)$8^iHWpA@dq?|^=A>UOlyonzWrr(l#CipX)3lPu)MlP5tSIM>Is=4-DE!UCj%uUL5 z{TX)VJe!R954Enjw=KWvroil#w=50JT>jOj+m^5RDiP0m2;O$f4NGoZ+89`TM`2+8 z@)b*#2Ci9p!`GJH5-9r`UzIm5y@7_$j3^G#-w7X_GT&=>U0Xm{_=ykRE?CVuq*RmB zL*9?Ea>g=JQpngyYxK3`!(Gn1)XIBBBCmqH-@wIp31?23y@VCK88ffA;tJT6pM3Jk zv17+xe);96pMLs@C!W~6c{9`iLZ7z_TF5`vH~kAS7sm&e)5m6H0e}c5K5DJT@nguH zS0Bgm+h=^MaC-rj_})g=llYJL9I{mzTgdLbmooD4*jyz4J(AZ_iLMJDtTx~KGFOSQ zQ7bXZojZK+4WRQ%e0G>NI5!82xCwD&zQ(i0DZIPcNOSdS&DiPKZQO)6$I?=s=(!HQ4a#;YXtV$4Xcm44 zz?n^_N5gpP)yxRfym4M(p5du$u?ij2D^>_&8C5wEqC@fSCsqhLdbOeZ&vS=3}*hna1ll!g>Ic7K27* zdM_F6Vh-4Yx#c%t#C$zsL(Uw-rMNIKFCkZqjfFKh*?^-YX>8gEn#Ke-B0B?=^n$n} zDd~lTd=aEY^>6*E>aPn?UKPjN{JavE=vcQ`fgVVU)Qh`OI7yB<`~gnZ>i z;RVYnE(Mj}sG~NJw3tbKDQIkWDwv;yu^i)tyaJQr)UPI={;x1(@dR{fueO6_BhQB+ z9fTQk1jS=ragrM$n{O1T!-Ni^0n>1vp-<-FU_dH14yrfliv^_mn7&i!4=Nz72QA6d zfp?%bsUV;N>LGC^JXDoK8+2S7$pVHy*?SeMV=h_N^BMe;^S zVZag7{ovSQ|I=KO>h|g>9v?=C@v+$W6_xm(;FBl8ZR6w3hwu#tY^L_dKs=1yw`7xQbyTQ2rP(uCWxAXTdR!m=sMWrSEwL`G84@UF!Mcv!eTnh z{flRZ@C}0L$s@_0b+He_EJs=5HmF=7hn2g+n9Q<|9w}=n0|(|4$;{4ijNGWIND3ov znHW`!xBfaSA+*fS($0_Jz(UJ_XbwHbV`uW%nLJMN2d+FX3awHzGLai19b_Wqv5kPf zZ_q0Ry6g-KraR~Rg`TbG0pa^c+&>{^GXYJB#;HcB@B&acUh~f{<_!)JoXbvq+@ZOE#&qS zkP4$+0xHG63c6J3AF?KjWkH+faALCYu(A|nm@dm>l{$M6>qq|V;bD=1AsCbnmJCyh z2u7@!+iLnh;jd%-#lz;quo+OfzHN96=fy+#VyVzt&IHL}kus%h;i8zU{~?c%X*I;f z

  • +8-fC<1}!nH7-T6;U+v|tSnnty!ihHD2d$^m$MpH6sK^kX9 z8kn)zcr)pL8;ezG+_4(l8wXDYPANhLqrqg@V`w>BL9>|*|KijzUq1z=9=76{7cj{YM6Ue?$s?~f!>%dTGwdmsZ^ zYii>U%Mz)#KJer$q&|w&hu^r>O7)h!UGi)u)>#lS-5H_`2p$g51t{HH(z>r96El8n zycF~WsK*cG#`Ol$;EQEI)TRn{TVxVa+#$Iv6Yd+mG#vpM*rF)dU5T0T&~ZUkErZ8q z6b9p@);lTe3ON~$BeJ5u_ksck+xWh-a9Pm z8di+8p;577p6!Nv%UUTIEW~FDB-qqA+I|DZbjp+@DC+=(_yP@zskF3!#!Q*Q>jM1!yIY}6T2#kzvM9CX*3C97KwtAXaTDlFt{1Q6MapwRf!Tv&>H&^04 zfr-YrsK_)kDR!lf?r`H3E$Kysvj(S6pV3RswPLX~%NjprKK*{7yr1F6fHO8_Qb|S- z=V|fte4MAm&&T0B-8$!o^o(4@nE%=7M|(;Tc>>~%)nmBt0Z6@W#h0pVUlL>aWD6=M z)~*6eWk4rSo&Wub7U_L|BuCBWBE2Bfm8<8@S+jQDe5eFzGkGWj><*hc1EyI9QkyKE z0_jz9U_c{OxTF~~sG50QG7mi0anfmX-bBVN@Snhh3lZX2xKJ`7Os?Cv{a{F(E66l;yRB`Z8d!0*vuYR6Kfk(Q1!cKAIhh%s=iAs}R{ms^5# z>z5@+-o-%ZM<0C@W;BR%CP*&^NP{P|KN_cVZMJcwSjBtJ3e{drE`#gUI;m*+L-)mcWMcEZo%cn)Cdd}tL(<`f{Qy?D(A4F~i zuWdSQ+AZYIswWqCqrIwn)|FS)aJlW4XmQS_8I?0|ql`)_rk2%9z$-}!LIhVAURXHp zD-*tAiQkI2_?@ms*yJ9Rc@YOyc;R4FOV)?WA5sylTD%I&u&i1&{Kjd5sf)4PKAkc#2Z>0j`CqxUAJIErmh~K|@V8 zr%dtC_)rM38;w;@hKT|oF>o<5LJ(G5NnPaDw~@Y)IE00=Z8>}aCNyyudWc^sTZP{o zVO3pGiikAt@tC=R>@@i-Yb)ai`h+6*48)Mh*vJbbVid>U%BzF zc{jGszv=F~uZcFV9dYNb=#8z9+;sPrEjMFk;jlXwyt2A;!=hU@L~h-9L(eKq_8u%P zLlWg2axr9HS(LFfP6pT%Mjsd&c2xCP0qrCUsf4-#kB((Vof-;-GA&DM(%4YQ%5kRM zGmP1kNu!jObR{Rz#h+Y>mGjI@7Lh9d1{r!LDu?Tha`)t%7j^ksT{R z6@wL`rDKZ_RYI7bc?wd?Nlv)Mt>O`e<=5$s>#w_h;i4NBIVsso4ku%=MDDSS+;>bI zKd~q@3A6pIn`6C@%{#DdMt;HA0<4JYO$3n5s$bYru~I%@19;_~iDsZmjj(DuYV<;- za^)~IY&CX~Lbh+HbK|xZP#6utD+8zuZYJV!aADxh!-(PKgFG|{*jC{Pd!Mar zhf~H~jGplv{CG*W~<0P9mr#^)WI~?)~f={PWG1l|5F z50G7dir~TF>|!}U^96_4(SH3oRtW}*_d}THX276xjSU3LqU|4ry}3q+_$&%7ulMS(>FP0M|(a4LM<(TH^e~ zxy1QwB6<#SHo~G|geQD9p)G-1jD|tS4>`fDEWd7Wv$U7*!6;pMHiOFd-y-~ni||Z6 zVS4?y2-BZlgdOi>TEh9W3*y4LCJ~1iCc@zO*&KCXyDg*Qd}VgQm*qQsxj_iljQM$I H4MO~1wywHH literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/tiny_triangles/Makefile b/demos/lovebyte2021/tiny_triangles/Makefile new file mode 100644 index 00000000..1eb102f8 --- /dev/null +++ b/demos/lovebyte2021/tiny_triangles/Makefile @@ -0,0 +1,56 @@ +include ../../../Makefile.inc + +DOS33 = ../../../utils/dos33fs-utils/dos33 +TOKENIZE = ../../../utils/asoft_basic-utils/tokenize_asoft +LINKER_SCRIPTS = ../../../linker_scripts +EMPTY_DISK = ../../../empty_disk/empty.dsk + +all: tiny_32.dsk + +tiny_32.dsk: HELLO TINY_TRIANGLES + cp $(EMPTY_DISK) tiny_32.dsk + $(DOS33) -y tiny_32.dsk SAVE A HELLO + $(DOS33) -y tiny_32.dsk BSAVE -a 0x070 TINY_TRIANGLES + $(DOS33) -y -x tiny_32.dsk SAVE A HELLO "¯½½½½½½½½½½½½½½½½½½½" + $(DOS33) -y -x tiny_32.dsk SAVE T HELLO "Ì TINY TRIANGLES ½" + $(DOS33) -y -x tiny_32.dsk SAVE A HELLO "Ï BY DEATER ½" + $(DOS33) -y -x tiny_32.dsk SAVE B HELLO "Ö 28 BYTES ½" + $(DOS33) -y -x tiny_32.dsk SAVE A HELLO "Å --dSr-- ½" + $(DOS33) -y -x tiny_32.dsk SAVE T HELLO " ½" + $(DOS33) -y -x tiny_32.dsk SAVE A HELLO "Ù 2021 ½" + $(DOS33) -y -x tiny_32.dsk SAVE B HELLO "Ô ½" + $(DOS33) -y -x tiny_32.dsk SAVE A HELLO "Å ½" + $(DOS33) -y -x tiny_32.dsk SAVE T HELLO "º½" + $(DOS33) -y -x tiny_32.dsk SAVE A HELLO "¯" + +### + +submit: tiny32.zip + +tiny32.zip: TINY_TRIANGLES tiny_triangles.s file_id.diz tiny_32.dsk + mkdir -p lovebyte2021_tiny32 + cp TINY_TRIANGLES ./lovebyte2021_tiny32 + cp tiny_triangles.s ./lovebyte2021_tiny32 + cp file_id.diz ./lovebyte2021_tiny32 + cp tiny_32.dsk ./lovebyte2021_tiny32 + cp tiny_triangles_720p.mp4 ./lovebyte2021_tiny32 + zip -r tiny32.zip lovebyte2021_tiny32 + +#### + +HELLO: hello.bas + $(TOKENIZE) < hello.bas > HELLO + +### + +TINY_TRIANGLES: tiny.o + ld65 -o TINY_TRIANGLES tiny.o -C $(LINKER_SCRIPTS)/apple2_70_zp.inc + +tiny.o: tiny_triangles.s + ca65 -o tiny.o tiny_triangles.s -l tiny.lst + + +### + +clean: + rm -f *~ *.o *.lst HELLO TINY_TRIANGLES *.zip diff --git a/demos/lovebyte2021/tiny_triangles/TINY_TRIANGLES b/demos/lovebyte2021/tiny_triangles/TINY_TRIANGLES new file mode 100644 index 0000000000000000000000000000000000000000..aad270bd17f00bee1f83a403bd4a2a67eb16c631 GIT binary patch literal 28 mcmV+%0OS84*z<}dfA9jSe}&|r0I2{VSM;Xf=+Nutf6(XInh=%% literal 0 HcmV?d00001 diff --git a/demos/lovebyte2021/tiny_triangles/apple2_70_zp.inc b/demos/lovebyte2021/tiny_triangles/apple2_70_zp.inc new file mode 100644 index 00000000..9737f2d1 --- /dev/null +++ b/demos/lovebyte2021/tiny_triangles/apple2_70_zp.inc @@ -0,0 +1,12 @@ +MEMORY { + ZP: start = $70, size = $90, type = rw; + RAM: start = $70, size = $8E00, file = %O; +} + +SEGMENTS { +#CODE: load = RAM, type = ro; +#RODATA: load = RAM, type = ro; +#DATA: load = RAM, type = rw; +#BSS: load = RAM, type = bss, define = yes; +ZEROPAGE: load = ZP, type = ro; +} diff --git a/demos/lovebyte2021/tiny_triangles/file_id.diz b/demos/lovebyte2021/tiny_triangles/file_id.diz new file mode 100644 index 00000000..ad35c2c5 --- /dev/null +++ b/demos/lovebyte2021/tiny_triangles/file_id.diz @@ -0,0 +1,5 @@ +Tiny Triangles +- +Hi-res Triangles +32-byte Demo for Apple II, Lovebyte 2021 +by Deater / dSr diff --git a/demos/lovebyte2021/tiny_triangles/hello.bas b/demos/lovebyte2021/tiny_triangles/hello.bas new file mode 100644 index 00000000..ce0ffdd9 --- /dev/null +++ b/demos/lovebyte2021/tiny_triangles/hello.bas @@ -0,0 +1,6 @@ +5 HOME +20 PRINT CHR$(4)"CATALOG" +25 PRINT:PRINT "PRESS ANY KEY TO 'BRUN TINY_TRIANGLES'" +30 GET A$ +35 PRINT +40 PRINT CHR$(4)"BRUN TINY_TRIANGLES" diff --git a/demos/lovebyte2021/tiny_triangles/tiny_32.dsk b/demos/lovebyte2021/tiny_triangles/tiny_32.dsk new file mode 100644 index 0000000000000000000000000000000000000000..b6deda258ef8ccb119b00841181871b9999be607 GIT binary patch literal 143360 zcmeEv31CxIy8pR1+s)D}Ewy1Oxj=yyDJ`pI5mMSpz|e(FivkfvE3ztR$EQ+fD35U( zsLZ&{Pzi+siA^b%P!TGK;7|mN3vT0rjv_lj1#u()-*<1)g~dT<=DqR#4K(-Mv)yyg z`PSce?hRMfy-^#qR$V;`AMN(iVcwfdhg$vTg_&W&eO{;u3+eF({$-=7!%cwS8V4tYNaEJm-@s1%&hpcB+e-o(V)*47GMw$43i)1!9( zu7LCPHU3GPLc+<0Ku~e=g@Bi##Cctnduu>kw?;f#>3p!#6SrY_u+8r63Bj*DZ8r>m zYIR`u_Qc>>=hiiq;U$wc1<$e{`-nGw@7*Du_`%DG@BQHQQ;H$wx^$aO+9gNomwa9C z<=p4$-AC*F2kYG**L!*Q@p`Y)eWJel^ZLbQr>Xd>`bTJnd|p;@PFYc`6#rOX8UFK< zWA)D6+b{{vg%$qK>oZQAruIm=PuK59yQYqi`l=pnsObDA>z!Iu@aj-E;|S*tZ^ETe z7#XDhv-;{!>%~BQ^@;k$W{t;m^yvQM^<^ih$NeAI2S0KN#Ug8{RU}(o$r&#H)&O65VSS9oD zi#H4%HI!|ozo*!i!v`mnpQvO{1`k(`Z(v(fhE=ewd(3BC8H>6vDONYQw>K==p8kNJ zZ7uEQ4OcAPo>;csQFPR!bQFo3pIaO}=RF`k_ng;9Uw(W&>6s8zY-U>v!&`g}DbKIz zUV$FUwl5AItLk>NkV%;}#cDZsGuyH|cvP+@Zh6l8VN+MfC>6#Ru8!s|tD^`_aBY`| zRZiHG{vDc5W?OsE-=jl!@9Y*l=JJS(L${!^`MZ$^f?pk#QjNag7oG`n(jWZX%N8%} zww0s#>ovo4CKGpdA?uRZFf+4s9Weux%@yd z6fMWq6msU{bhXm%(n*ifZql)9x2JltobHRwpRWtn%hf&%)-<(UZFMcWy0pi>Vr6J7 z+II67D@(g)eAXk>w^lPUe6mZsmMcw?;!Pw=P3Gxp}kYd67L|)!izd z-n==H(ucYQU&6tP4pJ07R9E{NO17`L+U4_jDlL10KODL)$R8R3QVfP%TzYbn*fFsK zedhG+*4Y+GKmNp@|5EYviZyGWsrJ{bf3|L8U{n3(=eKNacwyTs`}V*3##?W{``+K) z|KP)qK0EaJk)y|spE!Byi!Z-AbN1_RzWwg|AI^vC>O2SQUfR|CUAN2U`E8x_y{oRy zxA?b_@11qEiy8OiQ@moiQl<8+tX#FaN+YcE`m{Q|A*ObN(PXy7#y!_2{;C9P+jiSu z-0{-RT`&K2cjKP+dpjg{48Hcd?ac#Xr*}GcN$T3Id-B1LKl%HoDLt+}+%vUTT6*t3 zq0@c)^&fCe#<_um1`oM*=rB%aF&cV`yjjg(%WH)$orQKh&je=bEC`JCVCLj@JmUq6 zPT-R*+`!JgIh9pRrs`T=)zi#`UQER&Tlw@Zyx2!+;oI;6*HJX4bxFs6Lqd;X-Mge; z((PV0y5cLvEc8xGvCx-^zRVVj#S|OMna~0~wd19l z*EF>mgp^voiZxOVmuWX>&>+6Yph2Mn3;(UUga)z)Fd z`lXmx=4AAKwnP}-R)0pH)TwN-SS09~s2KA5P9n$F@peyQ1!B$W#(k(40DW94V48$k=7@^xfSvt+U6Ki)`%>K zR71x_M~@!W`i>rzgagi9r6U(Q{A_unKd2CoEEkmx(UURDt*&tRjn&SNwvP1hW#`l$ zW!X8Uhuh%rc}%Z4LhT=MAX(&i)Pak5goZL2cknFaTaiz`td!{}auh8&r=l{&;^0Z4 z;rH7;>J5&k2C&`Rb-}Y9#fBlJBRu>DhsTUg)sH%oJxrKhu4;H>d#$4358H3=pDYCR z9u1gtaq!!kb1IK=gF~G7LUPcudW1Q~6T4XA&aV%R2!81?Y;c4oHY!6mP8VtwB74r0 zURJC^8!>xxdD8x3mHA8Xx5MiaAA4c{Idv!*D(svR>Tlu;Mfh`WZCKrFFGNWPs`Vr) z741K#41N~cQ{=TLI~^~C20GWjfDtGKWkrDi?I(T`%Mo zD_x~M#3e6mE>PgiU{r*s!9t9l@GW)tbnH5pgJx{{?fp@|NY}E8 zi-uR4>GhQk^-O?7%zi4o1@i{2g>P>@?#Y{6ngcSL_<|U-%`4OBd;Cy#Rd!>S@;9vIDjIqQjU7D>^OOYn)j8sXt+jXIR%&lQG^}b^qq+RJ z$Fy*m)Y(((wk11fy`1xM4$*4s&xNKI ztT6w>+wmWU06XZ+hMH&YTYc_1KKERoOT1}qSv?L9)zti@?$6>?tDax-mpZT3Sz32# zyXgO)Tt8RQxIB2hI4ZnmNt$J zuO$l-#mDMBhrRW%-o)?L*Tzd3u-kC_Xu0<RtYW%Yz!P(qnkk;oASv^59AT z`Q<3jIAV66U%vm~a+Iei8X4*^j|z1fxsbAv$7$H3#HmN=wcx;MVeI*s4VLHg8=c>+ z_y4dwRJH&6%AC|iy4#zC_^n?Wb%fnAMJU!p{N1w~bmTw6hecH03^NL<83RbvQ z%vq6J6}$TJRl(J#R!^;*Q#r5lNM*aKT~(&4_p2%^pQtRUoLSYUa!6%zWol)9SY>JIb5iXnzC4E`ha zWLvwZ`}{}i-9J2+AdTHKp_mAZyoDk{cT>53VXD`wnWp)>P z3x!u5RQad+LTdLEpR;78%ek!r&Vcg=pEFSJH&s*{D_s5^zUmi!E@#2Iqeq>E-#Vv- zoi~Q*ds=u}SbXhie}OL)@9^L3do;NyUE%BoN2p?TlGpWUG7U!|{&tO5fx8i(Um-sK zwEJe?l8HWN-HI6*jM|Rz>3j{A3P*#vg1T_Y zD0Qx0AsrjtAq|b}j^JcR1`;5q$!m(rkJn&a@l;*qRnd5jYn)H5K;NO##z#V@E0yRX z_%YUIj4#v`hk75D%K83kKjW#A&g*tF9v7JC^V)-IuQ|wj#nj2Zkcn=5*9zPi?h2)7 zRa5BuGj6u}CLgYu;hHgkdEL%kD?H({DZbrhQ++3=_$ryuAaxw%=w8ItD^nH}E?l=; z7vhVA@=$U}+`MpI@U6(j6}UG)$7AejEV}ct70#V&j8lBoQ+&9!a)Xy2sP%?aUK1SH z?dl-Eu&-C7oLj`lJOa87b<~xsuyo8b-C5`n|F|*~^VEFb?(IX$zr;!KyX2q=U3tya z#^C8F1Yhz0(bwn+#rfys5WJoWw^Jc+9)?alL%g1+=J}x0T<-ZkuYpRsiVrb<{&M{zLBY#oY#22rcAC#U&*#3~=bqtn&-97!Z}Us{AGG22zOI?# z^H1|7>b#S5-ryf=ZuN!kg`U6+1>djKePG93?g^d?ZE6_hO&<}1o~D5)Zu8N_EB&|m zbh>p_IYDhz_PgV~PmV&Rr|$4oWj}Sh&w1G6 z@RrCu6{ReQ!N}(Mf=5Ej#gdiI->txGi#033WxfU*h`3{g!vzAw%7Mt#5)oFrXgd=s6=l+#Q2i0q`tDr42j?|`iYSh&xisx5O%ZAfF zEoU8)`2WbX?9%Kfr{$F9l)swcQfGI|aV66N?xH3q8+GNv5l#A`Msw{al}c3K6Z}?% z22N#VRkri7^Z5G1dH-Y|-Nj^|tLHplzCzr+!aHa5JReAv@-Y8(xN`@TY!PIN^Ubwh zmFTMk65?S`K5BP)HK1z&InJY%UPJJ#U#M_51pL|xH{cL0mO|W3MdGSTv20E7JLlX@ zUgkWo3JT;_pMQogsPoVCfx$Q|E>5ZGOdpy8JHf&zoV(YQW>#gF+QqE3V(wb8-CC@O zdrQE%ZjD50p{@kU3=+SWuCabCdZO`4LqmNi3#qQS3?<^9*M`jE`n936qHk^JG_|>E zmCLJ5o#t!QLXt3#S1BG?<-f;QeYa0MxC#TQo*^Zq9A|iyxUSN>AazFoHmkxJTq9K% z?_W&>n8F3sOYZiS-Qz<>&E3B0dwkBhtHnvHz}++M_CcW<6&RCL55qd)^7*PppSZp$XyPy4HfHsP_6se!I05ivYn1<_rr8_VQBt5pL?Uv zpYL-Ad?0lYb|@bkD?{!5vwT6JX0|V6*+1L2f0hqy7Rn&W82ZcwVPAVY6blxn znO;C9l%MNWlTrgyn!pNP#XhL9{azJD)_Aa-Pgwx{1Z5P`?t$>qmOzC}XWjBD;a z9Ew@f_uvO1O_9}A^=|q%MQ{tguOv-Vw*tlnah@W$QeOKgiM|wY`2)VvO#en-sof>2 zpDDiz2x_AWi#~aibJ-@ix<{ccQO&Cl>L5zgUL!vR=tyMtYjj;wg!3p zTA3bjFF5roY85jkR=_lB80PaWxz*P&*Xz)hK+i%Y94<1SaA6JI+XDU~pL=`2KbbFjrRKD;q;15rTTz zTIW4$Nu(q~n!vZQmu6RGmgWSHdlEO;-<|IZ{(Z6eEF`zf&njxTilENZb%Q;m^)23D zUzAyDe+1oau)APwgp8PxUG|`W+1ZsD;`X>kgC%q+3qoq*kl-3u2D&Jf}hew)|yB~Sfz8@Mf!B>=Vq#N=bk4QC3GmA>? z&d=7uz(*$j)9mVtO6Qf%DJs3EbhfLgbad$}MWxQtJ+7*O`xF(SSoZ{9Rfc=K&(Bws zX808qr30(3*{7m{alWbn?y)|Uq|Qd&&|VE{+LxGb61Vdyz#n`&YU-{3y#cvb2wdSI9+i#E;k`( z%*gBBWu4}D=B&h@82RU7oz>#J&g#y}F2$Yaq|dR>v6t9O1VCd-hBWK+8*wiF&3S9* z8+%{h@#0I3ui8bkpyavjo^r;3H8UQ68vKP9u;#yTjK=NE%pEa4b3~4qn>S9(oRB%v znK|6KYYcL8#GEl>@?NL=%MtU()p3RS&E4VpBgSNo7RTk~iO$S1BX-envqz4>n8d8S(W5hSce2L!CF> zHnVu)lfEsfPcRnxq5TgE#aFsyw~nz`j_EmRI@Fj7>0@BKckSK#s^{l@6bsRboxKNC{?t1W(aO@jZSx`*n1U;SA1 zqUvSUly0bQtbV@wWuy2<;aA_L12NljK zb}OG>;;$RsuLs<32K=W36)$gezZP)65%BK_RP5d;UQ;U? z){Ae|i-XpqCZz7JK!a4JL2A^ndn1&h`)r`$^NsG4fri5y-KPRe-VJ!e?t=mM#{vH* zb?)~9R_EmPUgoQKEpYf?YVaI%4k9Dp1pM0r?sEbEivb-zz9nA=ycYMjP_2pU#eVC> zm!9?VsRsg~PU6>SY!xlF8T;P}z-E3o;6E9_`2D8>xD||$W+eF;|JgwL)tHXb;r6s`Ckf%?^Q?IP~{E7agNr`J!rkD z7Hz%um;(X#fdCph|Fpqd;(oeLGWwpT8^DM$ov_NEu9Ih8?n=Hpy5y;op9X?wPkt6~ zw)Z#HhgJ4pb<|W^m5M_ff%3k*aqC|uY;p4Lydk<#ii|r|aQT@7^$c zU6J^EfA#XZ-MfRI``-vuzZt-JM(t~WLU6$h@q=f*tP&y;2F157qBmMFT8XRN6?I}- z4gOc^8`jq$rU#Q_QI7v?eQCBhobvIXR;zXr6rwb)(Ae}*9uMx#%zJ!KqJ?yu9*s^{ z3!L`b&1Hy#6Xd3Y~#zyVraS04M#>N=>k8~{i;MRziXb3{#%wiYcGoB!q#h4s*gy8;Ml zz6K4M8icl!@;1K~K(LN7q`Ov%H`dC5ycg?0oyY3HcgO20Gr&b#>JA6Ltu!1g3$^hm zHhbzSzO17W9?T9NuXF?tiytqqWRFyA*@#+VPOaBc9jaTr7_m(E){SKi8+Rjw2s8G^ z+Ukali_=xX<4=YTi971WQT4d7N)}RA@dK@KcwmLe}wNMU(q@*2I=LNyb&k^{fwyv zGCkb=e!x2Oy@30}0J?O45SaP5fcv8WW)}nJoMURMUkUj42FgMdm92RzQ2jPcCJw#u z)i3#wTfNhV7;nwD0S`lE0W+6eD^xes)oiT`>T0%b3}S4UvL#Q{l|6~Yz(vt@PHoK- zb=5fM(Z;{aM|b|R4;OGPkz%z7_X3ks$4E04jm!GK4!Doh`_Ba^)ci@n{YAb1?*aFh z6kk4E4~Pgr5yHNpYZzXj{$usEoXdZv-lJ(0LxM-yXb$ln;Ypyj{!at@4hCQXeHPgF zaUgU%!O-T8wvXXDy^H()>WW?HTeIjvvrI21W%R|c$AYDPXn_K0fqS+xpKz?Gtt2zNwuB`%tRlbqK}!N7%U$6 ztTQ;aBix&IUS;qquPPV|#MJ0KvF)f=7gWQev6gcg0(3mQ1P1~X$rPb*Sz;JFc^yv{ zQ#j1&ZhN!w#T{?F{^AbLu6+mgzWSEul{Xr9GK)z;mgYbB+#fBsptmmOs1+vXhQoA$ zpZ-U!e@?p#+eF9qGmiYvX!C!niGDc@YfjDP`*2%Nu*cbB(cAmSQ{&<|OSJZ{$6sgQ z*BSVA27aA^UuWRg8TfSuew~3|XW;*v8BqL=*@O}{Nhz@Q=^Tp_IcBo57^RuDzZRz{ z&8Gu!n$&!1$LZBgrzXawwjaqcr5J6FoS7!ZpRmE<3Wra27Q(13Ao)qYw3Dquv2e$Z z(o$D}-6b%`!w)MIW@@U!l@}%@IUM)99QR`fuE>Pu{P*4q1ZGZf%tV7_%aW3s3ls`L zczEW7hf(17-*i(`0S#;6nKQ?Z-R~+uZ;n-~MvQ1#D2=cHJK;Hu5~J(l;5eSg?q4gj z80Rok3A+`!b4?{&xED@RZ@BBbKXiDHW2JZ1eV6rzH~;V^I>QK=ftl>As|pQEShmv2 zxFn`fGHo$4mN1NA46?%$FdOkVpnnCfW#&352Jk#Dwbw-2tEKi?XwN6HUK}57UeMHh z;2n3kIA$=jIJ73f3wcn*#b**ILety=VCF3S$g^oS2E3mD$g!HY=^I$J*4CPL$C}Zn8T5@ z%ptJMg5*^NhgrX?{S%59CvZasyocrBJk(0b@~LlbG1m{Rk!$5Lq(-cSVUqC8onxKT zcP!*G&7)O;d znej?a)aw)I)-i#Rg03h)k141V?t%1${Mm}#+W%wrY|YP@Vu|`qEIu4QbrE|${Uhvo zIfh#J^Z4^J+#VfZ3-(;qf<0-a24Ru?SHhFwoR&-pcK+%L7%@zo9OXo``7!}4mM{UN z1Rf;bN@8umP3c+J4&m59yB*(38&i*Cx|?ODBOV+kab2vToEeLl-o@s%e{aCdz|J4(2@^2E@QkQi{x~p^th)s>#j%k;BkQuT$rJZ%Y;|`ESh#6{`3G|D?u5@PR zR5G{PC2s9A;cEXUtaI`z#&t?sHjhQQ_DSL`h)|FfOK0>zgM+@aXUa@_m>L^cIpf}8 z3Of_P(D_^jI2#o+sGqM%!F|r{}JwNG4&)y`77Z` zsSTJC4Zi=mj2Ir?#EGZ6=B5uYE>0^f+Wa(N#qhqGU z;LSP6htS839#ATIG{QV!#w?}`7Gtn7v{9j6D(WSI94=(f*6el#{Mm}1anT=DpZEU= ze_n>6ekOZfj@zRnY{8%Z>FRT-3kt3PACRvdJOe`S4SlAOm7AF;J==wk#4f}%U>JD6!AH;kTJ6!rb;+;6TlhRI>|CXaAqkgP1%> zlS^@v65P~_xJiW>)iN%goz&^HT0zig)M}MV!M9;EluCtyV{J%*Pco!4({_nJPh7}+ zt$67Qc&|0HMoIfJy0JgA!I*9RJjRcmS!9cs{ilBvF$$x?6pKA8v3#r|RvD{`RmW;# zg;;H@E><6F_)j1I&l%tKV{S;YWao^|>&J}43kQkgbFa_MyCIhu(g&rdi(;B6_8FAk zpMA_FWI%&-0QXy2|Af6r*G1A}k@iN?4jNk`|BV6IP=umi?Ln;v*GFn)`B|v*8^hYx*6C4T@d|(>FR5(i*fj&`Bc!UW( zsQnqCBNK*lbe)LIv7t&h#+Ju1C#mpRa&|a;<1AjGP^twr24lj-F;Zj5gwDb}F>o6u zEIi%@r&6U!V*QholTj~`X{9L$_r{oV@VsVX>QyEK3y+))3mb}A&G^2?7+nvhK`J_5 z;Xc|DZb}UFH7+GF+VKSJt4h$yvthdm150R+3@CUSc0wDZby7MJ)`{MR%1+ZFt`H}g zHWXfGdNK}1ZyNce;qYOZJty&VqbnsXbYubL6|>NaH!T^~iUt=;E65udFOAg_S^TD9 zC&D@!EX-iSb~0qbMN^?X;QpZ_ET=_YN}BpHO?|;}B#HK0WU2%MGs+F8TCjG!Rbjz0 z;A(V-mYzs9Wz8#ia#&gyEBlMIrVoC5 z|G8%hM@(0+yl?y5@di4^AUZsl@CV)Q>4l4sn~-(?sc5ct0r&jm{9@#4ubK04B-bQz z{c)?p-E_C3AN+RCSI^{UN&O|?8to4yOQ)iL{4e47>v&Y2f?1)Rg4{4n4kiGXO=Q1O zn9`&X{E2!sx|kxCnOv+L3T>u?oF9{v-ihNTGv<ux6WRERwbarbH__MeVhk7Z&P zOc*GfkEL%hIbX^Z1~7;?mDni@g%hdJG!BpOVl53!Oq(qAKou~}iI`C;;5qb4c|uQ4 zIt*a~u508>YO)ZyL}Hf`ZiIjfO_Z*j2wyJ}DJXocR>F_0TYAj^^j=bL}+CU*8l^PZE)3COK`RxqLORb7zZEFEfCPEHi;=f)e1t6Qf-&f+w^?%mX2sf!Ij3bn>K|CrzH>nnI=1?<~4= z`du^bl1haenW-2|D6p!fS(*0Ctn3_MMDdu>LTV`BF>+9hz()VFsQ4SDOuH@3NrRD1 zA#2jWv9D~{qpP$*WhiZ6OQj9oqSB_pmuVh%#&k2MGG%kB1T{oAK%)c@(S;Olfiy{s zP`Cxmp9l^BgtfC}WgH zC3Y7nI0b}=La9(G)C!G4P-qo8h5qN*ng6M6VE>L+jmEC~LNUuRX5`p$qcg{1$Gez= z#{vq6=Vcd)ofuDsaZaXq``xo=ika9(KPG3aID3{@n3*f~9yoBI*r`*e76xpK6{fB%v7C12y8D`OypuY!~rr;yu1E6}_ z9IQqkd;nUA>FMcaaA6yJ>cUv#kmYKYTP#2D(2pftz2>CU$Q* zc)JXG;|5041xZ#SpmU+e-P(gDms|OcExOottnNP(EP9n+Sc*?1SOkt#G_CYP2R@ey z76tH$1dEdJX>K6k6A2dGgilieCOLKCF?=Gyq7nGCERiC{BG*Ctw~vNr`Yq zOr!%jdKAu9ALLM^WV&L!w}v&7n?z?9lN(WCl-x+ESKGJOqS;Yv)Ots40D(s z067tBQ#8gdQK-6^E?Z77tQV{eGjM{vWspV*!^X}!5jP`nK-e%MWrku}M`Ek+sSD|O zWJ~Guvk|0-nRHQjh5ZP8dpW@MXX3Y)L4%`f-U7b;Ukh;8_Ne zK(MVj5(>f~9KSc}&ySEArV8I`mB-1l43= zW`sLrg%v}{sU1o!nf))i>`R+Hk9adIgOZ4w0zbVZ;=RDLDTz2W@aatsX4OL7!lfV1 zgmI*r2?aw$#tgrGLByxo2sb5(&6+%Me1UVsa;ez3kq&95jm)B*#|^vvmPt;;t`Vi( z%>iuFYu{nE@g-b)>TECTh^on7C?-!%n>=~)h!I_&1qus$78Vx9vZ?v`$@%&DvC*LP zFT{s8r5h6204BNJz!Tyi%;TWM;|L;40`>u30O2Gt4Me5sMBvgB2@4>6Q)&$|$Slgr>Xen0B|&av19Z4RIn0eHheWn9q8kct7(WIE9pbJ@BL>(7Ae}SM|@oo>UfuvSm8Z{Gu7uR3)rqrsc@$ z53OxQI0FJ14%jb5`5dO;EuqJR7-nJ=^yfO4Dm6TQ$?cTQ>MZ84q`x&n;T)}ub2RY2fW?W0RdrWS_9)CIs*9X zd2=LoM(oV6OE#+nj%AW03__qq_>K8o$F9x%n$KB^@(DRt3HcO)F+Int_y;t68#RBk z8fx&D#kTEX;SqWl2Od%kwW(QC2D5Du+>X zXa3_TDoi;<$1jeeKFHpUMp0=KfYT^PQ4t%<@6;-E%6C)nvlPaBZ2n;VM+_;1^9u#0 zSVtjffRB3P5X#W;&oh3a%4k=j8X}rhhk~WqpQt8@#3OU_~1-OPJJABMf>Sb}g(#kc8BoN_9aH^q&q9o%?rC z?^Ov`#a|WQCcaHvo4DA;Z7e)iR?Vi^dU33u4f_uI*>dP>D88`TVI!fxX4_)=LaLt) zh+qeKWyjbM)-}UWnI`S4=nHQ>e8^@@LQMmttErsbxV_~rsYQzZ^K*MCSoRAaX&yJQ z1sxtY?wdcUOu=*yc6jLczDa|T%zpDkoF8R|-zi_Xb?gHQro^s?2MzN+d0}jCoWXPb zXy@N`6=Qp!n0;zR?$Alp_{5ZVRt)WqWOmhl_7EDYA7b^Ba_7s9N2q6iRG+}ny=*qB zSzFi|-8c^WK=IaZ>`EI7bQc22!P?QL37zgT}!HkbG+<~3iHN>cytpb2j!WP&U z$E4u0uuht&L8wLIgWCUJQD*;0d1yp1lORncw%?6ubjn)7{66%`ktw639d-4p#_GT@gWxJYpdLsaFx10qJ8iehcnZ4 zYCV-Y;8S*t;t+f;&GIz5{8sEQfY&&`cW*$&8Mv!-d|Q|n;tudQHpfV9Gci3eQlmm@ zwBrjU6trN)E83F*F(YuH(P%)Y-(tf9b`fIx0!k69L>lk^K{^Qt2X-fM7)F=bF(}q( z9861nm$RFsVSKHG=SLA!ic~6L#v=HD9+#mPhzN91%vK%9(^o!To?4v};+cW*{qqn1D|SZo@V#OeZ$DD7$ft41Nx%K353*Mfj9F3zD+o zHfSm^#cW$gY?1^rIez8? z!Q0PmAg9~pnl?~EB)NiuyBsHlc+m)9?E6;8Ya!(~v0&yl6_Z6U&9 zrCu@B=&F4<{2ScZtxX}}dH;@(Fs(9nvuV{jwO(yd$Eb~JlL~OEidQLASbCLOrBMkg ztxBiTs|>0bl~H9v43JauN-4IkRAbb?un*$D<5YOpT-LZTBeTRCGAHDS@+Y~Un3dHX zLBtfMh{S)>nu5Q*MEaLG|Bm_h%oF>dQj73!pF#cmuz?)yD@*`rn*a|U;*hW-l8TFD zBO2a%I!gd*ONV0A0_clk0j5SeY(tSt0kA}tJ5=lF1Z=LL_AnbQIQPr+91j2KXYF_? z7&g6dgo2GS%~FEicvGw)9R48MW%x9CnYLtj*bAf$j3e!|+375wM`~XJfK013;ng6< z`w}4HTJ8x+fVoV&H7S~G#(mMYFBc)yPyxa^1~wR0n|K2lAP-ti_aI8_GTa+n|t0x<}`P^$oB(Eb-3|A_Twv@;Hv1%VO-Ss0)Q)7fZ(D{=|` zXadtM>QQ)vO#;)&#bWn!%7-}RZ#nXRjJ0GeZ#$2;mb~=`GKUn8AZWGNwx>53Vq%O& zklv*UXYRklK8)^?yY!0lTv{LON>Z?dH)0vsV;DA(4q(cT*zbwk7cc3(x% zZQC8^g5V)&lU|IwMS&R$hyQk&4Nz0L@IZOTWgDyqd7W536BueJ7F)VjScHQ`EM{P4 zP)Mf^Fzn~j&*NCFZL#&r%I>zdZHrL%z))=3z=0r{q}9@{%oKT}ChfB1Sj!!p(B<;} zZmDO^Ks@*GKzWyy8EoHT$L8C#-6NdW2ZajO#Rv*RcNgvAY~3NO4waR~8q?Vv?Bu|9 zE5v(xBY6G<_N$u0;SXEx%)E?K(jQjHU^t8*$8b@^e7Mxc%#Oc;VIaoKY)PhV^PMgo z)Vvb;*D|I_Ax>z3l86L^Q2%E|;4f?g=Z}RjNzQ^xt|(|pkX9aT+i4cJ*xE^(@fBCK z@5KG9UG|*+c>d5lp4$tqF(gn+2b|n)$pNSQ*3P%=Z^QYXD!bwE-N?t7BKZq2NMOpt zCCeiX20S&52cU2joT*s@;0DNb_a*d#kOQIsVGH}k0v{NUoWSU?<-*NeF)Al>NJihZ z9^C;SCIEckGfDD7BhdExyXf17M{dlv6nw#y`9kr)0}o7^G^wJZ0*NhKwjcpR@_Qz< z6u2AXcBjyST#9PIfRx$5pOlr0_eCf9u%Iqnh99a|LAbY#}y@yaG| zosgyb$YTc??g(pTzZ^b0HoeGBx$KZ*j94Xrbx@Wc!N!yQa_m2bhpFUYAG;VokWG=? z@^Y3$(2cSjPADsaz6;3aR zX@iDl#Z<;|NjlvP3~0B7yn$Md9!?@NgMEjWZ;0IOC`lybWf!IuNfF6P+RE5!A(qUAxWWSZ?|M>WRci_1P~)I+GQA<-^ES6kgF3Qe}wbgg)D8d^P>TF3HNid z^a3tN55k!FD879LZ_!8x!?s}C?8~z3k4pwK0Yeu`2EyrJpf=-lyU*YWB_3Scf_Je2 z`69mkIg;U`i@gHbl1)tC#{hTJG(fE)mlXb0NBC_Zb^-v)5$BN>U0&@=BY53=_wL9v z=}hZjBmf*N0qqnx-XW35-A(-eBhcM?k__NR9GWBp;Jg`t^UB!>c?^=c3YHT%Cvp4? z)(2=Eyk-NNCkqCBCsqqF5ar$#YRIN`gC!1M1|UzCB%RnS8L(T(^yQ2=9`XGMn`X99ik}o+#m@UqevswH?h5Nu&q(q4qTJg zS^#vA=0xUw8O5U~7h9otdlf$@=L6-Qlq+-aWjOc2pQPC@Cnezcc7)MDquNKd({R%E z@>?Q!HQs+B=~i7tQ6Jvv$h{s#0c`_IvpD$@IU=Y<) zw@liyWzr;UfqG!*0}l)xiY-uOIb~%zIp9n&3>)uwbzP@WE5XUZy`ITt{J;#&DgOJ_gJuqLjQt6QA1tJN*g*nMx}yc zf!UG7evan8yci%pmlppkXbT2%2V>*ANtH*g`I8j*=<@i+h}frldqeTJI#gXja4jfsLzwK7Ey76ZNPU=xYO!IDz_FYxS7Gvi)T z=bLq1*=!lC2V?gl_#C}-MHdzsn$MJaB5+t4;$$|K`4qez6N7hnXz}hPVsxyZYy@EZ zRy=qut6@Eo4|Y=d3**h>cqO#F)|KOTYP z0oB9i15LdoSx8O%Ocr8?<^Y<+)bOn36>Vnc4mP1T9yM!$$$z4}9P3?~g8pf2PLtUN zj3WHy)TvWdCk8x3tc2H8slYziT$4u;wIZ%}Higden?lW3VChq*ntmWz#8jjnZFoqv z9WCKItaAc#e}r*iU=eXk-#c=<=jL|rKB?EFNxgch$!S3TtfGEJMg96=*izJNw#?z+ z-l;F&`tr-S-rAyl6A$Ck=#=ia9luzGM_JizJZ$0wMCB4H;Jlz1W(MMOHst6aHxSz9 zC81$MCf@EtNYW6~VcMdkHa*M!`|_Nd4Y2^z1^r#9!GDf~pdlM6#L~}#&>f*vk+24Mw2ayO#QXbLZ>*>X`7r6-)MYL;v^gObYuiAj{# z1yTBcg|i`i!N^Kz5gNQj)rS9#Kyf%TD12JTAUh*1-H;|RmB6wn0tkwO@7sgoffOeM zt;w;#$Jq#&ED&%gZW1@+2wzUYZg%0*R*^vj)_P*XIw;(17)+T@nM!d72Wdrbl86eU zv~VmUhjMVOeY>{p+FILM6RZhL5$+YYjAQX#VRbB9)`n^0@vKDrGZ1iXSbY2#&^eAJ z$g)dWDe?P|zFkh6tQ_88MvtmdFL3YT;VeSYw_!OjVz_uRRNFA@{*bEONpXQb2XAX^ zY}bGKfdke)NHwaND{)Bi>C>n2^Ehpp`GA_KJJKZ~Ik=T^$@v~ne9ywVIvq26P}Mf< zp&x$u0lIi1<06&PtbIHP4dt`p1zkrymYS+#Tqc_ki}Yi-UKdt?%Pd=_V+EbE78#9g zsc+823edCNjv>!tTyO3s6FPp##BMTRWYbOTG}GeJ`8r&>(13B?hVd{%cmQrHOk%GK z_Rp)BzAZCe&rDC!8)y{a-rT)0^f)c{5+ugb2KB+D_3fL?t}&>nZXD`FL5?6i{J}-WAIu43Og`Iz zQ0HQ)jk2S9m>J zeE2s&7Hm2l3s=$XrTjbMwy=!;B_Ba4s06iucnju5C+G!(5F;1`lZI(H4X;sXlo}Nr z1q5U?T8&Pl*BCT08lwiJs^-+ZTA?Q3gH`WS)}*Y1qf7+@yB6N@;qnhmClS<+~8&!r5O-iVTr zS*9dxAp%C?a4`}|R-6*=13@7_O{xhH5{4sTkxC0d4029zRWdEeNR*&W-pI*7*a#Sr zWFjJng@MrKZD{ex1{|)d&UP=S1x@Zr6Q(G9DOn>efC*?;uC1<91Tf^@)=CPT-j_k!cW6RkGbe(9 z`P(U(n1~V}SV&5)y(KaRpp3BbT4IfnF*NxrU=HV z>;#n(-4m8zf#$;@4J#z6e=KG=+&>B=O8CJr_!~_?;&H^HTtnM4ZK_xHY;F?Fv^SIL`439C9+%& zXhb>ugW+s80>=m)JMhR9D5MQ}p+FBMrz8SPB0o{aAcZ1A1rl29_34Rin7*b>J^h+D z`cP-a3$X&)EptlR=M&k3hvBT1iQSr1hkmOqvUH`;JT2+kR`ys2q(O}n{g3#_bX8N4}rq{U;Ie?fS4V4f*cNj3o5;^ z4SbF*ek60~#Y2z^O<=;dW-x(p3a~Ws{@4ppg&)XHzamrtjcwR0ND6{B3wBh@h8@=w zFd+3L?b5wa8bCrsq6U{i4qy8PA&0Y~5I~dj9|8IR{mB?0V7w~_0(f}$YE~qJhIf-X z2d;p9T2MQ23bnmH)b!<>fHM6N+Ck#Q%8=k?{JtvbK+adf>0wvdVAX&5LJZ zW&b=D8+h+xHUN?;dTkt;08Ih_F${SZ?=UbX@Iwj>W7jrWGv#=e=1x4vCPy0)5u~gx zRD?iv6C5d>m$RtHe*9;{hatrkTi22`D(^q+MQ)m8DCol3in9uX(WKSk%l^{Db7!^2 z*aVx3y?WZ1I_80AKIt%VwN?l3lUz>OyDbF}5Uz=Xa=S~~y@mvB8=nFoiop-QxTYPR zV+q_$yPe!jzz^|&A>d|mEf59{oyV9w?6G(c$~^3**}w`u`Q#HI0PuwckxhroKXKwj zoVQ_m7d(7y%fg8ck*MF&;cB&X;Lz6AmE*3Zm&Zx~g_fWSG{SQ)bhw%>VsI;Q7|+io z^nos*72#P7g-9a!ZI2O$B+OGU>5)*a5GJa2ApM=ghV_h!x}@F3t-0W zx8DvZLxMHx(rXRxe*RW^Iz(5v7Fb*u&GSuL5II|s)YBp~w;qM^X zRG@yDcFe88G!0>c@qG_s{v5l(B=CE%9D42@HQ^lmCu$W?jwdxh9)>i791DpI*2nd| zB7|c)_Vj0D^aco$PCNVsz%0q^44{vnfO^PuCqo{hG3Fr0zUR)WE`@Tyod7tb#$>m_ zmMt9%m>yv>P20I;O~^+aPz^#i(h?JiCINz|H?>7=t_92yCCRXg@QiCB(mW3FxHMfD zFb8c7j=~(f`86$>=QL}{J>V=bPXx9h-f6`*8Q_~ZD*lRCjEY-~faqIMF`zj;F~X0L@fwMYC03CLd8Nc15@L5{q-^G{W9mapEix10;JBpQc$5R* za^)P?nN#oJT0w`Hj+wM@f4YFi!$Aqbvl_UQ^h}UuE0H+XKtk?l4K6_KA_PmY9ZIWD zGYO=V;5y)LP$CRK;B*&^lCoMxxj7Nf2xs1?!-=GJX;OeT>}$YL{Dr(A+mTXKN3%wSUK zLj4htMkkO>aovq7r#-j_CbeuV~8a$94RXkAfK{hds_);^G|QSi9!KL(FiO5m}C zMbBc-NOb*=0c7_BW8Q0mM=|B`qL~P@e*@GmOJ?t*J|JnqIWEpD))zXimNNX{RXg5-& z=39+gDbZ~c;2b4g3zQ*NZXS`ZhfS9zG(y67J_0XnR-|F81QUorIUhTJN%;$+4MCfO zXn%)#j{bOA2o^0%UNoZIT&pC2E~dG6O9dF|d5>JxOM}q#H9w$To*e7lhqS>}q<2CF^#n%HyBGr#WK6T`FSIOx3Mz z8xITb&$|ug1IIKg@n#{92k#l8SO`_W)VNG+LBQe;G;Wr;JaQxy<;2;RUfm=G}>U`Cu~lFcI7R*2g? z^NeI!OhEjkq$HJbm_>+ew8LhwYghryn|=GFUdSZ5p6n2eF<$={>Xk#lC6$@uvy|#< z7=#!?JzO8Uq8yx&Ixdiml-`^k4MDfP(7=Y^kV-wCu3|>O2tpoHLpPn40@#rmq1f>w zJj1XDr45TU;j&m-Qz~3;58e@W29wkUl!?B^VFr6?N?=?uZh#>YtO5VoFvS;9!99KP zkzzCPEsYtl6<9_l87@jPvo2}KD85of12@rl4Fz-BU=xFm>DsW4h|9gx};JNPAXG_aj%n%3k;Aec!+|7DmEN%K{_BY z&~UuGYYBQD22qWk`#{O1$rA(W3rfx#_cLGbb2uSUVWl{o@coOa__Z3T4C^SR}5c z`fB0hq(rqI2~O6-C8!kg<% zeHc$y%V*ArUNOfVSDE88)xF<+*1-i<57nBr97Og>qI zjaMeSrmNywB7Cd94>N+<|El>~A*i`mFd9jN;mWv>CS8p>8%dKzNs1nEj)&9G#B_P^ z9@3LpJ?SzyOsG%c(@WZFzdNmN$%|3`lo=8YH<5-5;f}X5}Z!AvhiW<(qh-r`=%_p&xOPiByd`cQ@kK)d|0z_ zY4OG-_th;aMxqW0oG!hu&dP4Y#@yo+Ef1eE{6#$!QK2o^KhNwW>G0;w@U*z(Cu8s? z7Wzd3{c|xWQsGsE$+TOOil;6F7$ZGREwC~fSfzLWNYw<$6sj|aX<2_ndIa_$J>P_l zVp4@`qnEgCiuAM`_Tx*{XVZ%b5Ob5?FE7t?)st#VvY`u2ixR{s4ufFjBd~4UvIGI>)%AnWA36 z^mt3REM@s_Zd2nRo}b*i9TYK6gas7%}fUarnq_;thFYoY_o8 zk3WwcKW0QuUapv&>CCx)Y)+x*96xSsPVV)2DXisB#q_xbZ;oiC6ffZ~LC#3LNBZ%{ zo&GJLijr1(<40`C8c>z{60SUFx(%8MhGLDRR8ohNf@@N^kg>x_fgwXykjQ{y9Zs4J zA_}Fl0v;}_UfOvxoXk{|UB`?NW**iFuUX=7ycQOz4Lia0NJoF>Lb9^dY6~|TFGeD1 z-t1B1DhU?6{Qw(rfx#uSFKre(cke_5Zv}8v4<-yW!JtFItW;#A-|F5cZr zm|xzM!b;GODw13&llH!ZN6L(CQC^uF-M3&4H*pRWZ+e&HSOr^(DM^k6%faY?W3Xo8 zoP2K~H_>jlV~Z-R>nK54xf7T1xzlGWRlE|qowcD3@Oo&5P!%k(i#llSke5K!+99O~ z7-~U!#xlBYIGn?Vs{pH}5Uh$iBO*2m7cI}jJ1J$VDv%5=6YC3FYs)SoL6|RCb5K+( z>Wk1^gaT32n)={rqS0lX8PF+A7fjf{%b6*V94&HZ0KZ<=oiUpSb7sRha%arNsf_yr z#~tLjzj54N%Az6S&T9Z!F?BayJ&4Cra_X@>&bb(#dy6wKs$%BpRb*_UA)2T&VUI{A ztYn&6u|KVkWOAC=nojVYvLv$=S3-lw8DKH)K`DJ5(*Oep0j&QCPE!N-7$neivjvpX z*^qCsVB@)xbyZlfRWAZE&=SZzXN9iRNpO36^+cwEcgo$yG@q)C9%AAz(i93icP6`U zU*gr3;MG2TV(DG=@Y&+pu-@QgNDhe%BHa-|w87JR41op^mmZzQ_bJ9+D;)69i6k1% z$k^Mle+XS<6iAHRhSf^D-@s7VoU&Abe&f+^l!?gtvvNlx&_*|%c9{xGM8-Q@Rp4O{ zO@Y0khu{f^d$h(cTJDJ>!SD!S8BKhU6Y;Aq0aPsf*+9iCOwehawnD7ypPQKzzD5@w+qqv%Qx!C@M1 zYF*w*dzwiulZ19i9+ZhqkVv|}c7h})l37SkZrlO#28|bj6_d1P>oxyw_BOXWL_VfD z{tUZ-yUm>viC-aD%;rKcHvn0c&0xc37N&c zC`6g9O9T}$6)V#4ZcpOAW9(k56rXv-+A=oNQa?8n-4);(M#X9FF^L`}ij!!Mpf8EB zJhWF>;xnu|WI;>3tB&G%600@gUC?5u*`=6KhOi1Gegxty@jxn*DgFWl65$Gvku20B z5*{2+q6)i~^;K}8x#L%0YL-F{F(_$0i(rV7{0A+3hz?FnmV&kM^ok0+0uAWaQqwvG z859u|Cu&<X zZlAm*{L&#MbDWhUPy@2rocx#+$HcEMBWjBN!aYo0ZY4L16XKZSMm5tj?tBYc2l?Y3 zTf+*V*m%(HboyNf&CM0avaXoB%;CG`^SY}OCC!XQ!qOW_VO*gzUdf*{HyQh-{9TGBBC7!+H> zJ4b-jnnel)*HK+TSBKO2CSD6hPR1}4+rTy#DMoj@bO|ca1V5-F%umU=qN)63$Kcc)1 z=@bu_CCc7p2?Dw&olLJ#Dg!YOmrU+Qz#uk^vcPnf4~I*BqBpJQ^$NXGkLQB)8oi*` z0<6VLcl0rOqaI_%!2S<@;Qv}-fsu>b!jYAJ!YP)I1A1zkf}Le?g;*6JH3s~K#&n#U zS=@bcP8=LT8`AU>hS3@eq#8=l7n;PKLWLDn!5*!EC+(<*7vvtWz1T*58ZtNo#$abi zC=qQ&TR=%CB9;?DGG!f{4^+Qz7&1eKdw{gG-wW7QI?JN74_lo9^No}l*Fn1Qf2Ut~ zGJ`@4@zi?+k-D`ba^!j$5brYq8U65cp|7yuDkz?kf8UgXVpqX^NZ{0k(|id|1H^VY ztc`9oikWF7%uLffLK!nNG+|~2X=?u6m>Cp;1Y$*AP8Ru*)Kh%ZdJ=KaNDQC}5Wyu@ zG!CQ_twAJ$H3wwyv&jBmM+t%z!>*dPtDCHyupo?Q$T}WCHT>`o(VTRHCy8b*L08SRLv#h&Ye8krG#8;Odf^*46xvgwM0-b& znOuD^5fiO#SPO#qS(Nc}NfB55x1z8!4Q7qg*0EzEUbdFlp+kGT7Jx7sGi{3n8=Ep1 zC$?(~ezS6HT*6gtVxb0%C9G#+2f_-87EqCv7|V*6`r&tI(5Qs9B^ETBwER!OcTHyV z53pqt+Y_Ez&ZW{jFb(Z-LF%7={5Oey^tVI%tFY=w^dvl(ejC-em_yC>&0x*iqxnTxK%2%rlc@GP!ngYng_&Ns|Tw1d?9U(n}`2LE6%W($-R-EjKB*HrxuU&=wFB zL`ku-g$i|Bbd`0HMQ}m6sermFBI}~-jaCuSRpG%;=G2Y_cnDFM0vC%pP??95Q({TUkb6; z74h#pm+s5-m-KNi`8WUhcrOy5Z6~kS1bXKaHPF*@lWJq9nJqo4?L1z5bn|+R1jv#$ zK>pY3|Fh+PXuWFdt*mC<27{jn^o}8@gM*A$3Aw?JsO^5#k;BsLR)G7yQ!cV;v0Ep_Y9XNWxBJ4XCpnfeRlLeKf%=5K6R*mqkoQQ|7sUdY;C)WFG z#dRSO6Cf^Eow?rDz(PCbN44c zdH>!A_dT?KjZ6k~#;e(*ZxrU+yptRcBtBc2Ebvu6Oefv@XFslp5Gms(-sKPU?g;2d7 zn?2XIPw$$LSs}pF5|K0>Z$a>I;Ka_|_zin^-*?|aj#3@F_nfipwB?T+Tvx0*7hdtp z!>`jiVtDX@@vp#YE zgAboz)O2;(;tE_Q#Pm}5E4I_FYCLTLV}Z@Yh;*%E+)9tj+(jZhOWY;#@CgQ2oaMY` ze*SpddtvURK!6Me$;|9)85KofBEmS)r61;k1?B`p@_DiB!kuUJs79-iDb@tjvIU8% zq)HOZ7Rzf6w-Veyu{=KWThyfirgj=jPbp9&I%r7ZPbyY5CTqo+?@HuDEZ&qf z$}m1JcPweZ1O0}IRdaKue3(Nnh*dpCD6jr*B+LNwmRA|xjVe-mNO4y#osZLs%1Ww< zrR`PoVvSqGjdh5H8@U7}3~*T`sPf_%-YRByh}T&v4lI9*O5xcF5%?ox-hkH%8F(|M z2o9~K5+daDs18|B>|u#m1DS!evr1AqGZjiKua%?hN2IYbEtE^hkT^t!?@=%$dG}&0@O@#&-I0s zpJ(6K``F6ETY4W|{nTw9)qdylty^d%)SqImiU;?o+deS&#*4S?Xg}BmSGH(A8*iMe z85wroC_^y*wy$q|z4zeD8^YTu)8&>40uJS}=pp8PP^yBc)6+WEO>gU(U`QV_K2b=1 zIy-e+9#v(q+9KB>bq6#|bZHRdu|W0IkdRc>$u#nK26c)=K~v2sp1meR5JGJl+uKG* z_2`b$pg2awUgykV-hId_%P=G+B5@?bN&xSvs}3GKaNrSJ-IR8k2m)?v{rJ7TyE{93 zdpj1~_sOmm0yOK^{?mbjkKm;RBWB&(o9ZMou7NBzGk5GLE4}&V9Xn^w-mzoW%v*M} z&rYh|-Fx;#(o>(je;ixPL= zCm;A!OR35`U`j5MeD_g$ck4$<&1~PXGoU` zzSHa2N*4T_oG^NB%7WTUeHNAhxntJTENiRlJl?fl#b?_UUiF% z?OZ_SE;GgmK7Mj_qfA3fBuSM=Ev*&Y(lprT2aix!q!AzxXSc9K!UBOxsyV1?5hUb> zW2$vXM6C=p)Fu!qv)P5!iw(H`?1;=MO!lbi$@OjxQR06S1T7{L^*1Y(s3=f@L?!1+ z>bW=9b3!FJ_LMYhqB9~zgm)5Y;F9hE*C8+QWqyxp2GRtHWQ4i6Q+wZOGnfZLMni~$ z0`<)VU&o9gmPKf|)%a(Vwt7GtIzb~+95N%z@+@O znl#IqKKI^J!W|^B4CHqt2K6)15m+QlG(|@G`V7uYNrylh8k=4qr?rtvI~(S z)baI^AdAOzS7HtREXjZqiIQ<5JgwcXR40%L@;8esRTG_ zfFcD(QUb~mJq)L4=FM54fgY!c($W*h)D zPDmV_<~=cO9(?$LPu};j58uA)gEw5W^>VhAu+@b2ORt)bILhGH8{_~P0AO9f@quf- zBOq9tF#>|!@>fy_r)tbKjaOaFWl&3ax<=^!gJx~O!z>;g(BR~mrl^8wr$Sv(iXAVA z8Jegj+TLqI5Kzp~3}8x5s}y@d%b8w69GLft66+J3swwY5<=wBVJT3g1pz3@xZc=>W zE}8kyfLvo#P;PkQUZX z4%z5>8dwKuk@IEZQ*$5E05;5VByzFN?@uWl&l(p3Zp#hX0!pivr?6<82Ly73`Fe%< zzO7L>;eU-}4q_Au)5$cWty+NFH6-dXl=_H|ji6k(&1b|aDM_3OV}K5UTRZ(bVi8Yr z3N4?EV5#3$VT^Hbc%H=NvK73fu(H)1T8(veSf|&za(pmYZ7`&_PM(DEzp=mU@ z3^@-`3n^KXK!^GMFjy?1Seb~YejYngNfZKVgx{Xb;IafneqSqqzfS4k>2u6Z(b^8W zYTI(b*@Fe@!viq78GMFr2)V#i(jq;p8lISm+rDyib0yGX#wsnTAS%k}ncn?%jiZ z(1FIoFHxf8wEb4B&^?50SV5M3*6SLDX*9zu&nP&NDq;zd8B;K6nmv^{Io}f+@cP#% z=i*Sen_!@6si-{geLrgnev zv8!~nlIS^e&VS{VecDO@SO~(xFr-Z^cE`-8emWsot-LHf^RR?>-N8pb^V#Lt-(6h? z{^`uFgP&Qp?BIcBmdKxQ-gz&dDnqtkn;I=*YhZ1$EGD*JQ({U?loBGMLt? z9YM4-IK0Qj)*hF=R>g$ro0Pg!Ra7@!r@F<3Tt1;){m$s(6(jzYJ??o`R@$o3)0*GR zqSxy_+AY0lM4C<@UGTkPT@8@uSEyA71{qfwi{x#4A--$&UD%=B*nKuh?>{~aVobs< zt!fS)#EXGdroTaP*kohw?N#IDM@aJ3&)}~MWi2gZl}+Vz zkap0-s>FN=V1A(V7w83cJYg-$gNVN|waDpSwF28F)-a3n=<2-1JUR-2Tv(qQ@*Ap| zB+Y~v5%~iY3Kipoq6H~c26=Z&hDA6?I?8KIR14Vy3(tmXVE-*(j!1I~vD>%Ns3P`Q zDP=6w;`$!dK&<|Cs!^}Jm+VL^RWX=SPN~lb9s&s5jh^QU_GJ2wQ5Omos0Z`>Yt2pK z-SKeGea4Hb1T#o_!xgnEY+Zmog&5^BLoJoSVax#Wo9QMyG+?~(Q&ToVxXsy(B7Z4?At_Gi9J=J(Xrp2I+5_IGFIE~4lX)n#(b$Gsd67w z0o%Z67kdZ)CmriI34Oa&`CwhG2yly&BS)hL3o)0!se60-AW3^kj({ZBP4ZVVF#2?o z_uE;obNcynmM&eg^o%oX?I$|N`H*cS5VBd{0Q8Q1hMoW2BG^@wze`#HrKDOR8j9;v zUd>S8e-1J5aMLQ?ffHRlMLU@zrk3X=I9Di;^{4b{@M5r3qb`r2#izIizlIl~d#egC z+$vod)DSf2+o&HuNmr2Kvt%k%xdZ!c* zqkbRZw$;wXp(KiW*3s0|#FzjE5ft)h2#2ZoWWSI8g}V8V_T%j@ZxEK#yyq9&1s?0n zI!BK5$Id(KxuJE{2k*P^QxCuJsSoaNJ9u#aKi~KqLQ=X8+XrQJg67l9A@~iQ(~p}p ztA%r-_p}*TYne0_5g^`$%$M$hy}=XPqvm`|-1YY_+C(*iF)x zyZrLQEMTA6cIxF@wp@Ps6<0Qhg3smNr`7I$(`AWTy6=@&zWFVhfzryFd+kDsP`^yp z-Q&qj*3kp=1iyGl7^$&k)ylhbI5(+vCBguGysi}>*p{H@TAYpG=2D4kUha9(mgzF@ zRONlrLvC)6L4@kfELkwruLLVNzC=6_C^W~}-tYK*JQw-`-E62|d-0{cVtBt}2x&Tx zsw?tv2y|Cv`~$6+I|T~&t3r8TZZCGSu6kvD!?kLbIC;@pYKeiV*7dn`wK`F~MQu`T z#@Y(;Wz<9Y5MIor^DHzyQ3_mVuU_^&_B9isEmKXdyMSH936m~Ri(KD80=ivuw(ASH zQ=JH)Fu^#4j(LPtVxcqJWMHsK;)UQN|mh4hEsp2y09@l=;XQeb6BR*C`b;Hkn}zI9ZI3DXE?{ zArRv`rty}kuR}&JQ&r(`yVm|fd)yf+nY&tM^^m@f{jI#-xt92VQz~xlWy5uqC)OUY zlI1=Ozroy>0VcXHA#+Mu?yKhTNbX}`V*(2qmq8u~)e0&JK-KeG$azG${@l>Nd9LkO z4k8=8M<^rQuv@qQiew&X$Q)548VKD}pmv{DnzL%n!gU{fe~+FC6sxf%Gbw7V!i+jg zW}ak+?Us%}-s{N%A_nPV=c;H(X@GisooN>IUMr+mR+aCc*U~We)P}h&jdMdRoO4fpv2%JuYDPn9dShxjDtor*vgr+7Ga9<4 zH+J@t-8T@-SI7MbIx&n zBkwa?lVgh6YdJB_QuFGPRhF8Ym}sdft;vc~OIf<*8P3_sW-dSHeV70*u+<@sc_--# z|DE?&`%lrX`8$cJ`X}C>={s%RylUIMU;KVL(dv`unZI-5#EHlAuInpNs!z+35Z>Xw z(-uogJkEMMy;O)JA7YRlH|uPBi&_M!wnGXJy)bzECA&b)3;m-nG~u2bF!+7v`iFjc z=3MAqMxOB&lZQd(W0(;gH#30AsHr$7Pt9b-2L=V>C|^i{0Pg05)J)PHfW91^!{z{B zFnJzjFK}}vy8++^fkfp^CNs?BTP22Ji)Nc&ja`B zI7LPjr~}fncgnubqBr*SVkx8Y>+W2K7qC-|5=g(z-{~hOgVr1S2UKr9f_2<~sjA8E zkR7V~&RB$Pc~-G;wCn>sTWWS@Ge$yg3CNL-aj8xWLv~Dd3GFSTX`B#RB5A3%5r{2( znI_uh8F~|hOkePqqB@Ddgn@tTNiQ`w8d*Ym)3*PQh{riQbRq-o$O!&67g~^!y(1*! zEF&wUzE8fHpA9u-Ng+cY0||$x%8(N-hzyBo`f*85iV8&r@F7T17lWu@vJi(c@I z)a3L8(i=yhn@&wP7ZsL3Haddk=tq6pd%??P##BuvMS9Gi5Fd1L#TQ#m^~Aq^;R|2* z*Drkk)mLBr{;MIiao3Bf7gL{hMZy!y$FBq56rjH8nM3YlzFd?e^OS z{qWv$XqvwFpCyYPmqlYdz~4$9P1W&}>2%o$0%=#KJtGJuwpG;49R|kE(LWrOaLV2! zlG`jhL=F~EZawcVr06qb6i)%RXM|K@iwN6py<8}bU;FR%6%K@h;ZPW;3_H0hmjn#C zR<51v$@S*u0Z^~ z#L#lFBRVf=nKWyAu3~o#acj7ysLYDY71yhqE9>~7E<-Mih?RBQ()!X{|w)eklw>>@NVCKHh)6i^WaX=DxWiH7?0@y8!OandBPa{_*g2-B6_YuBt@ z_daG+?!V!N8@Atg6Y=ZYnLuG0#hsUnQG;G9n^Euw%*^2-(W*Zt0Jb^yK~QqL7+7?( zym;Z6Eb4TwRl)t*K~vsd-8Dh%$IRL9YfC6Qwe(F-vlFt%*k7~qjhCzvWEH~|zC;qx zSq`}w2(XH9gLWN>aVORi?z-?YZ+MN@c_L?two7}}-3cC}I&lZt1&zZVFIl9irc?ft zt-vdE!}u?eEUC?6!<}I}>sVD?jd|;r2MD%%!b?4T&-ErlZs>3$p~Jf*H{Sqf#59}{ zIC`?)2zQ#z!WJ?l!ni0W6Jd-gYD^c;tD)}g3oyp(aWvt@{$Bi3p|it{9_MPWSgAna zKWq2JW+UBImxKOURZ_;RFS96Xt80_VB#sB@-rMaJX9e+xpRSxtv;@%>kqCaRND>wx zbfP3uplYH~I)cF0B5^7a5z0>{y<0+j3LXxUsBj+3`ef#EE6_D12sT>VB8DU`u1FPx zMK~^fiVGw`U|YbznL!*x%+xk~g!yPakwX}74GAx$u9(lST?b=|9u~G1=j50%&3Hrc z<P#-lC_!x(}IV5m) zfS;p7W^D+nHLg|a4m0Yx(iD1M!zxrovICDLG$%c4d=hV)DP-qZ3(>;RxW#)E!>ltd z_lP5kdsX`VPpeayqynHERasp82hR!dc%1H~*mntrGf0Xczkhn{WLy0{R_oy{# z&8J$h)-j69XIy;yBXigXa}N%eyI;T9JsWNMS<_RfaR~S@3pV3WG9QwVub$oOd!Ftp zVyj8*3@D}x6Jq)EOGN=h5Jy3f>FvxZ&IGfLh6>D?X-Uhf*A)k6Shn<1(zbEhviGA@ zkstFEsc4m<&RNWYoW+Z6h1JBKUh(j3$&LR_XC1q_0u(fCeZ~NBuCUYV!?pU{YI;Ya z4QP}X8_S}`^b{d5%CGBD$>exmC*i_~cx9vVv+L_mI_V@#|7e9*MT^-&Of@&xsIe!V z#AdIGX3o~$0s92N(G*W|%sN+nT+J~Ep^s}hj=9HjQ8-?S#rlFTL3^598*p40BM{vtozA3DZpsAN zxVqhY|Bt%nYF7Z+0cn*)7Fe;d=^g%are zaK>qrwfkpc_X8&X_lAGYh=zZk!)QdyUuR3<;eJ`Cw6iCZ)M3nfnf-u8c4vV98*6{m z>-^_Cn-%jAxdfw;r^&%C_IbUt<>|D5T z(W1q>ly91D*v5=t|GTBRRwg(sWj1M_eYi%Hrg&nmrEJ%^nmJRKnvUzfDOrWTHCw1l z3Qf$ML8oC}qsdZD+RLv6zPlE81W%G^3?q1vgq64VJDkxah~rVSB~XEZn1-4v6CJl4 zl`lzdGsAUJX8h=`M|-?{bgQ>?L*Lz-^xjh*qu?|;CH8JpVqZ0{>qjIT)z!0h?YedE zd*Ax?=bpRayz?_CgU!Vna^Gy#lf@~Yx~?C-xb9mS^jW-w0F5(I`F&W>eihuy9&Ku8 zKageS(k$PZ%J+y0-b~^u_5BRuowai1s_wJzQ63u&(XV>?QPbDvPVkK)n#Q=lG@|wN zBN_ue&qXsIfa?w-fvYYgox+K@GoAg|t$$hozvc323ec-`;6j^`s2ML$nb-wbg zQ*kjj7aQubMV*TlFF9ig;st@xXRJ5ao^XmSdK6}RG~8f|RV#<)I6K@d-#pGnJBUQ` z}2?bjyNTv}+X|y@^xuLQ2yGk+R7~T`AEvHq@-$027qo zqQXl?@)ATb_@j6LBx;04ERu;1oXzZe8mFZRelh9_`S0a^i=wy?L<0H`@CY*@lYa^r^;wQyFwVY z^x(O!&){Nf#+cSsZ$mWygX3uCjxnwysC-8EHTPk8#d|n=(~I5Nvn^+B-OO2h+O6;9 z!&;3GYtNoNc;UI*k#4NjxUnD;@1N|+sO z@e_}CIWntd4|TLjlt3R0Fk3AQ3he`=t6laV$da55PgAQmKk&cP>qVF<69<7Dd0 z&@MTsn<(MM1fU{q@v4P(sXAHM5$8KR2dxC5H(7hgW~mv~vGjmxec zAKnR(lTHe$3H9}Cs_qr%Ts%SrFipMk#OvW-zG-h=Fua#gC>7Sex}YU-QR1nK@GG7= z+<>NA`32qLtcyf!T+`w?OP|h2fgW<>_3v12?r=9DDjXySJOln_h7P18XowMw;w$Ex zG?(WPg9esja0O4m8J?Yfh~xE?N;k4(ZHEXgiSEesH0^iYoWnc#e7lN{%D)%K=_mzV zj;zrBJue#m4_&64X8x6!&eL@3x7kHz5bJIH6J5nkCwM$eDGp?_^H18kcmu(Q5gijo zV##lxw{6LJJxkBO=9)8lH*6jB%eLP0dUl_G&3*S>uw&)s0lyS~`@$VNS6#HT`{G?^ zKd^bnfM3{Ak3q@kYD4*=-vRB8j4OoVkST6)2pUiG>~V(7`AH=+SQHD5juS#{Ws0ZB z^+h*~tFL!U9O)SzKx}41p}8eyj)}?A{5W$dQ79%#jFOO70!k|sM8y|AU*GI`p&xM~ zvs4DPRCDZm(9zL2iZfwpea_((8D7W)r9EfOcsqXLQX06AXDna$whPf$&oHYbk5Bwd zoE?8*W**?`Vd4Dh8AlK2a#zNi{g8~ysDzAx@KGrdCH>Ml%tXMSFHSYtvrEO(OGU32BAYJ zILxXbQUx;5J*;^iBTrdZ+KV#k7AQJKr^%nf8VrpJgRB8OC=+=x3QB0WagP#{l#yAy3KjIslhF{3E3?I~4LiP{j@ z_G`X^Qhj5jfQ#Dc|3IxN7gZ1)u?U0_R@F_+yQZDEgY3jG;z07`2bM>WoQMB zlBlb$NH{POI}gXABe1iRGP(xM6%NO=O-vlpFl2oHG+~eMZxCwMD)e>q(&@t~ROO>A z{J&@6S>deeHOj*O2P~ZPW|7OB|6ZJ7&a1OCwF6ifik~m@vZA-eryniPp7AHEaoB>E zQh$7}8O$rP1;8OyO#nvrd-^p6bo^;=hua>_wQ{fD^B$?J{Qf7t$QE&ozmVp~^ecLd zlRy;qKbBun*$VF%ezCRNG5w0NjO$o_MRShjr&rk9$N2O6kMZXZ9NW)-tUrA;lhj z=FE2~po@6b$s^Vv)pH}CStmy$zD$+&*-t+8+zXG4G+ePL_#f_jeq}!W)U(gN@GcdW zn)XZ2Jpb(xOVF%R+pIhF$XEX*6d^>RSJ(5>*Pr>f=l}iLr@k?`yC~u_J9?k^cJGr< z{o6}VeO)dd(i;>B*h_m4e2!8tas3emq>D;Jbo4_H{qP&VeCVNJ3P_Q10?lFxB79G& zt0pu9M>5mRt<~j)#I3bXsE+eHk+D~az$$si-W@_HYK@`B1mt8yLvP?inS-HDRe-nsXZsiP<+XK$L%9%I&&fruQA2!b*(@d*b;lo-BO5mA~;+~cTfqxHbqG?7G=^n+SPxGIcamNigxs$sncgx3l;DJ4EU!VW(L}Jh52BPYSxU(a_+UyQUMK!C!;qzvIFrqM>7yWcDnnC4 zDM;wW=Q7s%3p4b>%tk-4Tq~@|&e}{SxYV;boO0tiTI*#M!0D|^+KEDKUfd8PHmxidH@>Rnk;fEwD17TA*eikz}u| z79to1gT~37&)0NtST-@XHx59V%jh3?b~g{7MU^^16>9*XygyUMdNf<+>~|rCY>l42 z(yLknvh(2Sb%Ee)brO>*+;9#<+#wCy+!12Iur~VEPz@BoIahWI1qW1jnd8XvvaFInZ?qbD3G<7zs== zxJ#w1?;Q?z1w_muOK^m{X;_({NvaPqnxXo7G^-@a{4Aa2j5yJ zL@_F;pcx&q_}3Ivmm3*D_1@%#fT@lyf(ikpK0rEBbRCVLx>gc+n&BD1x93A3 z&gsYAZG6*@50>~vq882;RS$B7{)!>ib$m{7`)izL z#FB;Tw7P8^9w4o0dZycz($d~KAOF)!5^96-6*o@ceBI`)?sKe=#*nC;oaARoLphWi zWnb&`FKR8MFXw|%qsWav*Xs=j0|BQ<1v*)B1FIh0N zA~kPn*UW_#OD9j7T*W@U4U}HuTcaNRQF6-os%Z7Q2ojE^%WI$6&3X-H%(E?%;>)&6%O#ellKnZ z_X6o`UCe2{31CFbOR*=lsVTN)hg*QtWfFS-u!<5N7~Ra9fIJZ}396|APP;%?@FafWmMPEPmB+FrHqVwU98%|0$;lDnz@z;aXjS43 zc+ullLztWnt*$4k?Ym*gm%!6XTHp|kAYNTE(Ky{~wAHPWJcyY4|4BZWwPQ#QDWGj7 zREX4=7&l3WKBcZ&=Sj0L{4`myvCboAHDa+Xp}JMCLxwbGif^m)BAb5|sSjv@koHrn z76yk0iNjhe!5G?ogP4F~Y`v?d5Xcl6*oYn+h{}#bRTBUBE#>@BhaeKRCj`MMy*hn9 z!DvDApVe)1=gw_!Z*OgFB{#>H)kYSAb#!!STRALBil{SMiP0*J5S`X@dJOY6Nn#8Xh*mBe+l9O9?sg2lqrdt9Z(x_qcEZY~enivpdJ*JLL7P$nky1GxW*KqELd; zw(rLto`(l>R3|sV(ye%YuW`d;#VyH|J0-sV2zrXc#ufI{dcy1Zm5-~j1mR2ZaBBaBn3z!ro8E~= z;SnA|AHo~vMY_rGhOi}+V}4x=6dolCf0&QDV2X6$iXcc(4N%9a`m4@tt4Wg8mP5`o zVXfGolvx#p*3CO5*q3E*usif71E(v9hI>FZl`a6qJmwx2##tuoci<#EqW8#wSRvsR z0Vfskl*7NiLw@HY0grQ!H!7dL^?~qh0o+jZ0=+a|6IwDTBFyn28aQTC)hk{eGY(@j4yLuBi8<-62E@m=B5P2{dI$3r7wGECzQFLqXNOloP4XK*JTznUi{ zdy;n@wb~rV#dberab{8YOsLy?2%iq}uBtBAwL&DN#z49)G~>{QM)to6?FM~6lt-`D zjW}C(Aa;_KUai4Mh((iMl@Ou~CxZ{U4MVC#-$NVI^nYeKivDauHD?&mX&m9;wg;jN zNaho$v0S9}NW|$|ZNwo~eUWcZK-jC$c_}CEyaVyUjhX;g21WO|PmLFO>HAc$9E^aU zWf2Q>kjY57R_e$|f45T#k?!M!@S&ZfBdLUO$B)M2x**HD{2`muX^KYFiUO(+C|H$BWoN)I29Zz%SguD$+- z?bqHQ-*)irA6Odv4>$9TL}<4-uNkKn0_mIfuylCOUd|?ZkPecMkhElp=g?kVjN2%h zbW%27b7rXHVwGRCJDXnSMJBxDBm}+cEJ4(5aB~H6vig3T>}VuM(@ES^XUkz;oSzW( zQrI|A_Ft1dfCiLdhY-o6#wH=8P~;02^JS9UlKg*?nJu480V!zqK{hO$DnA-*Fedko zp+w4-mt90=qG8L@h+l{)|ol?@c%;1vFc6N=? z2?E__ep5ezF4k9PICblD|O{pY%>vCmNiM!jm1*G3>E*Az#Au979p>xL-aK^p zXNTM&OHUfx1s-hj2SZ_hNQ$gv?qO5BxU?)@%Gbh||0THTs;%34uG;2TMX?R6gqfuZ z4}T}P>FKXN``pvd`bpjYwkQ1!c)gjMo(A-=Yt<~~B~!>7EhDmty7Qg#K!CUP;Yj>eAAZk`ms<#l^Cw+8_fYN%6_(M`}awi;{TMcv@E$-F0Nhtzypx%aLm9C5i##XX#s7Ntc`F&Z9(ng#eC}$j&gsY zk7C~a%9v?*SDT!r+k6zh#_&ENg}i$VcBPWi`dQ5-u18=Qyr1EW+l_R^%bI?ld+s?Q z*NFN|De$2bd9p=xO`XvUlFzY%H_XveW}z#-DYhgEw2^EP z4e=~wpvSBVU=(mW|76cZ!<>QU$u?^+2pKo2X*^#G?(pa`)OkuYfmBKgppD$)H|S}Solt9o$S@!DJ>Mm^;5+~VRdp#863sz?DZdCu<{`}?7R7N)J?@IksMeE@EeM>6l=i`@cv*OS@JHHU$Z>GYkzN2M49+M5$c?Uud#Oj=#q*AWS}w_3){ zYh7&npjT!o>;&LcASk9XC`S2C0V_U(8FUh03qaojYVwIks_@PXnQsPr_xDrw7&U$X zxk54Y6e&o9-rp(jN+Y<-2wr4(7aLR(K}Cq5LP#HZPd8+162VSTCh{21eZb_(*mjQC z0~Su(s8)%{h3MJ@4!LSMqneO(!-A3PwzEeGbZ$e;zNdvgj{^m=gq&ncE4LlaO17R` zZ-g&0oCf8i7D-w>jehd{K*Xv>Q;laDLOV6Yf;3qfoI$8Y0C$1qVo0oM3HHANn-EEz z8Ia3DQ)dP~2Y?eB?yzy_cjX!?a8(q*CyT~exlMhY8T?$H!Ba2raxTQIf*pnzP@@-k z8sn$3=@~g>BN-rP=dS8#b$dH?mV`>0NCeP{E?Y|i;$O@64KMFo%0~pb5iV=ygR(oy zS~v6I2kU#=dia#*86*1^^fQ?h@BGbzRH$_HOVc88e5Hv z;LdgH&)u-@Tog3-_pz00*wtXw>T}itW|#+QxSz`cF2 zxFV1t9K*RHU}DmS?+Y;8HUpLj14n=(>6_bO*`T`0Q?YNKS3vEMvG4wdb6>vy!F^2| z5G4y2F4U(PVMFcrRLBM{lJ!wETc-^*VsYTWR(mtHPuN_(a~L?`jF znI1}erf#Nto)($JPE0w6#E)zw$;~2AE#$4ma*2!7r`gB(%gtwPFWYcya#P_e#2$C< z3kU?sw%Jwvt)ETn16Y=B6LP$I5qeTpIB8Eb=KZy&7&J7VR^c-;+mr=_l~U?z5(H;J z*E>bU0ArWM3?zsI<+0_Iv}OzD82S%A)lN_4aIX^)`jUrZyyzb}kEklklOtnjUKh1^ z;U{dDUE_m?BqOwOvw)bFs@+FBPwmaSzo?nrf;13+A+M$gIG0Vfir9A%F@uqbMn((4 zZ*c^m<8kk~+XyBZBHW$BhFkkI!zuRt14YAHS1=@1vsM{}3|uX0kst?O z*Me_?>`OJWgJa;iB=sS;%@{RtlNJoQTqS9#14wxGu8PpGH+0@-@vn=N5dc}%CaHja zs_NMCi`3@F>-(^QRh&oP5DWiIZ?zSKZUbyXd9fN3{GGJ?jKF0^pwH*_6$$7~LIP=VQ8Qr%b7(;c2g8m%OcSY}|n@BZ78*+pUAdf_)pgJ<6KqL#f zz%}FYOQ*;XJ~gT%G-N75qdKxie{#(u&Mu=7U@^`VV%4}j(Unw!%hg=}1=H}p?i0Di z81Z68K+BwBWX>354&51&o)#^74gFlmmxY`>Nbe5ZR+*2&vdrychCr>K2Zm2F|972K6%rQuc zm@Ni_QtW+9Z-~Wncu6(oZX3w>KyzAYhtA*ubVNi|uVUxx7^2VnHM#UuFJ|1`MhSJJ z^8n&oNVn9sZr!@0 z;q*K1K%i-<=^C(|YpS)K`af!AC5r#%Bu2vNCEA$i+&TfHh2oG4nc$aiufv8#Og$hQ zq3hFB6fBk`@ZKfLctm90^2O!a+Ta()8nL;2dRz;+<*l*@boSnKnX$Avzr3Y|162Ap zBUcEtajbzhJBCe;A;on11OMb|-&$|+s7|f3v%R=R^?;ft`nd)i!Boxs8eR3RV}30G zycY|`%2owg@aJAv1aA}?YUjadr~DSYRfN?f;~XR0W^hzoYHsnbB5>EVu3@81U40Hf z=qiaRfO-q_p((YU+P0FfLTXcz!d+@Bc57>Nt16P23nQeuL2kv?Y|@=jZ37DVajKAJ z)A$-M(-1Ovt2D-^Fuu1iWtSo-qs^#wg_MY<8tE%;MJFXZy{c@`jf%$>6>Tbr!0$_o zNSoD+@325s6#@Km6Vl`Rl4IDikDbAkwTjWj&BJ@(%L;BDs#sO0GT=U$9X&&w$(8YeqcYpp+jDk;F7$vDhu;R4y< zWsUS<6^zgA*9IpG43x<33Ow+uFWQ)q(ZFXzK zhWKbM=7Kx$3{b{8z{;af#!~&&)P23%6LVv162hs%!J}brS4P|~U>p-GB7@I@=BR3% zsN-D=;0!>25eKRS_>UkQs4)wWA}f@)RyOX`aPE0Z9<30_i!B4xc%qd4K>Lm%B{V!@ zl*qFU1V{0r;T!;a1s?z1h#_6zT^AmMzcqq?G`tfGFV3`6xEOTI$PC%`F2aroF*JZ! z8XX#|5-v?=2hK;IlqP(%h9SGvc|)r)=@nwX1kxY%wDA%_fR}|1WBBzxDhLBDKVIh( z&=$Fc^q{MUSQtZu1E9VPR^|e_r9dHEpr=n&$_QPofuxhB)5W97Pql(@GUb8s; zrvdC?r7a%qfXX-~J2U>EPHjv9>scdekasGGPB&F8&-#!u1{NFEGL*(t{U70urg~$a zUnpxLb!Pob4~UM>4Kn_tti6PWMI{Jr;TsOHmFSyWG|~NRya5NY+lK5hWEfx02hDeD z_|M1!uDrkBJp)0a%TPMWrY8QB424`j7~Gd@v7wzEW!&g)kiq!13ihfn7ql5Kd*85@ zf%jl9OcnC+eo(-t_b&$%hCqCvuey%{fNn+K*@eC}0PKwldCsgY;5Jn|l3-k+ z5x~5at$zBXP-CvHR9vKY^AO<#w5vV%G3-dT*QeG)Y|s#~l2MGNy`$xaRW>+-Qk zh3x4!>gM`Y13((!6Jvl6QF6f@FXwLl%RYk3}HkA ze`E(ejryEQzr73PegF)w(b_(cyv|kh-BHol3_^349PaNKgupx%kLV8azz)m@YsFhL zDamHB5s)$>KrHACj0$oJ3JH{gLWA{b7lFUv6|4oH`;k-Y?d%WCzDVf#8i52osX>rh z^z{J%#KgOoUCP5+YyGAesDl0hpyb=X!f4^e_ORFQ9YU@FFtJSXhT){^rjvzTG5C|l$p}{aQY1yrl zy>S`4RuVlFsN9?ulJrp^jR(X^hYzcMzj+3!vX1BX0+>Ai=R9V%t$9AfJJ}bh)Vc?| z4|x{`@P{ANX+LoloY33mi;Hbvm+Ix-rTX5|v&U-$LZP$YVmDD~svk06t@3oYAFs>Z zKM;{V(+@|06$Id_sQ{aprdsDNR!i|Xiz`l;%cFcCizvEmHx^1qP6K>i@yw+UjC|$C z7p5PNC;GFBD<}A*jWr;kJ{{T!U03(4E*BF*J%G+zJ zv0e+~j(45c@&Ie>p;1c$Ki!}L{dnCPXdq*+ifwR_rXU7BxauVh4B)C(6{?~{-P&AH zQBlOZ*;bXRBB>g1O@jx`OUd4-R``-+?F^`|g>Vjs?1F+a+b$?$7T>njY!(r6k#vK5 zSFzpq4fGEPio=j^Gb_e=K{u~w#{T!SOBI+A!{pwdNw6sU9}~wsQ(b6A!WWncG?hl< zNmKocnE}KXY1-kbx9NpME5r}1 zO5k2GxeKK?(jWUjpLd78{-1coLb2RfIOY_xIai@sXcgLpoRUl=G17KRFQ z3&Vv@oK==_Gj7H0xF_z7=fr(+e>@Nm#zXPk_}^I!G4uaTo*6TzE}mU6t7Bos{7I)z z>X;<4zo#!*&^~qHaVIq$G5z0gazmqf+Z-^pb$jZ8jL#nzVwp-m{N~}M|5|&`br-H# zw&$ItPaSS%QA3Zma`oJkctdnCqg;W+b(Tc|&)7XZ@9lLDn>r$~Y4_E4brzEAAw{e)kYKkXKHRtkJ#&(jnjuxe`H z$F@aqN{$-Svsq^9PEViGbNR*RuU&aY=jqd1CQm%BvM@i_tKK^FlOKNjl^37+(mx-3 zXzx9D-G1}-E8f5Pyj3ffrskY>QsbnmL~(w|`2E|5-+29due|W&zd!xVlTS0_?^pTh z=4W1f<$FK;>EU~IOHV!j%B!#a z^jE)CW-!0BJXv?bsjah9XD(ZF{>4{Zb;B)pe0cXW1{qCZ&|8B)wvRWAnCKc8hZ-?u>9;?3%3;(JZ|`li)#F~lXUc)*7g zQPgu_e-@R4L7}L8XMHFWCeZ0@R_Ut1j}5xOrYSto#BCXH&jI6pEDF#HnMPZYpcyOr zo;su4REknl?4wzMFq&%`|6MbitOuIavlMNV`o^ckGnxLmb^B9?`-pu257ed}C{r&C zr2A%7;p8A*o5_(X-SD4x{LeKTUwUTj{~W&KAb*+&oqAI`_)=Cd8EOEFAal#n?&(2z zV{kR(s&Bz8q@6ry=JMNnKS6?Xp?tq8gpK+FF$(&1DwZ#w+Sb!krVbmTnXG&6?u>es zf`TfiLoJmXP{=Ik2&IPq)hbXX2bF7}CijpIsceS}~H%s{p+x z-*1p&dE4E}^#8zTmDQ^2zFq!1d&yV-ZC$vs2@=*<>4KFlSl042FpWuO;~`;$DaIPB zN;EniBw^4pZ&>BqvuyhKWox2AX@jWbl<#p*D5iWr@o*yO+-W>o0NLk3_U1Zkyf_F3 z@Kdw;rCF-l@2^tkUB2<+u%nB!EG7Lc+cQ5UIuvh% zw?gGHpoTV%bIORm)da9PLtKG(g!UP^EJ>8We$Dm5h@mpIN*Ik3#^m~n$mPdz>?G;{v zSsy2w+^m#(N`qw4?dRX(c=#%k@vc-4`m-6VZAMr>khNJ^7brI2V=dUW@-ofUnx{+Q zWZ~-%318RbVP6$vP4$hFc)4n1u*9gU5ml*1lM;+ZF!EH_kjaDRVR=n?)$DcH3_#HN z>2xbv5e#2#|H_gmje&gq)M4GO0lc5m@^7+qKNmBOJYtXVKEoB5tQhhLXKn;d(`6Cv z&zuSbU1PZqh~AqC$|&PED#$IB3a-jjklQE~M((V^T{ zDU8iYm*ukj>N0m=v&-`G;3M&#wOpTlVajtj7KT&O4d#vRTT~pc2@tPGmy+HC6x2nrA*{)c2-( zKdz?`8t{ zS#qxO)ajl{B7kx)lgcCE{ZjS>W@St~(Ua9LJ=Si!*hNnBdCw3y+2a`uCnF;V#2~br zV`rqf_a~klFtW%vD{!X~I3PHP&HARjj8eG|*x|QrNMZ-SpW*EH5_FFYCjdN)ATDWX zk$sv{jXAk}bQ#7beoY1us)|#^^+HvNgOew-12KT1ae}L1dY>!A5w<`GCAZRXb`GBK zdiP3~pnqkFe8y(#Gn#_IFdBjSqsKL0Bu1tmGn!RcS0?1`ZKOnBL)_{Zf;{H~Azbfa z^`lk9;z;C8kmd$Jp=Pi%Ouy$KIBJ!BiOLH=;w3&wi!WRTzwvtyGJD)M2^Rz`T=5SU zghqggK6DT)sgeG6_7ZtBm$!x&#y%Sxv|rA;T_H>}IuST28#`pICV z01&nDzS;0MGoPY~l!i`}K2JMRzf7|r=Pe6G;e>@X}S3ThF3DEc*s11IJI#Kiz!pV-L*2_%56?*z?53U!Rk_^!&3 z*T!{y>Iqkei!{sEgmJIrcq=&LY_m-<0M4PlG4R4Y2}7vV%2%l5qLycwpZ?5?A{@z-AkDn2ouzT zEirk!5V9upP6%DNL!^0FRf#Z-!WkTEPD526y8}jB0;9=qOAxfeZH;i-ELADYMDw&H zKN;rl=e8wqn~3Wri0k)cxq!>4L%1z)g&`7s5Ti9}Mgu}8Xrq1!M!hHtjX)TEhubqqTcmEM%>< z-O9?|Ps#VeR z_V8034a(XDOZonibCYpdo|&(im_9%*^_vEK7cIY!;xyUF^U0P;kXs(C?Xoi^#RgyN z@;SjHTBvhn%oXR0G{N;>xhrdH)12yXZdk2%nZ8VYBCFJABs+EFyHeaG+O3&LShodL zVJ8`)jaO*l9Sj0oh|K^Kq{93Im>O>k9c1F|p6XPii)>bRZFBW|S8C@Ynzi1pxpxHd zjb!iB^2?}ht?q9~Neul^hSeu)@tp_z(g~vTjuAM8+y6sU{;_n#4Jkdlai7)ZMr#jr zX>|zym#IsKWBrVV-;Cj?eIM_mu0J~K&2<@-UVL55;+iJcyY8+m=X0f~_RYj1=quOA za2Rsw5-9phh>_O&A9#>18!MFF38f3CR*E_R|9!gKC3BXfjQ!j0I4SZQ{A_Gx5U2qIu>sqYQ zP5%lHajH_nP5EqPEsCKkJac98V7YUdgiFBKfpS7f1++0)6KvmSKxI~u%ji)Uot_m% z(FusiuT~TMr%RhsTraE68=d)F!92^HAN=44Je7C|km#=e%wxUXhXiG|dv1qm4b<&3 zjy5=bTE~Q+0rsq5zph>t)nOP*vx+yEL z85`*r5D#q_f6)+HiXohZmDx0k3CuFJd8X*;4m2lfy4J{#yy#G1IQ3iW>U4dX+A@@@ zGs^gpHL2_H`-L`%DlPzEGH!mX2zt;@kEYXJbsS+M!ro_YbCvEgS3tvoEyBCcYR{X~ z+uv6rwhOS0YGVC@%?Ekz}cT0SMHT7K3BvgnN5X>Yc)Hcd_I zN4kV%P!2=JZy~E=(!i`Svs|w$r4l&c3QD++UE@+q)Y#-<92?-`5gP0fauAia?(pHg zDmF;iN#ln2!H!UBxn~ojnVyvMZ&tDKf;C3`+J@>5pKBvLLyY=86q%%p!1SWjiVZ9N zzPI0-S$LZ_+pqoCetR?=@*A32DK=^X`cJ1{ z$3>-iKyyF@5*JcWPMoN_R{Ny1Ad@bfIFT+KqNDb8P=+%iD5+7lA#8^5Gos7qimvot zS5Ymtev&mYT`P1LBb0u<(Zv`MGHK^XeRPu8pA@?xV|wah!}@+IMI8NwoIkz&cIXJ+ zpFhhC)np`^piWP9wDz8OcEVrK##aSPpRy`ptv#Gd_}=#45lZ1Oe4-l~zTe9I7c2Kx zOJqyCE&5Orf6pc=5ci=wO(S+4IjY3sV?eVk;Tj)Xcd0?lJQ?O( znRxk?fe|;z)I+S6;@Z{wTLS#rX>%R-6dO-23+6lZ+4+}u_s`flgDt$v_2V8fKT~rQ z8eX3tx6e7#H+3_nPkg7-pLQo}&KNXVb47+tG{g>>sW~pg9h(V4(xk5^WR7GLnT|>J zd1o?Z|7+d4j6+iUOtdV&LWi)u{IdSCO|QZ|jGQnTsf+bOo-m_)u?|(!4%|Twlc9E8 z)@$;YvgH00=ZS06p~a)3h)bSc#69|J670&v10(uS(lBZ)ykk()$t>&9UXxjUR@uE2 z=_)|XSSMN57ArG-;{CWbv_O=MB}QE$f>3M+IYYF=<>r1%EAR`2rbkInzTqn}L}U#y z#O7-v3%$>BF11X|3jwzgCSXL$2w%y)`wcD1kL3(v7DmjBv`nh>8CO_QWW-|rl9Cma zbloO%UHTbzqC{I&=qLjwNrdoRugM(u!iGS==_0F=c1x_oV%e54qrzxg1B@qBB{XdT ziLui8gJwnw5IK3GT~%Tn4Y8nx^jvn9#O41egqsUvX+`Y==7`fGz5T*S`)o1b zGw2j}jR_X)^PfjhypEUx(XLP2Bb0ajA=mp~)445vvG!%$8RGq0g7;6nAf0$EgkNyZ zi+fSHrQaK0SJ84Jg=%sSoUz5Eo3-B%>y5K+Ebisd6&+3YXbK+Asl3lEaK0p2q zjD#*fqU*j}TzUcaFTObA_oJ~{$NhELs~3qm;LHuz<>D*wLaAYFfLWNIE@@8SGgoeN zxZf53hWotO?Cpw@=e-ipR zy8d%?{paZV&wGa~<{w@EIlBIH@QTCH^`EjBV08WG==#sm^`El#cfbPs(epw@=fBtzM+P~e^#opqaVqdYpI8Yoc4i)DXhl`yORbrHw zC02=D;wkZ#F7=dpOLIznf4lbnCGK?8>p#PN z+rNyi{~TTaIlBInMPY-&&qmjO>bdgK^`9~^EHm<>>pz(Q?pysly8d%?{paZVPlBdK zTsiIrgp98LB;I3m{bx4rEVEE_bp7Y(`cJfq(epu|_1LG4%*ME+#|73%{{#Bf# z>px|^?dbZ?(epusqW*f55ZFK#o8eRW6y8d%?{pY|rq*7zxw`1dYt;+Fv)Q8E5 zTc95OQF6-os_9b~Po3A%UZvW6#p=1eZTB8>Ds@0?F9MbB#)%2CA`n&1KQj*diYp>0uR;l>buRbt#+adFT zZ8!X|S3RIA&Uowo*M9usPv6+~;*XA{{wO7B^|AIE<^TQ>FU{#cK30xK$G?B1*~a;p zdyS5N|B#mk`;U*6qtWs2AL-KE{^MiiXmtGhN19z}j=9(9`1cPvi7k$~*Xa274|&-! zX#bCn|A6rywf~Q4{~uX9kGa<<|Mw4h*{J=0?D2o@x7d|d-4fbjSU#PO_ve*{@siK+ zsreTgmdBfuI_&q-T87DDwM~LNQc~MH!FHf*L{fnru4|OjGGt#S=F}8vImyGi}KP_(v};hcWn6o+WQ*# zD9Ur+=bfFI>{n)HlWaC5+01Sda6up|WHD%vg+ziVWdjinRtORhBZL69##S)ia#QPp zfL6Un`$6Q__JGtc&~tme6}{)Q7JJ0o+avut)~d&PXs;sFk4vlm=Kh~|b~gzGr5 z&n-XA&d$gC@qGNB|MSedGnuALSH??o@prbxEnU`voh=rgtiGbZGt=4MiG%pNz`|3y z>Ak|lf(4mO;;c-~oH;EmHPtQg*|VFP;<2Wd_TF}ts^*knU{st@3By~^)z;Ob(ipXu zPH55d&u?suMjM;zXJ?vHvorl&{ew8GjHWi#H+f01XiI!dEDcoW_CPeFMGwxAo>uZN^?q zWJ^u-?3(Dr>L{PvHm9qt1^?~Yc6iUg;oSrBT)dzYquny0x_&}UYJ3gkn)iUC#drs3 zF>Dy`3v+z{&%ldO74|^*w_;4etT2o5+*USwxPTHMpFAx%me0DKty>Cwgoz(HMp^r1 zlU9J|mTSkZy1Y`ox8d>D*&hD4$Lkr@E9QDM^ztY=PQro42-^><{>f>q+EOZD(c9BA zXW|7iGt=;6p8D9@+OcED){ga3@$Ig6t8;0~p=X{sbm-7Chp-n90A-)YxfP3X`QH2P zgGt`E*WD%OR)J@`7sKdsr|}cr%ka*E4uUyfX{?qKc;%mNy8Dse7kgZL)){jAg_BuG z-&jAmlb>O<(?fXQtUo|ni~@K$twrmfKFIHAJm?_>qQ(H$@87p?|Ni~^@;huitvk5> zz~he}IB?)`BtsglAK12SU|?XI{9@xt+`-~rcRUq%^5pu?6)QSBJ6Fh~=3H1TPDoSm zA-pdQV6j^SEfLHRUF@+G!*f3TXv9dRWM8+@P*6>J*zXP&=hI`zwGQcA()d5 zv%-rIM_A6kEz>pCqv)X{s#iQY94E^P;yMhrQcVgq!SdH2u&=Rx9Ou|+EaE=T$8Qm% zAm>BFfb*ARGj2XK3^uXrw5a*|2iumGp`-*=ODv)~1xQkr#Z3+xP8j$GhX&+xP89GmX8yjffKs7@52}O>?^rAMQfz?4$7Zw%xni+Vfg5EQExGhZy&<(CwqJI-J5}=kbB>@$fX}0QA@q}BEz~lh>Z8lE zdLZ#zaFX|Mz`zWRrco0Du|mH@$lDHJ0vVBi{5v}N8!7tNbD@1l8L+MWvy*(3Md zefK^0+;jImIFbtw?vaZ7f&YjN*(UIoi`UPP>#VKd7>n?cy|hBdR}LR;!;sKgZtcwZ z5F7yZ0*ZKvaSIE$9*?|IO|J-ZGdXtrlLC4k@5&pMAmtml$Zg%V9;7_&>zfBG~flqm3C*_U>w6YQOeR9Ve&`L zl(B$d0xg)MyDd%~J{0u>X^i7McpBUPre~C>^6%l}6`uqVI#Z+X#;Yejj4u7_nVUR_ zJCMEkO?B_#X2P3EgAKGbN3{^w0AfJa?N8tTgO}f%zxomqZ|}&b3Wc6*j98)ph|GLu zkNuZ$+ee`svOUPbadWCwMus07RJm`w?S$j~zRHytnswUpDk05&`|*69_N} zFsJS4!>|3{EEF0@mP{ zvlo3dmjt9y3?W}B^TRfdlVp$pf4l+=sWTWTvJW;Bx0AP!YmA6*4L%6QbTf@R`6=g4 z!D-xd+sEyu5Y+3cN?*?A2ZNwtZ{e*Mh5T|eBbUcml0_ux%QV40QJJPZg9GY;A)H~Da=I}JelHk5_g&@LS3+Q6ZsCveQ=X6YOpKO;H^N^9rSqw9 zFhp6zYX^cgE{1L zFdGWq^dU|PG0N*)C*3>TJ73+N&&|ZEXBs=Y=J)k*`OBS1~~>JwPTTF?Rv-o^)qom{m3d+gs)#%7)VwGc%iJ@T3wJnp3C1jw~d=rc14zw?Y;^eEu!;8l+-kvS2!G}0* z!H1}doU(ZEtpvHh5i3Bq&Ua7aWbcZKzCUA8 zZD$Vr5lkv4EW~;M4ECEt#f|@03Tl45$6e5`q!9n()X#qL=dGxI>_x5rHUc{ly-!6< zmns7Dw%*PeMdX}Iz%NMrnl<~{eYtuR3JV1oaal6t*N0<6pts?X&BR_D*CabyZ$wI8HMPMZK&UEilP)Bm68jVH1H zz`33hQO3n3ZS*3OQ#cavvT*^DKn8(nqeg|PAIevn&`KC@FXvh?v+oQG=FQ$t!sVsoD&G^!0bZWw*C`-&{{^KLynl=PdeuCkIl1Bj zzqn__J+N#3KP2;`7j-3lHd#qSLH_OPH`SRr`i?j<;Z59mRsN*G`fAK^;p?u;*9c%}gf?Z^QQ40Dt zd-`h(*`qLR=H6Hg;CcO%X3~7`PIiHlrj5FUt=Jx0wKW^m+xFT%+iwT#pj~JiHlQRXVoJ;tL#`jwV+Ap9%op>= z0I|~dvQM?LE_Q&&bJO-`TA3f>z=?XrS$~0PJFlNZ=Qn= zd#N^syCLDOK`OwjCKHrs-MjPO>aO>bUPAgos%?V3wf0WG`RSr*qPFq-Xmb!H`(6s` zX;C}z5H4Ms7Q#>BT;RW0`vhJ(?NK#e-T{vdpuw5{SyeSGjq$=&wGy%mGDxTFrJQ`YAAmbQ5LDrAwFEOWWJqqwSeY zraS|2Kzfa6k*5{diQ@0Xeq0KXIEgx;43hJ3X=-u6ukkFc#$WjGQ998IXp;ls#)ks9 z$XeNgGGJxX<^Vd-=WsVXUx+81n#3wZ4`?1omL;$rVGuhYk`gSkFHgx<7dK+%M7o5+ z6Sq=r-TRnU4V9-8JRtqLmK*V}3AaftS@U_44Wn?|Yn6xIw#Q*Ooa@Fg0|1cwuJ z#Y0>Q)HO|bbY+JKD|d?VipW{O6(!>Lg=p+^1rI7vwYgdZ46nkPtc~%?gP3b)+-B+7 zvDhtzb{tLqZ7h*Yo|9~d#S&BiYfg|+#eFRjcT1xiq|d5p5l+qtd;R>U9 znWJNcm(O1m#1-6d#POJTK|RB%TTM!!&HiPgS@sEUMh{K_@L_r)mUjzW9XM)9SVA$5h`=E6`^2CTah8CevBRM&bpI*| zA8ShofVle)@&4AHo}RHia)hm$H*cP>c@pNtm+5PTe!Zw`XV7+zzOrgn7Bp9_TGg1A zPEng0f?IfC?L@XzhT6aqsO=P>wi3Vbv5{aBvoTalKL>;4q3*41e;KwU<>P8f*vFAM zW6oB5Ob}ziUNMQa5a6(zK>-b>iT!{kQQLAOmh{ye4`k27&{m7ia>#k0yuhz%r1bf4 z-gscI@K2$FMsA19|LO^0Ad7JXxBA3)IR5!%7xmbMq#er;N@)5xRjr`eE>goVwQm}@ z_;U~&I>Ug&%1bm^0gxaX;lNR}TYpf)L1NKn`+!dy4$4l}W%* zMo^4jH5;kO(8$1~=U{miPxujDEhAnrIyr8A9>LA*L~7x}YqF^cm}dd=!Y(LEfJEQn zEI7KFJqZALJtamkieke{pp7Y6_bZ1!!$6f0$_!`F7hexeh8b^b+->MkoEx{x`8~yN zT<$nQ$AQG}&z7vnM#b04RkcoUXU zHvlX1K*#(9iUIc7nL$E-qat00#B_jhYicq6;rbk^!`k!=b+o`edmxif4|IO}twxP% z_IG(m;H~NKQP|+r{fGNUd_Qaqj`)7yrGfl+CB)+?ncCIgp5KS?U7X2(;}&}cmJa_8 zTbX1517^Wl6(21m5B~Y7Sy4QypXwEb5)^SAf^ERXODsQ7RVgkuve+C>wy>04+$GU7 z128>CelKDPC@paIARJeAkEUw4hfs>a3u)Y5B@2^ zQX+6$TU%vY4IgN2YHA8M;T$+a2{TmzR5A(J7uZB%mP9!mx<($7UtL&il^_lem;3ae zGIHu$hvVeE5+`#&K1sGTivkz(C4go9CEm~<0VAd3CBgDk*J1ez|#*L zz--Wz(5#^%bYyHKbDh{@9wMca@s{I)6%8{IOGvjS-pkvq zDW>w1=zC3w9c~z52nTHNQTF`W-aa7(?tX=v3AvjodNu^`cUW)uRJfO6$SOZyk`O>~ zZJeF+VgmMw+OC;sxGEPbV0IncC76uZMB&3SqIPRf`X>kx_n-?6V2SIBIid131`WV^ z2En3)b{U&de<>5Y_*x^%L%DVXGIBRGC=0Pl=+VLuJc9ieegG)~b|o$Kz%Smxx43}c z%ml|rK<&1Ya49!JSjh%dCitzF9$YD-gnJ>=Bza*h;im<$SR9nlS^GPU!G9=1$f$vV z)*~+XImJXHZloC2N*b&hi`{R>&or338^-w*=P9z7yOUrPG?}ci* zrOtCoW`@mSA*bNsQ6P+#!azq1Kh3+ouX5@Hsv>aOlcuPt_pO$%w&`NJb3A^5gEzys{2 zh9llGiFjxt2X>1k*g=beda@Y3T!u%zSOgyAQ9p!UASeJNzKG$Vc)wYPNX&R+EYyI7 zg^Y!Bu#jK>oDG~b0Ei~8IZ{XXz0gA;fLJWP{|*lU7G2n5^l}OLqmwAn_DIO!4IQ^^MI)Hm5X{tuk#qTz*_w_bfv&)!iJ^d+?miu znLO79_`D1t5|jiGjV~OlD(8r5Mch#6$>;4^<2Xk5bFua1ngm|OgKC18LclCA*WuNI zw zs*jA_Oe*4}!A!E&pMcP=2gLU}*?ArvN~}b^b?&%Av5i z5W%A)TtVr>E1P#@Yowg=E4Rtw=pZ#>FeM|hdi_Fia!T*cB*1l4R~#iHa`@xL0=z`R zjs&0~&=`&qEls&EQnZnC zL`(*zuUm~vf_zdwg9r5GilyQ!q(9Fi{J<)#SRgCyZV}9ht6rZd^p%RZPaEgs0?H31 zuPP1v&<`s_mthm(oWnY{J23ZhSOl0?fyI-Y-bAS5%nt&c8VTt1l2HWQ0-7f1{BI7` zN;RWY{TiWIqrJ|B%KwuEuJ0gq2d1D>Fbg>uFw1U$)48Zsmux^AkLHV`@uXgYSeZ}8 zkGpvG9Z`%X-bWGWIg~YJwk`2X@~a1Pxw(mRW(+0eTr!0#Tp_EV8S=Q$=7Xz!M_AeB zmLZilz%knaaJvZrr@SgI=9;HOUuImLBmmqDg%?fo~76gl#6lH-B(i1i) z0Wl&%gE{iVK*+iKn9~+FITt%sttVynCuubcnr#v$H5%_Yu-g*41++@RU>&C_!>r25 zX!(45VK(2+3?yFGl9a~OKb8R>MV*W z@IbeK8^P~yFJ!Wnph`ZI`vJ2ogEdrSExSzEzJn4SSBn|uiz30L)Ey!oSS|Se19{fo zD~pcVG`Usg%(65H_idF)vPoCS!M;T`Z$K47OyUFP?}Z4=6DXBJ$8HM#U=FOyQ%F5fPjH-TEHQxrt-AzuM{DAj z{Fo0Y+!fhdMzZ)QA=QdMoIQr-p}PQ!3Et_lxaE9|JunNd`EyYQ<I0 z$`^2+Ix`lFV>3l@ zl+Ru1o_j&=Tm|QT9$&sn*z(HLQykze$GYHx3&1PifB*fXM~^=D+;fjV{`kIq`*!Z! zi7s);P0e%-UCUE`siD(ZFFQHWQHJth` z{zrWdr?r^7Nb}xGks4gK2)q9~c5kMXVTdSLTl6h?R?6I=r_8kX{wQ(-#Jp5g1GT}n zm2YAV>d5>Z*P8F)-pvkLWX#pghYI$aYjEdSGUdtk0I#Kz+h8ciIkm-YEeqp|l1@lb zZ7i-xfItV0**rRDG0(=vpD)@JM5{}v4qsBnBV-m)wfUYt*X&V3W=wqFjH&$F633|n zy55iVK+J)h-;G$J`D4M-O1N@^1drGNmr7-^2w_}MfKo=G1=z|6-a-ukAJAb0aOK68 zJVBYpI_BeGcHU2sjf2%uTxGYjHn?zLKo;&CXN1^JU?6NSSqlllY_cYT7BLNtm3QX$ zv5BRodM^;s&*M56nvDikHoZ2AZbI9B1!K1V3B$tg0Qh9f8`L3BV=f!QtWefg*k*X? zn$u)pc}2G{S5b=>B|7YWne}vuy;IQTP{Jm&HW)H3?8vhUS5sM6IyNeC^${DIt-;d*=9n+w6YK#-S`QsH8c&khFBZZ)*joM-hFD{CcyMNw z=~w&^%#U%F!(?F-Hn!tas??b^LZ^=4K~zSd$qC0#XxKVX z6M;SSi%=WB!*O8^&%rU~Qh<%5mqK@8e-SB3HsbRYcpxT;w%Izr2te&xg zZQ^w5e2iPlsndJ~&mtP8%`dRehTcKnkC0|06bzw8c~*pAa*AI;=hqtO4Jxf?Ri6hP zYhDHGlOW5nA^0mWDXaat6g7SUB8w{!OP|#5XWyufLZo?^u|{azxh<=5GwF$zLOZPJ z1O_k*+Yw_Li-Q5F-h5GAV_YTR)klqogmH%g)dn$=Y6Ex&ZKGS)pPgd!gb11^)M{L& zV<_v*udAy0qz?=JhUwqAeS`tLCRi)1X{rAqjV!<(whH!Rz%1MoPU3B3gMce!6$Pc{ z6&1nFFy+>)LZLMHvA2z&wkSGaZ?IT*QCwnUN4<>>tSEYjq6db7dgDDZ4H06fWueux z2raKyS}1T%fDrT(pYiVN*Vu= z7$57+pHa%*#~Y8p+9qa)59b>W*sSe80I};BRQ%>N+)kX!P`w7t@1S--^7m}BR4Mfo z5lj%rEGV@IhkDG3m^#ekXXv1a%5uYtvGLuD#vFtF{@KwXe7j(MvLrdPu8%^@Qpysy zq2(qiR-OuDGW$Meq->@LKG;sAF?%O4a-*v{>5MpJL8_3q@d5`Sj7(#1=gaWHPRoF3 z4PC~vvsiW(%SrygmDfdKRBEIQXTzlvtfXq@2$=hJqgi0e-i%T9}W@At@2Lq0?-8`bD%p(TY z!kW;Km*waDlq2dyIYIt2H3~eo2`V!1aGqThun9;7X{Vqi=W6Js#dwD!kyDOnTE#mH z&3lxUP{VB59*5NVd8{A#vxj>`1R}_L2YZGkT?7)V=doJ$`~2r9|6#F3AvO~sH@X{_ zVY_|^U)&J7fKP(wuuECexA3K&yZ<&z$Z`kbXZiZ2e3J+ubD>L!6+)Ko1SRAp%`q}3 z>W4%Mr{Wn<%2$;06y*Y;q!n)Tv+POc1R1qnmU<{Or${{jCyej<&5S<~#XQY#!Bu|e zcfI5JK*)yATISqf3(v@y8{}!$o2v`a3-fuj>F&>{kSYG1VuLBcw5rBKfCe~`#Voe^l zLOjzi7QO~JD#9Wko%{Bn)gU$#K8%J1@pn1YaGb5&(COBJpJD3oAMUhxFkTop;$}5f z04ptXd8`b#DlO-(0@>r^+S-MqVc`cviw*pqsDzpp> zcdSie@^je!0{$WYo3AaLe|c=(s@RfiK6Bm5YtPykyX1xq%csOPuIs*f^5n_DIb?#f z)~xJZ-rb4JZ{fO)*RA{PSdxBgQ3EwGkPcdwy|PL!bn}u4qGQ+194wxoaE`BAha`(N96v zY8W4J{c0C|kD8xToz)ecYu|zq6o_uG3@$9t&7?&z3fu2tKgh< zPEj=@qjG}rIW=TVQDykVrZP@78u*)LcB=t%HfcpYPpWukAqI!Ne%KMlq)9aoS+%p= z_?+NIVej9Xh}Svy9gW}k(6k@eXL{6@sv!JCm-2YyiB#>oU5ZPdG) zlpP?jy<~iqj1Dr|@EBNFd$g>Ulr`+Lkt9qWmd_nteTeVaW6?j~&kqF2Q%Hpp4;<&Q zn6B&L?sEJa11DNnRu+krmU1~ENLRT=u2VHXB6bd!bm0rS-hpTS!KFKB83elyXY)T$ zK}`836q_n4D#}4&ga4y z2u`7`q4O=v+JI&2JOIX%l8~S&7=TZD6Dt+aHXB0^yr804SK_X(@Dc$#sDo-dMUte2 z(Z>CDun08BW?Vo94T}a5c(V6_y%Y+lyfRbUj>kcP3RvPr{f;a1XA4Q zgQG%q2#d>*di71In>Ydg-~u6gg9j>zR5p6xcH_!Zf?|<6#Ev3qk@l0Gbr&>VFf%=? zgXS)rk)GY$GMi#GAbfDSZhp4utXUh#E^i}0Y@@$r?nU!1zJ%NDcU_CmTzz5lg*ebi zsiv8YZ4&TOMG3gz`tfItpK$i1vt9S=&br^pVT37n-seRYRFQ?lP+eai?tj>eV%1eW zcnnKV&+r2$Ii@nM5PO6SIIwywi)^D%5a9zcBe(;kn(m?qzQe&_D-0|l*c-AQ&3ufC zjbq#kYjIVd)zE-|lthG@W=)?Sq>0HSYBxHEo`{78;4w%sB1t$azR6e=Fm{u%gSdo+ zwmnt+1x9G$DB=*`Qg$0(+rgp8vhajMD3wDIGzF-TE_TAhPi!tID3lJ)&uGF1=74ks zssk(rq(g=LP!*~XXc2ue?}kf3xeOiv6~;1XpYvACrW;F-2C(!;hPbSxF@86Qj~>3f zJIZ7v`>^O0fy?uWu1CgX^wJT%UU8jn_9sP)wA5`s?<%7auGjq$!&Yhyi~GtAhODWytpSg8t!u!kBJf8#?4^ekXD+epeOQi#bMD;YqN}XE!w~ z)lL1_`;XW=etnkZZW@(vZ)!es!X0fEl1`U{xx%uUxZY?ZX6yXt&Qp1H-*8@C0$$xd zF4YCJZ2>uf%Z`(v3SlK_<+wUjl~Cqqn}XN!E-%vJK5;L@@(Xmsl~-K3blLJ{UMdVx zftRsZBKJ5(?i(ggoLrZjg4KTR!Eqt@<_*=c>YCbdwRj?GC|5wXT7Kdw#$&?)8^Ej3 z91H_p>V&7JqsI_JDt8W|;kiT;MdbOsx+u%7fWjCEZW$nC@Gw!2Lkfdz9wdez9~7iK zV7rZ@>^)lB=2t;DR5Hp1fGA*=iwK&%Y99KwU#sspS>vB|g#>6uR zR3&8(=W!2q@)!y^=zw#LK4IC`F_g>D5qhw#K2doxkUKwb1vN83cHm^F!Z2)W6*P;f z8ut05U%^sQK4veJsO|g$v?j{D4@S}^e+^Yk&r^2}tyO5wD{6y5&>1jHd}}22W;}M4 zRE%^2473B&{wfPdGae&kaM+qE1+-Xji5=rNp5l;TqInz6yeI-f=PmUVY%eQIp}+)R zV*VciRg>vuc2_ouiDYoHyQ^Sb`B^ofZQhoONG-er#vv&;C>_&o_bfbEnY~$t0$80S zXgCwx)OF{5r*r4gT=X>VY-U9Jh)j4ir%fR(#=sEchmznv_TK=kS@PvCKuYsQBUI79 zy6_+G!gGuq_4=y|)1Tgj3*IPmo%07{vd+0FSBDtp!m#-HTy HELLO + +### + +TINY_XDRAW: tiny_xdraw.o + ld65 -o TINY_XDRAW tiny_xdraw.o -C ./apple2_e7_zp.inc + +tiny_xdraw.o: tiny_xdraw.s + ca65 -o tiny_xdraw.o tiny_xdraw.s -l tiny_xdraw.lst + +#### + +clean: + rm -f *~ *.o *.lst HELLO TINY_XDRAW *.zip diff --git a/demos/lovebyte2021/tiny_xdraw/TINY_XDRAW b/demos/lovebyte2021/tiny_xdraw/TINY_XDRAW new file mode 100644 index 00000000..9bc20b19 --- /dev/null +++ b/demos/lovebyte2021/tiny_xdraw/TINY_XDRAW @@ -0,0 +1 @@ + ØóŠ ô¢ ð ]öð÷ \ No newline at end of file diff --git a/demos/lovebyte2021/tiny_xdraw/apple2_e7_zp.inc b/demos/lovebyte2021/tiny_xdraw/apple2_e7_zp.inc new file mode 100644 index 00000000..f615f797 --- /dev/null +++ b/demos/lovebyte2021/tiny_xdraw/apple2_e7_zp.inc @@ -0,0 +1,12 @@ +MEMORY { + ZP: start = $E7, size = $90, type = rw; + RAM: start = $E7, size = $8E00, file = %O; +} + +SEGMENTS { +#CODE: load = RAM, type = ro; +#RODATA: load = RAM, type = ro; +#DATA: load = RAM, type = rw; +#BSS: load = RAM, type = bss, define = yes; +ZEROPAGE: load = ZP, type = ro; +} diff --git a/demos/lovebyte2021/tiny_xdraw/file_id.diz b/demos/lovebyte2021/tiny_xdraw/file_id.diz new file mode 100644 index 00000000..2f1997ea --- /dev/null +++ b/demos/lovebyte2021/tiny_xdraw/file_id.diz @@ -0,0 +1,5 @@ +Tiny Xdraw +- +Hi-res Xdraw Pattern +16-byte Intro for Apple II, Lovebyte 2021 +by Deater / dSr diff --git a/demos/lovebyte2021/tiny_xdraw/hello.bas b/demos/lovebyte2021/tiny_xdraw/hello.bas new file mode 100644 index 00000000..87058815 --- /dev/null +++ b/demos/lovebyte2021/tiny_xdraw/hello.bas @@ -0,0 +1,8 @@ +5 HOME +10 PRINT "TINY XDRAW -- A 16 BYTE APPLE II INTRO" +15 PRINT " BY DEATER / DSR" +20 PRINT CHR$(4)"CATALOG" +25 PRINT:PRINT "PRESS ANY KEY TO 'BRUN TINY_XDRAW'" +30 GET A$ +35 PRINT +40 PRINT CHR$(4)"BRUN TINY_XDRAW" diff --git a/demos/lovebyte2021/tiny_xdraw/tiny.monitor b/demos/lovebyte2021/tiny_xdraw/tiny.monitor new file mode 100644 index 00000000..3d4c5a28 --- /dev/null +++ b/demos/lovebyte2021/tiny_xdraw/tiny.monitor @@ -0,0 +1,3 @@ +CALL -151 +E7: 20 D8 F3 8A 20 11 F4 A2 01 A0 F0 20 5D F6 F0 F7 +E7G diff --git a/demos/lovebyte2021/tiny_xdraw/tiny_center.s b/demos/lovebyte2021/tiny_xdraw/tiny_center.s new file mode 100644 index 00000000..bfd84933 --- /dev/null +++ b/demos/lovebyte2021/tiny_xdraw/tiny_center.s @@ -0,0 +1,78 @@ +; Tiny Tiny + +; zero page locations +HGR_SHAPE = $1A +HGR_SHAPE2 = $1B +HGR_BITS = $1C +GBASL = $27 +GBASH = $28 +A5H = $45 +XREG = $46 +YREG = $47 + ; C0-CF should be clear + ; D0-DF?? D0-D5 = HGR scratch? +HGR_DX = $D0 ; HGLIN +HGR_DX2 = $D1 ; HGLIN +HGR_DY = $D2 ; HGLIN +HGR_QUADRANT = $D3 +HGR_E = $D4 +HGR_E2 = $D5 +HGR_X = $E0 +HGR_X2 = $E1 +HGR_Y = $E2 +HGR_COLOR = $E4 +HGR_HORIZ = $E5 +HGR_SCALE = $E7 +HGR_SHAPE_TABLE = $E8 +HGR_SHAPE_TABLE2= $E9 +HGR_COLLISIONS = $EA +HGR_ROTATION = $F9 +FRAME = $FC +XPOS = $FD +YPOS = $FF + +; ROM calls +HGR2 = $F3D8 +HPOSN = $F411 +XDRAW0 = $F65D +XDRAW1 = $F661 +RESTORE = $FF3F + +.zeropage + +tiny_tiny: + + jsr HGR2 ; Hi-res, full screen ; 3 + ; Y=0, A=0 after this call + + lda #40 + sta HGR_SCALE + +tiny_loop: + inc rot_smc+1 + + ; setup X and Y co-ords + ldy #0 ; Y always 0 + ldx #140 + lda #96 + jsr HPOSN ; X= (y,x) Y=(a) + ; saves Y/X/A to HGR_Y, HGR_X, HGR_X+1 + + ; after, Y = X/7 + ; after, y = $14 = 20, always + ; A=FF, X=F9 + + ldx #shape_table + + jsr XDRAW0 ; XDRAW 1 AT X,Y + ; Both A and X are 0 at exit + + inc rot_smc+1 + jmp tiny_loop + +shape_table: + .byte 18,0 ; shape data diff --git a/demos/lovebyte2021/tiny_xdraw/tiny_lines.s b/demos/lovebyte2021/tiny_xdraw/tiny_lines.s new file mode 100644 index 00000000..f061913d --- /dev/null +++ b/demos/lovebyte2021/tiny_xdraw/tiny_lines.s @@ -0,0 +1,133 @@ +; Tiny Tiny + +; zero page locations +HGR_SHAPE = $1A +HGR_SHAPE2 = $1B +HGR_BITS = $1C +GBASL = $26 +GBASH = $27 +A5H = $45 +XREG = $46 +YREG = $47 + ; C0-CF should be clear + ; D0-DF?? D0-D5 = HGR scratch? +HGR_DX = $D0 ; HGLIN +HGR_DX2 = $D1 ; HGLIN +HGR_DY = $D2 ; HGLIN +HGR_QUADRANT = $D3 +HGR_E = $D4 +HGR_E2 = $D5 +HGR_X = $E0 +HGR_X2 = $E1 +HGR_Y = $E2 +HGR_COLOR = $E4 +HGR_HORIZ = $E5 +HGR_SCALE = $E7 +HGR_SHAPE_TABLE = $E8 +HGR_SHAPE_TABLE2= $E9 +HGR_COLLISIONS = $EA +HGR_ROTATION = $F9 +FRAME = $FC +XPOS = $FD +YPOS = $FF + +; ROM calls +HGR2 = $F3D8 +HGR = $F3E2 +HPOSN = $F411 +XDRAW0 = $F65D +XDRAW1 = $F661 +RESTORE = $FF3F + +.zeropage +.globalzp rot_smc + +tiny_tiny: + + jsr HGR2 ; Hi-res, full screen ; 3 + ; Y=0, A=0 after this call + +; lda #$10 +; stx HGR_SCALE ; loading so JSR ($20) is at scale ($E7) + +; ldy #0 ; Y already 0 +; ldx #140 +; lda #96 + txa + jsr HPOSN ; X= (y,x) Y=(a) + +; sta GBASL +; sta GBASH + +; lda #40 +; sta HGR_SCALE + +; lda #$46 +; sta GBASH +; lda #$A8 +; sta GBASL + + ; setup X and Y co-ords +; ldy #0 ; Y always 0 +; ldx #140 +; lda #96 +; jsr HPOSN ; X= (y,x) Y=(a) + ; saves Y/X/A to HGR_Y, HGR_X, HGR_X+1 + + ; after, Y = X/7 + ; after, y = $14 = 20, always + ; A=FF, X=F9 + + ; GBASL = 46A8 + +tiny_loop: + inc rot_smc+1 + + ldx #