From 3b782553f0bbe42a14c184204f25a944daa6840f Mon Sep 17 00:00:00 2001 From: Vince Weaver Date: Sun, 13 Mar 2022 17:18:19 -0400 Subject: [PATCH] lemm: smooth out walking animation --- games/lemm/draw_lemming.s | 16 ++++++++++++---- games/lemm/graphics/sprites.png | Bin 19291 -> 19280 bytes games/lemm/move_lemming.s | 25 +++++++++++++++++++++++++ 3 files changed, 37 insertions(+), 4 deletions(-) diff --git a/games/lemm/draw_lemming.s b/games/lemm/draw_lemming.s index 971c4a5e..1f491805 100644 --- a/games/lemm/draw_lemming.s +++ b/games/lemm/draw_lemming.s @@ -1,4 +1,8 @@ - + ;================== + ;================== + ; erase lemming + ;================== + ;================== erase_lemming: ldy #0 @@ -10,7 +14,7 @@ erase_lemming: sbc #3 sta SAVED_Y1 clc - adc #11 + adc #12 sta SAVED_Y2 lda lemming_x,Y @@ -21,7 +25,11 @@ erase_lemming: done_erase_lemming: rts - + ;========================= + ;========================= + ; draw lemming + ;========================= + ;========================= draw_lemming: ldy #0 @@ -124,7 +132,7 @@ draw_digging_sprite: draw_common: sta YPOS - jsr hgr_draw_sprite + jsr hgr_draw_sprite_autoshift done_draw_lemming: rts diff --git a/games/lemm/graphics/sprites.png b/games/lemm/graphics/sprites.png index 48a49e6b4a70d1253912f3baa3c04db9fdf24c5f..2c8ed776d50958ef54a5de836593e9e7aeee69b9 100644 GIT binary patch delta 15387 zcmV+$JmkaMmI2U~0gxmCn2{wbf5dY+00Eq%9ccNwml0LdUDMN=k}4}BBO~3NBw+SE z3-U5D z?*~2c=O4oF2aKP`x=U~MA0J`vpFh_hPttyVUw(P&_t}4b$Y1}SDx^L&iBkb z#YlyrF24`;=cxG&ubW)LOSg-UEO+I1<|FF&tMjW7)i1NQ?)RSjdP5J9={g~W6-GE= ze}BH=p1IF?L(_?h1r9c*sHne!_zV@AUH9n|~{@E^ba`k(Xq zFoW4qHbpuEJAXc6=J20L@O%^f@WRZWKj{+c`uzZgh)ZW~COpglvlgBlO1Nu`H3SB- zF+qb{k57t|83;MYf5lD4^gM;RY0*pbmKwg7#vJ+a#m@mVW+IbcmAUE9V6sxm#7|9) z7#jJ>Dd${r%`Nvl%2QIwrIcC(#ZjM{u9|D9wYJ*pXirNmx6*2Bt+&x*e*n$gORv54 z-pAmYgHIm3d+>rWW}MGVGhegJI@|1XyoAr2-u#xgzU}Sre^_xn3;bAR)zwyCV~0&B z?X>eQyY9C89*0mn&vnwtr<{7)>1X^oYroU_Uw-|KSqs0j7QdPDh4s%_e>!uQQ};J#?!V64znZlr4ZYut{bZqodOu_z|Gwv7u+kgKd`@HZ%6w;O zdzW}M9=F%G_Y~q_;&w|nV;-m1ZuJehE?)BN__TMA9Bb$8Pb%l0X?5l{Wti{1l9V6z z+Gmv+#~x`jBik0i-~CE6y{>b>{!iv&u7$ie|FEM#doin86#N!Jp0{qaU*-e zyrb}nnn!-uxfkEeR9D>bY``z3Hd31Jn!T*?E^_->bKKV`L}FRFrn$)6m!Z!q6f>PM zE33b`aOXSESkm2|(07YWQ(|&jBnS4MfNa0wi0^!WZ&8C7KKDy3ojl$#@>zY9Imh9D zF4*{4f2{lMPhQO7s^gD{dt+ie>67v_qN>#C+0M#dgg8e>2rv$3fDc) zwF9%W`wDxOWg38%b&ND?IpNJ=5b60a2X9|{KRuw#82@nEWN?`J`sT&!uYf2>dBn8e z7+0%A>mrF@S|%?VUc5aga_pY1@f0Pun9)7&A%>s1YFIb9a`D`UAA)l|@3P`;`RrKh?z*tx+ZsD$R#o(lSY+fee34J*ChmX-WLK!X@?}a}DYJ7uFsSm8e-HoG z6S=qB5F0Nj3Bm~~)nNapx?|IF^6UYV;aPCh5D5W`B@qrIUvNkQ)o$$Pe=H z;>JK5^1q`H-`2^SJLW`gl3#6*f1(?;Kf*|P?RE$&!awC%*HiXRi+CH6yitwJ7aXWu zlz8t4zDht!o_n_v05>o%ART$&hj}1E(U?VdhT4HHAy`0XU}`G3#EW@5BgF@l1#FK2 zIWb(!XrG|nE)Gf})>b9VNTr?PstBm{n&|N&Y9YB7lXdc2K@3Rsv_gbKe^ZlWNr(CF z!=xrAn3&mjoC8D&KpSY6ftxp9fT|eI2g9C>X5&tKLD_xp;DLyoGMQu2*xMa*M`mXq zZV<{_^F0roBCo}Czi09?poiONoj9zo8NC@q2AJ`MLafw~0d!mme7By;m$SV?c;pTw?dlUbaA5z=_yF*HWGz46 zLc{;VKLa9y!CyOMqJ%tqPkRZpUBL@gLF|YGkhk)xyK+&8KlH-re{e6%-TU%nd)(`) zA5h+)Ae?=2mTr+a85;vc!OW#0r6F}te&>V=>{#1lGt;#K_&}d>E?=m5;F@+lM4U7lngeQ#9S^=6%at-={bSJ3qhMuK)f{$ z81_YeJ%CUnE)V&%YFZCC%>+diSWTNTYn zK@KnBbEIXGe>8ZF@kuQJM(O!LW!Gor$sN5+HaXTF7?${Aq#xiUPa?LUpqUEpXoHBL z^E<10oMA?Qmw02!fr*!qdF!=vWNu|l0Jz@Ieo*KN7BnnKkO^E+B|88hI&;Z@ZP4%( zyb$-s&FyELbP;wP507*p&?7=3It+Ueo=Bu#YvDdRf6{^|VLEo;eK@3t03ttuJ_H?{ z{UU3KEM}u)T#$c(>`3_y9dymyAM^Nv`QNOr1&O0+9Y@|;Q7c6ao}^~Z>EPg zr3ne|g_!0t5b}Pd-@9T(BNJ0@F5O7b$kbs@CgFw-yn$rR12Ff%e#AMMBm}@xg09#CHWd~*U7n3t_Lgf zG96G2%v=KV0-5@t&%o6Yf&Kx+Ff{!8T=&?Bpv|q$jc|TIThgmt;1mveA$m}-H^Tz2 zCiMI;vKq-brKO=3!{r6&uv1~pE7{uJ6FFUf7}<|Mre^7j4o6kmGV1OJd748gbNZTYVatH z&+puQTx4A5HrwLLh-vb*-{}UKnK^u9M+X~d33n@EPM;@Vc$76r$47HckO!u@gHG5@ z@Z@6@;)juykq~@{J0}XyT`VBtqKDL9E^Zj}+r^wm?aNSX?`vbD2ok(2bXh=3f8^Y= z4=m4b=%YhL%m_Xn_^+GnCu1L@9@Q3_Weh$o=Y))qu(72%9r6KMW26XVtin*u#DJdU zUy#i8hq5z+$wM`)XyqY`|5#j~%K#~0DfC_-c^H>P%z-ys7YSK8EWK66S+0k!B@C1V?vM*4UA6;CN&^(2ET$E&iHW;zU3lBD(yd)cNe4-U zARsWGmL+O@Q6l^oFk(77a>KP8rV&ENI~PP`ytvC7Neu2X22g*ff123$`veGi;H-eF z7s!3k#QR2;Ei0H{F;DWJlKuK0Yy1DppM20p&=5Vq7qJxK*!0ACBS$ORU7((5A*PhO zA62p64W@G&k~qe&b(bc3(ZCjOqB zYD%I??7x~eZpL|Tpv3SojJbO1Mf#i+ew%2;+l!Xo$e{AfSS`>DIFf6v~LHq3Do#aBSC<-<6_ z;iZB1`lf6}Q&)f#2@mX>^ObWuWGmXV@g9cqbF&F>nXxXsB=OV4Xz8j?H$Ne5ofe=LlIvn8(c7dn=Hhu6rEsb%y>H;cIJU2ttZ{rBBM;j!>n%0n9(lE_mou(6 zNpT@R4gSw7@@!;ls^n)@Q3<^2PneI#Qz{}Y(Cff2*0v`;J_AYn3pfA)!~JC@&&kK8OGtB^16A#!m6okt5dM!OwN&w)j7)d#iYv+ilBZGVLdgv8D8 zFfaqhH+g90p6@@sj_sORaKy6JcevVlwQWc-9>mHapm~+G?pO88>_0>V6u>N|pGwI3 zzLNV)Eq{Ujg2kZ07%^Vu z>`clRS%@|`-(W|VjnpDYCX(*{zIXNWfAHt^Z(jh(;V@zty*8#6g^P_xWg-b08SpA7 z@oK{Lr7FM|V1N)Gqw2}KGT%q(+nPj^MxY=}7nAkCZ_Fgx2}P-kgKBy6xH1F3teC+! zf17)Na}c+UffnYtYTD>N@_{F>-9-%$i;p9Rb8NGbnOq@07xE!#HF1B4@55&z%3y%Z zogsXhr4>*Pq51~$0rzo33W%#+GJ!oc&j^=+&#k9|^+o3k1`o>^L%ro7@p##P zzP%k>Or7DmlE{Lin-NX|a~WXe;mEEobsG;*yGp5GO`OpkCwmv9ZsE%L9XjHXF4T0! z3a{nL%~C2mP`A>*A#{p4V!bI$SNp6oMhFPw3j}8k3q#b&IBXWE4jvy<;Uz*$fBW4S z4!8}b+ob~WV;rcEEVIrMTCFu6J_s|(JTZ^}TOXl+VLxX_Y!Ep}kz+f;u!23cLc~Xb zzM03Hh_M3!>4Gw?F0=N)Ps_fXh`x`cjl|j^>0*#EuB>!~8=RLWYH${?yT^Ddt-$1% z0N9rgo^Tzcdf`~Uiot{)Ux1!Vf4J0l*TNK?gYLwt;adsIN& zh8nLE}$wQ92 z{Pa#93uOX{lUDP&FVdLkIF{x|$rC-50`_qcI!GjhLHmJL*A>v`K=rcJ$tb7S#Ze}N z7=GfFh>9C-Zud|EzTqyee;Od?nRccgyU8>Rv<|7gN-h$7a=jZ}$l#*_ab($rI${-- z2?$$hS0)RP%uf2Zj`8m}$Pc~ebM6$lyMeX?7Qb2~1ByA$h9LPc;Es(vwm2<`<4HaM z&H0oJ_EyDhPyAyp_)%O@!hu0-36;gPrEcBIs$9(Xxj?>~3s|X)f48aqxihTfZh!)4 z;AfrY8gL{i0Sj38#KxT+FX3`CxiT*cu>IYz6Bj`qPBgnVmT0b#*azGf_ZVNE6;nZk zKGx~8;XPqvK(SEod!4u_zw%<&oVzRA?B(>fXg3IQO z_=~?p&rAUhFi2NoWW^B4i2q2oXf?(NiS<|%+pc=U4$Y>6e=fw$rT@f!W1KM;E>d^! z4Zq5;Bvci8@~hJ0VsY7w$qTB#fU*Lgh{rNQg9ExyjV*2hXamQs-_10T(gn!|dyVaS zN(4DKcSOXZWOun&MgfuZs@WDhtSbhE`(OuLcEYk@BX|@BH>AU7g935Rf z8Wbs6#?9=ke;J%a3kTfY9s){bwsA*?TWrB49?WmRUlY}V$Cn^M_74vm$#erQ!9^4p zH~nZc?uch=mL^>^*`NpbWWkd+An-_-d!x%QI1RsCr{3- z!m5aB1j`4-fW-oG#iP3Fg;e|zpSFBi_J8MB4<*1!f1Zjo*_|9jZ+>#2GR9yM*oF-O zb?_J&M*-79Gf0hy$l_7;q;SJXi}*Q6*LAmUF16AQGl?D=Wsw;`HsQF(4|bt<)-u(4V#AWobQGrwkz9%E7wR;DOU4JmTk##q=eeK-maoyf?VsV_+S{`BKB2pI0$F= zD7X>Ne+A26u|<~ktR56XHLtHB;i_1zkm=I+>!W5LSzWm_PmxnOM>2!#pGro^BDnO z51|2!!-8LU(R@Vqmzc{t>c~7BS-z&4GF}5Cf7s4~`Gm;66%auEwut{^W*v`!+)zcI z`Zl~B(&&1ZYO$?@Gh~Srrpho)UVb<|P4P;_M5j=<_oWR1I-Ph9)2jAirVr86$$YYXU zf7iqMYkSi(BY6KP6YK2ls-iT%y(BjiC zh@G$)5X|^ljJ8Q2B39D9fMX@ipMeObSk{6@Fu@Wf43x#fo+n}yq>b@|#)fJuF1Dhw z?)td}6y&*8WXi*82B9cj=*>6<#`#W1ML+9xh*W2UxCVlQEqwa)XSs5Qvh2Hse}c`r zd2?W}^U8Kil{(aQW;?4`&+xPG!cKT3a*b2ybzezk)kiw7qidfb{CA!0H3YT@N5WD zxcd%#9@ZQ<2D{89vS~MAgbZSK(R<$ltgSdC1Y+2tTh&bV2lG!10>e+jV8SPemR5*O zTkcX%ZC#5=AF?(Q=39K*f3_jDuju~u23TA|iM;_B!PY_Hyg@gEL%`w?w18<{uG10< z0B^J~_}ZEagyYTlK*WQcONJnZc0%NQj=t|(7oFd##^BQS;)B^4m+>u?@NWbqqYDw) znA$3qN@61?*SImIvVzM61LPUHFZ;r7vT?NQMVDD(L&wgl1uc6Ty=*1-Mos0?sIm zkt@O|I87F|84q7kf7MU5>d!?AVPokqO(-?#Ht(<=KovPNAw3?(Y28;KuNG`(^f6@< z>TDK35tKwR;92eO5tZ#ojf~d?H5`Kp7j}gjoU2#K$c1!IT&C3OC9L(|! zqd&y?&F8%3(eSuDl(@5GgM%)6z2T}1Fs3D=Vvzih9oE^Rf3BYwLZ2G>f<{>eU}vCz zL8qpFSQueguF(L7gV?-hDz2-WFZEz1YMcjU^oZjx+>hHQjx01ow6 z#$1LSH+z4Le?m4Opbp=lj9pkE$kHWAn@uCg6=kS+U$ZifgrO?MMx6=t5Wl6_{-fNP zE@(p}%RLaqg$x^$vTl*Ay={CZBcDqee;C-K5o-^hKum){t_!AOWijke#=~hL+C|S4 zb)1b&;OOqx)JE1`xY=eiGGIuwwrTrPzIh9Rx-k5Ae=7Fn6U{^=`~%?<{d#koPnL3s zba?BPTzf$iJfU|H-Oir*0g7$sR=#6$QH}rr8(ZUt{$H zjU`wV*Ck%^)}9~?akV5iyPe0#!FbIlqOXVw^y@C4!b6u~wN^{kIIH;jVy}WV#GROf zhZ*Gme{`~Chk&$=?Y;7BXy34S8srZ6RxA=@gVq2jUb}yuqIyE$JID>~48XO-Hz4F~ zfiIG}7X!Rt;E&`0&l@xk@Q&PMuIkff7y(#sO7$xO$18=(hph!56OH#LsNla zAnj6-EEfYkB zD$t;|exP#lggF5srZex2RAu^!9eWnnCtTgN_Yf8}gIptgr5S9(sYJuHVX6bi?pYa@ zv-Q2U#^%s2)J<_eja}04k_~)T^^?`|1Xw_|q{faP8jfv8!m|I)4)`;{)P#iNe{UDF z8y^x8c|$~hS0;-|G02ZcgFT}QzDQ^LnhKG0x7Mv~zpuseyG1hhxly&jJ%~&v7@#A} z&hUEl=P;#p3&75jFp>A|CwzB!?28UiF_178LcUq{aiy9ETb7ELVL~Un6Xdn#Kq7qI z_{r#d_eN+%)fA4~bB3E4)U7kOw#nUak!BQ^$;SdNUoDiQ>zdAf_ z4t-x;p1|dsi|*;bueG{NyKfWSw%pn3*U)xeoBawXd%BcFWZvlKXyrE-b8_4^^)Y$m zofAX+# z9-@6*q?&e80ph5ct6R&ulVyX~eQGE;pbewPV0;3-D( z2c0N8@3@f4wob!pt?p}>YLsgC zUErC%4I!0eE!F`-bBD>Cf23eq3vKbD#>lG2iamHl1mO+v*bsg(RL8Nk-s}Q1Td}U| z=0*GhTA{<<^LemYU$q4)9QWruuJKc&X}BvsiDb9a6}i^7*n6Jl9!dVU*nGQ?i>Y)Q zayrDv+8P;a(&b7oc3v&O7@+Tq8k7%kp&!F-Fa9E^$#B5pCU^O}e=9v-|9-M_ADQ5& zUD38p7fw3xKQPxoAYhc{x1G%mAn;(dW4L{*jM=3K9xyk8qcpPHmGORGOoW@(s%;p| zp8XV9XWr!Kg8Cp(a82L+NXYb0(^|MM7W)vI=vSwdq{SQx^zkKCgB`To6Oj*EhCA6s zjXF8iU6OO#xMndye|5xlyB%DbV@w8qEn#rHB*e}GJ^mmf>+Fg~^d-A=LXB>US=v87 zB|PvKGS@;Xnk62tb-B*dGAiL)W-oRG5K`;iM1gCP3x2EGbkvGko1r^yN{ftMXXG?m zX5r;(?G24J6dti@XXZ_^zwV$#o!j5)?mV~q*k)X;($^Sce_cei_1W^c(WqR@z6%8R zU59RZS{!bDnpU_wE=CTM#nwEZ-jlmtnB{4QY5>Qk)*?jgI@DaTy#1@vlNPB$k9}w4 zrbPon5CC2iL4pi+YitlS&<63KNh}h&M;_Y}=CNUPO7b zwuOVURT4e&^*!>0g&c;yr){DcT0yj7P~ZTo6VMR?z$R|})wgwNSSlqVnZtWo$t1Sw zx2qn92cR(KOeul2Cy@JXI)PKy#plo4Jv?q5PC!UlF4`4baS#VpGv6jo{1cuPKtd3@ zi5c03f1n0$zpmAV7jd(~%piw5H|=zSWw5Sjb7YxP;MJl4UfH{D z-AGaN2(=xg$hQRwdI07YSk^zcfxyl-cXI9P(Fr_b+bTxx0E^1{u-!97h7Pne;s$k6 zURxcReCD~?R5+@g_cpJd6z>bA04^?KUn za>wbuUAA~@G_CO9#Tpwo2ccmb+-y1s1~-UAD*^_s6+@e$iw)BZADHa`cDII3x4#5F z-{!m~$%m@VDmC9Xuvmi&$-Ls#ijPmQf1Kl`igd5rfvnFR_1dUzEFSP=i|+Dy=Qj1-aKCPge*+$* zVPgV={l?oy!SWJO+11-vUi8f`bDZVx4pUs znbS~ZU@{c{`xp*gOAdm5P!4TARwKF_a=)e&*Z(8vQZM`Ev5@ZWBRQdclKX2yN&4+? zlM+U^N_`|&wu6R;z!x$O&=|x~f8oOErV5`2Ovi(}n_F(P;lA?1$_zAn6g{HiwG09Q ztW9J%t&q-6YP4MTgNSI4>+=YR0?t4d$=AkcFjO{f7}|fkk#&kwD}8qAF1F9c*py**{+@KF)d2hrZ2!f zeGB@{V-0YG-vI+BNzuD^Vf;SQWSh1+EUSB?P;ieGyHhbL4r$gE`yW;>2 z)T`Z>Dw8{3_$?jZx0V2v=c5)ZmNwCKpVM2m$#i!yBZTbCCkJ8mWn6si3LjHk?oOLQ zw`|9qMacp3;Kvs16M2jg5fRCgoDflF@nll1X+57N^FRpqVP6mQe}{}B2*=hoO|Hi#kB;!{*x1Uplq|b ztE`z>8^~&m^Lhx_f6MKY!DBv8q|=-U;CIbco<4F}D%jUkgUJnms?D zL&N3w8c#`KBOSnz;hO&>05EFMCxQ%-GWxVN%7&HaxONI}4!aAH7j`wfg&uCXI?M}P zxE_rjFBV+CFxEa#%pEaKet7CgwbkE^9W@~S+_eq#bemHee;2zlP`D+7Ikcc;98(Wf z>a?GhRRyU+y&h9^=CNv5+$63_VcvKF*8@r}3w`4&9WS!awnra+_^@a>PYOHku1eO| z!q=UP*~PSntd^eBMLA+Mcy3LEnjW4HkCRBP2NC8{)2HdM_t5E?(IqmsfJN7IBcWK_ zBo)VdTb4Gme{;mb5rq?T&$B`kq^X_+ydErh5v9i#YjEdF#eOssDhF{>ix-ATi1;BR`*(J(XM8j8nVs$6+xLjYz8~J zFCbc1e}FZ*gG*NKP)7>>u@Rn6Pwr)U-QxFcLdG~qqwm-9lx}nxy=Ul&*q4bcL#D2}wbufXhz}%bHOoKoidQJDn%k@fScmSj&1ZlG*qx z>03wh$U=O>CLI?TGA#ZuoEg3r`5=nlBKv+oBQO zf8gVK0-xCH@uV3BfK16Ab%gkE1ARwpOGqTMn28PU*@L50wNe3}f@FpTF!G^VuK#ug zyfbCPR8ZKF)LK;m&0sICIS zbN{HEVETcr-=-%NG19NN>szQz9s*@!e|B~wnKi~vI(&uO;a+!7)(MzQ;48Shesxz@ z(2cH9G-3(qC1vOQozbVHkA^c`3SPL@m!B_{giV!>9dMz$3k89paY_*%MwF@mE~Je+ zyB?GP+3xvdJ|;7n+qd0!gG~ejU)R_wV`g5`%KWV80dC@-7RmU<<6z%wO?`Bh(mG~x7%^owo-ej!NVMs zhQ3gQ+>@Ijk|s1Tz8#cy#FOxt<7U^%xo7m`t;b!fc$xlKp>Z>;$G4P^<>)W-87_)oAe_FpKP%@v{dTq$}xGmBRG?E@LmH;!530v7$KeDxY zo~P}LVAq_g$~+zFJAuk2%Xoi{ah^lw0V>RsyR}?;@d7S?Am5;N%wV*S@5&AyvUjC0 z5|M)`X(ujMpxoU3Ah)c3BR*|e%pTa})+z4wJLBrL0o?BB>)W6<5qci*e_&B3ShGO9 zL*2Xkv=z?Tu39iwUq|BYBC74NkJ}N&GYI8Un@`WQc#d68gWT3b9bN7r(mi7<6i}>t zLP+}-exWda;3`;}TeEy1;AE3ub{jM)T*gV@bxh|myHe`_l}uv~pV9!0<- zcXw_QLvEI+_p!$82|<=2Ahv*D=Q0QvLe=y6Zg=*$ij0l3XVXnGwimkX(X$A|Qcyf4 z0>fkCUUwdQ^3xSO&gFAdaE5uOKKizKqaKcxA?t*jndz=OkyUxwTj{1nya411+no~O zep#$~7({mWgY{?^f7?gC$(EoH@pK6^^wA0d_8ok{7h%LOzuzHWMD)DA4jlUwC*glA zaZk5Bd%lnR1P{QEhQPqpuQe`WH}2yJ6`tLa897S@B*OJrTnW{-iqRR3%-?nC?qNlG zR@+J47}W3RIh@bywr3N_C9bD)m&XlM^Rg6!_l>Zp8T74#e}SN$JONLC;*vW>D!O}I z%K|U-uS>I78gO&feZv@69B#?*+_{2S7?#tHr&5vos4WJhEQIXij_UT+e_@r0TT&cy zwSn(Xl_a_nM#Wui5Hj@c_I}s;-I@=NyAkw>R^wrc$I!5KFS^VXvON=?F1GW8yD&Aj zj3uc9Mw%%7e@gVP_ASSJ4$)rr=UcsG=(;;MPb|Dg`#b3Z2U!U3f)`4rc zzx$^?bZ|%A9W2BgSyA8n+f{ko%;=$cZeR99gBv=UGs3i13=!D~dHY|mc(?6fpS5!* z2Xlu3iJ!hZ!N%{7gC>}v#0^o_t0^(S%@@*7U&oP~e?=favbb9)=^kYF)0R%MBP%?2 z%tH>lIfe1Nt-p;cd2m*Au@+Wx8_^P0RX8`v!thCR$mfZ#sfsJ)0V)3ZSq}s9ETpek z2RYfu`<>k8vO)D63-ze|e#PxAB)k2j*7q2LQ-1a%xSZjpLp=@&)UQ{K4Qdn*d9X>` zvliX5fBGPMrsr?kc!&|_j+W=B+)Tr_PU;GP*QBthoa!C1baRvBqotn0R)0h{7Z87_fa#pxj7 zxJ7WAOMTwzvB>JNZb0AVrY;XTKSd#6jEE(o%JWYnc!Yoe68XSV9E!5zcedm~R9MCl_f`}Uep0`?De6Kt2lZ68 zyY(yC;xYYV_78jdI(7hcaNIL6V9<&~IF`FSput(v5F?L++K`Nk+oLi)3(12AljYU) zf0aHh8}R7NmWTM=VY>+b7+MU6UEw_Q`&=Hxv}{{|fdK2bd%WjU(|aq7T-pw(-1wcz z;lZ(R5E5bAmurqE&*WK8Y8RPr%6mR6w?o3eX1vRbs2#7&ZE|Acc zB2PNl$s|z-e$-|mj(tM-DSxNR4z~w;6vFn@ED5h-*WIbO>UW-Ck2Zv-p`pps^F=^kMvnuq z$EHGo)?32QK_l)_^(f(<8&Hmh{O#_49E9pqeY-}AZjO*E1bPsw8c}M^e7Q>f^#!~_ zfTw!*>;C`&--wng+FpXQrZgV}Br!HNG-5V5I4xl|I5aIZIWRFTVlg;kEn_$|H8wFe zIX5w8VUy`Ns0=bNHZm|VG&nFfI5xALIk*8NG-fk6IAt<5EjVFeGc7bSVmK{1He_Kf zG&V6aHa0e4FflV^lX*K$3o$o3F*Z6hF)c7Ov(YTBM?> zE|uC2jJvXAo+=7P@j+m7Fj(mZ80^#7A_zuw0By~2Mk>RaNkM-|=xt+wWB>-c(VCHQ z{RhD)LvvNo*+{ZU$>q})bCUb##1$6S z1rxpdXk?bcwN`&`+7p-}%VN`G;_dA?z!|eW7P}0I;4<4|NrEx?8_69b{x7zD@S z(DYI_*|3NpG9efrnLHgEl0{(Z5W(@q>wKY^wUTeAjIC6pNRdK23+*hl^BM;=1mc+9 z#Rl?~fp&i?4(CQY12kV*XeUEMncgKgmNA718csVe6iY7JsUlc7ny-wsQ+X@_?Ywv_ z`DmvD6VT4{(SbQ1Gty2oN{n`%N5e5A?X;<9dktb}a#G;rX9$f0lW``nfhLO;u8R~Y zQlzX7%Ie^+tqxSMIxs0PpT{gq6%_>&E>$vsnL>Y~=B+dj%~zJC3Ik(O>!!~vESQCc zGIAE0JXlz03}32T2$oz+6<8a<`hYXwvoqwvLV0)yrjNYMg=W4~>2qV*7nrhCDN;Ca z;lPCh7Y@894r~JsY*L>cBg0j8Fgg~X?6HYP22ng4nGaFpyLxVfs#DGzt83=+ z<{Jz(cCeH9F;Z2p*@9*g^@%hJw2ZB%NLYUsDmd=?r?$5!`CW5b=2qRa-H}srAPk2^ z21Zj|p(EH)ZprpcBB^*T!_`_z4mC`7c*xX^x&_<)LDYGb5Mdd_123jp^7~HNGwmE5 z@7WTfS~!So-z2n|w8q_$S{ITLYSq!*L!3icQ%UD&(hVMm`Yi5(kqS3sgW!XEb3A`* zZE zZDP>G77q+wTe{nxPITPGV*(UsxG24vTk;N)avoUkE!pC7><74~NgYx3OaTgR^EZP5 z#=oFp+ngoavgntMTau(FZAFUwI$3{(+`Qx%_l#t&+@_Wbw!}oq0LMzAZ6hTo)8@UM zBP;4wcgGgJvo5XNiBZJ}jbU5F-bhZHw8g>T91N;r3NGf(_a%O7XUY}~XA4WV=tvLK zn43$PTC&B#AZv)z8n~xnVQ!IN95=sts>H!?Qm2m$D8>S`UIO!YECL%lzhQrbPY*F0 z%>8;0kAe}4V4}@5-RXe$3tUHf{|mNw&fuZ6MSa6aC=o6>YbNMTxXB)p6~<%|wrI}u z;IL-^!_;%7Tm!j&ne!A`N9y=vjgMIABW7DwPOm;=*#ep!A0ODnto^w9xF6U@ z+G%_ie~R;wC)7$wW9`2P825j_1(Hf$)!5|^rh~Poo-+_vd1&VhBwDie)1$@+CfS;I;saVPFh6baW_Hs;5u??ty`sSzaeY zotiHt;{eCtUe2sCT^hm6lO_fxL8oG~b`#ioR+%o<(a1dFcZun}c=|usoBoM!gW;b$ z@t^rTuHxg2bm{e^`sV5Nsg8holT44i>s`;qjh002ovPDHLk FV1nkCnp^+? delta 15324 zcmV<2J0rxF~j{%YLO@m8OI`J#WCl|R4w%WU=Y zJ4SziQO-#`ETf0y6CLjKpY@(ukzT>1GUe&S!gKjZqjqrZ&4f6iI;e9z2N z^yg)$-`|J&;}O5Fc-`QmymY;|wf8Rk$$Uilem8zsqIxoG>z?=E_Y5sWrt5?!tkA;= z`}=o>$t^x{U$O8-j5DV1n>Cf#;{0as@pm|}q?T5y%;o&1{Yml9e|rhvW!pWk?`oAR zufR)V;KPD(@ppe;fACDZ9#0)TNA?5IfyTn*RU?3|K z)VcMTo;a9+kaJwze`I`8dYPLVy)N({n=ltf9Yi_x}>3!b(zHfO2#a>c*u9C}JN~xulUQ>N)u5T^1)>eCqEdiRjy{)v` zTI-!_c0RfD?#>H(A91AdjC_rElu<_;eG)$NnR&jm%sSibe=DxEz>oE=vg&H9@30A_ z{p`HoU3T4V_d}?ibe-qq^PY0*X{Y}=YroU_Uw;3MSqs0j7QdPDh2_s#BC?gU=2zpYX@rUGZl|ow@(7 zdArKCDS`&YBJq@nrE*iRNZsCOd!`1u~4!FpzRM>>_!d&eAa z-TRGK<#Ah?tvw-jCT`c~X3XR8+O^D(%i<+z$5iL;IhMxT)AOEtJgYIcPloyC^+@?) zuYJ}#V&DDQ49Szq2zAHsw8cc!_q@!-j@hvq_a3R2e|2`tZ;f-Wkr^Xc{-izkSlq}S zFmHc(MaezSb?(I%<0&idcsAe{pW2^i%r)A(`ds98TBF}re~H9*}fA?b9v!%S4!&S}!>KT^jsaI#j8~0nEUh57GzAlQOH0zn~0El+1#7s+pN$FwD z$^Si}zi%Ie@`?}7c*kq=7cUM3P<&p-s*FP*73R8?^b`hSRp7dm zt{s@2-B#G+U8VtOS$cm)c~6)*bRs<;=3ut9cWMD;#`uR*2ZO`Zmzfu@zXGD*$vr-M z#<*%BS{F$K(=vI{@Z#Ba=pjWSwPm;hsu{ zxWjlmqdMMl(oI?-&-P;iB1QTnQvv_Cg|$l^Z>r6Q=H@1tZ9uNifXy02N<6q8#zeM~YioyRw&vz`X5mxJpeYRYbX9cI6iXm2AA10dHIypa>~ z@Z!cm8}h%Q5NB=V%^mYYZjxWMf0LpcwckU3^4j$fR)qhPM_K9J`&qyW762$?PEt~ zXCH15%3EWe1gFSr@ww+2ybS2!Hfkdd%QT}mgUA3gzOa1b9+TgN2?u!&&cU!Xkv=?5 zzY?mTuSwJ(28KAY7;7Ruf2Ki%b^@+3b4(few{qTSh+RP(#D8LJ^S*b2(5`g=@|q7Y zH(w%lKza|T#suS@0cDt;2_aTW$N)O71io9T@YmViAv|&il6JL$95}FlV|)O3KC-+& zUqZwG!+!%Jg27)qWTJ#To2O0!Z5QxDRS+8@0pu;b>MmRq;t%a*e{{GP=59`&Z1;Oz zU zimCQ%@Hsyf1L&RLCuiYN#t)3R8=!-TMX#wpKuQK1Okyq$gcQW>f~&;*1*IXLLAP);!eG=QR*}>T z{2+&y@Y$bbf09&qjq!PE0F0jWfyypv<-r|ICYv0q35F%U80iF@1c1w(c0!>mSkSN_K_+lPmFxh3=*%Spwn4-5 z;)S?3Zf>W3o{O++czC1(fgTYO(P7w&@I)fDQeN((e<3Z15~gDZ-iJd<1Q7WN^dacr z?1`))vY3sAaY6nCvg64!G|)A3f6U_q^S@bL3lc}uI*z=xpjKWrl%u^brmmAWFG5;9 z)M2ZR$5K63)mwbNI#}Yf5K(Bo;#A-jVr765S^zTWt6ng>z}r3*1eIf%fs=&aipUI5 zg6Ikef4KV_i%g~z>?j`q*nlTI0SbV8ytw=WY{R7p$p(>(irv75K%d~wt>Y+azwspA z^bAONFT^yLfsprbN>0(0_m!hK8Syb@z=3+T7~c27>GHACMsqB%ci&LfNpJB@n`%rRo}9;gkX3^L|hNcz&hCwl=y7$4d&$fCJkvb2IM zgm_=(S_=xQhtYmhtVR1a6!UE4IZWP z`Hj1ei;QdBW?ftvF-^X<`?*18W)2_O(7^`UgS!)*VfbsRw*OKN=C7a z2#`LE4?_IQO;Ue}8U;IikR{|~17I>rrd4!!uhUu(!jb1*q(}rW%Nwmxf01Yiq2#p zY67_lO}uYp*|LHO7V{+kDcP_8v9|xe{L2Sz1P##xd=X0#j(t)bH*&O~-397_7Gg@d z8?yWv+Br1eiy@hyOtbd1B35+!jz!Esb()%hie53{o?s(fB*-`#N^B-nB%H-hc_`iv z1irl-^hjO%st+7ye~nHACfigjfFA;{x90S6PoETnZZMtOki;>Dt-CbQUk&UF)M}V8 zSXD_>i2bQ)LmuCR3v&)C!cKS$ONjW$q1`6jZlHZ_2QG5mDT0V>; z9R61DUf-0hXzB`(A|b)PIbS)~L$;z#EAL?_KR5dTE+dwOmxTS}!3tn4L=a3ph=!~j zV1SEUJjYrU2g&F%TZ*yXx$*N5^^ybNg@XrF;gy0VUVP-K2U7^#tlz8f5=d79WK%Mjp0d*6UM=d*s!!Ue37Q zB*lgNH26QS$g`2HPa!|Eib~*Bf5Ln`o>CEMfnEoGv9|5u<1>)7CpUVLJ*F*opfdze zSi;Atf7%D8?pS_9K60~&te1S5hsebRG#)M780~sAJqH%SRUg!n&$@@Dw*3_<5E3`T z!@zVL-{7H{d%phkI<{+M!4b<=W_Pvos@sraJcyM;K=UeV-Bb0;>?a}u3SbtWpGwI3 z{wDXCTK)(63l@VqW5js9lgE6m6EdrO;)8_Cf9NM6HFu&CLYXmtzTYYvynawo3k=48 zU}v6uk%ef3^9^=%*+?ydWFqPA?`u~-{|EnG|Mmrt91bIf-b!U^QMlN6R3?(3k^xge ziB}V@FI54)00V^h=tWQ7l=(hN+twtiGy(--x|pm4zcG_&ClsYF4yxtN{mKmdvSJ3` ze{Ajn&OzK(23nZos?SFEkq=j&Q3eBK z?hN5mEv|SpVvL!QgHgqpP@wX2j0*2EdzezJE#>b_h#&#oix&xM-K zSmCu?xmikO2kKV(SA@=Mj#zIB!__{kj1dCD_yWOM!@>|XG7g&ss)NVJRG37Fe`&uf z!vVL!bo(tpeD4P;B+IO`gj!08hY!L`GEWR7z}9+bU)Yb)5F11eQsmf<(5+w(tq}3? zLf_2eO~lxNfOJ8b7MEFD;Jfd>oQSrMq>aSd;nBq)V_aEj2sb$I8K}YWg552~TWJL* z#|MC&eDHwlAk_=U@>L85^f&=}e=gxt-(6m&=p1w>Rt?|sA`mM>9i=luvWv-L58^q3 z-6}S`LUk5^JycuzmTf>aR6wxq0O!tX8bO87JGe`7R9J~;-sxLPi0i&)ibsLSct>KB zIYW&XCzxbCd}|rWU)7ipIw%;R62Po@V%WPGdw}q9fdyE^*{_Cky}65oe|$zn&gaSB z`q|AL-p07jpU`2*zPmmht4Vai4WaF;IWn4>0W>~Sj)3ByHK5r(lGRy!xrGnXm*gSG zU4EK_$3mGv;-u9`_eB~L9mmpqfAc_3Jpuc;2puF6!l3>@tLqBrbD(-z>SUCk>Eb98 zLJX&PJw(L~H`lu>0cW^he^(8V^GrKakKJS%I$DR+UT=OS_{a5bbRmO}3dE6R7wU*r zR3;#7rCpgUKr%bezjcg%$3cGRJ<_?Kz}9#K?*vk`e#$*rHV#BP3R`D7Iboh8?O+e+Ny78%zI*{l++BE?lH; z;2VCGVR=wh=*h22kBh}+GbR&MpMbIgpNPjYLW3Q;P>n5a0%!xrt>2BOBc)#?8|>A$ z>nRcB+}sfni;~^%y)p`jq*u+>*kD~TDBK4-;Ib2z4I9CuFu0NIvsd~M`$+zFkf6f}gNwjdl-R&-*6lNQDbhyPDT;jp}2K+Tq9e8{R5@i4Iu#!wS;1XO! zfpMQ7ZN?q(Y}L}FizXZN0G}*)@&*JR33G3B`30w;_?hSfKOuN+knq20&Gm~QaB=YD z%qpyks7A1SPz+csAXhvpt6WIMAMt6+mu3GszIrGDe@^lgq{(jNAbRtY3xzQTlfX7? z2&jX{$T$j^7Mek7L_`*kswagT`m>0igLGYYZRS#a>tQC*L;YQ329QlS?*4;a=-qhz z9q{^h|6bjuAw1{YP{ej+yL06dNh-x^UXNv4avUk4wl24;XqX_^dI~-mhPQ})l^YJi z*(C)xf8zPYG8nn9j!5oS@75XMpBbh&ly_eg~HL_41x##PjU zH$s;;Vrbv{W7dbkv?P-zYu?7`+hFdq8`|6?#ev4lnEwB><}|_I=B!& z&-!c&){*F_md(+|Xxqp_8Sr-ad#n9%In0t z5GWadyxaWmuwKRsq5Ow!C((dgj%6gk~gARI<%PD z1+fzr9fIjUi_ta-M8wK-FW^{7^JgG}DVDXM5lpZ|2?J%Zu;qam1!-gaps}LbUKd+Y zS$Fx|0t)inDl+9^F@sQ)F7#%c0^@u?M@2u%b%<1Fgt!KRgDrge^t4<#Ls_<6f5VH- zx_NV8u=C1xX%t(NP!NQ~d1Uu%!sr!8jkO`PfPoV?&Y=K2TLR~aN5e7L0}0RKYmvYK z@W+}Dy`qi8>8%$b|CaIfY~*77h&g%Hm#E3}6=G}r+C8j>Vbq)sOd{7uoe=f-_Q;gR z3r;4(FqcRj9l-bm267J_ns56Ne{?9zc0Qz@ts#Ie^tPFLhrksAQjT03Km7|RoBdTL zv!#*0|L^rr->_Qa0_Cj>acwg;i`%vwxSYsDsfx*o6JKJMIoDe;NcF85{x@hoA*a%W|ET zPyl$Ng~4fSE)b44;{y>7b}ku$7}^1m^EvvyZ(VeJs~Vk4+lvooXI#d&RKm{)N=6qV zvN5$5ES1DYPOg4qN@WF?3p&Wtbzk;{-DG30*F=|DVnfG{q6Nd!@9 z!p73!GoaK*w|R$^09EA7fb@76r*&U}yjrlC(Z`exsIyuCMNksOfM>D4M^v^WH8P4_ z@T(IMO{BHgf=wtFB)YTfQ^Cv1)cKz!@>x|a`g%@9K_~5p4WAC z^Q9cjM2X{|jFLD$;eOmcab%$xqP67`Ic|v?)eW9p@m2>RKuEC`c*cqB!6n$7hyx(X z&cG3M;0kROTt%x2t`WZ4g_bKSiLcW6z}J6O+GZnMtTyH{?6}$cYZS5p0d+WoGIn8w zAWN4dZ8nV{SCpaReT~965{9Z28+8WIL;Swg_8;ZWa6ua)S?+;&UC6L8DeD%=+S|rw zGBRD#cw%6WMyw`2ftUt^To-&^D~n-&G9FF~(Jp$XsNrmE0!MdGQyW=(;byDN$bf$# z(OQS?OZnz42e4?4CgnuAhqF--r^T|>Ukq)z5k83Yzf)rX4(e3ORAE4NF zZsj{ZF3J%AU?Vd9A%5QfsCtc!CZcaim$QyfyNRnUe_fid23G)hPYS~8_mvR zeU_a~tzrSiAUHT><{hpben&m0I(0VJs~6P1W3B+!7?><#9Kw5i_@s52 zHajreWF>;O;)xMf*hX;-sz3(V- z!bD`TFP!<%daXKENMJG+ej?{x#ye7;E#Uc#0z^z_-W#dP^b2j zz@Gu8K1ewJ?_zdiA`y`{MD%xMvX~Tu{CG6jGrHi5bhfYQC6ex1o3-sZEtcOklDX+d z)du%6GM!+6jxamJE9uW+O6wMYoh4x+@7sS*ICn_)MF*%DNazb8UoHE%Qq6-cOGV5u zp_APSGOam~2wyiob4biXk>Px$c&9yf=+FD_5u^36r}bl}%&S*G2$iEdl&tmSKHJJV*r7nD6sN+L3E^m8b* z@|$0CvfnoKF?rU=gAkT|YP1IMD{vaq@a<_3FqD?MR z4Lhj-an#J!tYzJgWrOKHH544shS6g%K7n6qai2_)w-HbsQQ2V{>>8%0G+Pr^eb0q! zOnePI*nzoS>ai|@=0)qCpoM#n{drul5}qVaFoi~wfguxrwsjcnd2H3q+&rUK;3QQH z+CVaWL%(y=-$(TC7Qrs-0HM27zg?#j}U>{LdKLV#~wQuo?#CJ&uS-U9k{9G0t+yGUd=QUWb7%m^1n;?jPm}@ z#g@7uq`01Z1Dhwnzs#$}GQd}B;eYarNU z&M+wW`MZ+dO}R<3=?3$>;2jKSf+iQ!;bIqmF+cePlO@~U#5}1T>@0Uh6WL&h-#`=^ z`ZdPg_JLwU4+;PP$UNOWDa*b)oy&BS91IHsef2eT6fSLBtX?C467I?)%X5Dw4${&J zqRAaLj3K6!408YYOWwdU0cKBbTSP3lhyk!A*R65Zr3-r8ErEHd5vNK6aCa>O7q3l! z(7Ox(6~SHyoQzD}h_2weEzT7WQf+ktoxukO??PEx4`X1kNJvcL5{+0o9^|bUs~>))4_Mr;rT}Cpjn&e1!l*v!YeWn}bGNh0!dnc)1b*ePe7&yAlA5L3Uz@C{ zJh?vhDtwE-y?qOAjcZ4QF}D@Il)~{BiO)&`5yKr3;KM!Y<8!OJ{r_|(oykgn#m2Ye z&7tg|yFw6hdxXtve*)P+Hsfi(wrrr(bxz>RjkK^a&e;u3Z&AX*JQj=LZEG(I&0zn0 zlIktk1{*7RY>WW7oGrnVNw@|^)g?N3!hMvf-)cN2)(#=9OApRDuDZA_XVx43x|S@l zh>&6h)Djes2`k}v3BVQ^^&TUC130m{8etH{babAzxCePdV2%sJm0z?TBXzz7lBH6@ zR(QaNT|e!0*Xu-JGNgF-{^`u(+F`#Dw1@@1KpvBPK))L*zXis&UC+X9=qKDbIls;i zlo?diAI3d%1&oQ+AP@n6(qWYMf+x|9p%+Mf*eA^$z>Mw{JJS0Ho209M#g(s0;(;D+ z2#4Lo^>0;TWH&E2myMHkQcMcLt`-eIBv?^wR8uC@X@Lf4A#{SM@_rIGp5(p&o-JKW zv^@)i`H9dFQ&74mDInIWpJ6wSN>Zfo0rbm@gVd|KwxTpr9BrAI zYrHnCS)oksj98Cad^dN0LgL6y4ela;2}0u2Ww9M0~XE)?R0VR{@Pz{uLP=raU@?pRf3GxIZ1^VzXc2L zuX=zPdt68e3>9wtbswKg$achHTu?Z{nlh-mil217?&MDeUU)GC$f*9hCG5p`*l&`+ zy>IEnSVS_tE=weT_pox$cs_2u-L?i_BwaZ6fI?$;QMV1u?Px9Sim(kS z>B`D_R6@s{gI0RF>;1JdxbLzh5Aj1*HZm2H#M@mZ&&aO4K_g-Z?Yi8E|2&Ty$beM< zWZLLA-3wvkC$|rd*y)2oo-aNVxgK_PpZkLtP&lCww1_r;lD~7h|D#6alilL330#Q9 z*Lj7v&R69{IU<7L8yv&y?iLd3Ztg-sLRDP8q`2`L5zGcwiKpWSE!=fK$~}El1>ni| z7g3@T1)%b`X(ea34R+ZYL0BxaL_A({$0PI)ykE(FV|$}qo&zt!6Qt`TtIb1r!Yx2u zaJ?Kk{G7snklWG#ekDtTE>ot78sRhSj&hIS{7PoFq8(!0&AZrm>CHyFZ=blj zAV#7Th>}+AKU%I8+veoD2zk|BH}s&3<94xic~*n1zg75)%PM%2e1~$Go@%RXwSIOo$2|LV(AA;m+&=s5a2Lv17KYh-@z1kI}%T zDGb=LSeZps``4mQsCg~}Sl&Dnq0eMD0z*Enrv-35jg_qv3%K*<+O$8;`zVqHN#lKN50zQjA!C zf<$EkJg0`MW>TbaQJgxsoLXT--(&yamjJ;eM+4@EHyFZ5iO;mSaob}=Kwc}A&%G{0 zPi{o6hai00s$0VNeW{2nbw5Rx@3=$TtZs{JkYw_71EgC(tU_XN^d$}i$dcg*_HTbe zdDQSNo5`evRK82`ScppU*G%U>ZoeeRBnu@*$*<`u1r_Y z@Yt?o93|#-9S1JK_%Vt=Sht8Y6DfKVgLwI4xUW$d?8j{zj}X+Yzi*hi^snH5bS_B*+6#bfvo6;_bs_H7!W zncM?iMl$OF>H(^8x81!r(R2N3_Ha98OR{uuTS=QOZ~IEo2kM(fu6{cuY`(*hyZ%cI zw{QOeY95$NSP0LX5suu#p7&uj-hVRrL(}YTdE8_O~F! z7`d?=pZ%c7s)SyUN0Lox?@2Y(cuRt}#-> zr%q3xaL3GTTj+9mHDAdtI%{h74NX_Y`0burm9qV$Xk8Gv710xGI|&Oj+XwTMCP>D^ zK*og&ce_bK**%Y&#e$6XB0VO{~x{yZ5M-vk6-{T zGa-SgvXK3q(-fBjfxpo&{A-(u~?ll-iMAxT~9KKP&Ddm8b7fvklNT@*j?tffmh7WwSX z140l?RPFX8;<%n|}xBJ}l z4HKq$JqZ^czVokmyf7dxNpQch5G=WUTiZmj@vWAAzcLp}NTJ{wdM3^X<_`f*dM`&42^ zF)~C*6p}h+HyPX7bGw>_E4mltXDCH*FLHn2$<~OBidql+^%fTDtQF!RU*Pg zVj`J;$DS86``Gg`BNvB0kOUr3u$BIH#ko1ZKNYh?r2pu*sw;HMrj~HK78=sNU?Xzb zHM^_^7&f^)uLlBnu8Bu}q|aHo*jC;Cha$}G-_8hhR}H^IAKJK{W?4V038qy+_2V~6plR$PY2hKfz`nYUN5vIU%$zB&ong@=OspxCr@VDm# zB?Oq{;m#9_jYzohZDd&}0XH(jYZWu*A%L#%SCOEf`5hOR#5;)m9$%}MQVwb0K~Z)O zSxWZouI@=nkCh0`G+C5>vWUrU_%6G=EHEjTUsM9D;J8)s2=R{#-NMvgSr{3Rce&9Y{&sB3W<>$UWZU0!-QI8>_zfB+8Mhofd8+3h* zP~_gFVHfSp9#PX=Hfm;7AK$5-_%%;|ba-a!Kj{Sie+@~4*&HOwem(favI_8$X7_}| zuS|4K1mEoGY1h~Ex;@j^uJED)++`nsGzgxf zKvLn{zhgHrbe4Fn;JXp4E8&4wRnBd)lrNvA()Mz#f)@mr8}rww|LwrDZ<*Lj7ll3bPT#Za}StVZA+_2ikJch(JbdgBiAJlb0^lCD0vv z(p_TNR+)ocMA)*+gX##@$7dOTco%#7GHycqYAgG2H#4{Log(s9`+J+ipN9A1i9lc5 z4r7F=!x*6n?Cpbuyu+F@H^WfcbxhP@^1wc`W+xL6yQ`4QO9yU_r#_)>6i^6J)x@QY|7zbJDFwuTRn5Zdh9DI{ z_H5SW7C8bF64m@7PHog2E(`7C7Fj5ZJ9?t+qCmbY*7oZBBtFrqZU)_@3+DKza4XRA zm$`2nlWX%NTN#)dJ9Y?QY$4yb?xn%^oUrMx6SQ?fBO(bNYhl^ck1-;ZDz4LAZS^aU z)(gjL6ZY-dju!WYi0=e{lH_8Ky-K^5V+iCM zop4-SGsy|rW&;8#AHS5x64`!NWlzg2DZgKRBTKTafESmr8M+6+)S;=Z9y3wCJwiV* zg#mxEC4S_pqW#!*Z+U|-owoNj7nq(aHiiSvcH?q2GDwFG&jDY5-*Tp_24Lnu1(_sD z!P^nH9#?HAPBy*;)JR8pc{uib-AH4rA#9Q$hF< z2PAFR{{htdfFX-oHj=Z&G#>;cVlp^3IW#e1Ejc$eFfBAUVK*%~VlpxdWClL$Ge3^6q_G&40YI50IhHM6`qxB(o7FVmDzeI51%`Ei^MXW-U2oHZUzX zI5ak9I5#n4VK+FFm^)1iFflqZFgi0eEig5+@H=Y=1nPc`@snRfKYs=kBQZxRrvLy3 zy-7qtRCwC$UD1-OAPmJp-(~!P{RBGPzISHXcW12s|0}neKobPl-K*5yOFFYLq{=Y_ zIM|jI;*3(Yvr=3sa4NLs(n`T!u!48)Bjz$!VW2)|U7)HCEO2(Sl3-QpLVY_0MwwZ4 zc=KKRRF6^;3+}5bw11S|6AaYbVbo(_T5QjXf`Kz0g?oZ&WlL(rDg+cN4n~kf$^oXj zKrsUYxhgSb9T;^u7=>W4rjBNHcM+^pk+wSqOh*HRV8TSAefmf+u9Yw{elXFbMJk%= zQmNy>xGPImQ$@ijJ_sBR1`FK)gMAuX1i^?7pzS%%NM%?vDSrqFy=@GT48UMF+A}h) z{~#D;Xs!x68%Z`PxqLceZt`GwCMY%?FfhLGfLYsj*fut6Q&kC8xMwRBIky;LroL(P zr%FF$=@T;#LhDz7kwTN2Pzf!x|LYa=p^}dm@$CbK-!Ik_4Up85TE8BQYZ#rjxI$xJ zFwwh@MrJKsdw=z&Gl40xE;c&Y0t|*mXz*mpL9w5{${;NS0wbMatOq$e0&} z>XkXOLN7*ho>;s9j7ygF1kTpAlVHrNz?`aUpJUMb5ncNw6Qw;<16X?^P}Xi3X3jO} zy}oM~IUOChXF_Ao)wS)Jx^_vLB41il1}|u{W*qy5zkkO5XfVbu@XTnM;W~zRjz(jh zcr3z42E=0#P5-|ojSG!G3Pa#x&z3b}6da2~ z(@WiC!yieTYrJ~Gly<*@{`^X{?a zqn!>+Ks$%412bGR(oQo;jCKx_9n+SIeX1~D`_DRA;LgvNo%I1|`Flf??xMT!(D zQdS3Lb@0Ef4pgu@Fexza*DOmF6$KM6RWg8?Lw}=|t+WiyN0y}u17lL_ruQr?n1zNi zau%9ASXgKbU#i>*mRw5}SR26lfHUC1IdWm4JUj%`M-FqLSuR!j+*r;9rYu#86b@WC zaN)p(1D}Zl+kgX`)Mp1d=#^b?;OF;e?ymtuIB){Y152K77BLP?zgfgMaIUX85f041 z=6^&ua5gY8t6|kQxxRB1fT8vGQKNu)37ELWKT2sbfk~JFeaZ7Zy_6l&mpGk~pbY#t z*|?uE)53fr-GL^`|Jq!<1PqT1SJ}bnSb(y}CK?$;@zuz@i5idUr4x#7Id814S(VSa z!BJxeyNMqo?aDSZRkgX9M13QT0xe_fIe!wCg$myH_*2_wlq$Nr-4hevM5m>`P5WZq zk^^BlEHW@1Rjyv#gAO-(Qf#Lsx5wD_L{jlw##@ubfgJ`F?(kHB*}-owrJ=sgY#T&f ztr7w(gLwFcp_jWdh4rE-d!`Jv;-XqWOtxXO$AKE!}O;CpzxpF#(DJfR6P1k=EyYxZcA!Vbs7U z+3A5?`*FVeaaLDUJyU>!`+Q{ao_|om0V*st)F-$6)>?ntc_c~tqOVAi-zRGfW;46_ zhPWO7T2@nRi$Ly6T#dgoVmVr*Vx&$&X@@7`doC^URhM?@i$|?XD|cR01$+i56KuQb z*n%sB{V%yM4u-L#iYa#$!>-A9C+2gpo6rX~K@SmMe57~Nm{_VcUmT3=BY)(|LBo)Y z>B&WcZ`%CkOI84elRC}F6;_WFLa%_?9*e-opG}}b(x4fi!84G7=oJbP*1HBK+DrqI z70Puaz;JYG9G1RB&LAx^B%;1yBv_P31_N+|!H_TUfdlsiUQ*Zhs{uvDa+=>6pXS<4zGt#Bk u7u7$WUf=2nm>0?X$h$uEtX-tYkCeZd*vRgYSHlhf0000