From 7898257e245aded2c2e4cbca009834fb229e2faf Mon Sep 17 00:00:00 2001 From: 4am Date: Fri, 9 Jul 2021 13:58:35 -0400 Subject: [PATCH] fewer broken things --- Green Globs and Graphing Equations.dsk | Bin 0 -> 143360 bytes Green Globs and Graphing Equations.log | 24 +++++ ... => Green Globs and Graphing Equations.woz | Bin broken/Bumble Games v1.4.log | 2 +- broken/Con-Putation.log | 2 +- broken/Crown Of Arthain.log | 14 +-- broken/Dinner on a Disk (Softsmith).log | 2 +- broken/Fractions v3.0 (Softsmith).log | 2 +- broken/Green Globs and Graphing Equations.dsk | 102 ------------------ broken/Green Globs and Graphing Equations.log | 24 ----- broken/Guardian (Softsmith).log | 2 +- broken/Jumpman.log | 2 +- broken/Little Speller (Softsmith).log | 2 +- broken/LogoMotion v1.2 (Softsmith).log | 2 +- broken/Math Skills (Softsmith).log | 2 +- broken/Micro Barmate (Softsmith).log | 2 +- broken/Rocky's Boots v1.0.dsk | Bin 143360 -> 143360 bytes broken/Rocky's Boots v1.0.log | 34 +++--- broken/Starblaster (Softsmith).log | 2 +- broken/Temple of Apshai.log | 2 +- broken/The Game Show (Softsmith).log | 2 +- 21 files changed, 61 insertions(+), 163 deletions(-) create mode 100644 Green Globs and Graphing Equations.dsk create mode 100644 Green Globs and Graphing Equations.log rename broken/Green Globs and Graphing Equations.woz => Green Globs and Graphing Equations.woz (100%) delete mode 100644 broken/Green Globs and Graphing Equations.dsk delete mode 100644 broken/Green Globs and Graphing Equations.log diff --git a/Green Globs and Graphing Equations.dsk b/Green Globs and Graphing Equations.dsk new file mode 100644 index 0000000000000000000000000000000000000000..ee8514fc5d224faf1114232f08bde9ecd2cd3081 GIT binary patch literal 143360 zcmeFa3wTpi);E57B`r`2c&Rr$MuY?lCh3I|5SlhAEuft=3ABga%sMSU@TiiX?@$r;3jEVMawn#i%3VmH%(;eUi3_GtT_q@A;nh z8>=MeoPAq+t+m%)x3d$_G}#j-MK#?#4}W0?Yv065%qdH%E_K$%*k>|~Ug8e{kK!dPe*eq<2zIh>_DZmpTeO~tMg}N`zrTYZ= z@7b_TA9QT`vcn!=JKteD)8RbXVf$-`J<#@1hdtQ#aYys}9UC@&O2vQgc#tsUunYC) zgX`A>iw}1+Uij0d4?Af3zx&?2zTWwMN5aRSQhQw4KJDm3JAX&G`a7z!qVJ!LDh)#g zdpPPQd=Ox}@GOQxp_N1Be6OSV-44;!(fo18hR6{OW6qrE`>12%$JArrUpu6~SQTqT zp|d4O6=hW=Se^S_)R|!Ob~wLsrCqRn*kJ|AHz&EJZ>+*Kf#KJj?hu|0um*lF1bovW zbO=J=+V8D_7%SkDSA|BQA#g+M?0K_={q*lq;knZ%^Xoot6t+sI8}mAa{pvaO!v3Df zFRTdZ58dQ{jiogMxF0G(wOUK937<9WlkrEp(w z-rEwa4d1Sx<(P}5Nn<=%E*!NA79*q`ElQ%@ZzeDQa z)!vqN`P*)bvOaKg?Udd%!QLFSo#b2-Tst}8y(!+9mJw+e&aMfr3n~4+Z%y!#Np*ou zlRTqZ0(K;bXZP+MyH6DMHBF8ZKi#|cI=VhJNji+LD0H9>ni6ueqjT-S?KfK;4GoQB zd!+A9rAUFN=7JR^uQfoUnkY`V?ppe*jGm;tUcTP)$e;eJ{_!WaKfSZrxoh_`ZSAgS zJNE8-Zhz|ZjW^$V`!DaEdjEqnAAa=l*-t+E{O@0!`|_)=zxnpN z?=Pe_O_{f2uX>+t#a`R`{d;5g*}mOlyM52Wu~Lvdu-P7AJ9wa^R7eGcbvJ`Gw>}ye z^jL66Xv33@Pi<=&q1a(}goTeBHM*rWVoc=NapU(~cKH=oMqPEaM_DS^)&!TB8}?pv z{Mzd#NCPikf8u5FhF6t0PP}Q-WYx*P{_UN2)l+Uh9X)m0EgG%P`)N#UT>SKe^E31_ zXWcq`PC)qBKtU8Eq5>o00!J%uRF1kLFi=ng2Tj2O8KqQ2iB|^-fr_!=ia^!afEh|{ zKuDCR3XBX53=0g2juaHrgpfd0RG>x-6m`LoftLj;MvfCBZn;r||3_UpWzOUqHPdg@ zjJtaLw5fW%p5N(}v9qScDdKLKGKD^F!iPFip}j>tmOjSNN95SCW5&IQq2Z~aU)dj}}s4l-@tSabcRq%~rwWb6$aH)t#hMWAwAggrnA&}>jz*Or6@C~h#Sm5K&a z=(`VghhAY_+Ypu*6+SWiidI9w4SR&k6b;t!gTD>`ZO_<5#e#5g+Pt+1vY1%JB*a@J zA;iP`@juoq?#^tGybu#F?}wPc#}<4v8N}}WO-A~dLmvi{(KIJ@UdU`N7)rt%;)(r` z2fj8R;wnm(1#&fXTyo~jnJ~whGfX((-r8jJjFm<-aRVdFgqHcmNU z9({n#e|>6gT0`K*^PvsF8_x$f1hl3)8paHydarpf6_+Kcn^Q4JgQ9aI!7ZJGd@FJA zVcEmflGKt-=R>G0XoGZC(fPZB4WX^6kH!n`gW=M-hM?A2wR0N+TT>e%(P`-BR8@nZ zSWy?!`QX8ppw8bPTo$KNNFy6YU~z7czTR~{q#?L9RV;j7C5_!SH*$2txD9M|etBxH z^m)Um)>LnyC)jIQp=b#bg*^?LjcY>CMl|lNo7lG|B=U2to>aS4{NwX|=R>_JY+>hv z?E<K%AP|bW20T=F}3e z;>a!DV7n;&wIQT6b;sU42aZgY9#Pd@E55l$(XvU^C_FGpJhTT8L`_U+7!6cyIA|58 z?5XqGBU{d<0-3W`l+-E0#g)%ztO>T(P7yafzjsaW#5hgR-t)m&wM|oS9rEb;;K-my z*92n;vpsf)HI*>7Vp$yOmxK?kVkPiu4R%NE?1p?uMB}3uo&(;nYvI$Lg*n;9S`*l) z@Okks`|XOBiFS=`O-KTe_wG2LdCPtQ-M=s= zvZu)?g*TZn@Ov+qUNA*jFI@C@v8v@)8tTT`6;QK?xot}vwn~TXPKQ|D5a0<71ytJ~8cy z1y5L?sC*)$Y23CgPf6Q8*|xZ`vazP|gT||yjx>#Fdb6pq@sY;0jjNh;jk6k6jZ+)5 z8t-YUZ_+$<+f%lue%1Kxwr{r;zbc%Zb>g!V@u%P0_Rc%P$)J-%pNhicI})JD*IsQE zoF8`!XrcMX7QP(D22FS2bAGO4qLWEwZgW*p$RHmR9|*meR$+mPH#u5^V5!0anbG) z=*%ThnNbz`va{7;TZkRzX=j_m8%MbD<&9lLIK z)C+;9apkc|tIfSn;Op0o0!q!>o?zb|n|A~uYPqy1H31h=kho}jN!>@g0N3SIJ;WYD z@J4JmKl%jv_SSkH^nThHj4q_V0^!*X?@jpXaDY_iH@7*3K&ljOx7mb13{dE>CrY9A zNGZ@RPF>{ij-iPkc>)u|tWb(py9ykC!epC&;lP*)*0OlPZZjWwqT#~EI~?wfiyde0 za5M^vPS&v$KywkdJ*mF0VEqnvxHqswQRh{8#l7oyNUz9)2Vri`fIz?=3Zk3;_=LH8 zd&C`%<~tmiTHPpn;EXW4H^e>$lJ#Jy6u3UdF4B`C@sAA(bnTrGUKaw=2@NaE1r6fE zPkKi`y4vABIIHe++(_T3q%r8q9!YIRHB3-Q$D9v4JPqFQ&eiym=2PK8Dh!OAgH9S| z*&80MabQog+EzR4qo`!ExcAAH>vu?3o*dgUp6V;;Y3NBsOJCi{2PK6)5U>GiAhy_H zt8v(tIc#MP+bW0n<^d<0zjQsO_vNlT9L{3Lb>a5g!|l?;yOub-cVV9ZhNL$e!{188 zEPJH$-e)`K*)?-Vqo-mp#Zm_i-soKF2oK-UWRk*~jIZU{>ozu-UbEQiMoPcM{X%

GT?w@(1u;;tvL z${d~7gNY~9r&_^)pd6SilrdqmmGJpBu=OQ2=!PpE-RC02(C%Mr0`3)_ZQfZbbKjG= zmO{51o3L9Ze9)q~!4uwco%sEe#YPzI#ikv&#QzT#8*7bQi%qqry8Z-fsBx0Xsv-g& zp(bZN;dP1+MrclXB3u5}7>w#Y($^tqU=D6pR+X0ga&jjnNI1&Qt_ z?3L*?4zMac1OD4E^HglIC6FoR6HnViL`Ne$Aq~RT&52g~2=KK6Pt0c;?W3e~PDQ<~ z)8!1Sx4|6}22zM_DiWV+6gO^{zA@kVtX(j_{1jXeOB~KJhZOEy<-h_90C90kO;CdlmGUTbJF)+UO{Pm38(i&sAlirAiWnRjewtmeImTrzs`TQ%Ats~yc%4)Nqu z0HnE$U9czS3r~qV8twN@J>-JU8f2EXv+Ck6w-E!X1EkPRRgR6-4m{XZp_9-kSi5qmzcYs-q_{Zc-VypP==p#0d36FBPE>qSd~MFk*Qw*l0s;^mI5#U)2-S}JQ>YF9k4`+?H!%eR$?fE|Ea>j4w1 zm(XPX*y?aJrGH%Hs59;8o?n;foxT3p13eFft{?GWf;oJfGrS%!I7ikK00Kc6XzOx8 z(gVyu8O$OFp6_s49VoGqd+hl3UO;s<7V)OVj(Nc9<4uj%b*^^QKkUHfWd3E7m7onG z**bn3IFo>~)HltmJ>E3Gwzn+5UcAEh7}v$G)W+1lYJTz0VsQk!Ix$9^)Wn20Elpeo zU<0MgrBBy|f+fwdP2dtDU#NFHww7{7eW#0d#c)6k51!SY2=4HPIF}KReh(Ss99@sO z*hdn>Kk}446yMGRE)-bVcI};psXyyzm>@pV&=}U);i#{1V6(R$q;Utf*+p#Wkh=kp zZK&Acz}DI;ob*Q6)*htqP}}|VZ3Sq~Ooy%A;mmT_Tn?~0m|BYPgZ-fo>|pOT&T@yO z*j3^1j_s>(^p!iX%)ALC8NKgWA?#b0VPgSd2KfbSLeDeop|q)CQI5eInhS7d>l!=vkP$XRuqM znth}c4p9k9cOB}X?F6Rp3KqtI(;Mftwu}z7#Oh=Q!mgTTE zT(@_%V{_sLs2B|sS`#0&!(lr~ItRzx#s!Xz*(4Gns2_UTT>UhOlsk|ETkVkE*${aSlH2MO>URayOW_SSwI+JQ92;5_A28G=K8WsG6RprTyb-|2 zkwi%W>>M$81A46KSQ6pt`@EsFLZt211awiG=nSX_@)kNEH<@^An2^wv_`%f8iK$J7 z4+Qt-L`P3aD!v{ZymUXfIk68r;+2k)gbya+dFq3#X04&5Hqrdv)2Vi9hyMw?BTH&) zYAZ`>t7|K)CAI0b$4Y9=wLR9R8NEUE-f^~kM^l0=&*2QLuT5|U)z{8wn%)~i1-Xu< zcw3Ic8C+i*?+mH0oo-FbNIPN9G<4?}@{eTa^(Cho()x@hvnlt8IWzfKUIu+8n=^Bc zWSb1#CX4Ct!tAu%BUza_X}M{ceVJLNK0{WPDWf~vlwn9el5EH|m@|*2XZB@e;v*~j zskDrz(hZiBG_&cY6e%s^L=p{@L?b3)xO`J~TFPs}4V4X*PvV~_|7R7Hp<<0PR2h8Z z8fB%XGO;poZQ@#mpb&xuTnjhcBi@PsDqrb->G+F>x(<8#6U9hHaA3f}hB`rjuUQDh zzY+L%;XYyT--Xd5Y-U5o+&sfvlbDg2D;n|*X=X!``A9aNnnY7}cIJyTKa-f1otbM& z&NX$LGK^woifAR}({9P+?Tu+U^Tm`r^Kn)k z=$miq&MC;qHCT9gMy8mQmy%-2&KVq@Rn0BP8te|^&&@WZi@BMZqS=r=_XvS&Ov?sL zVsd7Bx*?<6CInq|)x?SYNz?WHu24!*bLrr?RwtjMDQl$qyW9zcG|V+1DEJ& zty{F|u{LZ%N344$EGh`_OZ6Fv9(^eTa4W;9)89iIQ3#I-wj}hu>VnSvn#+0C z1^AtxxG)t!NElJ=be?l*ZU#DPlbp}HYLfx2cmCM`A_qI`! z^StmZ3O_^PrzjMKHgQKY=_KeF*REFFrizWN4MNH0B=eSL@!6J^k*!Io;xAgoKeU!u z%@tJ7dDtbs-YmDF%B@MbM{9ErT0h%@w)Vu)FS~3nyU@`5hgSPq+v9Ca>3f_e0EmH3 zXyuQ$am@3s`0QxNPtLyUlFprd&t<;G>8}s1?A|tN%BWI*svTb57uxq9ZQuB!3$u@c zdEGJvG;A2P@v*knB+W>e)b7@#9VO!LoXwB5x!uzH&X-)xCtSEsXc-W{*^L1c#J8TY z3ylzwP$<48K`$xD_JRiZtd)iQI<|fwz-kxQ)Qge3SHX1vJw6#b%c$M#5Qy4AQj)ZKk`-Iv%Z&wkpm?J6lWLHxKLj?^SX_y7{(ZtnG0y>P8} zWYe{t3*H#feW30YRK@^}ft{ap{4vC3_+xVYCmlU-+_?{IzotPjzv1kwZv$+jzO!F$ zt8cS51lomE7(mc)Mwtqt3n=>u$dB?xAi=k6*AYY{*GZbRf7R#CAVJK_-+LYf2E zA*V{%?bx%u11<#X=z*11S+Hnn;eouaHgM;MZCH07wKXPS6+PE>TKc+i)X9zB%Nm0A zHni1$-gX+h=1HUUQDdrf8i;HZ9;|<^9koPLi+yZE#F-5nFjL$9_KltGZiEn_##&mM zJKHyCLZpwjdQXXmI>dP$K!2m)4Q&jR0vm%n-C*U;qhM-l^vkZw0IT@!ZZykou^+(N z04G_UFS|5&JYRl%pYO2;aoG-M4}~LlG5T5+w5sOs28mQHVY>!g%`dvluHA49CwUbP zVhP-l&YszuS<*|uwcn-solf4c$C;a*Rm6grw=%omq+e(AD((BVAqqEPeST(-|TobR}7 zpHqDKbO&5Sa1pa&1#S7x>!;Y2!tMiMFh7lgot7r)JM0x`o6kFl7b-wHBJ?Vl9 z^q#BtuP*O0$S=Bl%T;$R9-{C~SKT;z@)uWKB!BX@3mW{Q-F1J4di1XA<2PO5rK5I$ zFbKAf86-h$t66Ndy}}UrXP5ZqZq$WXMOTolSQX8ufY*)nB*mNS+uWOzY=3rfdZ7`@ zK{5M5K56T&Gpr=w;+r%$&gz4b!76z)DaLHZoR z3^dg|Q};$oKjtNM(Z?rpHOMxq^aUyflWGo-<{zd1+3zEp!J6Y z=Xdx$g+9@7Z(#|O^X!=+GIG*NW{2@34-uo6-c zpiohS!a8=eAe>EM3e$xP0pUwec)AX~^kUbch9kW%AMbyq;n+)_?%=VbgGkf-Cx5^6 zm5)VtH%9ujF#hzy1?Z;##y@KP@3gzPjSse;zyEa%jI0k3z7Gr)Ry)vktMG`h#n(rm z@8U`WgElNFA!Ph$d z&Nxj>j7F%bjOrfV!OiI4qDs$J4tCBfEBn}>x60pB_@Efft(>Zz!iT|^f0(Z;qvc_y z%1tl~gIA^Oio z0M{=mHOgLG3`oiugr&UxLS47>j4EgT#OORvp)ML&k(7&+-K=|Ib;Z|*oeM1l)Ih&- znzENYW`#Q8uNA=@+M7AFK(aG$z?{^b1XRmix(l_`rQS);l&HwQi5wH9_f@fR7_x^* zh{tNRK2OR+1ARO70@$?)8b*;B8X>bXqT5g?845YUV>J4f*aVG6qjzTIN`*0iBOUYY zZp_c64z}RmKGQ@!yVdz`4e5lYKa~IVu&s)86os3j2s~qrw-qrhk9M+Bt0>P zVInb_j2MO$R7^?cGg2wl9>&XRkY%Ao!ZjxrFwbbkIANMn4|32*n1OyvYA(RPOgR%h z17rdlyrECcl~NfRaxl6^-=3V&5<4RfxB^Nw;O^U3^&d`>43_@**j|HWV1^dd>CMVz zoPhiOgamrrpD?4F*MDi%i-(;m)Q_1#1#!GMHdf6*0=E;ZE&^%0hX64IK2hn1w%uTn z5;QGw8UknEP*{HeWpw$Qhf#f?UmMd0LSSk+;1NuSX2FP*gjsXaps`#4#-L{(Fox#z z^BB~=nb(xHA9eyaC_rERu^PsH#6cQ0Scnbg=OJAzkeg8n9)K%`oPS#5|VM-f=p<=ZlAwY|r z(FYR5O=qL?foEZz6UvoJ4iX=N`b(WBrOu<3U;spJ)oB$Fk~=ZFQ0Gw*`)Ji_-$L(Q zXz8Z~Dq{_m3~Iu(e;x1v^n_uoOpa2?AP-1|rx~snT87|xa@lSU;cSAC(P$`y1Q>&m zK=nb0YCf0%jm*vH8;?Oi46ou;8|cTal+fLdi7;N!#mV!QC#h9KR4u|P0wRa4lOcS# zPl=mBI#6FEOEu_Ao)Qp&DFHDY!;2V-QQXckDGxFn4ZMxc&u$;DX!enl$>mvmsQ@WH z`4a;c%e|+vSga{27K_(ZR+JZ)*F=jIRbp{fQ8j9-`Hx5TRA>S{6Dl$)2GA=Xrg)ip&`sC-v(73%EuXyaqz6QVUj<(O>6^CXZg z(kX}v(yHlry%xD|4OtH^6QHij9hM2Vw!{G*jeIvVBpS55j zdqVMk6EKT=5Mc`T3%bZ!IB};|xH@vB0#{NNhHcT20BF={^jqQqbmq!wqL^D!R3nyF zi{%w}GjvoIRaf6#Q6@=m| zh?q@4UZagDePd`8WDFn|bY<<&LCMN3(ar8T@C29>WP%j9Z8WLa?y zaUXTJk_g7oM+1mOK=0yWY^7q+k|o8JHN>34ccVqcKGgN|x^GCv!gm*y*T}$>G6*r) z%Ho=uV#XCeME!(_;xbws72@*Mpb7eachvb2)W4zp9$FW7msE%ZRyBvqKNz8fKn5EW zm6cW84LqZhB_&1W%ZtU*8k+wy!fI*F>Y|#`it?hW((2-BbXSZ?F|?JGmai16D^?ZL zFsp#rlA^ncsmtnOz{#-S8!ei(s4l5kUA9yngDL{6l~tfqP1!wQL!b&&09VRgvWXGx zF{$07M=5}^3bCZPtWsQATwLiN;F3$0w#uDaO{_-fA1RIC{14>^s*p0dq@n^CEAo@t zk8a`+j%xz0VAv{HQdAC>Dz7djakETZT}jx|#Zg7jAAAOCmoF8|SFc)(YhZ67EwAOv zi&39YK^1-2prwcPT~=I#!eulJ&|X!vgnCg5V@AC@0-aWrFOQ}%Ru!!zdH}X%%SxB5 zF5}!G1*^8LgxmNF}x!iUwJW_?4}K)m%j5v!astg)uMdnAn15LehEI8WU;t)&SVg!$X4` zA;Op>xL9gmYIYMwMh5#twqR(9kAeQn6bFq)xFIp|+>j?=0e#|0-;EHkT zzaYllB>fu=9D~`#OR!gnIrzkiO)n}hT3);go2i)TqYO9$*rwHBfM9|sC-M-@IqiH5 zA)Z=4q+?^4BFyqh>I7K z%mAsYiB*e9{A3av7K`P@YpDO_#fSx=1wh{^{Ffp~Fp{~ZjZwQ{v_TuoVYgtBI{ijn9QX^f z!Olih5%wxVghGv^(HCkZt-eqv>GXv$QjES3o25qhRnwUyP%ZrEn&IWVUzp7b1bkBUq+jar|TA!TJ;!pKV3N$EOXTZh_Y z#Hf|Mc#8I<5w~Y$3@{rSySRodK7&P{nnqf%5udc4s6ZHfulYEGW6%n|Bpf{|Y7BVN zv!wJaK5iDqm7lh=Z{8;jjjFw8n7e|3L#i>?sMy0{69j1KL`a z){~kx`1GPl^C^;&OQxc4^c;8vpbp2=ys1Fvo9n&zSb9)(NRdW3c+dVGHve0=b_=fu z@MGjd8)<05>@71)a(^Yw7 z3+j{P?{%cf;y#mXxnYKRI?YU<&r0(Pa4j^ial6@k^;rw4ERB6Kw8^B+O`_+y z7G|H3Ljd|>w82715tiTccMojsOWXc~3=TJmPVMGat~tpA&ka^(3WNIo6a#rtV&HXv zbvx*BNHNd=R4FCt605@9Vlo_P(;wEppil0L196Ppb_IoUKVw({aELNk8KewU1}KHdh{(~AqasH}hDU})Du(v+Kk0fE%o7pY8!6yqh~;I7*_nB{ zrfj85Et+zY{aQb7K4=o&KYrDxKK){teyNRvAC6oQq+iCv zDHjJq;jiTX9>%!cEd<{6N_QS(Fd^=)Gk&XDONLe&OsMk7HR9^>rBE?zpr~GKN2lC1 z?#RX&u`~2dJLAFc8e#EWR~~8Sx{nk`zL%JUI9d#F!3dl0G9KYB!CY9&PIFQ}_nX4z z$7;|;>)lG4ScP?tpTWJbkZrAvy%xkamB6>+ZxClKM0oR43werafD zdC;yZVKSCO?IBaEsHy@4^LLN?<*P}JyQnh>zUV#`1Pu3);(|L#nUkJ8?Xn0lOcZXY zsq0=R=xY8n=rSjI*41q5Zcj<(J3Mo;ISqxl)B3px2<8)z&7$Hvxlt&tA}gIK_+$jq zM0A4rh6j7p{i7bqn6QxRr(m$3$kltg3x-pzuug4(@^JAtMH3C5$Cx13rVnLI(Rm_xMp=# zIXNYmPEV%$j|$K~%^81D>$w$j%T6KZ76)^V<#dGshh~V35n#&;IQ5R^eB(C;lCn%rJrEX&EV* ze48NW9iff34EC}LyCEFzBOKlj{XyGRh?v5B%T1~zHNFej_qyTeeRKAPf6_TQ2B~kd zZwF~~Ke>9T|Mk>AytwPBe|%rm|8;XteesWh&o@@G;E>;)tA5jB;~(4q`AXT;N;Ru`kjU-uvshKV!vfX)r&aZnmvHT(4E z@>bd>1BfN(OqMj=&>-Jqkx7JO&|hDYr5~j6cZhz-5vZK0$0m(v4Q!O|##k zQj+vUkOJRBQk=Uo=DIUd$xlD`CRHk$5oXC3_9uSKxAB3OpG$pq2w zJDdcaPd!t3dsffqKU6R@y*Vk&?HmXBK|bj2R^h^|eqafrMX%)KBGDo#v;5oZMR82O zK!M9y&{r+uVMz-&84CCpHTKhCP#nEcWd#p0h1;O)Wx+Ohw@su%>!9cAoyK0%(12z% zrUGX#)B1DLGGu|9oaqzCxdz`eLvDsrpUi6}=Op_?v&naroS93W@?1&Nt4iuiX5u;_ z9=yPYQ>J7n-55ovsb1XeG$|iR^m_7BB8#*PZi*bU-0q8Qn@A zvmS(bR8=m)3?lkajtI9Ocs9-t$K~VGwvP&j3RGlDr%1Rc$ioHjOx8|{Q3A8!+a#IT zeTrnly|8|!{zXxMkYh2k8dpk6{hU98`A7FF4H-Mx=QRkFl(dF<2iT2hApx4!i(_?&ir ziZT0!ARAJy%A*?YWB~o7{`jYZ{zq+WoG_r*d{$_Z613Ce+zCCH$U{KG!k4l@i-C7)7{cW*nv+k6;R+&`fI#7ewS__5?&WGHRp9BtbB$Az|=EmmaMi z`xow8;wi2q{MU?ir}+LKH_SPxoS9^qsTA*q2d=mj3MX?=mzGo11pe$|IOJDXE-fNW zw&I6HRmH0iMPtz@7^dP-k1g~yl50ETCAeyF}xd05LhhJhQ zMg3xFt$;wnbJOp61=#Qa4wibtYAgBPjLHivs2$_0JSE}PSGIuD+X=pmopG?P{iM4n ze(MX+F@G>3Nr)SuCty&Y!Q4tjL)xOTKVz3B>aM3f8milR+NANlPQQLZhOF7)0yh)+ zW6}ZTXf3c#Oa^e^o%%R_@d@DnqHZk`^_vVNNz^eepbkJhLJ80!v8Z3ln5*__6kM?& zxgl#4{EHs&#qE}tHzc6D0L!_3u#c9w*y)TT2q$DRFc$tkzWL>c&|D^Wt8ldllMum~ zQiNf6^oijG(PZSZfN#i*1I8(Is#=C%o2VtdUpW&+GnHyw2(HpT+kd7F!2b!hr}ZW}*}zqj3;LKrA0RyD~Z(V$#nj>uDy8 zj|QO;{u-+}(Kwy4`mpZ68N5sMc^Hmo6%_tlwR)yurg9pPez-8kqe|+Zp=O`HuNkP2 z1gWef?D?9n6B6U}Cs=*}b2(HXD#PN~MdEU}7NW5f5Wk^>ff9uBAj(%EqNa-(*H7#0 z(#Y8p<9#t3s|NkC%m7%16#SoCMM0RkWfhB&H8C`Xgg8BE*WjUyoQZ&syEJ48)y|j< z4)a(dCbA45E=4#$#A+UYV%`B3-a)7caVcym6yQ+`m&fM5)Ci4#-{A!H4{|kVhKTqy zlK+azAxwP&l%hi9u#*JO1ItPgHYGtv z)2pbWureR9q!h^yh}BkN2KR{7JZ)s?9!v||pXtDOgb*3$Ng%1nC=G0iIf;g3lSp+D zuVc;dpYUR)*;X*X6sVqj)4sjgMQy^Xk za(q5F48xO2X0x3rNy09#Njf@A)u0p}e?AWkD{|hlq_PA=c{Fh0k`*fFlu_UZkU%0J z#<9hq-stq$IikilLRO=1nQNx$b1OfyxXTGAf-3%+ol zW0LRR=Jznuc=<_u3E$k3aFl}x`3Is67a-}(BhM0xm=BsWC@}#sBZ(7ICA1I~!yTo_ z-C`v=Dj7n3wlKM-;nygINX@NB5iY`>FMEz}f&VR@Cj+pEr0U)libz7Yw=j#gUkUSy zMe~HRdoSw+GtmABl@EK?U`H%j`l86497^;@LMb9BY`%9*Zw|$;c$gA_LbeqSuXOC* zH+y;HjK|WP*w2_@r&7B4!09>B=_bT6v3`(;jgT7p!QL-?dv9W&Gd+u9Q9o>uB{zW6 zd3jaQDu_5{AMs^9WL1|Ujj3w2?4kB0bFr!y%T%8q5RzyR#Sf1w_g-=}`9dM^1R?e= zJ93}|#({g^9Jj{HSp!RH3(0t8CM5|Wv!}df+O%oQWiKKZ@LROxm&`>5%t~g5$X>); zL~yPiztPvxkGU@)4OW#dU0R036C^B^i_8m6{`hE7&Pfp`&zj7n{p8t`kvnt`5{mTu zgx`;CfG$sxjBlG5ROFe-_Q@8LtS{A!-5<{PlO7@3JhWg?AAIZunmm3kYYb}?FA zEZ=!9BlV2o=2Nm6fXqgk2jw3Dt+4iFZGolTV20Qg;iIRNN`E5G(dQB5bWiv?HL?SdF|9$;C@btCp03n!GS>SRs&8R#sYB?JJJEsBH-{m#TQ* z`-J<)Z0mz=j^&SKN?vW@(3p3jpUJz{#e^CRILgZnbShp`iYU(D8uN}xefb}*F&1u& zizS1wRk$Pa)mQl9q9J2gVxOp^36<3N5nm<7AO$F~ssg!Y(1L&^qDG87ZAKofL!x?h z1v0)ErTZh^>_ysB5!8#jtB`*t%1d%c2U;Iyr~$B?ORUZId#>&wT*WBoOAH!Z)XJsB zmBkp2WtU-1P%;=6EJRmj3FV-bR+B=7by+bcvCkkOEtIGj;~P)zx2&|>N2C~;hHQ>Z zHB$K*iLu*~GGs)m*}|8>xTqE~o{+%^yiCR-MIIjMgB&2H2P%;ONl06c{Lbo{)k{|L zEJl(RnB+3JO{i~TV4ng7zX)U~1xQLDk-*e!cC?n$BG&ZEOyeUR>}X&KmHE7RzM&T3 zw2O(Rh{r?bfoV`uQ@RA#L}Lc#w$Yb?9}8p&U43^cW&JKLuDP4c6b2>({zp53 z0gFV+QshrsZjGfO3T~YmLy!S8G6I+L)M91PlBx$ENRaCVS{xMn* zjL8Xdjwlqe78Ir(Hc!x6H_CjR*2Y|7ZKTvK1Ab+O_oc2;$IzZJqY~;995vZ8nOh{B zWvCSaQ-Kd8UShGZu_=`qs2kE;ECPBs$@oG*s_{irp_ULj#Kvq%z@DgB%`C-Xkn>&f zwea=FhGdKmUm~T;kuZ)WjMkVE^(%upj2ryPzQjgU$tOmND5;e>Dk_U=kiX3>k5abE zs2*88vfyC!uN!&#*sw(-XYd+i;vtm~$d`A`VIT~VPQ#uvHUS?HaHX`3`{# zc{9KjlvUwemQ#_^oa7zK$M5) z_v-U3NXj-NDwb!_=M~U30$+IrFsv=A1#lOqxC?W87T~sk-6BecTVd(A`ePIfBK4i# z!Jut`izbMEyr>}-RaRp0ac9u7vLZ0f5XBqD4E6}+f{Dsm?2|P&WgBu#q-|sJ4{Ku+ zq7!1eV7}o?_)}yoMw4UbbBoK#0x7$Pi||34NDgVp`Z{eUq%`ski{SH_Fes4?C6aYQ z*w{FT&Rlv$hU_5d=(4TKZ6FRCH@AqfeYyoRfS7P0^^40`ZhlcMj6p+^2ar}u*iS95Np&XEOX8W!1*_bJS9w1bS z$b*ORRE?}b5_uTjXE1Wa&}0FbtS|DBV3fi}gYI#6NhxeobV=@Lg{a+=?OkLHGPI!Unsqy z^1!XbykQhggCCvJ4Em*5_7{95q1F;^QL0dmijA)h26SSdT!EMvrD@KsZ$ z9oLU#mvkz<09V1>v7FDb8Dd{u1@8AHd;s;#)2IXS{_(!V>yZXH#M4crW9?({T;5-m z!lR>S9cAyPv9}n=R`D~h9A$|X5g~ymYliIS{2@;W&7)@@Ws^kKOael-F*+eQBJpUW z4wEBy8L=8!6L@V68Cf(>_6`Q)Y!<2^Tb3%y%VwzaU~uig73Vf(1Domjh}Gc3DCMW% ztwIVH=^yTbtjSMNM?=P>FGOfYN?(Yo*(xMSd(bMGU!qM8J;ED~EXw2++wX9`pjt3j z-Tg2+KpEbWMHgs?SJUZDdBl|HhL4OZo!XOIz`H{*k#&b}84CVtz1$OWgXFGo#rlfj zo#BlNHjjS>+&S^2wN(&rFcvut14-R9bq;`UKEnXe5gG;oKus9{OzK|;fO-?|zCN;` zRe19HngRyE{n0Y05>yckMOhV}(>K^5|8UV;>$!dy@`1+P*pl=Ac4`S~7jfHOUR zz?{~do-dix1kHq5dCv5O{dst>P|8aa;wPl!wF)ODc=P@R01#8>004oUfQ%`?Q1sxE z49GCg<_*pxl7kO_0s}G>-+BGJ3x_b^MS~7wz&@2qbpo$)d(3I-0aG>-(b!!czf<=b zElBY%l=6(&an(qX&T|*)vnh3cp+%oV--be=?YhX^b#&H3p$_l((p2xqix6FSmq34i zzJ#x?g-3Di%vR;Q3)KVoQg?&u^o_pq)pUgdy2h83jS&j5qEJd7bVa573#Gzy*IkbB z)(Kx;r^*AB^7A?Q^yw*ByY6Y4xE>Y2Ho~!u6#^f846>pNHGMCr4$WiKRwFU&`UyH} zdq^#%7=`d_Q*&B{+phgK=YGu9oYs|>b~G;yDA79)&9h+s>h6UF5?B&fI5weR0QdmW zdKVV-OJ*Y^!BE5)3OQmH76@-&8vsD5&pcz7*?1In^Nch(0)+LIUD%B`#t(rD*)0gs zZ%)IT^aCKke+OxjuM5p}`UWYy#2}s&qxu+P9mgq)f%5bqb?}-tEqYp5DMn99QomGS z#(H9u-KnD;OL*X#vg|#C8)#xDR0}*Q$?5?Loj@I%TSyO)>6>Ecd0kcLQBm=bLC_If zm=J>+-WwKl_cgIO&Xi=l_0ca`%)RVt02zk>fJVpH0MZVDW|{j~1&(LXFZkNo)EXno zt#Nh9)_(3~m8m@d#h^b1=ukws@m>qTAS0rMAp#;F+AWR(x%za*e*->vr$Xb^mt{HG zl+9q(_-hguk^0Yfj{3__g@8XDBcNpvIk0+qmDAi{!y6l3p#et%Q286(Y* z8Pnopiwg_SlSI4Nv2?bVK|tYE01{J}Bcu5%S0&7wi~Jw0KFcCa!>jUH1rnq-a@Af} zdFE#xQ&G692d()Bgg0_U2a96aW8Wv0CbJRQfVeXbAKyPw;Qa>^C1%af2krC>F{pyK ziHKA3x;Z^ku3kEyP@(bTLbafukTC#cpgLnz!iI)3y^nSa`Yi^ALl1I*F@guXg2jOU zg_5ZD6buIR=R+{bOiZE_C+J}NAuQ7FgKwQ$-h2240qhZnlt)F)Z52AAPR<3w2ub>s z6v7UW2AmV>@Wdpgr1UT<5biv<;l!Y-?ltmP}{e^m> zQao1Gl&eOi(Y1~yse^o#i7ca>V2xq#T(U^6Mp!p0>+mICxQvxz^@VY;!3yK0c>Qpz zd;z_|83Pr0@Lf)d*P)nLRzdHbD`5+<_j<^uCA$sD!e9=|Vx&aC&XL0=aLtnC zW-E`f)!l8*@l`Vu5yVNSzHcsq&SoEbH0+hfeEKv^wNS*)(MY zh?9}x^y~0X0gn z6ac#PBT5`P>k$g4-kAD$G<**)6Qi*su zi}ArR3ahuQbQOCS2_TRMdTHr$^hI5@hh6)-|34u;dY9}65xvy3l-!>j(YrBx?e7LB z!z^Y#^=dhmI2hBVc>x&+`N>NOJm@o;DX^P$S-Ic;$6x$ooP=OiC)c7r_NGd!!LC4_1I_V;(8vZPU5~-ijR$c( zc%)j0V}*p*^fNwlCR=!i$Fiup0UZn9>1Te%uTv~|)oH-kn=)YP_UEqC?1aRbL;aZ} zMvoXZV&sVM5n-WYLL)*)hmHyzdD)1|g0B@OgiZ*V5IiAhLg0h|<)|@38~qQzCT$1r zVPOA_S4-@Zw%mARjk720lj`7!#lSQa)Gpvxc!s^4H^L_hup>j6L?gORmI@3$eU0fy zC|W?ehdU)%P(^Jp`IHXTf&mLtow?QqO{KtqbnZgvAZj-X=?>}$RBNWcab=6?+)x5Z z^P?iBb(_;*XF=~_(DZP~=4TFojiJj}44&6v-4Q5I7NNsv6SNu=SJR=t3uRYml6@Vi zJV|Np*HutYj5z+l2)L7sWFw$4l$A6!G>9~=Yx>lA6{$jinrnej`%EX= z#xU9qUrkI-15Tm$rKdr6!*@~|n&Ug! zi0AMOr5o`a-${6FguXFLHc8TPf$wA^({Gd0*p&%AO$RZ(JrxC8xm_0@s zosUO`DD}|iO`wg8l|imZN&~L`A;pC7D}s|qt4&HH`Vrb&g__IO&i*&1=fPxD;Er@S zWaL@OxANq`hAJ3j^y0A`x8T$%3Q(nx$ z!%KZUg46odR11?H}TJXrfj3)pLKu-;WIZ-ugE>g@g@?yWGkCWebc@t9W?YjoOFB6qAjOtYf?offv_u7WTobx>KtoB%)VFAd9)n z!U)7)P~-$M_y`2c5YS*!h650&|QdkX#8>j>9LP#@owZ;w~p*d$ai=I#))w_W@9M!4GjAX4}^WoC9x0sa_ob| zKJ0V&1w-UV(R3gOnX~MqjGfv9$Uo*kQ}@izBs&*V)5EbB%j`?MvZ9SAOZ0)rsA+Ob za<)-r>IIc!srHZZ6$xh?ioiR0C(?M!psS8RVDHmwr<1D)xWxydG@i+tB>I*S#qiE| zUeqBo88?GSMC1Uz=CPF;C)<~(Q!e3&)_4I>MQgG~tHJbaqV@lK)vn4}7k!$V{KFokOK{f6Mu z`KwQ-+6;lZAH^VWN8OBwJa{u;WVmO&(a9=AuXgr`kz*$Xhu{tOk(SmE5g-P5=*{a- z&q2;Cp&E)Py`l@h1`a^#j*9^c2#LS`R>A|^^LOYe*%vvtd31CTono7(XQw|PJ=bIr zR{q*L;7m8M=KN@zA4}5}9{hFp0DH+0hh4N=OoUv1QV>6R!v1U3i>w$q$L$3}irJAB z!b`v2_#)KXoJ$>kqlfc5Elb@U7fbL*{l?2X!of~71Arw%oGzJKY(M=!+y8J~_fp^^ zxM;NW<`48Q?7p9v$kGMZdErsyWZ#b^kl(csH%SQ1unc*;NVQIwK4;w*&>CyvZGV}GUSr$d&o6#i+xxp4ch%KCjrXBG0J zv)D0B%yh$nTIhx4zYFVsCH4EWV(X@D(K zta$ls=sfT~)~Yc6*IOmLGRAY^^VnGzI6OKFr$OT>PT!!Hq;Nr}LASFjIy)N=rsDLE ztmJ5|HX5l6_)n|D|M2GIF{#~d&Trw*SsBju!~ZetGZvnn!Ae?9^b8#QNB^^L{|&;K zd3toig4dA3J0c<{ljGRuHzt`VZ{korNZr6EQ$0uxF_IcWMhiYu@G0CnW)?iJ6s0Sq zCkXT)qC^6YBp4HW@&$B#$UZI*@e(XFe&|c!Wz>O?$88+jL~x7Jq@im<5kB!f3h}xQ9E`J<*eAchwJx49ybclhJe<^&KQIH~nBvhf0#r{N z3ecl^1N=8I4Gd+F3_W?Ikq&>1*PQXVRX8(xy5Jj0UTw5ou;?`W1GybPvhsAkrAe#P zzwlI8S8(|kwoC_vY^Aa8hdzd%e)s|`jhHnI2wL#kXf5W5S=0OSgNs^*kt71EgKD?{ zxCz5SfRnqh1(E^BFVkuViu$L+uF-lJZg3jaP}~fE%1|S$A2m&A6||#u!yu!9qP5eB z97K^BFzRWDiy=fA0V-F~M(aSp_(1?cRm_Y^*(WZj8~l%{5Mdd}(!85gS$K5_G;E0l z0jFuAb&B$OqO1R z)u9+BVh_Rnu({B4^gE;qW(LCGe_ZIOjNkb`>Fs0UzTb?R>W81PoXmM>HzQUE4r4dlETOGvb^wdgJqNj6DuqVAJ5C~48Lr! z3^+#FFT*eAWw!7u2Fsw)qijp~mAq_6c$B{kF$NU1hF`^t4u@auFG^rVec{*8iqv7M zuZ3TWOYAYN!WZG!`RkEC&PQIt$c-Z>u+H@PH^Cf}G%Yb8{lcF4xN~dW^aaARFNu93 zsL?9y4humeE-r`|oDDeX36+cv^v$S5#Nec*&taUvw^Hh2a8r$8bidR+-*;meFSH7K z!a_M34uy@tOcw&K-Y^ACpPXK=goW|aQ(@u0$uksv5;l?-1cZmFR-*TBDMywDIJ zmc4BM4ow2kWcge65&qT=@#YW3ITxH^LA86F;pox2G}Ng%xIqf(yDP{W)`MSHT^(e; zJIMJ^knOG@Yp5W&edSx^@;?Td9|>|k6J*=M%1d^XZ2!xjQn%7?Xa6_-fwlhQ{yf8s z8?eQ^*;!wJRpB;D!r`j@lEGcbEF-$>!p%{9b92bV;y@|H*zCNW-_9=l0*p;9-ALM$ zl09-u+;!oGj4EbF`5K}!Yj|Mx(l6-5ntaKGKn);*hnI~CiyC)bxH-z9{%e4YhGdJeECoJ#oL8sE3q-;A3?g*!OrZhVeSrqvii~%UJL;oE)lv;jFm`;g#yC+aLYoS|3P2h55rw5aVhV|(`C>oO`j}F5Pl@2{Un3eCgy;2EFK*0fL;; z?F#X;9;}sqmgJn}NN&E{5`Ti54{ui$uR)^85Bz)& zB>q%C-`2#7{d|re{1CiJc?n`&l^pr6K>1#Np=u_|ILvRwn=46+e*jqF=k>DQ~&{6dwP{ z49E-PiCrw+2f140W5x!?j*G5Y<-F8yo%xTa#+EW^<(k9J^h|!rG7hDp!|!nX9rskr zFYxfrW~^MtJDbT561`a|o6?h|-y-_=K`n6>a zKtSi*4*$6`BCT52uqa*0sB%53)gRWgRw1sNJIWn1sq*U2tYyuvYLTDoUlki9>mUt z?)4wN!O6l^Lpm9eYl|Yz9K!3Lh)t^UExoGzfrL_pQ5-)XY248N;L4**{Ky9*DE-(6BE1Odt5`IV z9~zE)AWt4}!Qzkbjl|K*%EHXwo_`G|umf)_W5dH#amqipNcng<2B*{ITacfXCan1F zZLd37cQ`vAP*VN4!RiH4egUsuz^Xs`+k>w=nI_zuwy+zRnxE;;P43A}qMr+)i*)%H zLtv3015v$x;u{F)=JDJl*|5ODNSZzs>T6(JNE+zc{8t|7iHsOVkKAO=c<7V;&Ity= zjOa&iH-A;K;H%AFZOd%>I;u3d_UjkElO{A>@Z2Yjj%vE8G@$kqF|*h_rMT%Pc4Zf8 zFSrjXq>fV)no;qlBUr_DWO`oh#gsY=! zzX}fz`6^tsBC2>|?N?SoyyiR4pS+PCn|Gw=VBHAM8!bWUtBS48RmC2`8)g@5?BX?h z16?$DrT?=|i3|8kwI|oc+n3f(x0lx@*o$jth-ZRq3lG||58Cn$Hl6Y$dPD4@E%%_U zFUXd45D@kT)m{K}_WNx8L3XR{cu+}d?f2_5?26j&N>V*GDabst*t1cZ(jct=%1Nkj z_6B(_cmuX>{>m+VbL#7+|Bt))0wU>a7kswqq;f=nRFXL#COTmZ_CUloM__Sg@UrGo!UHb!=Zt z)z`rm;rDr-wfDJ%1k|zf&P@MN&fa^iwbx$jS+{3B>v_JA^%2G;D$%=XtuQae*VDUH-$YA^L4E1%6CYf(h`-@cNbSSb&YTCeGLJr_vzr_LZ;s-F!V zYpKUvNd(;RDI67 zJCFY@(n~Wy?^zQP;<=@$RexsNo5Hk`@Z8YS&wEwZ01 zo^b&u<&<6}f3&l9l<7SCrb+p!$21?|1q<@B7tt*iK}31xE!t-~@jkwnEbq(za$QK4 zCU5PZe2wqkzF_*;=?iY>VIlunmOKz7-$}PV`;9QZLs~-K-7{t^%=1-LsB^jv38k}V zmnLi&oiHISZ9>B6FP0{xC6s>Aa@VFMB*ev!NSMf|DY`rC`v)R_(QUf|n&xq*$Bi(g zO{lzj8viGxPMw-Mf5JR#@nW}o!o*~G?+aIFWLSB3-+lMOX$clh5x(AiwLDL|`fhF( zCM0PmXL_TR@1|1j2yOxzeb;u=scEZGj@yS*i9ljuqU};qHN+8o|T&=4YPSb1J zf`#eH(-&C@>4CczPPsx-?Q@{MV3W$jPMeRbkriM z>-&0OQ-lW*3EXkRyZMhP@8)+TaZu(&TzgX5U3j=I(fj=KIMSxB&koOoeZ^yPI7JMS;J4BdbHEk?s@0Jg|n_R<6Z4Z z9eeid)+~3s_Dm$xUGc8nqxlH`c8}(%gAY&Ky?YlyaO6Iq-b8CBhdnXYmPo6mO z!V8_9B$*Sg?EUxOf0oZv z(|ZVu=gK8+tJZu(Mw@XWZ7U&^BHw z^cAgW67~6tRFu$mD$p4x=}wIf>3au4C#C8_o%=@}J$m$hK6c>MGiT5J;K_%!KlGe5 zMEuzc&pkx(r~{Rd=Afn9U9TpD&OT+qkfR@QL}f07n@)`Daf&G6)tPrBOYyEu|qlt<^D93{xo;HcM~QJ zFzcY}blmHwPoF+WrMEx%-1l8)*LRNp5M`Vfd%BM3-bTX=byQdIV1jb=Y==q zh12KGjDO*`XU0GM{#VW)4jsO4+xuw;eT}C-2)#*WXNfK0`kSZU=kB^^&XVR#=7-4n z#ovTpIXgwdUj&TIt_C zH?7=whr8^iEvwXzxg^a1LI~5`SxbDr{6b%DmOoDcKdphWhg>$MsSPuvmZmGkg4g)x z21QXIvT&3w`H1N;Sh;{XZ2~;X-FoZFwfMzjByw_AcGE2&ZP?`c@MR-gvg zfVL`aG0*Fcgm~SRHS^p#c(KlgAdjX6?Lw^FvKfd>L8V*?PU#9d(OSCC3XFPJD=ueU z+d6mEN_zlfN3DRr^dn$3Z&|Y*LsM;XaI0)%<0zOgDLv3vMjE#nI4gRi=*uW1C6>sa zGZnOZ%539x9MYawsq2(=gOCz9xZf|j_*`d z@Gu3(cQaP99_#wCFOcV^EgLqpf%&W7ETJ{GfW2v>aJkE|e7EmC*2$EoNk4Q?$N0Jk zu>4!swBCj#J{WqY)hM1=wNrUT35yg(@uDSR7{6HDWUt(~2FExXTW?+~Fnu%*6pbLR z)@c8N>?>9oROE7jl+{3Hr;i3qcP{0-m!H7#N4YKa`Bk5%j|og5{@QWY4LBvWg0L&L ztiN%~#!Wf}l(el|w`D!(s_LiG3w8jaScbr@0Ez-?R@3bE`#686n1ACgS#BkyJnY&J zklLl-w21a9!kl7h38~a^gus}Y*+}jjJS}dO!Z#uS76@QTep}nd&%5o`H6@m=Fqnvv zGD1gpM$L-yxhu*_-37k6{;Zsw!b~>?EovAdC}Tj8WAI_kO3F3pZ(XAh zz&EV9@kRj+HxLU$cS6aIme@cGZJcXJ5uTRZ%R%wO5ryKxR)Nr}lML40^&4-*(;`!Z zJ!i{8XDv_uf;~szd7ZumK7_2@mnfs#6wqURA<^qnz`u(?5~EoN=9j0?s5Q{-OlY>Z z2#^E>>llRGoA5Woxc`^L#Vkk|xGRy{Hr&C~vwE{TW0iVYkHy%$Q6N$c=EZQ7bV?Zo z(KtS-?&6Vv^Ii622Fh-hWsYr&tCr%nrI@J{3{VXJS1hfsDP3Aqwj3DwMPo89O4V3d zwPIo9+lyq`3X+&B`yp{SCMNLccz6wdN&$+NGFwgFPwG&u9&4j3JRlZWvP+#y_Yi ztEyV+F0Z5j5u5{=*FP%Q>8%NI4#C8sGVWBD&hz``)n<8%W}}qk^H`5yYXISK+cjTK z&F6cni<;!aEBqeo>j}x$Ut|{oFlg;b7;8P9osUsS;92X%gz?t*va(Sp%>_#%D;sMJ zPQSCrLxtPc{V$3!ftT3Woqy`rM1wv6#SYfLnMnUtE8 zl9Zg3l$4k>CMh9lbkeA#_#`7~ica=F>K;s$B2F($f&h92%Y*gk>IEBujX^9ao2r6X zjW$_AH_PEOhK&^%gO{``R^ z)Z+0cUj=NVFVI{MM0+#$1qMsWhEl4nMgKfdx(sxsEMH-uf}7kx%@WM?0yPz&@7z!% z0XG!$Yu$crdY^#KAcd+8WzX~HfJm8@mzyotl7l>T-pgrOUZz)347^x5a*r7(o=>m= zom>30cs;=}T1BP$z7yXk5`ycROwPi@gN?`P1Htwqp{3-zk`E^;~qoEFc zcV5pyYIEfYsU13UPMyWz3!zvaztr9ObMK6&QGP%^=hXdY85NabCtOmaXzyE2)wQ}8 zJ5}3nJ5}2scB-~N+Nl~37dch4&U$A*EsergLp9)qNU>1C5U{-*0lN~PYy{B}dRzS9 z>|wIzv|({(=82lxKB=0xUo_6|isfj&*gEgce7eK>q5;Zv!y3ZXZ{D)j@HjI;N>aaQ z3LUc^ByCxJ1%hYG2EpXPu>gJ!S%~ov;4FM$$3&V^{GS!kWs5_YL4e>XD&ImzaoQ)q z3TE}m$Ks7{pjra^QMIrs&8;=V`zay3W)|61yXP9uio#M0!xMJ8WWO2qs#SX;giEEp zIOrvN&PIO-mWt1Zj<_Ekap~0j3(~vcLkvp10EojK=mEL4;p)TR7)k9sNlK4Db9Kfpr<$H6P!;vG z{blu)*|WSBVXY9aOJU5^gQ1K9aX6^hLmM6M6L`)8s~*-)8pPoL!`nQTx$qnMDm_v) z8F*FJ<~nuJ1;f1_9AtH0VLA}Jp94|E+b?I{{0&=VyQ~Ixc=BZ#9Ls7zIjEZ*=)!p& zgS023rgngK#tg}C+S<#QfXb7ue*7CsWf8ORRYO&;Td|xK)l0yS__Fa2+MHpW5vmu# zq5VO2Sh*SBeZp?U#*Cv+pgwK4qhXSPj$WnXhpy?dIy2sV!l9)hps@iBbS)LT$3E;N zgt&Wi6h1JN@%|Gc&i^;{r1ZKvZ^j5obuZ=>$hkpYpgM~+qpNvYU%j!DG&YlJCkb2w zHj{gWwXojKIR6AG1jNArIw**P0dz1anlf_?ZKN@u7}Q_PcmcYDfeY4UX;U8#BC!=0 zUx5MKIr@8reXZGQg5wu*u_Np=k@3dGOqsvYqGz;J25Rxga$joO&0-Po@UNO&l3Hq(8 zGrs*qhd2%sp+DuQSrYL-t-d2oX@WS01uFx@UrrOm;dS#>i=R-Do;q=}t4-a|;4zLe zsUAZrU^HGJiI4(?7bS&Vb@~V-u+Clew8=`m356w2@S-?p5gfb7Ku5}gAZv@vvAFB= z=Vltju2ow$ZbUk#jtn%)`0|TP1e@9GJDAw^i_!1sv=a5Az>GVSwGnhy&G{yty!+?n z=8Acg%*tJ*)yG8@2rZf~UFrQS-nrm^YMT#d_=7*hn>nd7kJL6Zg?6pr+)B9!LDLWr z-xW>0&hw#K1v3VUNbnND@fEH5k^$89&Dj^~C+2fKrPX_dufRp8^>kpc!F&uDhQ@HIJ zmq%)wF5mV|e3(edU5P7 z$Fw+YCIhrIZpb=iAvq;JE|DzVXn&G-Uk~Q{ zEogFOgD@|*KI?yPIAhdD=K+OLTf&?c6^++i$5e(JlcNB5v}CCwsT7E%#L*m;3@z6m z|K^~2XfK+Lw?r}6Qx(~(+6E2^hW$WZ&N1Xcg>aI^Xy6L|ykguB6cqNElz-Ot(m{-d zng+59C1tLO&dD)Vxy~5Cptf4vCt95R3ql}P%ONz11yH}hw=Y-LL3RqWMJcobMlnju z*e>4lZLb`(k6(_fnr#qmQBe;x@k}Xd7&2$WYX@~<5L-{#eEOo`YSKg9tt{kmECi0K(tS8|cmbc&OyG2RZP@wzhS#>c)?+ z|AA?;&v=fhvTL9GD!}JOP+NtrpU~C1Vc?6IvIiz*;nj zVHb@wc4;Vx-NDG0P%`Dq8K%`v4DhJslJc2hPNgF~hA?~X2QTU3nb6Nn_^2nY!OVm* z_eW2LX6}!k4~LoiKgN7`#51k&@^gP=);^)L_M}-AU=-?VH~iesobJs1{nT18-(ykU z!KPH0cR$M|oJ|zYd*RF^;LL%cWNPWQo}QUjCphnZ0eXkoQ>1slLcKF_D3&|Qx`OXM z1lT+Cu$@Ad3gI3|$FhvFwBo!IZ(oVGzCBX`-&y8ocx>GLPhjp>N_<3!cbh0t81H@V z|16C6;Tz_fnU_I%A0A5H1_yWWu#u;P0nu^0ov{qexG3y)1Folu3~nUa&le?%#@Mr= z6}zp!obh1+-fa?VG3W$z!SYh;=QBP$!h1LaiWtt||I84G|HbaX5dVV#!9QsN*of|T zP6yGww3^X!JdEppboyXiclo#j?CuaY0`1P^KP)=G0rb0N#@gk`Ss0B5Hj?~KY7<7# z`2}K>V15)Eewl0;pZ;{g^+*jPQ0;!j$#H(+_^>FB#5xe}f~Av_DX|8~9afkSM(&VM z?pim*r-J1^sBW^+1=?qLH1}hlzUc;fm2A8mw*=&5qw(u@(}v-{dHNeQLI8z*$2q|z z37~lJKGQ(L{4%GNP3tLFGKc9$9zen?VgbcQ&>P*m?RUGaKb_{lx=ZzAaot7WbQxfG ze-yI2U6Q|@HVEGx-^Rd!+PHHgKb>4jITg~~Z-D#5)Hj&s}ad7>^0pV(KWE7j>O5HCFg&X;tnQ_A~xOYzdgHk1c z;9m^^fUBctION+iSA0C=TdkJN3YUStg~;@X80_02z;8)qW;BNuLjmC0?G=N7J5+mF zDW8ggz%}+vUn zd@0ada#Cd5FzW4BCx0B&TYsjY+nH(@*jqxBa3YQ3MlpJwk41_*`SyHWi{Pw3KE$ov zP7#dbiYYM|$E@IDP-nUX9Zdmn{lQBh;0o%k;GoLMLJaJ!EPc_qxAI&H-!Lrjt+05o zI|lhyvlPS*%W@A7SeDD=g_gyYri?ye&rfFOB;fu$%sapqcS2N zk&cA(II=O%lp@0^MWzR&TCpgY>p8|1P>gGx8|)|}MH-Y*+@{5SD&^6#lZjp_fnMz* zkl8#BIFJYy9 z(iU&ToS0+=Jy*~5)28xHbkm;u<8-@WocC!MNd(NXAg9Js}&<^=;)Er@J8Caliv3NJV^ z&)AsAnB^)N!*Iw)Ckz9J{GpMMQzkk{$g}}p!lF3}3fXCw7%XJqoljJO%)L*iGuZ_w z1^4FXXXRRR#(!q^GkWA_6^>s$yN4*w8BuzbS*mP&#Z^d#>MJ?BSUvt*SILP8=MlBF z8~_}9F{89PvkxpYqW3CH_U7?_ca`MD%huiF4_sxR{Jk(fZI0{DA&iopyoKtVhM1dJd{i;>E*rqTUob;F*~wFc9#+PB9zG>vF7IDs)_Hg3}Y4H?yx4NUe-|RvT)l(OTc~%(>I;`lY62 zP4Bkmq`fj-T3K`!n#!n1a~Z`!0I@1<@eHZ2Pi)#v4*ho50X3OpmeK&uyX07mjfVrF zu6?T8x;w3G1_T1{2nv;isZt(v4kJ9R9NRvn# z7>Ws1K0R)&Tj@dd4Go|NWHGd%YXT7_^k9CjE@SbrsqjZ*zcsDvr3_%lm?~b%DDN+2 zeF4b~w;l*=AsrEHsq{1|xRw4%vG<~$jlriFy~+DgTwaPk5swbc4x zYzBGBE%i5fNg4RGk-?Y6i;sXatn&gg@qgUWQ)3o(NDaWtqDlYBYC#N=HIzN{Z(iUN^ zDlb95BitOam(~v8jcJ$HQeLvt3xtCCUTgz>kn+kEIEU;rp(J7qJFPk=HM?I_NT4<_ z*?MDNGc)I-Yy})(^7<*_Ikw2?BxH(5;IJdwXbN>(Taw!}X|X0P(xjz&U&1LP4eU%3 z-PZo(4O7gl&*@iHWstK6J)QL_Z)=CL0QD36R3yB0k z&$=opeNuM9x>u7C_ZP`j73M@;ih_z-Ue{RZC=rBD-;QoUxC5j!I$>G0{ zUNWgG5p@*nw!{PDK_RTG##~lv3(DgU(MhRTmdUY6pjP~Jl{!z2;C09W2jfb$j z9pILZgb6NJl$9J3`T88PK9_jk1k}=`s-k@;BnWh6l=fq;i}zZ&aIV{r&L5sgF6aRB;SGu4!4H zf-s&;n3fz>cBk*sg{1E?FzmjYJ*FZh(sv7@`!3xqs_!;eMJscsCZ{H)CZ>)_O-LP` zIx00jH7<2z>WEZVs+E$GlAMy1l9)0kB_U;W%BYn1l(>|UDI-!`DOPe)a$@qBqW z$)l3vljD*{CXYyVC0p^y@k#NC@nhl>;z!4iijR+viys+3BHk5m#ihh0$0fxjj{Glx zu*R8kcv~x*S_2R%N7VK9E>}X>Bf~y5*?Jq?7&QysL5diFji?9(ie_8on;-7$uo^ah zEv&|Y3aYhl^V5CK2FV=UcNMj;U2>EO&^cjULZJW$l@V8s?sZ!>ZFa9-iAzrLKq=~x zYd5(!t+@r8G3_SEEZ*Dv?LLg%gQ}x^l$_l31xbbk15FcJD{BBLg;Uh%go`)d8x9Yv=pZ{3(S+}9+k)3;o9je$jWLV8 zrA-x$+r*v+O;VXZs;A|>_dUNa8wq=UfE(bs(e5|;3ObmIqKDLf`TI-*@YZeR6n4KA zgX2~=9F7|_D748Yi@Dh31nx(vJ2%Jrr$YbREw=tX3KfTID_|*pn8BJZAYMl}u!@cC z&tOeu?q_K#4w+O;=G=$_O+*@~<>_187D-zC7M>UoH{&Ies9q9D{+yALHMeM7kw|jn z$GR$z{We-?%^6kA3~ad(unT-RH)qrn0*hMQA7%GV<6cwnaDP!qbktQ3wg*l}Zj1u8 zRaj8*R#;Qox~HJ>tr#8gVl=y&LRt7k5cwBQJ2`I2z!6hs5O-ocXX9@~p6Pi#vc=ph z9}(H+j|#r^7VNUEx>FQwmm@S0lRLqEYWC z+NuJ$QHS+dOY*9yfR@j(Di~ZmeU*w!@mIPxty_uRr}%|Nu?(YSCByN&rTw3{Q{dHW zZdRjr`R;Avw-$2@^=Yfbp7WVGGL6rU0ctO~ ze%=6Ps`)0!1#51)3DZpMzj-;Vj_-|e7%X<1j>BkbzAx9G%jPAj37kP=x$>^>HAX38NC?6XFs^CX7gMC0L^qMvopnYIOYQ zxX~j=j~MM5ZB2B6@Rm9?Woq)&q^XHh$4pI_I(q7;sqs_erjDFCVybJZHEry))M+Wx zlBXq2OPn?aJg(8xMoo*K7B_9=v=P%>(O>_6bI+=SVTt_W?F?PeO8FJfXYBlM^Wy73 z-H@4O2;NHJvNEmbZ+o}@V>k`K7a9wp@sOq-(bV#;q7qn4vt6_UDN%KnNh)h*ZH=5P zuxhH_DpS0u3iB|~V&g%fU-03MD7tKEGAVBqOE$^1^_BAgHWth`6IhOV`b07y`82L8EMl?x; zXvbSiw>+;76(IuR$*g(V`33%*JQWi@14EpHC%(^aIohXkeUVv-9QBSZCy-+(cZJS3 zAz}gr{;RcZ%ggAUR*CHTZ@0YZ>}1qvyOMc-{hZBiW=Q5^W`&y=`?_JW+3pv&jDJDp zU(Q#4x@F1>JwMIJLcb}LE4tFz1spT!&dVBiYv~I{`&;-cWBm7dmJ(fSlz{;gfTiPR zU2Pq_-PU!2soM&~hOz-9U{(?MU4Tm>7$z)CO~PDA2E+>l@8I}m=DIbXS6d%zPgb6p zy_&DfZkm`qHw&-zyryqvH&4u(n|p0W^W2=wi8*8ltYQJFnY~S!OwPIt4e#_`c!Z(c z-rLjtJWoBl+n*^XU8Q%zcz-V|Hy55BHq_sn6To9VmQ~Vk8<&J&=s_v=d&3KRgxN60 zd_d#0GIa}D3(L^TO=bdLvvJj032p_3VFT-(nZ#EE;l0tM3e&`CFVG)Gp`+-%Rm!c0$gM$=q>CKSwpfLYvJm+J$)A&%U%?yL)e6^wJtKX&3md^c4PK$kr^ou%wSRf2NbK1Se@B&|8Yw*PC2;n}#!cwx zn4?8leQIITxXEo$X*_g1k8tPG7oEuFaAdO+**x3&*K7Cj*<3?J$$zlPtYLVaT?n&; zmFL@k$o`Silq?^kU3Pk3pIbsf9x3zu*m>sYg0l!Y#zOgAdC`~DX$a-1?~HMN1T zKf2qLLHSt!@`cMeOm&FN-gDRG z{RgAvk?FC5cU{7Luyy*bOLz~qQorCh4^~~63{UV))g!^Ju{ednDUg1HHq4f@w6Z%F zAMdKJg$YqiPMoSnrLVm>eeIoLN3wU_X&lL3(vPgE&|lnn88@$+3fOGwq@&$98L%Ax|J-mYQP$P*}>Nv zHD&d$qwKw7_xu;xze?Q?XDBNKm#M5T7T2|*>RQ8l)s|ux{UafLvTzZ zT8N6R)|eA>CRVfuHdr{N!H_|NQ!!rG9(WJ_#sv`0711J0=Zy8GVk;-t;?;3iJp#2cH04DH3O z!|K28k$1%tTiDy&vB>-Ktr)*^Lu6Lu)F5r)6YoYm&kfEcs>Csw;PkM1LCxD3O=K5} zd4r%D2tOi%GdO+LW^ULEz)%3f7I=X;@-Qy_uqGx6{l)PLuKvu}5WX*Z}0(Nv2m zgYj+WRD^7vUvS`^NH*$zFZ$&eCwd*$=<5#CbPmx6H(fO8hiE&4w_;7X@*m!fLZ|7r z8m>%z&z{#VIS)C$j|KsK{^6CjQ>CT#b!9+kt#h8azr(o?eDdA-Bj@8dBBHe9*VY3b3j=)w-IsvY! z3v4rx4qQ2dN5bEs8Q5q+-PWip_rEKm?YiZj;LkE@>w-wp?S0B{AKF&4!XUk@2z2qi z0e~Qw_WZs2?Q+432y832#?5MsZTZ_~{b$tYuCn2TR2cdp>Gar66lK5)RHb^E_|AvzLP&4m5XpX1}{n@J6u6`Veg&fkhJH z@7IRcwxHqnOT*I^`=#Nz4r+L7R>OyF`0!V1RtUULU_Tw;qL$xpT7G}Ip;Y5+SekAt zYvzG>!bdv}BtU7%Ig;rY?6`$)&%xr%`Bv4;!|%lKNi5s!$1opTH*??}pv*&_fXC3u zxS!;}#y*v4pZO9K8wtE7XuijKZYB#48Q_?y^hC%n??F^(kI&&qd#K+fX$m8uL1EQy zh#$@TM|~Z`^d8D!2>E#sg$ed? zY*s`Ae!Y9~&+TUIp;@y~ePPQf`;1Er>@e9y^;jWdaAmk(mc*zT)yDg}*ZuU*X&Mp& zmLg(2JN)n6=l)I4dISm4*{IzJm?UsdF*|UWIJR}&)?xRkH}wV4;>lq@%M>y5vu`?c zfxEWR%s?{h=)<plWY>0D%ErGSt;&UPRDdbWzMtmrIiPAXg$Oc^g->O29Z)GTz@Y> zOm>xRmQwm8Mgh)-vvDN zTxfTm{{PocgaYHjRR2V>`)`-YxDo&Diu{QdcwE!~`$RMTZ<5)#|KR|ymurS`VfRm$ zOZh)Dew_Gm`#t^}7j|`ZA;o&($DtQqI{7!aR~h1O)ClWBeBAT>$G`o_6nh%W~(SN-E zpYxLNTmj+Iq9IEOjyUEl)$*KRR z#+v>=Z2OM0CSIm-ca5;dCeTF&6*lHEU`-lx>CR0qp0PTM9P9n@kLUw2@0*7?R?^sx z;roXqO12WMZAS+V4Sc(M-?u|U(we?M&iHVI2s6#hHEg%s<+aA7+AY_A{KX+V<_Iex z)ozyIlS~@B%}g{u4EBaz7-GH|VNFV5iWs8W;h;im{HRM0SE!hnvh857_oW}cFkDAs z?*DzC|DE3ddwRu;9jO{;cY5KdEk-)voDL%GC_t2AU3cHU|J?;zT}$q9BYo&|Tp4Qg zXYQMLS`@DM7j-~v4wR7%bz7gm@AlJwPG+El1G{zKXWtZzo2m$Aj6++>(Y|UCfZp;F0M3y~iGipID0Kq5M9SKPWt;!F z$XT294!g9t?M<(%ww#&^s;-&!>FwWtJzCZy<_%iE`t9zYX$0rZL(_?)$yx>Lj-kt+ zZQo|zLw=)&mty3F+8Tl>H8+h_WPnmVLgSoc>IRcVISR6eI2t^F0w$(=3j3i}%!9ry%`{7M=)zue*=Ag0?9f$m0DN6Y@NCH-JcFy@nMxJ6(g2j zDs>ySml|S-5R7AbEr@A2qb%vSDIpP}EvZdf;%)9NFZDJDw$c{8C5I5m|49>%oQcK; zm6!E4dCSW=D!pTS>D#34Z^`X#!R33MbYQG8P#lwkIlM9C^vX4eZ3uawlN6J%nZ6hJ z6FPN{x4ftqw*+z}*FW1{^R^y7qChOrs&oB5TnIdtEok%H(nRlVPk*l~V+jp*ECU>` zrYdZ<0YVVx@DiA%q0JiFOlaR@VW9fO8{1GeM;W{A=9^W8z(J`G?7ajvZ3_ACz2ul* zcJJ_GUWWW>>Sj}J(n`f+Eu!oJX;#olshlk(nDZR&DSl#Lqt zQ#xaZzSB)=IzQydj~$SYbY61c$Bz49hW&RsFBMKhh3DiNcjm$c+@U6(Jar1sqluCv z6z4jL57#*3s#aXPs#Dj_ICZEy8OOTqj-S`KM;~=u-s(%dD&I&_r>vtcw70jv{+;i9 z=k@k`?!mRKI9k0&z4hKRN}aAI8LzsN#E+_L&v=~ij)IYN?M~jcEB&8k1&{?`+lN{J zE;;|N+;-Xd-}=S2@bo{p`+riC{}6M3)F2N}{TH^e^k;(bSf3dbpgyjmtd8y*P(s`j zAom(xf>A#A*r}Qu1Dj{=iv_xurI0l0u%d-GvCwCJlT>n*6mlI-B|b{!otAj(o}O2L zE@@hJym^_PNu$;FSc@E=iQhfrbg`9bT$FS-sSbA7ZbnR(nm9xAVA(>P=wHXgiOFlU z;`sab7`1#H64=;N;9WNf3XV8I2qRDt*sOsAKqv-K)32^SY)S(#RA$9?~# zywP=@KHr-{3pW?z^kA+CfHY;8*}GIVNA7fdomiDj%747 zA6Mue^t_hJU9ON(7QBY$V}Lh_+8GQiH|V)bgyAejhqa;Uctdk0XVUlFQ~Qc6_yXzH z07eMBYrnar;e#zrWn^I-gFA1NI`43XsQw!hS#Tr!>miBg{L6Wum@QgMx1Rk+b+V1p zKI8%FfD16L3haY92cSNOODnCrw*J9S)L}Ok1AK_YxskNZp@wEL{h*+d_M2M|{G?0s zIab*yXdduZbtQ*s8_XNzrrMY|sPdXs*fIN`dW5h-0=wQlD7X2JV+9}b9YbZ#M~!@F zD+GEZ#7?7q5V!{E;-R|g7Ih?U6|@g_5gyGj%EDndPW-#;vFJq(;=j}$aS-2U+O}nI z7NF65Xz%dW*W06JRC?VVDh3ge7O> z;C0bwJ@UnGol|fEOWEoF<%?-AYkE%Jqc$-Hoq*krV@vOt(%b#qDZjHp(r*{t zz4>KTPzj&b>pq5I!zQMOO&Oy^{lMrR7cB$D<}sKEu^XY7b&wH>cRWBizyH75Pda5K zyW^FOgGw`qn)YVRZ}v4=9%l;DGG=)g#P<6#EpTMd;3JW{v(T4#QdmC1t(gz#gVv@x52df|pn#|=(#hwdb zCs`GH*fg_2lKB=Z@oe(BD2u8G|KKgj>eml${#7`27Hq!HM!soskZ+oU!q-32k{#HY zEyy>6BE(5i&5|IFj+z4Epvv4gvsoxY^+cg?nhX>U0Lc=dJ9|a3Y1RM~-k9UjuM=}L z5hTcRt~yq%8F^9%u{JTf)M51}t7z=HUk}zQ5rdPkBDk&pUEs^5mjAu~)??_>dec1Y z3BH$@Fd&9Rm1_7Eg~GwU@isiyVibIbV^`g(M)9lLZj+!WM`$;#x%p;1-(ruf4woUW zFeCVBx}_CAPU`JU6ni$~Qd=FnVXbUFhmKF{P3(8~dseru#R%5UWjY)JLk#c3& zHBMEDktZGGnw$`bdIE22EaqW-1czdx#%*l%45FlZ1kknyP-OsG9L z43dzwY)rwS7;F0??5Cspozr4BZCrVqIxNQvF%26%dMCt97&&3YbZh3QG0CG6Cj4F~ z#^_{OUJipBXteKx+;_1AWc5{}h#Zngd&ad2A9&}NUBc-)QNeIj-2?CbQr`0S6*I${ zw-issZ?!$}fAy_!6mQv4TON4-mmSu(9;kSWb*4z>Y2`~{&BZ^CtSmwN9JJ)gmV(=G z0+x~v>jw{1zlHj=DqYGXUv9Y`T>cj86@F&TVg)9dS-kAU?Bd0%uSDxu7OCT>?|=3e zrjB-0q>csm|KJzVb(FX4imbT*Iep8iV_Bq*&G#StMThn9{crx#siX4Xm#U*C&^q?s z|EphW9o1q*7Gqy8CL8MFv_2DP^lZ}<;dc1x{lEStbd#m9yqpy-+*D2*PJiHSDF_5Z zn#sP@2*!t8J`GOY5Q{P{?d&C4?wZAc&GHt>g2MrAF6jvU4YbjkFaPx~B(P>lpM=rL z1QwBq&0p^M1*H&y>N8*d-Y+hyV-a;=`M2w+O%bl+i(meSUq~H^FE7(N+D+xuam4-K z|Ak%0GPZmsq20&zrEnjQJvNY%w&Wc%B;l+8D68xpkJ09Rc1+WW@-hHHmHp@vEE`hX zvg6Na`o1BK9Grs&d7GxnJ4W^cP@=prydHLX2)1$D6G1E$3Abix5w^{fcC#AQUSb5^3wO`)zi!eWCvrl%e#1?+(b8qT*K3?X#zSQ>S z;LJZ>=K{>ouzTDz(_tF5V>L<_>ae=LwE0cbZD74lf9d{TAo2xh2@yInU%4rkExzez z(k&T8v0Y2&iE%r=B8|$ik#RNa0OPPAMA*RV(>5dw$p&T#KBO2tiz9he;?BaP*t#pN zZ_Gvd*Qy+Dw6SX&V-&Evtq;<^n`D+O;21?e8mr^BjW@Yh@XHcky>k7^TUrGI#l3Wc z;>KWDjA@djEN%q@s5Q(c38oo5fMB(llwx*nQVJ)fIBLq=2&1rJ<)%%y@f~=T`Q!FI z@Idqu_Z?`ltb?!tvvT?fXy+9&VYy?f7&4p(~u!efzst2`W;_wL;Y zk=x^T?RM=+k*dV)l9bS3w2_jNdWWlhOvesM>1vW&>dIw;|cUGgEf$hmiz(z(w)=(=~*gXfTyBMK*Z`~ek-#~pZ8uOuH$`Ny00Cu_4M zZQXj$_ODaWbLY;V|JAR4{`1#gf9*BbtBDlgz{yjO3dtUR*cD1}ok$72(CK2!6zW(|<@BH?+&pr3#lMg*a z&DzI8Jt6eO4k(?{&I!cs-5t9rUK;l)5;F;6?xD&NdI8#6^>YZ0-ivgLr;St6+TIR*!rAiw`$tZk2xC)23);P{s`prB819IR)?zoL(h zIgdCTXQKb*_|sz});u|C-;rB@9GK5J&N^f4xA31ZgaOxkp%g1K=wrC-2$H{8X zBPQPw3`Y0dxj@IYwE+r+S8ZI%BgZitJr9OwON`N>$AfCmd~T~dP`Ox==?BrN{K4htUn2!{pdf2W59K%A{#)4F~Y$Kwj& zC%9xA+aU2e1KlFar$OHl355s=?6uatVt91Am2MlGj+<+M9SFUUIx+8wEjdVt0Hf{lS#)w*? zF#jT$kMj^FqHN<}Nru59VX8QDM}P=ZWurx++t6R_EiVmLqun5P<^9~11Y_m4CcJT^SiE~>fofS^7R(Y8EmR}O+plNzI7sg;@|Lh0 zBpC=*lC0QHR>~%(-+!oI)HaH}C~$bur5Ka}LcsFqbmT{x4(rPktBx*T_;$Sl%hxye zSD}~z$~6P03(OzfMEH+`oXPVs9&sOm|H*PR2bvGpYBEuiIZ|ugI)BmI0*3txTh_Yf z%pE0@HFGDh`M9iHx^!hC#k@ z+|i_lx{yB~7CVo|!6(5!nZ@Ca5!A!(DtBzXHtvMY<_dDjUCf zbL)D>_3E~bYwpAiJ*J2NByMyAe7JedDtS_A8X*dlb=w-|2e3Uiw{1jfs&d4QctBpi zh5${t4q=^66f1A~9N@*myiRskyTzn2(wQY!V8zu3fWk4M>jRVj{+*1pmJY ze|Mxhlw+$ZDY~oz&f;a*8-{eVATETzr`O@O|NpAQH`Ip-N-T2#5jXWfXbn<37@ad_e{30ph8+rLY>zW35vWP5GP%{f! zaE`C!kaCC-x=k;s{u0UXNQP3k7%c$FrGzW)Jk6~`IGZ-(zm)eLBvCXw#6=%w6KJDpoo1Kdi<0xrZZKjM?Zmln?lG7*<26Gv4#C z_P?R#j>Ia^>gei!d5I)Nml)I^C2ICVcl-(t0h;MCVYPis$W`Re>Izmm2E8LsdPg3` z+WF9bt`_6JJ#;xO#*d-Jy69omV*P!W|3r6{iK)>~zbE&j#*4GbiQ}W4O+Gn3>}*nI zUwmk`IG#Y!OlQ^&mMuSyEW4=+UvGQ;s!hNEaojOq#^oel0ILG^7x@B=@d6rlIXZqq z*yX4$1mU6-i;_IkzFm~&p^I`^$D^u3tp1+&89#m~b2I3fvyAs40m;`#JDNiH1^m_; zALUcfIF?fnbI2r6E%?YzMai}R?_%Ovlttoq^;!-9w|i0c6>-akoJ*$NXol8QUuWrI+^xyJxNM7>Pb)^TKF+AxkINuDo@ zR*rBoSwnl_td}^%p-C>UW!R&N5-J(!IVX%^837nxDb*5^%{PFSBWXX8>wk?YQ+m&ptdCMXWX36F?wn$l8Ab#cl9Q~jA z<^chy#R}I^(vgAwnj3jR(h;sS?5b)wQ3!APwUlhDKI9h&+J6F>`oz3k@!M2%xE|Ib zHWap(P<%0_=Ess8DhrYHqCdz+hog9w(4?IVe$$w=d;J9S&`q)%H7q3f^As0I0ysefM%jWW-#t*|s{ zIv?Upl!0WYpyiwt2z|ucZ#@YB5F@Mc$r+Jy<$LqIvH$XUmXJJe7NZO*P!f84fj4`I zqH3ieqchUvCidQtoq9`56s7GkO3u;`%zx5qI$-4{O9q=fA?%7$mfm-1W$FKd%JNgU z{l}E$qq#f`ynpZhq|c+&o@0$qOfvo4x-v02G8!=WBl6nB6g#pwF*Op2u!G2|#Ibhd zA0?(mA{j?Su1OqcM}8r3d?XUqgUEXmC)kl+O`I5sWPB0%7m1VX$iGXR9Er@+$ODN} z?8uiA)5DSZ8uv=#6?WWPiJuC`6=>Z1iBp+{Sqr)ZctZ?zJ1wD2aSj2Dd-PsAO;`C4Ws<>O1CvICZ4a#N@@>2Gw{t|H!~;WPUdeN zl{(?-5R?%eJDJMjk*%ZF++F%v6T^PVB;RfQo_STpLsk9uVogFjA zj!sBS6xuwU|M_VDe;-xlFUBR{ii)D;OKZZO0Yz=Fw5Xx7)bS8_D++%IpM=+(GG?TO z?97l<#qPM1Y&z0XhwP{+REmO2-Qf}pOqIwymNWsyxD|S=}GB{>0{DIr;kdHPmfC605YQ{zx~vlg!CUz%Ht~y&}Kn zSB*FfSg!SjS?PB?a>EUQeHd~1cssOQcI${S_`cp!h7}SN*qfD8$V|0=X3rmq17rf3 zL&W1M$z(R^!v7AKzw#E_CNe0N+pJ7Z0Zm@4j+z(OeVUiPlGSJD2ESmThv& zj^uX9k{y`&>Sw#HH)ocvL>yk+cUet_^FwGdlu=~6AXM<97bHtaROwD@?zT97YK|=k z=Nh6?fgXia)Yh!v;B~wEFPk+c>_{3GeCB~ablknl0)4EZVZe}=QL$u^Eydxsw=qkgHf&5x+v>Gc|N2krA?g0@nt!_kPa)>kH=rkc>en4jOub!fV!>sr)SB_&o9vslS8nfp`WJc zs%|(@MRPacMchi9v7o-I`UHO28NA0Dn+HNwrOX5DMe8Y-AxaV2GD@6g>sz08QMRpE zRMnUER+SziG49@}k$k#Hj#HMm^uce)cl8k#W1y}q==qDbQz)=X2SGQb>Yvnd?KHD{`#hjWy>-{C;9C!FUdTSQO?Z! z-RGCIXfR3`UQmE+>%8P(g>pn!-@Iywn<)woqQ@qxS3E4f%ScjWl&QgHzKg zR&-k#pFX!jnyRW&+`ZRVLiRwHRF#(LLy3a9NZY8f`MaMG0rn{YtUs7Kv9?R=SVdR- z&D6!q8p_c==|?Tuk#Fl$G)eK^3eAtoDCKa}PeOW>w8^q%C(28YEn7Aa&Vjxq5lXY@ zETURP8GV{YEb}-dW+v4S3h|jgbu^pCvX)L;yZpPfY$nSO;?SRDV48izNUufYnUiUL zCAK8gj}+XWd4zC=9&j7-oTJYym656i%ZsDSWmipl3$nQKl7Lc!L;;9Ik?Q-he9Y+9 zZ$EX@4N`eur1DgBKx==3+Vh5X%F9=OP|bN!`J(@HGu`qi4LZ`5Q73b&PXMf#F% ztMQ6gml*NC)UjMBqOyFT0fb6|E>d28SSv0B>*qn~WS=4UIkYW)-xzCdGMd$b=hSbdV*tYAOPamfkv6Lr>XpNv&G6t>APZeA* zdHMq)Ek!5Ch)bzhq=o(3w(?t?87wcc4yNB%79I&u{zQ{b0(L)?rf4qWNSRrn6iZ7< zAkQc+Fj1H`F`dWEA@p|X(h_NAk*Z2rD`;SyvJdl{mw6Zn8`@h$v_<_tVLFuF$2eeK z@e4AKP(-_fnV%>^Q;``UqAV*5GH4*C)=1== znWiZaL7GW1KK&0$rAhL!LS@ae$w1#hMxv)n=8Q>37a>X>Ndu7xLEu4}JdwTeD`^O6 zD$;&H>Sl9C7%gmG(vL_FW$M=Kr3*Godn}{r=s_~hiCebJscW#j6sWIC2`R4B*spQ4yGLMv0;{Uq3(z<_gT(LA>aV1YW9YhFj zy))TY67Dn^q~SA{&d;7QFWVqy;F|`qZkkeB0>EXc z_u*G29p-KQ4Kr^NYo;NcdE{QErxt6?#Eb<_rWvgHG{2!=u`@5VO{}}F+xqFm_Jtp# zPEvskI#xBFA7nMsl}aD&3Ng9RrDZtk+z{zrCDxx!`qm<+XcR1_pUYgvfG974s|go$ z!tMEtRusQ<3WDKns_NAPBR84IJZ6Y?an&%~a z9oIXO$7B54FFsnGN5K@l*dH+}*JyN$mNEs{n^Pzp@cr|0zMvO+OTR0vrizkw42G#^}- zUFhfLo_~U4pm{zyk~x{7b7sG!5dOcI5S=>vX?zVSF=-I`Nt!Iul$taWLK+EaAO!J) zBoM=ZMtLmPSb0QZR@8K?Sbj?6r&N9#iRBP+7CYUA}y2wVQaK&%I=6-BNdB zWz~wMHP+X>ugX`Qj-`$NOj-R2c(ljr8K+`vVBk_@D0xU2KyvO67_u=z&?>Vt`(g4L zL&XaB>S@NcQg(re{74<*U+@ie49jPVHE9sAHtNgnsM~tz>N|Hzw?^>|^&9E$+_BG) zuw26GHHcdxJh%$yN z+PYRy zx4@ak)~>2S?}WtzN7PeSMai0Hm)`jlT90b3y2)6Us?DBTc1~t>5lcLeB6X=b8faZI zRQ`1KhPwv8xpLDT>o&03f(h2P8E45uyvTAV=Lo-<{YEJ=tSq2 z!31d_yi?(xEt#fj{%*b#8 z%*foz5A(4sY#3B?A|;EM+?8t>BE2Pb&g*#rWik=Z$m_~#DyONN41_c$ryH;evVJw| z3wJ!l8lYUZ$L+3bQv#}Guil9zqoI+4nrRrYWgC@n#xPUD)T81GkLIJ?opKJDhB@-1n zX3<+D30%E<6}HR9=2F0D*Wck5aI$cU8}BehR3E$WB4VWZ`PMr$3lP$bd-Iqb2?mVD zta-!6mDsVZ5U^-ur3HIp`6+AEb+@0^ieb4$bKwCO zZG+y53NdZ272u}|=J8OjTI-7a8g4mr^&rc(5fuTAbRWD!XZ7`%JU~x`4VBjR^-4&9 z?C2u3reUQZka@@aU%woc9_>!j`%QYvLi$qp4t>1?U`)x;dU*cZFLzi!oB!U+`XTv2 zg{d|}Zb*;2>0s93QXJH(H`c2?7?YhC$hC_z)GK*T&T$J4{6x!}M|OOhHPRHCo5zIn zuAQBcml=2}m&{E;^=^MXebPf5U3NbmrI-!CW5v=t982N#Th`s!x=}#qbWU)P2{e&d z&fm5Y@EU2<*4BCMjOeEJSpPTh)0Z{j%9}T{2X0-tYPH+s2O1$>lIf%}?S$d|1f%cf zHS57-Y zRt$61-FJ!LEWk0FE-0N=x71#PW$2fBFeiG*MG998BMmHXm2?}nM6un5Ne8X;1{w`a z4f){D3eUV$gpR)%Wl)#nAECOaxjdCGWVbDyW$&?PnztnBr5%}@T#W=NPXDe-9vO+wV7o-g6 z$Ftm0KZTmOm;?~GD2UHg=6m1^+cv6KS_k2AqZ#m0gKW*j8M_c(XDx$CXy>p_9p4L1 z_N5dz!AjOZS7|7_PrJSh6j+#A_7^g`NygO# zEUBg6+T-%(!fDi32awyHXSmQ~tHD;J2qKeI7sgMOdfg>p0d^Yunr zPTj%o=2egA|nRNu|{3_)3E4goMy+zO`*KA(R7}_LL zuA%ynjYb=+{@4Y?3WxZ?Cv22munMD2B*7iIvUU6mfE8s0mt3->|Mkw!E4Hw`S zvbJe2ha4jLpg}lxq@?l~l<#REJ6Gnw;^UdVl7_ba8ZVbu+ty&t8$pMi^Z76qNt_pVQszQETh%|p_XCcN|y5-{; zOCSADH_LGyAyD0si??gdfX8CS0J(zBSrphV zT|MZ}M1CzNU@hfAG_IE|<6zL7=eOWTMFOmW?4E;0c!CLjuq0R-#AOjeilC}qql^`- z2cY-n{DCG05lEa>?1Dj)t`8Linz=7fwFE~ip;ph?Znyy+4C(+9 zgCo#DaUzo3;3oOn?E{-z=SZfja`1DURvc&m7W-u!^ z@3475TJmh5#YZVx{B(`-=~B?oq+B^ScB%EL0#?Pc4*< zb8T7*u+*37YF3T^$Ir&h-!V*ZxMl?^M->?|Qwd$)EX7`B$E~mUS3l?MXy~GdxBzH5 z*pi2K8)k?|eS~R|T_KVFUbqk^PE=1NuG@OWf1uaMI3E+1!w8bS?y}`YE6NnaXwHH; z!mEUVVCJzT(GH-L^!q_~DDZ}BbbZ#_y|FdANbWl52nOcPK7;v;ul*mycCW6A1$nsB zlgl2y{J{6Ke_($GdN#{|iniOnOdvqn%~__CLc}R;}Q< zSe0#O4yxaRc^q9ISkZ4AFiQ{B}n-d{Vp@)MjR9Uk6y57uKal>q{SV4+Q zxsV4Z4f>9CbK7qYb~QHjku82*=Hd8GveL*b>!r3hiHPz^&<9XfRk2Dg?JPOhF<$CK znu$dAxL)Ua(LFoPVmtfz`SGwS!JNJ+M;99k!x!V&w@yi4e1PpsE5^RAHU*DhDA|-DIE9O5Te^cbG*0y^g&oqX3r`FVB`U$ABD9Eqf{Xw zimw;@B0&RrI1e41n}~{~G%#7)4IIXH!sKmX>U(DAfs5SXZ>Yhe zvfU*J((Us48u#+0^>t;-J=R?d)87-~F~*RV5rM}8 z3-jKK3gH0p$%TufLvno9-!Ci>l%i}@xAFtrVC@EL(QW-;;S=xg^;^3Zef|A=-0o~O zsV(&8cqO5j0jsUH++_2Jg|=GBW#y{PZLYVV(3?k8t~b9z8UFvTy>kJNvpVnm2e!eM zWy{zi*v6OfVDQMuMwgK-jW1!Ok!)dEmUSIzQ;NZ|Ei7NKYzW4a4Ln%$ zUC(#Ud*1V&bKcAU^**%ef$vm1eGC&5sU)lYm@yX3QWQCDQIiyhw%x2|2gLHZti<2e zjj(L#XYtn*FJ{qJLOPA8ZSq)+kxPg|%Gkf~Q$a!v&3vs5m~`CpEZpKlLbuDjuAAOV zn;xM??wZt{W2?nRICwi-jJrY=GbO2-z6K(1bIA{UV*8OgMpigW3l09E_tt`ig$exW zmLLN)jZ6`;l&Z(*pT+|t=LEu(0o??nZdj=~kpxo2RaOq$Pt~A%e(=Dv=g8`n94W8T zjS6==8ZEL!JDXhElUo_ugE^Hj&e_@u>u5O?oM|yqS#qjEp1Uz&TxRMzp_WSzzHrW8 zu3WHX*H8;G0@ie`U<#Fvj@>qkPhQU6;IZ^~O`y5)D-3$S<=wpl=lY=yHz;c)T4Lfy zC6pAowzG2$WJ8%0S0Hj75JvNnLx&EytXtY}8X2GH{VOMKZw(I{r3dvFs%Lv5X!aQ- z>SRr|)@wEC7rE@f(7ApqWl)roe$=IYl3t_A={4M=8Hz&R@CNd2sY>n>DsSs8>8-bj z1+;n_io6toAgwElyU1}R@qr&iAm|Bg5Mo5kry38%i$v*+98`0Vexfj18%NS~-2b3% zzGSo}Dk4kgg}s03NVA!lNF|5I)KC?(8mg*64S6i66SeVaNZDMbU_Kvly*4_+sR?OS z(urnAN57Hs%7orLgmO2RPC0yNYxXS54L}sh(h?l4{U$*Ongn46i~zS<1GqilDCvJ> zEE(K82oZPL`}&=q47$_i$&GHPK$L*Tv^LJ@VY}ab7>&-sqqaWoKC%lnrk19+vR;%- z&Tz?n`}-YrH;TII9^(~%v=;O{;O=g)Nmj=7-93+-qlM6q%?o-(-e`0;VN2!{SsOJ$ z1x&&-It{nfhcDPcYurDGx4QQl!`?kTkGb!}+@;lF@A;mOoTDn=Veaxj!$QI@Uuz7X zrp5jeBOpSskzTXAXKxSo37-sT91jY)aZKCad*^@Ws|XPkLnur9J}bqbWVwZlhN|3= zN$S?L9I+)D#BTg`?BcE7*K^M37WNM9J9y6Vfi}BZga>CB+_Fu%G#+w#U!PS<>M+|2 z4{L|w_L`+t%5`nNgkE#J5MoQYgXywYguhusgZ ze)pr^^?UC7jQW*-PK4!1hoP;v_)Op!;ERS%$^1asW#_*X zafd}uqp0*A*mLLS;%St=cDo1-NHt(j)Rpi#zK6&O4}uxF&R}keg@wzj6$^LE+#D(L6RaCx=vM^k#X1|2kvD7w(zU1t?k8)r^; z!VKdM`a-E9n8VzPGB-4P(d2s&gnm%*-mD8`7K5hEt(=VIu&6qPYf99-NvuEYanw3|OH#hH!ycv1Z^QPrp zo_AS(LH=7jrutG~nb$m=0n03LV40JF6+*#Wu+(+(CU8{Ey_`$ux6*N&Qv3-3nyGOr zu*_rtmiaS)rH=e!z*6%cehskHVq9ghC1g-EFA0|U+F+@t{;k1MM=lMP`n{>aQVSmv zSn3bE=UqSjVXu8pp4TP)p=lD|s1ortmB=&p7J6DcuoeDSQX)rVZ%&DvI~Esv`E&B~ z=M>DDJGX%UXBXrZ%$ip)Z~DB;=Uq0>n_n=0?)*9P^XJc=pErNj{F(D-%%47g+WgDs zUpC)ckhfsgf|(1hTyVt#uW(Lbe&Ouga^K3whcH5&3A^NIN>F#~uym_Rqt|_R&!J;| zG6~~{0WkGmoH2M*noGHTbibPgmll~mTz)9W+e6RkmhbR^`5&JZV6H879WEW;F1+n! zrN}dLrqm01aQCqzIwY3?aQ4_UdwWBBd+wHTIeahQ^b^~dl^ScwL8~d>aH;I|6lU_V znD`;N%Ba#__tm?z`1@%GzhB4mcN}sbx*hlYyLa`H^$$g?pB?XT?;*g!Ewx|Y(eA_M z$oz_{RDFP{lh=X+^LclTidk(-j(T>_*0Xb3leo z5DUYZ@V4%I4gR~Cd_i)_VBahsomx3ni0YOp$oWj)>94DThw)ou8xz zZEPP7Q=@}C+Nb;hM+{4P&#{AsEv>ebS?`#@&HG{@l0%aCFtqFTzDTmD*!+YzPKxe4 z*vc+=*M7Gh!TAdphEP)|a=3>lE!DxQo5_#Bm%lx~lP~i$fahSZtLbhZsP`DH5EGa`!GWq|mP3zHCO0fTq@;)^fVA z*!7E=PerT_@4u>@I;^78SCKwF8a{{7F`V2~dXvcD`q!wkW*xUmxm8$pBX>5fi)LG+{4ROIf`;i+aOagByB#EV5 z^+^q<98f%3Hy#}t$YM9D(@8FO>jYAR^(i;0x|H%?Cylm( z%9DlVYszR9XMuGIPs1ZF$0!#oGnYY;KXP=R|B2Fd+3P)fGM7VZ*RD18qAQeaz>RzO z-2^!TlJuj>(Fz~igt7NXH)nf$58W;ol(0#-+jWTqs@Co03|(Bk-O%kQ*p?Z(+1W?r z?8VOlen(P~6amjDxW4De9V9%luJ7*c?KzC}a-5&_pURTNsBw6o|4ILIe=sLLmeX2k z$f4Wsv{bV4a>Fmu+QlyuB~?}%=&l2Df6Qr`y72HJx{6srkN6Hc$m2eCq&}6jNo59; znou2KK5)8CyheWVRxrUx#y~9SK`p6|R^nBkX--Zk_*j zS>`=t!XZDIEFB#Uxs-iq(WZGNix<}p0g{V@k79)?&URHO@eJ__PpSoEbRfS&w3wVUq=V2eo9pE4 zCsN8=eS-bD*{Ro*mZ1G`ezhEW@0oqu_fQI`6c;s2%z_K=mFb2g5Zoft^uluhdaZ)yH( zBy}_mws%9`qbc7pV5stZa}z1Kqp=T`U`c<3q%svz@1eX8(_DeRKB?{{X*Ziij%1l2 ze~_->Buf4u5sbU!58_C7!DY}j?$U1M$xySQBfqt2oJ_Lz=NI!nmO_0|OA;T_1fjz~ zk5Q*CsXLpnL_l?K!v4H|_NP+!lQ3ayuV(WcFbH1He_`+Ztk?2`$#}sul9#JI*0?p@ zRq^^gV#P(fVWJ#d~Ip8w4w^E;<4^A8MhhlpJpu_oXBnO zfgfOSAUTRH4QiZU<%X>;@@C{!K2=e$CzJnqOLJ{)V`xjmj_Q`sm|V~`JDNJ1>$hxe z4ZYc1&mVfjxt=4ln$Epmzm}4Tel23jagI%}p zh@dmAE$C2>lvO_Ed+4%bGG9Cvlibew!;JN$v3APoE7N}8sOUU|I4Zeg+mV<E^%I0VXt}Zj6V?Fx_r8sxe3ER^BLX%{7nIy zfj^16!uiQ)PDu7|6F&b~S2k=OgM>n=USHJwlW#m~{?#`bH4iL6yt=C>$?(Q*NtMy~t?IDG=*SRQn_k=DGh&tLqXnF@>?j1zA zcMR9^uWpnKd0)hjevl{_2OCgGKzj#Xr1CJI>J?+~p{6Z#z?Mb|w;!#ZNYq4{CNM>1 zz6phLffrV=9<)xD-O>dQGx0YoK;>pU=1HLF)FZ1}oFc*b9m*Y0uAJT<7616S#*O@E z1P*gSf0Rv1yeYn<2)CQfrjpA|B*(tHevek9(q&1ha5U74I%q$!T$6s70quYJ?}~qN z+>c_PG>9Fc?9zFTO;JS!!8WiC!8Vv7@1p=5KwjVi9KJ#9u`+r3WX2Uy1DLAMgoAyd zLTFGn-FD-X$Fl-vtenjCsT?!VO27v29=`FJXp%r%HJOMO`l_Ty2V;P%qTG9ruB5w@aNOIi@eBya!i*>W#LZn%bU5wpOgI zHglAhIXn?TEtuc~$pDrr^?tniiR0svjA{AK4AFHK*-<0sZp+(+k!HJ2YSpi@*yzME zg>ho|C6?zab|StFsS`W1bEO7|yo~u|d>erVr_@6w-VHZ?_BhiJu3B9LUtCkt3PqvzcP-9cADkZ+=QpTV!_2&nD3g6v!1>_rD=3y*;L2mRO~q@6`wtHbf1En zSseUfWL%uRqHL80WQp3 z3Os~YBCmyqFuf>?hX8X~nEse>YPIe4#i>sbrvB*b2veUTO8rZ#EJ}S$kop?}DLCz2 zaS40^La1pmGn;E+4bs~J)A0zpL#eE#5LhRy&j-!OeA zjaFw?o5($x%H>4+JG6qf0Igu^>dEe#4G(|Q0qg@g0qhDdVUT2LU_sm!3SQTB4!VBE z(Dh9gx}Je9P=RAU7!chq3$$QrhITWzrUa=l^&3pfI<_T&-DFw77Q<{Uewa2hGfo0T{4+ z0U=#Mv6G;s$K3oO92oC8fYaUNq3Af~CBo!23lUCif%3N0<0J@`x0MI$WrF2{RiK5- z+jT!+-k;z6sf}1an9-lwKsDsVpW29;xB1N`H>KNrz%rTRm1R0JX^WXza<@9(zgtOY zy>BcC0_*$G!QNrY?AyDK-h;-Op2Z7PQzWVy;z)H+frhQjIsbZ(gl|7)4#3<{IBy3o zyhYq^*RPattUb95+?ITMqr)7NW~6^hqa0#soFDbQr4d&j1sLin1z_vJ27WbX_Y1Ue zkgW-e%ItOVzt4+yyv{roN77Cd%Tkx^HM7N zTIRjX$Gp!Le(Zvbb{0kHR-_YW?%bWO_jiR~xiEGy%1Q&}gCzk7G!-t0pIA?J>)u0G z&m3`^f4;-E?0>}nW2hXsCUX@h!9a1TWgI9aOAA>Cl--QX1Im`f5BZXO&mPbFd8Hry zMeC7red_1G(|qc`@U!~V2hR0`V&QSG^l9Z}6){hIbHi6Y8~*2(`Qw*D-%>g5^G^=^ zrS>;kOh*(embUn2-@;Q|WA>fmT4&lR-WmkOd#}Fpal!!Jct3vX_s7I6DDknjbLWT* z92cu_vx`;G?Xe*X%@aZv?%JIA2V1I^Nz)Q+ORzX>HuuQi+Fbh&GCkRr(Z4phIseu6 zboqJG4ioRW19(wEw*mqM7IeE1W`zfp@!mH*274;pdflG+#m%38)xSH?(!mkQ6pQ&w zqT^RKUwqXZ{c{36X1_BVQ!$uGC&(r|!dS;!Gog8qS`uPUAf#|;W9+0~ir}@Gz&DvI zjDWIXO8 zvP~DQ4=ZI&J5h!W%Ur2*8=>uz`O|2HW3o~nHd4KDH=bdauwQKe9*J7b&td^h_n5I`o zV@LDG+LhivZTQ0T{f+3Q2kA+(=n{8f7S%rIJ7bpRBlbQ%6!&(^^m?*l9jxueHlBZ8 ze!11j6yDy|>1g>-={gWrG=PX7vy|flkq)dm!)P+$W0o6bsz2}kZtTZjF)cG6Z9H^O z7CA%E7FaRS@0bGw4l8EoXTvE!9Y}|-(Scn@_EQ-%3GY}XQ?E* zhZArbpzL-7&cogT5(0<`@mM@#&v2^*gb&gS{?N;Gdcr$UIsHqfs>d|PxVPZ23b#I_ zEnxf*lF$So#Ysl9V1ZEfD^$Q(rPZVer%5l~rH;ij5_fbbK*gHb^fMym9DmdGpC> zNQ@MF47M}qh=9(tUn5ppB5S`fC7tt^>tBA>jZIJ~U>Yk8H=e)+i9yLFd7TBUNQDl; z`UjkconLKC1{rB`^d8I&OyN1sFjU=mM%;{VL@=sk-*?bJasbQt&3K{8e|*+H=XiN{ zC}N;AxR^;Nzu-E{ezSR3<#U$V{<3VCBR%Ah9^p?O~m?tJ+z|2_} z6`)U=+{K0pqa{TE?&H6c@WFfnE8b+PMs<7bLHUZOaDw1c)O9U944-jHp(D`IKqw zm&-26E#}5Rs!5!g1oU^vl7JFt!yQ{jM>^_G`7P<#l8Ycz?AAFa%Xbe=WTWQVc*1ef zgK!TsIZPPv(u0nd9t;*-PnLHNb=0MO2K2e=wAUIfPBGH5Tg6?wndr4Lu^vMfNPhU_ zX5@{rV>oC-XNd{QtHsbNL%OLVF0vWGBE@|bS6$Q3ejU4pX9Kk4NQqzdl8*;K^ zlxM}R_hQ+mrx>JKu0*J2M#zm7g*in4s}%~3m9_rsfrxd|70)zD7bjF5hMLz?9$*Cd z*ji%+{W=hHT|mFB3;~ss>5P9`jn6;&C@w_T9sO`NWL)e2`;UHXaKL3TC-{mhh>kA9 z9Tm^xyP}|Z1#pRoNOAnyf)MZn5{>5YCxNBQy*StQ?3LG`ANiO%`l-SGWbKf}#%IIU zz*wESM0o=yC1^Xd~`iNVgDX#!Yj4dJVVu>tN`G^}!ozYp#>RDp&aTYP+hmYvOE ztsxBkAfN?y!=Z2l+GJwzF>hNK{(#ogw}us#EJ0*g2N4B)mRGKq!xJ(3e9gcK%C!vO z1}2WS? z7(gBDQopW&#c(0Q!ALq>5+2SJ7$yqy#v`{nScaqvH|=yk+BRgkB3qqjj@-uXF|LB* z;bEGd4tInx1*-|5FaXXrT$>B%P;BXLgx8?>&_?!Max`xAo*)>?bc6%(%xK3JP< z!WSV;&knk%)gmZE)anSBAjA9;nRiog0PAFk0I3u#pXrxlcipU5)odXa`3eo~7b4nk z4i(22@P~KZl2gE%EV;v_<39DzceSdAa!B5pQ~4>r>%OmU=K8|*jOjkobn zerfSf7{@`}FNZj%;g5#Ic1~y6Fn@n?dK>@3#SXuXf5D{xHvWb7J#XV*XvTUQ{{rdx zHvWYfc;3dp_8zzQmtMK_ilsA_PG350>E%l=Tl%JvFn-Y% z-b;VqDMu`U*gkxrE#NThrxNpiW%jo&%DEy(9g@|u5JzUNbQ#}C6fFu0&rz^=@1MQ( z%ad7z)|CR2pS1`n?U=VL-`7~1+lGuKlLR&Us+ksrhXq=NzEDI_jzmZ*B-PXlQoR!G ziIRa3WyNGWO|u^%X_w7!=jgqSp@yAd?_G=gUiMMZ%rEeg5YDnb3E->#VBgUr$1Eh; z^nj&!;h4Ttuy_qS>%-n}FMfAW?3r`xi^5wh@^Oocd?es7_XP{P340e7|3>DOFsRXr zi?kO)m;9W)%@_Z1@dKIH6`blD(4?j;wO|DwwGWk>7rpkqFP}OXN*vp}dl#YAijYn0 zy1Qq0Xj{+iw|4`dbOOcqB*snegNs&vP4*SbQ*O_G|aw7{bd` zaJYBZyjYM-iB|5)H^-vK-VqylJxwA$DBGk8HK|-p`sO>v zTGP&q6%9Xov&OLX9oJwcn}V%h1Far>3Gq?DEtjG%V$m22@Ht@>__7wb3K>2?Jn(hZ zX1Oxvq|jRcQ44M9={?+YFzo%=)pM{*(Z{Ol5{ctZITybG3QH0EaBf@fvjcivX$- z#9sFC0Mw@9ZwYFlheWy_3Nmnu05p19v$Y#@`KhPKxn|_7#JcZE^0nb0WkaH**mFe1Jb6 zch2x_gO}fP=k6Q`zOu<+7Jui?49wzJ?)*8xEdKP)49ud;!sS`4L_DjU(r*ID-=dx| zAb;z71oAf(#^0WvH-z!`YdsS&{{FP*O@zw>oq{9Ov;@uU07JWC@1l>a4DP7q^; zFnaBr6LNayK_#6~_`kAFbdAe8LHI>Z)|IZ@tSeoUXI<$cRX`@|$_x88dX}JN9oM$t z6&-tThy88W-v?p@w&HhALZAk-XqUvcwb14G#N_o%1bLKcb=-epZ(#2-PaYs7k|0yW zcKxZ=pXNxksJKOcwnpN`WDU8+{UrF|cCGuVb3a?Km5|Vfn^!;j*Q^wT~jH>iVJQBau{1;(TO# zf{ZUi)rq2KfP3Dyqv+|HdO080#}c5C#7>o2Aof56I(uB#m)(d+Xdn-r04<`xIauyf}qH&S+0 zue`>$PUd`zf!%k_yAnIpAX`+J@MAvt;475j@*HCNNvfv)=^Bn| zN%5LcGoE;Ir(sU`4ITozC9=KVh+Hos7b&qVMDVdHa_KQ5LS^Xj#FJYbq10cH>C*k> z{ov~9)$Q%nqF%i>mgrvlJ4$LOughvSNxRgF2<)&Omv6s${;A(8xS>u_E3vVksPU#< z{mFOcGG>CuS-hFz&ef0po~AhsB^u7V6j~HV|Bl z`d4991=Tcn#T2!aZud4VNLS&~Bvw7i&KiA=GDefBk!UAHIT7l_fZx>x?BD)3AC&BHfUTwI$MR$yjTBy0tUbnn<@My{|23-E`V(D7+3tBwscca5}l4z+e(Xzm(q=uEYC`ZVyHPqq1&YY*SEb{OesiW(R+z%S0P z_^0Q;d%ftX^w|x`vu(+t*5p%d$&`xfBG7@xwmSyEV}uXWII3W|nXL{kJ-4HNHaqv6 z1s|()9aTmpQIA;hooDUkr`kGG5Xu!_9TXq8;@grcx*-`9D^X@c*AKXe4b&wNXeZ^8 zN1o}pNrUr|b^%#{;3V0=8BAqwF+SZOk*r{J6JD)YI_LS5Qf}&m3KR>v8>hXyuR2}| zM)p-hWiB&4^5;iag(~_foH($cH{z~0L@eu#WU4heu{UU=_(=RPp*K#I%87Ywr^MkV z6VJEJZ&=qa5ylg)soutU-!JBpavTp3fub5$@+{sU@GDZDHVi_A7!h|--XX%I#Jh9e z!nOW8!DD)#$D(=;YFHior@t^Sag!b^x6!As*w`#x&z{QUspa)XD&x8xEw>foW^$uTkxTN3aqfvlE_=bW$1temJ`iw5xHPvoN;3V!Ae--9kBIG2F@g(Ov z3{AenX@#8vC_{bRU228YcDFdLC>kOhaR9pUjSF?vu0_TJfs35uB{2bu44HE^6G_|^FDv0YeW%g5_vC1*QnP9 zIP>S}lTtaOk_tL_J;^HoAsdRc!KyHJ-AEhR&La)}*=PgYeM%$2E1P@k%8y%It5-93 z-^u~?!^!oOMw4!v`^g*nTau$~^+Qcfsn*s(Jj&bZ2^SKN^v?bC4SJDBy?f?<{su5C zF4G!+5roY2{cW8i zZOK91;5Iipy~70?Bb>Jb@T@ECWVmz8OmB;v#v;SXbP|W>ZF71<;E+UWX)&NAG#eK= zXfbRADH^O!;~xc$YN3&?I~v(p4vpI9+#T|1^sYJgg-(0jb5>pVNL94Tdvs3ZI#v!{ zvHA|a*RIF|?B+>)+~T-DR|A()8~galDgp?+r{|PiXQ7|l%fNtZPY!LX!3#H;YEKFR zE*X1d{klrtOX?jfiu%V+R?$hI1#Yy@xw0SdR?Tf)*$-#d3|iYV?V)X%cA{abp$eU~ z?9>_(vHtet2%c=!>9&NoIX|*&AfiJ^!e3~jXP^mxNiHq6sLx-s%y06J{IX@Iy?yyF zEOTR&I@Y?9S@R{lkLBOITqIySMtqymH5D;ZTNSGDK(0;K5iyrcH`E6rNZ)|L&g9oF zhiLU3YFQ1GsuZPulz+?eETtgPWRwDZk8+`u(Hlxtic&M?v@Tc2#M(0?#W!-Ky>pNY zIb>b0IINpze`pDJM>{$PJM3;yl-*6vKC#3#xGlZCmcH=G@rl`=T+;t+q&8K}uzfaC zmrCG3n662&Y$n|aLya3x^S74x5QIz4^+e{n(O8{b3lW}}{plsh6nE{k_uTBl457tD zZJEwvO_1;w=P#r4bQz!>*Lk`=T$}AYD_8^;|7duw$$NHDzlnxH{zA}>&e$md8)crT z&wF7}e_hR>yK0YS?)Bw;$KLKpUf>4r4h~$j_Kj?B8(YdFc`q;0BS_A%H$Ih@yjHYC za*fmu(&IJipgQ#(0|wfA&*a^HZ9m*-cjLNS>v)2@b>4UK9$Z3Ztr~eW+Jd}Jyi!$F z<%MVerzMV8Y8h4R4kC=K4u(`k&|mXs9lOR!tmv%c3ynE!unrj0o-(-^5Nh|Vp+)z@ zsMV}z>Yr+AiuM0ZWG9~SJIP~YqVEBM@qv;o7h&<3{-3kXFVZ**m^_(oNoEJL%m0-b z(#T^qNh3sU))3M3)0J`^B1cnotM|oO&n)VP%GTX4%(}3szdA8^$}$@T^_-oT_B(W# zP8*11`h)$N@lo;mAFbbE_)!WD1^n=o;My(2(14yPdFOHk7BmnX?XGL&r7L`%48;SGzX;NV3FsvMVJ`WMwqA zlR&eI+}-)|xy$D)&tE=!dEW9_%V#dXa`_d@XDpw-eA@EMmtVHr3l)UshUSFwL$gD9 zp;@7sp({gIgl2@Mho*%t4_y}WRurt5yJF6Y{1vlT!Q6s51^ES67FZu3(>t668OP7+U3RpmSzT(YxuC5n3%B4RnA5)SgND{EZPk_v<1C$ArT zk3~f6Oyp_%&dpP-iy>DN?NJ0Pn)CGb|iAm zNFDN}T=sT4rCc-cZ?9Z@e}j|(u?7MQ>iBLOXVv`WjKH!6#8(Y}Iji9wX9@mtmRRZf zC`wXlhH#p18^FhDZ#6(N7I=3`D@Fy|y9h3a|7Z(PKQouL9?x(>`mpHdkX8oE@#aH6 zFh=Ojf!hccf9&`TeqQiQM6LO{Gyu3xGzlyjZy*A@X}dn+SXaCZW4msG5PZ1AyZ5@n z-{^M?M?b(V2U z#kjTCdB0(mjPHyzgW{r@gkN?7*R8mFm%*9jOeuG+IDQ8kZAOP}x3$;i*pxTgfYYOZ z+_;@M2VB>cIvr3i4%pX3nVEil#ZAX-*46m2ZTJ^flpNc-BTYNEHehZDVh~{fv7?{* z7=%q6mWUiA$jk|*wLujA?Ji?S*!!0iiDQAImZET>KY8eliG5NV6BF|~hKWymW$mm2 zx3{x?q@JhBl+VhcxwO|AQMRM%Wb565a4`y?6ygOt^a-j1 z%M;7Omsg6=>$q~jlMKpXt8L}*>wn%Qmi0>l9l-iVG<9W_yTU+J4N8kQ|UY<}Rzckgz zFKtYXRGN3s@_C)7y+2xhv~z5Yl0J-1m$ewhu^1DM{PX31+-V${vj(aUeg0Sc#S+X_ zHr(DmVie|J)U{#oRGDBG49;gZN9f++|Ib8v!Y?r-A7navKdAp80ZkC819fll;3!l_vF%ft^{<^kCMOYa^R>Or857P$-<&ZD=DN&VzLmx3 zi_L4259Jyxd^^Qy?@QN4TUc?S9Or{cHg6n3WsVZ60Y98|LT1bguK#!-(|-$=Y}VU! zssA=dlfK?~fN3HubBW5d5?k zzxL*xGGnO^GCFNL%c;9rk&3`1bN0$y<6>Q6c;`+`YX1AaF^$GY7-4`cF`>&Ih_pr` zF>6aM1*VtLMU}TqW?e+t`n&-(MNGlU>!vL(LTaPL$li+D<>0UGVl(8E_C?OH8|GFZ zFr(BZp!meri8)bgvaU9I@C-{FxPqQ>T~W7q_cqyUrTh1-t1u;tcPN07RizqdI(uSi zrB==`l0EM1kUX74r9>I21S&@Ch)e~^IRASG7Y@|%UB-Sp^2q012~^a~OhS^hnuGW) z!ys%kked}?ZK-{aE?l_fwD;MC-`E26M6p<1ok(T+SP-u?&!;H&bn!x z*@(Hqm)6IF1vt9|qQpH!RXXDtE|qy0X&|F7l*bI}tzG!O z+RR{~Z$^_QD{?xkQyM~1A4N0wbxKd<*hW>Rvk@{NWIWYPXVGn_XL6zQtX`@ebu=Tr zdNLWuczn^g76C;M_JzHzg}=K%iNxg1-C^0tdV7RmvM1zVlYBTO+b*36?>=O?#O@J- zHNMksMf%RL*Hd_W0sHQfvz8MDxcj(4>;gjpj+S;b4;KFM0#-cfQV`K{{o1+p;lfkg z0u?9Y+N&2nU3iA8>5>az<8x;WhQhOjgSuDBJpn)Gf+JvszOdi{TLW8@@cqZ-ai(;{4Bt$r5j&KgbGl_8~BWxGA7i&UlaJZ+s5p#=2;grQqDjWuN>UyCxXmB2s#}? z`{$Pk@{CtC=OYvf7LBDYbx3KdT5U_N{(r@c71LKtTXFfD&3Qd}F4){Jy!DPP{pYdX zT}OyD>ru~nJ!@l6ogl!?C0sG=p%$0^(tkprewygeOPkI~)6dvR)@O|DEDI)`_nT`s zQLYk}`Z`Xgz!L9UYpb7{OsO7Bga+cp5*6f3o2rIEDFdEPi&id-Myp8BGg1K(SgDY} z09j6^qQE8U8*#z9>9SXG)A6SUSS@fWok-JGRBk1yXbC{vfXD#sm(8wK0YF^K%Jknx z$O-8^y5`ZrZ8gfj^~96l&_s6PR{Zpf{BRB$jXVFkI|q}-oqt2;?h`SldgA1!b|Xe< zi8C<@Og0{XXQ34IzPG0GsVwrGX6*4nTmeiKq*OOJRkwi2vRca*8WbCR-p4aNO0sHI|sC-=3uA#cl^AS9|IP;dQ0SSo@O8s9w zR5O$qiYJHKhdPF;lS4JqbPQ#Zv9)6EW!@9~Tpk z&6j15mJhQ_8pU+rZ;s9^}EC}4npa^qh=PJD*0Igk7YysL`8{&-7U3Fh#xn5TZfeH-hA zqBRkfM|?Cf7R^eg;wNBq>6RJJhga`;++Im_4L(L9L}Y+vZ?wn#R~=X$2^s?`^4>>R z|7P%Bnfq4jKAvy}8vk2>%aZJOcSr^D$}_7U3|=Y6@FMQDct%^|b{{#=Lk8Y%fNZ_> zH-6}GMe9KS#!l@?vnI9hcpG2$Zm|19H6`Anq9@3&W5yEKdA_kNR`kqqz%zp)B<04Y ztGTTxldh&oF;=nu9i&q!89XJEkQVBu8TpH%pB$H2^1FsZ_D%gIeH5Gc?QQ9`m9g!$ zDE_yXVPuloyQxWDovp3n(l+M;<=mmL_;>p5P$$hj?At~Ea-64eebUo`SE%nH%G1ty zDv9Ngx_FhVqmTOy>5^$-Lk0wIUS#?Q%-JFE(Yije?*r9o-*^fa9X!NV>{lbtd|(2% z%cN=6Tw`jE5vtDeDZ7(WAYkA%GqJ1)W9R88~Uqddqy%v>l6C9sM)tg1ntMmXVLC(eP^J z{9NMw`(?+!ajHT-EV0Js>(l(hY#&c6kz_L|5$?xe(s-7uF&9wSO?Z(&BrgEXfVu*Cxaj{MA zWB3ygNaGX7rwHN60`u!#`i>Wy8`Iymk&WAK<7nxH=Ef14ezIb{)+qsYbJ)AM^ze%V z9jrd6j0OuHDFG=(hnG7m)#^tyiY6{c)>A) zASl%rIo$HoCI9W~)@`=l41z(ku)tc+I~MUZRri=KOWTb=S>+gsjg5Mc@5Ptqf8;s8 zL(H$?wL0W=5aYjIJN>Ia7h=p@^2}FHNqU#W{C+i}ufmbJm$#64x7x={*BbRhI+b=<-wQxSC_-qttN?Xn+H8;btp+ zq9j6b1#~O%KCt+!Ky?$U{rdYt+Azao&Fv81o}KBCXBTgLE;As71pa0QMf>x&Gop8BTdXSYQjYGPgFSuwSeP8x1$OyfU-{|s zjc4GuC9#flA3b)2ZL&jGIk1ad?S3M?^NSJ})k39GX23`TIAN#+s}XfQatMbi)(nzd zK@x=$7K*q;0$UGKH68W0praRg6^rXHN^>|$e_r5sg#MF^isCS1*awo=rDu`lfgp>g zBSoncr=owTd9sAxlcgK{ElIM+p1gc{3= zz+WXt&=1)WHq>lj*=fvHLtmm zJwa~8;1RSw9NUBbKF^Xx_!@6V&%Tf>34){lP6qG-x4%jy!__oM#adOdvDqCA{|CX+ z*s>CKa!~b51&G4f06fFGUR=!?x_hdb#Zd2?GUJ2yAFgTrlBH_bvVr7}^d#dMCogFx z>aYI(0*P$-C29^^AFKlWff(o_G_*?np%rClNtVQeiQoI^)jwW9qsT+RVgkDaTY8iW zO6==SklNty?`W^DsSU?yTL8w-GLh#>9X>yhw6)EE+uD6+)d+h}U+opP@_dffgqK9X zL0t~eP`s~Pomc43=6l{TZxytO(}guIRfpLsFh^+07TKy@@4g-X{>vTz?%XTSoGZ&< zMNI-Ur7YJO;3!b0_Fwx*0Jp?cQZzwB<>00m9l=|2CJ%cju6-=?T6oN$cP6WDA;rL) z{-?J4S$dwo_L;v&2VJI}59^eQb?4nlDXI<<W%7#9f-H;`o^^#^$jgM8pGZ< zuKo7kpZ5Ow+JE``vG=#flO45MJmK`TWD*_KFIoKm^6M8o?6vR7^Ri06sdRj3>`J3i zbah>|=}PJBx)5A)9LQ+yx>~Vw=P2z`cEOvmx6r$^zOF5+v8!#)(by&1^o3*tPWvUX z&NlQUogCxMs3;DFdN(B{W^u6GwvAkN8QpCR?`4#@xC#EPl&e!}Cv!FO;kn^C;o0H5 WpC#_UaCTu{;jF@$x#hl F0 +T00,S03,$9C: F0 -> D0 +T00,S06,$69: 4CB8B6EAEAEA -> 20C3BC20C3B +C +T00,S08,$8C: 69BA -> A0B9 +T00,S03,$91: 97 -> DE +T00,S03,$9B: 00 -> AA +T00,S03,$35: D3 -> DE +T00,S03,$3F: 00 -> AA +T00,S06,$AE: 97 -> DE +T00,S06,$B3: 00 -> AA +T00,S06,$B8: 00 -> EB +T00,S02,$9E: D3 -> DE +T00,S02,$A3: 00 -> AA +T00,S02,$A8: 00 -> EB +T00,S02,$AD: 00 -> FF +Crack complete. Press any key ` diff --git a/broken/Green Globs and Graphing Equations.woz b/Green Globs and Graphing Equations.woz similarity index 100% rename from broken/Green Globs and Graphing Equations.woz rename to Green Globs and Graphing Equations.woz diff --git a/broken/Bumble Games v1.4.log b/broken/Bumble Games v1.4.log index 4e0d596..d1850b2 100644 --- a/broken/Bumble Games v1.4.log +++ b/broken/Bumble Games v1.4.log @@ -1,4 +1,4 @@ -Passport by 4am 2021-07-03 +Passport by 4am 2021-07-08 ______________________________________ diff --git a/broken/Con-Putation.log b/broken/Con-Putation.log index 11bd6e3..69308b5 100644 --- a/broken/Con-Putation.log +++ b/broken/Con-Putation.log @@ -1,4 +1,4 @@ -Passport by 4am 2021-07-03 +Passport by 4am 2021-07-08 ______________________________________ diff --git a/broken/Crown Of Arthain.log b/broken/Crown Of Arthain.log index 9ba0048..96c7508 100644 --- a/broken/Crown Of Arthain.log +++ b/broken/Crown Of Arthain.log @@ -1,18 +1,16 @@ -Passport by 4am 2021-07-03 +Passport by 4am 2021-07-08 ______________________________________ - + _____________________________ Reading from S6,D1 T00,S00 Found DOS 3.3 bootloader Using disk's own RWTS Writing to S6,D2 -Switching to verify-only mode -T1C,SBC Found RW18-sector format -T02,S0C Switching to built-in RWTS -T02,S02 Volume name is DISK VOLUME 254 -Verification complete. The disk is good. +T1C,S0F Switching to built-in RWTS + +T1C,S0F Fatal read error Press any key ` @@ -22,3 +20,5 @@ Press any key ` + + diff --git a/broken/Dinner on a Disk (Softsmith).log b/broken/Dinner on a Disk (Softsmith).log index 796b337..a24ff58 100644 --- a/broken/Dinner on a Disk (Softsmith).log +++ b/broken/Dinner on a Disk (Softsmith).log @@ -1,4 +1,4 @@ -Passport by 4am 2021-07-03 +Passport by 4am 2021-07-08 ______________________________________ ____________________________________ diff --git a/broken/Fractions v3.0 (Softsmith).log b/broken/Fractions v3.0 (Softsmith).log index b9557d7..83679cb 100644 --- a/broken/Fractions v3.0 (Softsmith).log +++ b/broken/Fractions v3.0 (Softsmith).log @@ -1,4 +1,4 @@ -Passport by 4am 2021-07-03 +Passport by 4am 2021-07-08 ______________________________________ diff --git a/broken/Green Globs and Graphing Equations.dsk b/broken/Green Globs and Graphing Equations.dsk deleted file mode 100644 index 868c15f..0000000 --- a/broken/Green Globs and Graphing Equations.dsk +++ /dev/null @@ -1,102 +0,0 @@ -000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000Z0B$"*"B$"/"MSMS(AXMS)(MSZ0):58100=RZZ5PNZ0MEAX:58100^DB$DB$(AB$,MS,MEMSZ1):kx:56500`Z1:FAXUXL:FBYUYL:FCFAZ1:FDFBZ1:PTZ060300eWZ0:UZ1ZF:XZ1(FC(Z1)):YZ1(FD(Z1)):KX%(X)Z1KY%(Y)Z1G%(XSU59000:SUZ0A$"^"SUZ1:58100U A$"("MSMS(AXMS)(MSZ0):PNPNZ1:MEAX:58100A$")"PNZ0IPZ0:AXAXZ1:A$(AB$,AX,Z1):RZZ4MSZ058900:A$")"PNPNZ1:MEAX:58100L(A$"+"A$"-")59000:B$(AB$,AXZ1,Z1):HIOTZ1:=XX:YY:UUZ0:EX$(AB$,MS,MEMSZ1):768:RZEX:KOKORZ:56200bSUZ0:MSZ0:MEZ0:HIZ0:AXAXZ1AXAXZ1:A$(AB$,AX,Z1):(A$"0"A$"9")A$"."A$"*"A$"/"MSMS(AXMS)(MSZ0):MEAX:HIZ1:SUZ058100:SUZ159000:SUZ2:58100C,YFD,Y:XACZT:X,Y:::XACZT:X,FEX,FF:yDXZ5Z5ZT:FAX(X):FA,EZ4FA,EZ4::YZ5Z5ZT:FAY(Y):BZ4,FABZ4,FA:N7:11:4:"-10";:36:"10":(GTZ(KOXLKOXU))(GTZ2(KOYLKOYU))Ė6:"Your @robe is off the screen.":"/"MSMS(AXMS)(MSZ0):581001RZZ5PNZ0MEAX:58100QDB$DB$(AB$,MS,MEMSZ):^x:56500Ά0Z4:Z0,Z0:62454:Z6:AX(XL):BX(Z0):CX(XU):DY(YL):EY(Z0):FY(YU):FCBZ2:FDBZ2:FEEZ2:FFEZ2#:A,EC,E:B,DB,F:YFDZT:FSU59000:SUZ0A$"^"SUZ:58100N A$"("MSMS(AXMS)(MSZ0):PNPNZ:MEAX:58100A$")"PNZ0IPZ0:AXAXZ:A$(AB$,AX,Z):RZZ4MSZ058900:A$")"PNPNZ:MEAX:58100L(A$"+"A$"-")59000:B$(AB$,AXZ,Z):HIZ0B$"*"B$  -  -Ձ -ŕЀ 㳳〃   OTZ:=XX:YY:UUZ0:EX$(AB$,MS,MEMSZ):768:RZEX:KOKORZ:56200aSUZ0:MSZ0:MEZ0:HIZ0:AXAXZAXAXZ:A$(AB$,AX,Z):(A$"0"A$"9")A$"."A$"*"A$"/"MSMS(AXMS)(MSZ0):MEAX:HIZ:SUZ058100:SUZ59000:SUZ2:58100X)B(U)KOC(U):M(UZ)M(U):C(UZ)C(U):C(VZ)Z:M(VZ)M(V):B(VZ)B(V):KOC(V):MUZ:C(V)Z0:M(V)Z0:B(V)Z0:C(U)Z0:M(U)Z0:B(U)Z0:VXZ0:VYZ0:ܭ(XQYQ)ĢVTZ:Z:"@lease write that as Y= a function of X":"or as X= a function of Y.":MOZ:50030:B(U)TB:XEXE(Z2XE)(UZ4):YEYE(Z2YE)(UZ3):MUMU(XEZ2YEZ2)eۭA$"="āUZZ5Z:C(U)C(U)::KOZ0KOтAXAX(A$"="):A$" "51400:(VX(VYC(Z4)ZOC(Z5)Z0))(VY(C(Z)Z0C(Z2)Z0))56500:(VXVY)ıPUZ2Z3VX:VZ5Z3VX:B(UZXEZYEZ)56500:UZ6(YEZ):UU(Z3U)(XEZ):56100O٭XEZUZ2(PWZ2):VXVX(ZVX)DI{\ڭYEZXEZU5(PWZ2):VYVY(ZVY)DIڭPWZ2IWKOKOTCTB:TCTCTM:TMZ:TBZ09$۴C(U)Z056500:TM0(TC0DI0)50410:TC056500:C(U)TC:M(U)TMZMUZ8حPNZ0AXAXZ:B$(AB$,AX,Z):PNPN(B$"(")(B$")"):55300hحAXAZZAXAXZ:B$(AB$,AX,Z):(B$"0"B$"9")B$"."55400:MEAX:AXAXZحAXAZZ55700€0٭MSZ0CB$CB$(AB$,MS,MEMSZ)(EX$CB$:768:RZEX:TCRZ:MUĴ(PWZDI)(55600:A$"("A$")"MSMS(AXMS)(MSZ0):PNPN(A$"(")(A$")"):MEAX:54600֭A$"+"A$"-"MSMS(AXMS)(MSZ0)(HIZ0):HIZ054600:PNZ055600:MEAX:54600<״A$"X"A$"Y"56500:A$"X"ĴXEZ056500:YEZMUZ׭A$"Y"ĴYE056500:XEZ:MSZ0:MEZ0:HIZ0b~HAXAXZ:A$(AB$,AX,Z):(A$"0"A$"9")A$"."MSMS(AXMS)(MSZ0):MEAX:HIZ:54600~խA$"/"A$"*"MSMS(AXMS)(MSZ0):54600~֭A$"^"B$(AB$,AXZ,Z):(B$"0"B$"9")56500:AXAXZ:MEAX:54600AtִA$" "A$"="ZEX:TMRZ:IP054400:RZZ5:58000:EX$(AB$,MS,MEMSZ):768:RZEX:TBTBRZ}ԭA$"^"ĴIPIW56500:AXAXZ:A$(AB$,AX,Z):(A$"1"A$"2")56500:A$"2"DI56500:PWZ(A$"2"):AXAXZ:A$(AB$,AX,Z)~PWPW(PWZ0):AZAXZ:A$"+"A$"-"55700:AXAX:ANHCZF::DWVZ0:YM(V):UZOZ6:XC(U):G%(X,Y)Z061300::ANHCZF::L\:tG%(X,Y)Z2:Z0:2X(XXL),Y(YYL):Z3:3X(XXL),Y(YYL):HX%(NGA)X:HY%(NGA)Y:AAZ1:KX%(X)KX%(X)Z1:KY%(Y)KY%(Y)Z1:BUZ1Z6:C(BZ1)C(B)::C(Z6)Z0the menu":16368,0AmA$:AX(A$):AX2761580:AX1361805:nected.)" -Ϻ:"If your equation is wrong, you can see":"how your graph is different from the":"target graph and try again. If you need";:"help, you can press 'A' for the answer." Һ:"When you get a problem correct on the":"first try, you move t"You are to type an equation for it.":"Your equation will be graphed in white." - ˺:"(If you have a black and white display,":" the target graph will look gray and":" sometimes dashed, while the graphs of":" your equations will be white and":" conBz1: INSTRUCTIONS FOR LINEAR AND QUADRATIC GRAPHS COPYRIGHT (C) 1980, 1983, 1985 BY SHARON DUGDALE AND DAVID KIBBEY(26100)256(26101):::25600:" ":(12)PD$(13)(4):CS$(12)Q 8ǺCS$:2:1:"A target graph will be drawn in red.":! KY%(FFU):WKY%(FFU):VZ2M(A)FFU:AAZ19WZ2M(A)FFU:AAZ1TVZ1B(B)FFU:BBZ1oWZ1B(B)FFU:BBZ1uHUAZ6:M(U)B(UA)::ABZ6āUABZ6:M(U)Z0:MAZ0:CZ1ZFRUZ0:XC(U):VZOZ6:YM(V):G%(X,Y)Z061300:C(A)FEU:AAZ1WZ2C(A)FEU:AAZ18VZ1B(B)FEU:BBZ1SWZ1B(B)FEU:BBZ1YUAZ6:C(U)B(UA)::ABZ6āUABZ6:C(U)Z0:AZ0:BZ0:UZ0FB:KY%(U)Z3M(A)U:AAZ1:KY%(FF)Z2M(A)FF:AAZ1UZ1FF:V)KX%(X)Z1:KY%(Y)KY%(Y)Z1:2X(XXL),Y(YYL):HX%(RZ)X:HY%(RZ)Y:RZRZZ1:RZZ6VZ1V:iRZNGVZ1:WZ1W:tUZF:AZ0:BZ0:UZ0FA:KX%(U)Z3C(A)U:AAZ1Ƌ:KX%(FE)Z2C(A)FE:AAZ1UZ1FE:VKX%(FEU):WKX%(FEU):VZ21(FC(Z1)):RZZ6KX%(X)Z1Ă3VĭRZZ6XFEAAZ1:AZ2ĂgWYZ1(FD(Z1)):KY%(Y)Z1ĭRZZ6RZNGĂWĭYFFĭRZZ6RZNGBBZ1:BZ2Ă܊G%(X,Y)Z0G%(XZ1,Y)Z0G%(XZ1,Y)Z0G%(X,YZ1)Z0G%(X,YZ1)Z0ĂPG%(X,Y)Z1:KX%(XX,Y)Z0Ă9jG%(XZ1,Y)Z0G%(XZ1,Y)Z0G%(X,YZ1)Z0G%(X,YZ1)Z0ĂoG%(X,Y)Z1:KX%(X)KX%(X)Z1:KY%(Y)KY%(Y)Z1:2X(XXL),Y(YYL):HX%(W)X:HY%(W)Y:WWZ1:WNGĂtUZF::扌FE.5FA:FF.5FB:VZ1:WZ1:RZZ0:AZ0:BZ0:UZ1ZFVXZRN to continue.":16368,0JpA$:AX(A$):AX2762990:AX134500:2160{ D$"OPEN PW":D$"WRITE PW":PW$:D$"CLOSE": CS$:6:5:"Record Scores Management Options":9:5:"Before you can use these options,"::5:"you must type the password.";(Д$<6 V Ɔ  Ԕ"# !     - Օ Ņ    -𙙙ԕ Ԁŕ AX133000:3030=16368,0:AB$"":D0:VT:A2:"> "B);nA$:AX(A$):AX96AX123AXAX32:A$(AX)AX2762990AX84000AX13ĴD04010:DB((AX47AX58)(AX64AX91))ĢVT:AD:"X";:DD1:AB$AB$A$4010nCS$:RZABPY:X(Q(RZ)),Y(RZ):4!vaX(D),Y(C):{!cR(Z4)R(Z2):S(Z4)S(Z2):R(Z3)VV:R(Z2)VV:S(Z3)VF:S(Z2)VF:UZ4:!0uCS$:62000:23:7:"One moment -- choosing ";:A$"graphs":WG1A$"a graph"":uA$:TSZ0:PL(Z0)Z:P(Z0)TG:QGZ:SWZ:GN1TG(TC0DI0)50410:TC056500:C(U)TC:M(U)TM:B(U)TB:XEXE(Z2XE)(UZ4):YEYE(Z2YE)(UZ3):MUMU(XEZ2YEZ2)ۭA$"="āUZZ5Z:C(U)C(U)::KOZ0KOAXAX(A$"="):A$" "51400:(VX(VYC(Z4)ZOC(Z5)Z0))(VY(C(Z)Z0C(Z2)Z0))5650nvenience in these programs,":"you don't need to use the Shift key":"when you type a '^' or a '+'."f(64435)234ĺ"To make an exponent, type the '^' key." -i23:1:"RETURN to start the game, S@ACE to see":"the rules again, or ESC for the menu."):FCX(UX):FDY(LY):FEY(0):FFY(UY):FAM,FDMFAM,FFMFCM,FFMFCM,FDMFAM,FDM˓FAM,FEFCM,FE:FB,FDMFB,FFMBFE2:CFE2:AFAFC1ZT:A,BA,C::BFB2:CFB2:AFDFF1ZT:B,AC,A::AFAFC1ZT:BFDFF1ZT:A,B::LR>U.5(UYLY):CXZ0:PA(3)1(LYZ0PA(4)1)FYFYP:CXZ:UUPv;?W((UTY)(10)):BX10W:U2BXBX510(W1);@CXFYFYP:BXBXP;BBXBXFY:BCABēB,DB,F:WYZ;D7:ZWXWYWY62200,62400,62600,62800$$8666-$$$M16#$$I 6$$$72?L??,$$--<2&''m (M%"cR(Z4)R(Z2):S(Z4)S(Z2):R(Z3)VV:R(Z2)VV:S(Z3)VF:S(Z2)VF:UZ4:"0u62000:GPZ631200:UZ1Z6:C(U)Z0:M(U)Z0:B(U)Z0::A0:B0:C0:D0:PSI%(PA):PA5,40,45,50,55,60"uAMZ0:NKZ0:PA33000,34000,35000,36000,37000,38000:255,0|#yKK:10000:R(Z4)ER:CR(Z4):DS(Z4):24900!_X(S(Z1)),Y(R(Z1)):UZ1AR(Z1)ER:BR(Z2)TY:CR(Z2):DS(Z2):24900:UZ2ēX(S(Z3)),Y(R(Z3)):UZ3AR(Z3)ER:BR(Z4)ER:CR(Z4):DS(Z4):24900!`!DaABāRZABPY:X(Q(RZ)),Y(RZ):!vaX(D),Y(C):ER(Z3)),Y(S(Z3)):UZ3AR(Z3)ER:BR(Z4)ER:CR(Z4):DS(Z4):22900I Ys tYABāRZABPX:X(RZ),Y(Q(RZ)): YX(C),Y(D): !]ANYZ1:UZ2VVR(Z1)VVR(Z2)İ25500:X(S(Z1)),Y(R(Z1)):AR(Z1)ER:BMV:CMV:DVF:24900:X(VF),Y(MW):AMW:BWUIMWUIFUUANYZ1:UZ2VVR(Z1)VVR(Z2)İ25500:X(R(Z1)),Y(S(Z1)):AR(Z1)ER:BMV:CMV:DVF:22900:X(MW),Y(VF):AMW:BR(Z4)ER:CR(Z4):DS(Z4):22900C WX(R(Z1)),Y(S(Z1)):UZ1AR(Z1)ER:BR(Z2)ER:CR(Z2):DS(Z2):22900:UZ2ēX(Z1:R(U)WX:S(U)AZT:UZ221800:WZ1UZ1:VUZ1WZ1:R(VZ1)R(V)RZR(V):R(V)R(VZ1):R(VZ1)RZ:RZS(V):S(V)S(VZ1):S(VZ1)RZT:(UMVVV:MWVV:MFVF.05(DXDXZ1):C(Z3)Z0(JY(MF))ı2UMVGY(MF):MWVVVVMV:MVLIMVLI -SFCFAXLFCFAXUFDYLFDYUUUZ1:R(U)FCFA:S(U)FDHS(SAZLIUIUILI:JX(AZ)WYQ(AZ):WYJX(QQ)(QQFC)(FA):GZ(QQ)FCFA(Z1((QQFD)FB)Z2):21500:UZ022000\PFDYLı@QVFFDFB:Q(QQ)FDFB(Z1((QQFC)FA)2):DXZ1:JY(QQ)QQFDQQFDFB:GY(QQ)FCFA(Z1((QQFD)FB)Z2):UZ0:21300:UZ2FDFBYLİ21800:22000OlR(Z1))):FB(KO(C(Z4)M(Z4)M(Z4))):FCB(Z1)M(Z1):FDB(Z4)M(Z4):Q(QQ)FDFB(Z1((QQFC)FA)Z2):VVFC:VFFDFB:FDYU20500dKDXZ0:JY(QQ)(QQFDQQFDFB):GY(QQ)FCFA(Z1((QQFD)FB)Z2):21300:UZ2FDFBYUİ21800:22000:20500NN22500 GWGFAM(Z1):FF(C(Z4)C(Z1)):FEFFB(Z4):FBFFM(Z4):FCB(Z1)FE:13600}GFBFB:FCB(Z1)FE:13600:GT16: IGT12:UZ2:R(Z1)B(Z1)M(Z1):R(Z2)R(Z1):S(Z1)B(Z4)M(Z4):S(Z2)S(Z1):220008JGT11:PX.25:PYPX:FA(KO(C(Z1)M(Z1)MB)FCEJX(QQ)(QQXA):JY(QQ)(QQYA)(Z1DX)(QQYA)DX:GY(QQ)(KO(QQFC)FB)FA:GZ(QQ)LIZ1:DXC(Z3)C(Z6)KOZ0:VVXA((KOFA)):18100:UZ0:JX(QQ)QQXA:DXZ1DX:VVVVXAXAFVFQ(VV):21500:UZ0ĴVVR(Z1)VVR(Z2)25500:ANYZ1:D:DXZ0:UZ0:VFFEFA(FC):JY(QQ)QQFE(FC)MD:21500:(UZ0)((C(Z1)KOZ0)Z1)22000,24000:\DGT17:C(Z3)C(Z3)M(Z3):B(Z3)B(Z3)M(Z3):C(Z6)C(Z6)M(Z6):B(Z6)B(Z6)M(Z6):XAB(Z3):YAB(Z6):FAC(Z6)C(Z3):FBFAB(Z3):FCYA:Q(QQ)KO(FAQQFA(FCFD(MIQQBI)Z2)FE:JX(QQ)Z1:JY(QQ)QQFE(FC)MD:GY(QQ)(BI((CD(MDQQBD)Z2KO)CI))MIBVVBIMI:VFFEFA(FC)0CGZ(QQ)(BI((CD(MDQQBD)Z2KO)CI))MI:DXZ1:21500:(UZ0)((C(Z1)KOZ0)Z1)22000,24000dDCFAZ1MFC:JX(QQ)Z1:JY(QQ)(QQFC)FAZ0:GY(QQ)(BI((QQFC)FA))MI:GZ(QQ)(BI((QQFC)FA))MI:21500:UZ0C(Z1)Z022000:UZ0C(Z4)Z024000:o@CIC(V):MIM(V):BIB(V):CDC(W):MDM(W):BDB(W):FAZ1MD:FCKOCD:FDCICD:FEBDMD:Q(QQ)FR(Z1)R(Z2)RZR(Z1):R(Z1)R(Z2):R(Z2)RZ:RZS(Z1):S(Z1)S(Z2):S(Z2)RZi(CIC(V):MIM(V):BIB(V):CDC(W):MDM(W):BDB(W):FACI(CDMD):FCKO(CDMD)BDMD:DX(FAZ0):VVBIMI:VFFC?Q(QQ)FA(MIQQBI)Z2(QQ)FCFB:22000ox7GTZ3:UZ0:VXLXUERXUXL:RZ(FAVFC)FB:RZYUERRZYLERUUZ1:R(U)V:S(U)RZ8:UZ215100:VYLYUERYUYL:RZ(FBVFC)FA:RZXURZXLUUZ1:R(U)RZ:S(U)V9:UZ015700:UZ1UZ2:R(U)R(Z1):S(U)S(Z1)G:Z:SUZ058100:SUZ59000:SUZ2:58100,XSU59000:SUZ0DA$"^"SUZ:58100{ A$"("MSMS(AXMS)(MSZ0):PNPNZ:MEAX:58100A$")"PNZ0IPZ0:AXAXZ:A$(AB$,AX,Z):RZZ4MSZ058900:A$")"PNPNZ:MEAX:58100?L(A$"+"A$":"or as X= a function of Y.":MOZ:50030&OTZ:jXX:YY:UUZ0:EX$(AB$,MS,MEMSZ):768:RZEX:KOKORZ:56200SUZ0:MSZ0:MEZ0:HIZ0:AXAXZAXAXZ:A$(AB$,AX,Z):(A$"0"A$"9")A$"."A$"*"A$"/"MSMS(AXMS)(MSZ0):MEAX:HIЪB(U)HB(U)::KOHK:KK:10000:31100]/૓X(HR(U))W,Y(HS(U))X(HR(UZ1))W,Y(HS(UZ1)):/ȯ"Close enough. RETURN for another problem":48500/LVTL48100:"Close enough. RETURN for the next level.":LVLVZ1:485000"Right! @ress RETURN for GPZ6)45000,46000Y.VTZ1:Z1:E$:VTZ1:Z6:"I don't understand that form.":49600.4" Your graph is not on the screen.":49600." There is no graph for that equation.":49600$/CS$:62000:GPZ631200:UZ1Z6:C(U)HC(U):M(U)HM(U):HR(Z3)49600-AX.01:A(R(Z1)HR(Z1)):B(S(Z1)HS(Z1)):C(R(Z2)HR(Z2)):D(S(Z2)HS(Z2)):V(VVHR(Z0)):W(VFHS(Z0)):AAXBAXCAXDAXVAXWAXĴZ1(NKZ1)(GPZ6)47000,48000.AX.51:(AAXBAXCAXDAXVAXWAX)49600:Z1(NKZ1)(30:,7:AK1:W0:U472:44000::PA6U6:W1:44000L,31300:63030x,̜ :RETURNING FROM 63000 GOTO WILSON,7:VTZ1:15:"calculating "-ANYZ0:OTZ040200:7:10000:NKNKZ1:VTZ1:Z1:E$:VTZ1:Z1:ANYZ140600:ANYZ040500:GTA$"-":+bA$(U):)+B$"":VZ0ı:+VZ0B$"+"K+ƘB$B$(V):q+ 40100,40140 RETURNS FROM WILSON+(AX27)BH53000,55000:AX1943000:PAZ4AKZ040110,VT2:U13.5(AN$):UU(1U)(U1):U:"The answer is "AN$:AM1:NKNK1:630$)2):WB:EX$"Y":38800:AN$AN$(AB$,(AB$)2)"="(C):*zHR(Z4)XL:HS(Z4)YA:HR(Z5)XU:HS(Z5)YA:HR(Z6)XA:HS(Z6)YL:HR(7)XA:HS(7)YU:*WZ0AB$EX$"2":*AB$"":WZ0AB$"+"*AB$"("EX$AB$(W)")2":*XA$"":UZ1ı +]UZ1YLUUZ1:R(U)V:S(U)RZm)ꒂ:UZ237900:VYLYUYUYL:RZ(FBVFC)FA:RZXURZXLUUZ1:R(U)RZ:S(U)Vs)) VZ1Z2:HR(VW)R(V):HS(VW)S(V)::;*pKOC:C(Z3)Z1:M(Z3)Z1:B(Z3)A:C(Z6)Z1:M(Z6)Z1:B(Z6)B:WA:EX$"X":38800:AN$(AB$,(AB7,6,6,7,7,6:AXU:AXZ0AN$AN$"-"BB$"=1":37300f(AN$BB$"-"AN$"=1":C(Z1)C(Z1):C(Z4)C(Z4)(FA((C(Z1))):FB((C(Z4))):FDFAB(Z1):FEFBB(Z4):FCFDFE:WZ3:37600:FBFB:FCFDFE:WZ5)UZ0:VXLXUXUXL:RZ(FAVFC)FB:RZYURZ$"Y":38800:AN$AN$"+"AB$"/"(D)"2=1":'KOZ1:C(Z1)1C2:M(Z1)Z1:B(Z1)A:C(Z4)1D2:M(Z4)Z1:B(Z4)B:WA:EX$"X":38800:AN$AB$:CZ1AN$AN$"/"(C)"2"'WB:EX$"Y":38800:BB$AB$:DZ1BB$BB$"/"(D)"2"3(WZ1:LV7,7,6,7,CC:C(Z1)Z1:M(Z1)Z1:B(Z1)A:C(Z4)Z1:M(Z4)Z1:B(Z4)B:WA:EX$"X":38800:AN$AB$:WB:EX$"Y":38800:AN$AN$"+"AB$"="(C)"2":.'KOZ1:C(Z1)1C2:M(Z1)Z1:B(Z1)A:C(Z4)1D2:M(Z4)Z1:B(Z4)B:WA:EX$"X":38800:AN$AB$"/"(C)"2":WB:EXA:39000:AN$"Y="A$"X"B$:7%AB$"":AZ0AB$"-"%U(A):AN$"Y="AB$(L%(U))"/"(M%(U))"X"B$:C(Z2)(A)L%(U)M%(U):%ЄKOC:C(Z1)A:M(Z1)Z1:B(Z1)B:C(Z5)Z1:M(Z5)Z1:UA:39000:WB:EX$"X":38800:VC:39100:AN$"Y="A$AB$B$:&KOel";::38:LV::36:"of "TL:Y$Dz14:"To see":" the ":"answer,":"press":" 'A'":$p{14:"To see":"asymp-":"totes,":"press":" 'A'":AK0:$KOB:C(Z2)A:M(Z2)Z1(AZ0):C(Z5)Z1:M(Z5)Z1:VB:39100:LV1433300:AZ0AN$"Y="(B):%UPAZ638010:UZ1Z2:HR(U)R(U):HS(U)S(U)::HR(Z0)VV:HS(Z0)VF:HR(Z3)GT:UZ1Z6:HC(U)C(U):HM(U)M(U):HB(U)B(U)::HKKO#|yZ2:Z1:" To":" start":" this":"problem":" over,":" press":"CTRL-S":Z1:Z1(PAZ4)31300,31600:63000$y2:36:"Lev::˴AX48AX57W1CX52010:WW1:C(W)AX48:A1W:C(W);:520107BH1:A6:CS$:5:182(PA1)10(PA5)7(PA6):P$(PA(PA6));:PA4ĺ" "P$(PA2)7 -Ϣ7:A2:"@ress"::A:"1 to begin with easy problems":A3:"and work up to hard ones":010(PA3):1(GP6)53000,55000J6 ˖A2:"> ";:D1:W0:C(1)0:C(2)0o6*˾A$:AX(A$):AX32BXW0AX136,˭AX27(AX32BX)ı6/˭AX13ĴW052010:DC(1)(W1)(10C(1)C(2))(W2):DBDC52000:74˭AX8ĴW152000:A1:" ";:C(2)0:W1:52:VA:3:AA1K%(A)::U17:L%(U):M%(U)::U18:P$(U):58A15:CS$:3:8:"Linear and Quadratic Graphs":5:18:"Menu":7:A2:"@ress for"::U16:A:U" "P$(U)::::56000!6BB1:C6:BX0:CX0:52000:AX2762990:GPD:PAD:GP554000:TL1KOYU))Ė6:"Your @robe is off the screen.":50900Z"UZ0:VZZ3(GTZ):WZ5V:GNZTG:P(GN)Z063700̈,AXKO:H(GN,Z3)17BVZ2:CWZ2:DKOF(GN,B):DZ063700:BXG(GN)(D(GN,B)D(GN,C)D)F(GN,C):63340:63700?6CX(D(GN,V)(KOF(GN,V))2D(GNK%(173),L%(7),M%(7),KQ(Z6),HR(7),HS(7),HC(Z6),HM(Z6),HB(Z6),P$(8),P(Z6),HH(Z6),N%(Z6,20)4|Đ:25600:" ":CS$:10:10:"One moment, please"<5I%(0)6:J%(0)31:K%(0)62108:I%(1)1:A2I%(0):B:I%(A)I%(A1)B::B:B0:A1K%(0):BB1:J%(B)A:K%(A1)X(QQ)ZFZTQQ:Y(QQ)ZEZTQQ3A$"":ABCDAXVWBXCXDXUTCTMTB:ER.00000001:XU10:XL10:YU8:YL8:PX.5:PYPX:PTZ3:KK5:CS$(12):D$(13)(4):E$" "X4ĆC(Z6),M(Z6),B(Z6),R(Z4),S(Z4),I%(6),J%(31),55000:AX8AX6063040:AX1943000:AX65AX97ĢVT2:1:E$:MO0:401052AX1349650:HT13:BZ0(ST$)Z1:VT:HTB:" ":VT:HTB:" "::SUZ0:ST$"":63040 3Pä(26100)256(26101)::Z00:Z11:Z22:Z33:Z44:Z55:Z66:ZF144.5:ZE81.5:ZT10:000:X(0)N1ؽA$:AX(A$):AX2751000:AX1348600:Z1(GPZ6)30000,590001hZ2:Z1:AZ17:Z6(AZ4)):::14:A15:Z6(AZ3)):::1VT2:3:"RETURN, ERASE, or '<' to try again.";:MO1:16368,0:X(0)J2A$:AX(A$):(AX27)BH53000,another problem.":48500^0LVTLĺ"Right! @ress RETURN for the next level.":LVLVZ1:485000仺"Congratulations! You have completed thetop level. @ress ESC for the menu.":49000:X(0)0HA$:AX(A$):(AX27)BH53000,55000:48200 -1t16368,0:49Z2:FFEZ2P:A,EC,E:B,DB,F:YFDZT:FC,YFD,Y:XACZT:X,Y:::XACZT:X,FEX,FF:DXZ5Z5ZT:FAX(X):FA,EZ4FA,EZ4::YZ5Z5ZT:FAY(Y):BZ4,FABZ4,FA:ȇN7:11:4:"-10";:36:"10":#(GTZ(KOXLKOXU))(GTZ2(KOYL"-")59000:B$(AB$,AXZ,Z):HIZ0B$"*"B$"/"MSMS(AXMS)(MSZ0):58100^RZZ5PNZ0MEAX:58100~DB$DB$(AB$,MS,MEMSZ):x:565000Z4:Z0,Z0:62454:Z6:AX(XL):BX(Z0):CX(XU):DY(YL):EY(Z0):FY(YU):FCBZ2:FDBZ2:FEEPCZ0IoíA$"."ĴPCZ050390:PCZ1(CB$"0"CB$"9"):UZ2ĭ(SK$,UZ2,Z1)"^"PCZ1foíWXĭA$"."PCZ1PCZ2oĭA$"+"A$"-"ĭULBBB$(SK$,UZ1,Z1):BB$"+"BB$"-"A$(45Z2(A$BB$)):UUZ1:ULB50180:50390@pAZAZ(A$"="):XEXE(A$"3ĭAMZ0ĢVTZ1:Z1:E$:VTZ2:Z1:E$:AMZ0nâVTZ1:15:"interpreting":SK$ST$:LB(SK$):AB$"":A$"":MSZ1:MEZ1:XEZ0:YEZ0:AZZ0:PCZ0:FCZ0nÁUZ1LB:CB$A$:A$(SK$,U,Z1):FCFC(A$"(")(A$")"):WX(A$"0"A$"9")A$".":WXZ0ĴPCZ150390:1:104,8:H>CS$:12:7:"Returning to the main menu":D$"RUN ROUTER"{>MOZ0:VT22:VT:2:"Equation >":63800:50020>663800:50030>@63800:50040>8103,247:104,101:>1? 3,6,6,9,4,3,24,17,16,14,17,34,12,24,13,25,14,25,89,67,35,7H(A):=BP(0):A1(B(1)):PAP(A):P(A)P(B):P(0)B1:TL2010(PA2):N%(PA,0)0C4(PA1)6(PA2)(PA5):A1TLC:N%(PA,A)AC::N%(PA,0)TLC=BN%(PA,Z0):A1(B(1)):LVN%(PA,A):N%(PA,A)N%(PA,B):N%(PA,0)B1:30000 ->063800:62000:103,A$:ST$ST$A$:HTHTZ1:SUZ0:CN49500:50030NmBAX64AX91:BPTZ2ĭAX88AX8950030mí(AX39AX58AX44)A$"="A$"^"BST$ST$A$:VT:HT:" ":VT:HT:AX8050500:A$:HTHTZ1:CN49500:50030nôAX13(ST$SB$)Z050030:48000:PTZCNZ050030:SB$(SK$,(SK$)A):50030elíHT(SB$)40Z2(PTZ0)ĭAX13ĢVTZ1:24:"No more room":MOZ1:50030líA$"^"SUZ0SUZ1:ST$ST$A$:VT:HT:"^":HTHTZ1:CN49500:HTHTZ1:50030míSUZ1ĴAX1350410:AX49AX5750030:VT:HT:"";"50030:HTHTZ1:HJHJZ1:AZ1(((ST$,Z2(WXZ1)),Z1)"^"WXZ1):SB$(ST$,A)SB$:SK$ST$:ST$"":CNZ1:(SK$)A50030:ST$(SK$,WXA):50030líA$">"ĴSB$""50030:HTHTZ1:HJHJZ1:AZ1((SB$,Z1)"^"):ST$ST$(SB$,A):CN((SB$)A):SK$SB$:SB$"":HTHT((ST$,1)"^"):SU0:VT:HT:" ":VT:HT:" ":CN49500:WX150025:ST$(ST$,WX1):ST$"^"50025:(ST$,1)"^"50030:ST$(ST$,WX2):50030jíSUZ1ĭA$"<"A$">"ĢVTZ1:3:"Type the exponent, or erase the ^.":MOZ1:50030kíA$"<"ĴST$"AX1350030iíA$";"A$"+"diWX(ST$):A$"N"A$"^":WXZ1CB$(ST$,Z2):CB$"SI"CB$"TA"CB$"AT"A$"N"wiíA$":"A$"*"iAX(A$):A$"^"ĭWXZ1ĭ(ST$,WX1,1)"^"ĢVTZ1:10:"One-digit exponents only":MOZ1:50030jíAX8ĴWX050025:11(PTZ0)9(PTZ2)(PT3):CNZ0:HJZ0:SB$""*hiST$""ohnôCN49001:A$:CN49000:AX(A$):AX96AX123AXAX32:A$(AX)hsíAX27(PTZ3(AX65AX19))(PTZ4AX83)(PTZ2AX19)(PTZ2AX13)İ48000:50900:40100hxíMOĢVTZ1:Z1:E$E$:MOZ0:SB$,B,1):A$"P"ĭB2ĭ(SB$,B2,2)"EX"A$"@"CgfA$"^"ĺA$;:CCZ1::" ";:gp" ";:AC:BBZ1:""(SB$,B,Z1):CCZ1:VT:AC::" ";:gPMOZ0:VT22:PTZ0ĢVT:Z2:"Your Shot >":50020gZâVTZ3:Z1:"Your":"Shot":"":">"hdSUZ0:HT14e*x%fST$ST$SB$:SB$"":HTHTHJ:HJZ0:CNZ0:4fhZ0:49002SfiZ1(HTSU2((HTSU)2))fjA7(HTSU)8:B8VT1:ST$""ēA6,BA,BfkA,B7A,B11:f\A7HT7:B279:C8VT12:Z0:DCC3:A,DB,D: gaC0:AHT:VT:A:B1(SB$):A$(  -     - - - - - - - - -  tions found on the screen."509000103,1:104,8:63900 `AA63900 `AAUZ:AX(A):BY(B):T(GN)Z263670XDXZ3(BZ3)(BZ3):ADX,BDXAZ3,BZ3:ADX,BDXAZ3,BZ3:ϊDXZ2(BZ2)(BZ2):AZ2,BDXAZ2,BDXAZ2,BZ2AZ2,BZ2AZ2,BDX:AZ3,BDXAZ3,BZ2:AZ3,BDXAZ3,BZ2: :U0ĢVT1:4:"No intersec,VZ)(KOF(GN,VZ))G(GN)):CD(GN,WZ):CZ0BXCXCF(GN,WZ):63340:63700@CD(GN,W):CZ063700:CXCZ063700:CX(CXC):DF(GN,W):BXCXD:63340:CXZ063700:BXCXD:63340:63700ωlAAX:BBX:GTZ2ABX:BAXvAXLAXUBYLBYUıUe%Vf -Z1:Z00:Z22:Z33:Z44:Z55:Z66:ZF144.5:ZE81.5:ZT10:X(QQ)ZFZTQQ:Y(QQ)ZEZTQQgA$"":ABCDAXVWBXCXDXUTCTMTB:ER.00000001:XU10:XL10:YU8:YL8:PX.5:PYPX:PTZ4:KK5:CS$(12):D$(13)(4):E$"     -     -  -    ou":"will back up to a previous choice page.":"You can exit the program by pressing":"the ESC key several times."`:"@ressing ESC during a game will give":"you options to clean up the screen":"or start the game over.":ed(64435)234ĺ"For co will be evaluated and":"plotted in steps of .1 in the":"independent variable. This will limit":"the accuracy of some graphs which are"\"very steep or have very short periods."t^7:13:"Keys to Remember":9:"Each time you press the ESC key, yrctangent.":X"Use parentheses around function":"arguments: write abs(X), not absX."::"To make the symbol P (pi), type '@'.":Y"To insert or erase in the middle of an equation, use the '<' and '>' keys.":24:61800ZCS$:1:1:"Functionsmeans absolute value"::"'sqr' means square root"::"'log' means natural log, the inverse of":" the exponential function 'exp'": W"Trig functions are allowed only in the":"Expert Game: sin, cos, tan, csc, sec,":"cot, and atn. 'atn' means a X=3Y2-5/(Y-4)4"oR:"To write an exponent (as in X2), type":"the '^' key, then type the exponent.":S"Exponents are limited to one digit.":24:61800UCS$:2:1:"You can also use the functions abs,":"sqr, log, and exp.":V"'abs' Y=4"12)"X=1/3Y2"::" X=-6"11)"X2+Y2=64"::" Y=2X-3"9)"3(X-1)2-4(Y-2)2=50"P:"You can use other kinds of graphs":"if you write them as either"::" Y= an expression in X, or":" X= an expression in Y, like"::Q" Y=3X3-2X2 for the third":" 8 for the fourth":" 16 for the fifth":" ... and so on."::"The game ends when all the green globs":"have been hit.":23:23:61800WKCS$:1:"You can use lines, parabolas, circles,":"ellipses, and hyperbolas, like"::" ph.":23:61800 ACS$:2:1:"The object of this game is to hit":"as many green globs as possible":"with each shot."::"Your score for each shot is:": C" 1 point for the first glob hit":10)"on that shot"F" 2 for the second":" 4 G%(X,YZ1)Z0G%(X,YZ1)Z0Ăt oG%(X,Y)Z1:KX%(X)KX%(X)Z1:KY%(Y)KY%(Y)Z1:2FX(XXL),FY(YYL):WWZ1:WNGĂ tUZF: <19:1:"There are some green globs on the grid.":"You shoot at the globs by writing ":"equations for the computer to graZ4FA,EZ4::YZ5Z5ZT:FAFY(Y):BZ4,FABZ4,FA:X ɒZ3:11:3:"-10";:36:"10" `Z1:FAXUXL:FBYUYL:FCFAZ1:FDFBZ12 eWZ0:UZ1ZF:XZ1(FC(Z1)):YZ12(FD(Z1)):KX%(X)Z1KY%(Y)Z1G%(X,Y)Z0Ă jG%(XZ1,Y)Z0G%(XZ1,Y)Z0PTZ0& -ZE81.58RP:Z4:0,0:62454 -ɒZ6:AFX(XL):BFX(Z0):CFX(XU):DFY(YL):EFY(Z0):FFY(YU):FCBZ2:FDBZ2:FEEZ2:FFEZ2 -ɓA,EC,E:B,DB,F:YFDZT:FC,YFD,Y:XACZT:X,Y:::XACZT:X,FEX,FF:7 ɁXZ5Z5ZT:FAFX(X):FA,E " A$"":ABCDAXVWBXCXDXTCTMTB:NG13:NHZ5:ER.00000001:XU10:XL10:YU8:YL8:PX.2:PY.2:PTZ1:DQ.2209:HQ.5:P3.1415926:D$(13)(4):CS$(12) FAXUXL:FBYUYL:G%(FA,FB),KX%(FA),KY%(FB) -85f1: GREEN GLOBS INSTRUCTIONS COPYRIGHT (C) 1980, 1983, 1985 BY SHARON DUGDALE AND DAVID KIBBEY(26100)256(26101):::25600:" ":(12)( PZ00:Z11:Z22:Z33:Z44:Z55:Z66:ZF140.5:ZE81.5:ZT10:FX(QQ)ZFZTQQ:FY(QQ)ZEZTQQ:E$"     - PL(SW)1l1MO1:A$:AX(A$):AXKS40100:DS0:AX2740100:AX8AX6063040:AX1349650:49950:630401HT12:VT:BHTHT(ST$)Z:B:" ";:B:" ";::SUZ0:ST$"":DS0:1(â3:36:"Score":5:36:5):5:38(TS0):TS:r28BH1:A3:CS$:2:0):16368,0:A$:AX(A$):AX27AXKS40100:AX1348500:49950:E$E$:6303002:A18:62(A3)2(A6)):::13:A14:53(A2))::: 1VT2:3:"RETURN, ERASE, or '<' to try another.":16368,0:TSTSPL(SW):WG149960:A(0):SW0PL(SW)$BB$" RETURN to try another.":48500F/A$"it!":TG1A$"them all!"/他11.5(AB$)Z3(TGZ):AB$" You found "A$:48800:VT2:WG1(TSF%(10)(TS0NS10))51500:11:"@ress ESC for the menu."/HA$:AX(A$):(AX27)BH51000,53000:48200N0tA(ight! ":BB$" @ress"R.WGZ49960:VTZ:P(Z0)P(Z0)Z:Z(P(Z0)Z0)47000,48000.Z:E$:VTZ:Z6:"I don't understand that form.":49600.4" Your graph is not on the screen.":49600." There is no graph for that equation.":49600$/ABZ)H(GN,Z)):B(S(Z)J(GN,Z)):C(R(Z2)H(GN,Z2)):D(S(Z2)J(GN,Z2)):E(VVH(GN,Z0)):F(VFJ(GN,Z0)):WAWBWCWDWEWFW:(W)Ă:49600.W.01:WAWBWCWDWEWFW:TSTSPG(P(GN)):P(GN)Z0:GNTG::AB$" Close enough! ":BB$"":WAB$" Rant @robe, press 'S' and RETURN":49650,SWZ0ĴGTZGTZ263500:"@robes are horizontal or vertical. If":"you meant Tracker, press 'S' and RETURN.":49650,ANYZ40600:ANYZ040500:DSZ:GNZTG:P(GN)Z0GTH(GN,Z3)Ă:49600-W.51:A(R(:27:"@ress ESC":25:"for the menu."`+œ16368,0:A$:AX(A$):(AX27)BH51000,53000:40130+̜DSZ0:7:VTZ:SWĖ15:"calculating "',ANYZ0:OTZ040200:7:10000:VTZ:Z:E$:VTZ:SWGTZ3ĺ"Tracks are not horizontal or vertical.":"If you mee game, or ESC for the menu.":48800*16368,0:A$:AX(A$):(AX27)BH51000,53000:VT:1:E$E$E$:AX3231100:AKVT2:7:SW1*GNZTG:P(GN)Z0āAZZ6:C(A)D(GN,A):M(A)E(GN,A):B(A)F(GN,A)::KOG(GN):AK:Z:Q$(GN)" ":AKAK2:10000&+:VTZı)]UZA$"-":%)bA$(U):7)B$"":VZ0ıH)VZ0B$"+"Y)ƘB$B$(V):)VT:Z:AXKSĺ:E$E$:SW1SW:MO0:DS49950:63030$*49950:VT:1:E$E$E$:VT:7:"@ress S@ACE to see the graphs":7:"you missed, RETURN to continue":7:"th(Z4):(pKOC:C(Z3)Z:M(Z3)Z:B(Z3)A:C(Z6)Z:M(Z6)Z:B(Z6)B:WA:EX$"X":38800:AN$(AB$,(AB$)2):WB:EX$"Y":38800:AN$AN$(AB$,(AB$)2)"="(C):(WZ0AB$EX$"2":(AB$"":WZ0AB$"+"(AB$"("EX$AB$(W)")2":)XA$"":U:C(Z4)1D2:M(Z4)Z:B(Z4)B:WA:EX$"X":38800:AN$AB$:CZAN$AN$"/"(C)"2"'WB:EX$"Y":38800:BB$AB$:DZBB$BB$"/"(D)"2"'WZ:LV7,7,6,7,7,6,6,7,7,6:AXU:AXZ0AN$AN$"-"BB$"=1":(AN$BB$"-"AN$"=1":C(Z)C(Z):C(Z4)C00:AN$AB$:WB:EX$"Y":38800:AN$AN$"+"AB$"="(C)"2":&KOZ:C(Z)1C2:M(Z)Z:B(Z)A:C(Z4)1D2:M(Z4)Z:B(Z4)B:WA:EX$"X":38800:AN$AB$"/"(C)"2":WB:EX$"Y":38800:AN$AN$"+"AB$"/"(D)"2=1":U'KOZ:C(Z)1C2:M(Z)Z:B(Z)A(A):AN$"Y="AB$(L%(U))"/"(M%(U))"X"B$:C(Z2)(A)L%(U)M%(U):%ЄKOC:C(Z)A:M(Z)Z:B(Z)B:C(Z5)Z:M(Z5)Z:UA:39000:WB:EX$"X":38800:VC:39100:AN$"Y="A$AB$B$:=&KOCC:C(Z)Z:M(Z)Z:B(Z)A:C(Z4)Z:M(Z4)Z:B(Z4)B:WA:EX$"X":388"@robe":" and":"Tracker,":"press":" 'S'":13:" To":"forfeit,":"press":" ESC":63000$KOB:C(Z2)A:M(Z2)Z(AZ0):C(Z5)Z:M(Z5)Z:VB:39100:LV1433300:AZ0AN$"Y="(B):$UA:39000:AN$"Y="A$"X"B$:$AB$"":AZ0AB$"-"F%U5000,36000,37000,38000:255,0:Q$(GN)AN$#y10000:UZZ2:H(GN,U)R(U):J(GN,U)S(U)::H(GN,Z0)VV:J(GN,Z0)VF:H(GN,Z3)GT:AZZ6:D(GN,A)C(A):E(GN,A)M(A):F(GN,A)B(A)::G(GN)KO::QGZ0:SWZ0:23:E$:WG149960[$|y2:1:" To":"switch":"between"::P(GN)Z5P(GN)5(2(1))"buPAP(GN):LVW(PA)(V(PA)(Z)):LVLV(PA4LV8):GNZ2AZ0:U1GN1:AA(PAP(U)LVL(U))::AZ030050"uL(GN)LV:UZZ6:C(U)Z0:M(U)Z0:B(U)Z0::A0:B0:C0:D0:PSI%(PA):PA5,40,45,50,55,60(#uPA33000,34000,35:T25:S.25:7:X(R),Y(0):ARSR.1S:X(A),Y((TA2))::X(R),Y(0):ARSR.1S:X(A),Y(((TA2)))::X(R),Y(0)΢24:8:"@ress RETURN to continue.":16368,0ξA$:AX(A$):AX1335000:AX2751000:52950ϺCS$:4:6:A 30,4,17,35,6,15,40,1,9,45,1,8,50,2,9,50,1,10A:104,101:?1? 3,6,6,9,4,3,24,17,16,14,17,34,12,24,13,25,14,25,89,67,35,79,67,35,68,25,24,23,56,34,14,13,14,14,15,25,14,1,2,1,3,2,3,4,3,1,4,3,4,5,4,Line,@arabola,Circle,Ellipse,Hyperbola? 30,4,17,35,6,15,40,1,9,45,1,8,50,2,9,50,1,10MOZ0:VT22:63300:31):63800:50020@>663300:63800:50030R>@63800:50040q>DVT:2:SWĺ"Tracker >";:>E" @robe >";:> V3GT1:KO(KOC(V)B(V))M(V):63800:63000:DS1:49600>63800:SW63660,63670>103,1:104,8: ?8103,247DD(D0):AD:" ";:D062400:B$(B$,D):62410D=AX44A$";"=D30((AX32(D0)AX91)(AX96AX123))ĖAD:A$;:DD1:B$B$A$=AX13D062410:=CS$:12:7:"Returning to the main menu":D$"RUN ROUTER"=CS$:D$"RUN MANAGE"'>8:A1NS:BF%(A):A10)A". "3(B9)(B99))B" "F$(A):"31);