From e16979a50de574d15ea0b23d7495bc3e909216bc Mon Sep 17 00:00:00 2001 From: 4am Date: Mon, 3 Oct 2022 20:43:12 -0400 Subject: [PATCH] add box art for Lunar Explorer [thanks Alex L.] --- res/ARTWORK.SHR.SCORES/LUNAR.EXPLORER | 1 + res/ARTWORK.SHR.UNCOMPRESSED/LUNAR.EXPLORER | Bin 0 -> 32768 bytes res/ARTWORK.SHR/LUNAR.EXPLORER | Bin 0 -> 22200 bytes res/ATTRACT/LUNAR.EXPLORER | 1 + res/SS/SHR54.CONF | 1 + src/index/artwork.idx.a | 4 ++-- src/index/attract.idx.a | 2 +- src/index/cache00.idx.a | 2 +- src/index/cache01.idx.a | 2 +- src/index/cache10.idx.a | 2 +- src/index/cache11.idx.a | 2 +- src/index/coverfade.idx.a | 2 +- src/index/credits.idx.a | 2 +- src/index/decrunch.idx.a | 2 +- src/index/demo.idx.a | 2 +- src/index/dfx.idx.a | 2 +- src/index/dgr.fizzle.idx.a | 2 +- src/index/dgr.idx.a | 2 +- src/index/dhgr.idx.a | 2 +- src/index/dtitle.idx.a | 2 +- src/index/fx.idx.a | 2 +- src/index/gamehelp.idx.a | 2 +- src/index/gr.fizzle.idx.a | 2 +- src/index/gr.idx.a | 2 +- src/index/helptext.idx.a | 2 +- src/index/hgr0.idx.a | 2 +- src/index/hgr1.idx.a | 2 +- src/index/hgr2.idx.a | 2 +- src/index/hgr3.idx.a | 2 +- src/index/hgr4.idx.a | 2 +- src/index/hgr5.idx.a | 2 +- src/index/hgr6.idx.a | 2 +- src/index/joystick.idx.a | 2 +- src/index/miniattract0.idx.a | 2 +- src/index/miniattract1.idx.a | 2 +- src/index/prelaunch.idx.a | 2 +- src/index/search00.idx.a | 2 +- src/index/search01.idx.a | 2 +- src/index/search10.idx.a | 2 +- src/index/search11.idx.a | 2 +- src/index/sfx.idx.a | 2 +- src/index/slideshow.idx.a | 2 +- src/index/title.idx.a | 2 +- src/index/xsingle.idx.a | 2 +- 44 files changed, 43 insertions(+), 40 deletions(-) create mode 100644 res/ARTWORK.SHR.SCORES/LUNAR.EXPLORER create mode 100644 res/ARTWORK.SHR.UNCOMPRESSED/LUNAR.EXPLORER create mode 100644 res/ARTWORK.SHR/LUNAR.EXPLORER diff --git a/res/ARTWORK.SHR.SCORES/LUNAR.EXPLORER b/res/ARTWORK.SHR.SCORES/LUNAR.EXPLORER new file mode 100644 index 000000000..a87f0b8b0 --- /dev/null +++ b/res/ARTWORK.SHR.SCORES/LUNAR.EXPLORER @@ -0,0 +1 @@ + 513.678129572902 diff --git a/res/ARTWORK.SHR.UNCOMPRESSED/LUNAR.EXPLORER b/res/ARTWORK.SHR.UNCOMPRESSED/LUNAR.EXPLORER new file mode 100644 index 0000000000000000000000000000000000000000..11ed7378f84f337e2bfbfa6550c6e69f15826ce3 GIT binary patch literal 32768 zcma&P3tSZC{y+Y(+!2v6FKCMEh+>FBZ5~eEAR0*dnt(DIA&MSc6{HsEIN^mBkJ+dk zL6dOlcmg7nL5`+B@UqcCOW|viVg@QSws^@(T@*0U%K5#YS?uyX-~a3P|ExPRJG<<@ z_x-s(pXZsC=lS!K=NabBn{4=h3;+Lqi6KkJvM!tpiHryc2+)z308YP?BNcv2m_d=8 zO9U4X;Sv!M!9{WrE*u`Gq*hAN(eHY4TmZ*$k+XJkTy$#XERIV}UGiim$MG%61_0zI z&ztxEfT!n3 zgiCpVJ^~cFL`IgDb1rF>D}g{45#i$E5yfNCyam(}O0oi20``?Zrc?QGu|LqCwoC)ZhhDQ*W6jm3(;`2#lX@w_o zOGyEmfB*ng(3l# zv`PR>g&L_~J1cq?#Rqt4si|PlXq?A~|6<^AGZ@0p_I=n%>~G0uRKsM0y_=qsA@rod zFpVC{{|S&<$3PaY!4d)#I-(Z=?_PjS)F zT=ctCv(P!vEg3R$>qqnW#quxn@Oc!>ZEa71$vl9ZH~g&O(?ESO4^RGl7Pw`(Pube5 zA8%f#;B;&Ni3E$3J}BBHAb>=qOP&?fSAiBMe^YL>F)g~HveGM>Letzgl?Ij6iavNo zqY&Sk_8t~1NPc8z1^JKYYp5fM26>^WNdYZggeWa@0k1fGqn0y|8 z0*|MT3!%J0#f0c1B7i0$h(t5S3fNpEi3o^r(Sax^n5&41NlhCrL6apMw^L&<8sOly znqFf#8eNR3uNyhaAM{COhJ0ooK8xam`Ke&)9{$ZU(8t~U47n5h(bt9p<~{8%e%pir z8&xk$@XrPKv^!f!fGG2GFXY;phm8Db<*l8f`4oI84*@{w~iik{i1x z(+>-m&;HWFPhKdWOcrGMe)!LFC-C94hEJn)n!^_G%pcGpuu$96gbH=lUm7SxOwl}p~eP7DTBK68HZ zWPYLCm_10AGXM`LCwHNU-<`o)0q?i>q7_sEsfN}72vHvLP~>lE;5`k|D+?s=12zLrlJ z$UkIq$nZ1xI}dzk@8Q`;)C_;7N~XKtd9Vo{p{LYQh%Wk|RJ}$U=MoS>T!M5lBof9L z0e7K};kMHyrb4O&eU&r@uZ*ZD1vrW&dWCh!aO{8$icaNVj@+PBZXRHL0T2+1kLQ)I z<&)Ea??-oDy)B>V#%by{f512Gclv#r;sQj9j`gs(03J2KNF-rW>J{Nd^mv54LAP#5 zDHTYsr9)=!t%Md+Q!7AojEjpgHSNepAN?P&HUzN2r3MeU2hD?88!0*s!8enWf$wY> zk>y`NAc7$OKk$J>2Y=Jg!`E>PY(z(>`RQ0U<19D^Y!6b%xp?V!loAcuPI|`0%d51) zWv3)bl@dHPE!9|&nnKCr+)~r3nU6ji1m=LRA~iL27L*qJ!^R8RL*>jwX%NO4#G9LEO$IOy?5QPdp9+|$&(H9R>L=; zSk3%cPf!;bKuSSi41!5OWJH9Q3*o%Hyl9;9da7z?Oa;oR%4kWdFvfr;>LwMO8<)Zv zmwW__2+w{)5L^(Oxzu+VhFM}9g3r00B7u|+rMW^?=o=klD8B97io<~&>-bpdZ zXTou;b_1&1XMK|m0*!XK!}|2BS78K+(8J~`h?h%gKtN<9g|!nFsKN6pNtH?@#64_N zDk^5hRK`>oEB6ksNQvg$j8A@43FR`(5b7aF&K%&S;$RT8pFm9j62&82&&^|)XQLQq z5cj-aUI=||&b5~mD%#5uZqviB@alwwgzh6AqOWK+n?(-~j}t;ugWMp?4aA$cd%L>} zCX-Cu-QOlAckH}{Kq1RJFfh<`PzW>y3hsgsn3Xkw9mARgfrK447^Vu=XU05ZJ-k*} zyH>ESeRJws;qcmn6Tpua(!gW*$$5t9CdAjPh%dL#KTEvo7uli6Gsq^W31;BwX`gCb z8oF^hECnxx&uSZ;zEY=InwKXjo#llb78yCqi_8k}a-rJQ&w8q4=Sm5hg>I#os#!6! zVvs}97)jb39fN4MWO#*fh~JPUa1F#7ZkFE=jk4FmfbR?qZ;)^VyJS5fv*92AINSZF z^m=6>93fn$^}#*EEzLi|!_{uX3}lpcUm%0w(K`zWIz37&O_QE@zy)wY0YNBVN)cvs zF5J623jr++;AW*@FqB$R>KVOPI(B65-fCDOMOFD^9s}c}0}oU&3`6lj<`Wd^VZflDie9h5ucA#>bbhMb@$xDujOLDQ zJ#yrT)w((QU5WAY+f;_&932DyjXuH*GA?;?$w#Y)hB?%VFT`<+l*{}bzs%vvxy6j4 zT<3W{3i?gx)9*{lWiELC1!$Y*$y;;R%Lkpz1(F*x|!gX#0?1DwI3M zU^sMWD%G!6(-|f&lFuqg_MQk4(Coxsefo7@g=!stMRtokW zi(9D+%7Y*;PpFsDrvsT%T3RZ|i`iQs{<1iE@`d&HRP_fgW@j`tvaMF@mM53^4fjLv z8$y-KMGqZ1WXO>8Cx_sS@i%-Z^v^104PSaX3cqBYM`=p)hlY>pHxsr={yGo!%lD4B zjF_U+yO9)%PD4Yu0Coo+lFD@{5Nm(zW>Jk^@_3?K&)1% z7g7drTNnnOi=@jv|KuPfmUJllNNO~7i!ZbUf<%0l2R_)}c`NL1GS36rulT5cP~U0R z5q+@Sd61Ha)Jp)dk^+Ii7WFJV1AgN9l#8C8nH8{eRtz$3^vamse{K0C`F`%m&AHo$ z3cq}_c=OJ*^dk;{TdT|RK3cMga);czgh3jn3Gd12&*xG5yRM}M*q=RWe4gm5T#r&S zlxtC30<}NHm&@1im$zYh;ubiKhgtkWNnI?8O=43%g33A;hS$*GP4b(t&&eUAp#ee0 z8)ut@oWRf5W;q`SoUryR&)Xj@zH!)o|GmQdT~j}~&My@Xhd|Vj@UAgq*fFp@WDbNH z!vq>utcMKYJs~d`42#ZwbL$qm0w_tk?eahVn-H{QWCUiadhj>hF)Y#e3zpUCAk167 zIvM=wKqc|2E(K9guErO}K6(@@RZrk)_SU7KPGPo(k=MI@QA5Hk%b+zWIYN6?$LEEzh35}`1v%so@1_Y zycUO}qHZgc-&C5TyD~0wWJ~L{C~rh&FfHcGl)XlIG`0=I16(5U7MnIb>af$*Hli(cQET1p*O-tk2n>bb*0cf~hBp?|jsGo&UD3tu3uBBg6RW zbyS-Pc9x~)*R6JCtrZRmVOiNQ*~4oO8754ig#>cRZ&;$DKq2ZEmWO^d`W#9IZ0-&% zQxdo);_)nJZparu}s!&&IfU05Rk-y(j} z^=~DqN|M?t+lA~;NslD$!GB(y5X30#M|Pgu)_WQDM( z^Z;;2Pr@AjI-gcmRZ?Q90!Anp+QO+Ws$k&jKDah(?ONShXT#L&wZeNsG2mIPAsCSJ za}UyF#n$qPMHW1S6czD&5^R@cpW=DT?)82tgjS+OqQ{_vcON01c=!|#7!@*bAS06R z%>KTk)L6BrNAXUp$EjUK ziHVlt_ljrqwIa|tw%P4IH*TPVY(u^{gMDspE{K{#qYBMr2`C9DaZ#5kf7;2*P{RE$ z^BcT9%p>H2hJ1Q5g~(>2@2@=%_H=q(hIZWQZgO`VrI?5i7^sJ8HRTFN?T52Z5E97^<^+HtRs&wvi?=&lFY zBaEG~b#yop|6BH!qXz>6UxYVN{$|VIFIKf2g=&=c%ej37f-f+pZ8ZYz_8WEq{LM+# z!t!IKLBi#0l$6T}-JzS5y`tIIo4&b5TC=zJ@!&5~zM#*qkTF0q22um^!AM;H*xrCnLM247zD4(cQ|#%1)QIu~Du9nl&=?P3qo zf#qSC9m)h6WKaOaCUA3F=TOj4X+pB{D(IZA6)cLKp1yiJ#p7+|qFF{zk^N4|N`|pD zc$GIR_isJDw9=R+8v_NBvGi2hL*vrm!|v;q)kdYYHE`+SMx;_WVK4?tg76uQ%9fSz z3<>U`c}N=q3}msoxGO6h05L2K3=EqPm_-Lj0(|-4Ar#PK4#5$m2YhX9Z6cqT_`Xzb zIchm3mD983ywzGvq%x0<(q2MD48rpsmU_VSouphs21qm}iUaoB$hL?3@l9+q{7t?; zNRNn(*mV-)C?a{e`vo+WFO#W;(|8tX~_?=Rky_=f+WO=003>|y-M~ln* z3v3`?C}j;KLt#&xKm-Wvw_dp*xa-zsD9dRCE5_Xu0Y;d?&zCG!nn=dVj7kLQnvCmw z&mu55W3JF|3{qUUs!mu7&C(DJ4YMq2LIXR7GF3yFrjl49l_$bm>WdPSr2Rbq-UT>` z$5ILQXZ9c-13;v+GQCYIHJi6cdzJIb`eTysHu6v!s$96BOjkbglKwUHhHyJby5r>Q z>udJ)Z!nV%U)#}^e}gkh;AfGsJ!&jR1I#a%c3;|Uxp1LPzK!dDL;28A zPRw1eoWF*Ac$@Nv@<1@WID#~)1bE2Q z31IJF7K>gC7{t5*Z`~>N;)Q0ACo5F5d1B0>_nYZ-E5}ur9dk6Y~lG=q`hVj zFR66>dwebUBj7K0GG1Goe07afx)uk=q(>dZw#^sM+5CNNq&6u4gmH*0D)-rEh%or# z54$W1qwKaQIsQI&M~Hb&V-99#&lQAR`;4nsuinu3)1or|CMwFVD2rftbTHg{Ya@M9 z8GlN-ych&}%cqnJEMEE&%nc1Ii!VRzOzH!mhZ7 z%b>(nSxVBRk`g32%3mvgy%Q}IzVp7YHXGL0jepM^vQ9l@rLB%iNihm=2aSng11f3cHPT@NBW%mbv-IM1TwSEN0DWQ$ZCw_Zp-COzp3{_5>Q z*m~g!pDEIZ=Wez@3lnVHw)qg^(}4}~;geu<95q0Au`C~-&BgY_s+V?;&U((WJ9oQ} zjS_es|Lh69&U@*oF`JZn#u?nAnk}?Wm{8EjvHA z2kC>;;!*X-8ujbB}kqhk#E$bIRg{=wp zZ|!y{OA~kp)EmVsx!<-LTUVS~mM|ZKB9kopHJnlsP_{J48DH@pU$nvkT3emI2K?zIbS(5sU-?7~J| zll~7!^-2X}k}4)u=vhVnj9ci=b23Vp9!fFNL~4SGiTpzpFMNwhj2TIpDD&_vI=AN%kGw`mUMacxm-!QT1v=WpD|&Yc175L$#8!usT7e(pmn#_*_IfQv7(NK#8t@*+16>8GTR1~Go( zW;jqgZ^p-v0sD*Sfrnbf+lTMB)|$;Wr}FpWNl>-*;K1j7?N?`b;jF;;c9ioA@WO^O zwp*xEJFdSMSpVfS&(3zh3sZ#I&K`Jn!ZQ$Bt>5eTRG2`3FQm=(hy}3dFx{ zU58nA!h~>DU3^dNG8mpQAo+%)xT0ClfsYP&VSgx=TauO5*!vztR1|JJIzUY)xp5R< zgLlJF3Jkp;gk}!)!R1)l2{5n4H2}_i(Asd2orvBws|IE$_7_PZ222=YqS6YPldeJ2 zHfdvmJ!z-BQps3`@eUH)(yqgpYX~%G7I?24bpd*l-Cf~^E<-o$FG;G#7vYN%F(61h z_VAJ?LM{+=4$)T4%N<hc%Lw>u@4^oYxmM=Oc%_RAVFQ@{2eC-|XbAOfxX3my- zIGGzwt?)B07Au?7pYZ;CV*RHZv4k4I05;Zx~6Fs*QN|m~@8N zA4(r>qa)LcRO^No9{G2sHGY#N_)sr}W|7C|h#lanPWg18=(D$E`$r2UCx8=|Y|0elxQ zcGD8?%Z}YqBk<9rwnZAY+6Mdkj^;75#}=vj5E223j5loG`I2q0KD!UG&3#^PL#H9= zGuR*MY=oBBnAnHvg!9V57Tz)%`la}0%%B+rH32LSws#{J<2QjXvQ~`cHP70;yI86a z#r{c>G-ng+kKaYmHZYSs)CVY_Q9?f)i~L5MBr8wx@xYfRS>uc`<%#DA@pW?Y87@^P zhJ~R_7`woin4Nq`hfjyUkMG>)X%llo2uCfirzaN0OvS_4{ZAR*VUHFiU%qTd&Fq7a zYpzt%?d+v_hjSkPm z+8iM3&^iYokczX3G8^{vaU*DK#zrW7_Qk&>Z!$NKH(`Kg*h|i7hd~8=jVq<%_0N7yH zY-}I^7|TrjY7QGR!BS7@^T++h4ZGpI0v8FRouM1{7U4D>1EE}LuSbu7fULjN@+NUv zQZm}jH(`HtTn@jmSdl#tV9cmr2taAj9Qcep@GavP*?eH)+lWUCuZ^~AlMPSX8MLr` zGJWmLm5>0$(m|(ItvVj8!PC?{h@I_0uKaRTp(7#+k5>0kFG37_S6DCDh3Sc~1W0Ub z>?UEguzK~g9EU(LmboZ!ZovN3-RN#GiYvw>uXCU9Y^fp07pS~xaFW6x}(acMxz zzA0D-QA+TNDnGwr1zfBsg7tr#o;J*~uk>H`n>TNMl2o^fuloeUM@?=XvfEFQPbXhb zc-exnJMI=gW;;`$_Nr=6Bo{tHAPGY)~Xuc86GQon2ESP&eL+~(gn<>{`qe(hxxB=qWF@r!R{mIw|+@W5?|kJ zCTI90xa?I!5nUeR@8|Ed4GH+WPHr~x`TX=+oUZltvDYp_fqm65nc{oe$Cq)k*%|*)v{aP8+9^{v zK6Q#dIMYVSK1 z{z#Lw^WZxJ&c&&2I0GTwPTC8GtLkgoOOc~kme;oI#F6Z{PqVe>nR&uXnAt{6Caf7u zJN46*^Dn#*%1xi$Bp$K;op0Jok;zzAP+xD%m*rFaPJf<%oLTVV>m2tY%wBlC*dn~h zWxp;+C2R0-k7byJ`UZSUlVXfzvN7v}vbWIP`On#*Vb0F(&e_Dvuv2?JveB?Q6-Ut; zaqntdqp`tw>(E;Xju({d3r5*T0C zAFx{O_FJzeS?vj29%Hc#qhBy&{IKn#R2+;F#a(6F#h&pcd8kS)>u=a#`i0V=2Jw5|xXFeL>f=KiZV|f;7N`kaB-g*AqjNTB zW7!Jij?cIk{Wghs$Z`Ac76fpyN;@qCZU|w*h3sq)j@)+H02f1)Mnay4IQ|fonmeKk zS|%owI}T0mDQ=+#!H|tPAY$rIE(n;6gro?j-{boA*qUoa)cLw}*l;3~16WWZH+cEs z3qei~`|lFT`(IXTx|O$sMXR-TOSQHKe>Yt}x!L)A@AmE68Fu_=W|TU z+$~}9@^DE3!wepv4j_(MI-(z7BLm1C;&x}i0Jqn$xpN2RuHNzaQ62E(os<-#!{+|S z_Qz93b{y+-LwNC}%l#GQrB;~W4NMv#0PcDAb?*FEUpH;F=AV?CFrAa1-+rXYin;B4 z7~s$O`NykX7X%9|tBN)-h2rcF1j%9%aMB?L!=K$;o0a4irL{%j`Tm4=Et<<;ic4DgbYlepqlSgOsoodv`*+568w9m;)X<^K&{3l@ zg`tBJBL>*CcX~~I4FjW2^5_m^z@68O15|S74ysb0X7vsnIVD9Mu=Ia0*Y^swD#mfsa^e?S^N$?aDuO=w=LzSA z!BIgRUMyq_VSA)kiiMI7X=iwilo(%}BdETD7mUkI$TqDGrM^JiLWNNGFhf|jDcjlE zxj$J`>h7Kmx6T$qg+KjjbALQoj)!i|GHK}Nec%A;hj(#yrWRNrs`>k*(=t5Q+PQGr zNzB3Bgeg*?uDq@zVSFB3T@;ZMEq(=ESUlIuh2n!Ob z@Bbu8Etv9Xy)?F{IWDe+pBv|zob1_RQHqkAo16O+#Wk5vPVV2of6G|UOOCH@wH{Rs zA8McScvEZT+p+MuPv02%k}KwpH#NI?Wd3f_7Wwpv4`6W6X)x|+GHLerVgDC1Gh;{D z=P+t`_#=eA%&<3}P)FSt!d3M?{@uNQzuVTO-ob$7$F39@ozEQtXTI~J8%!?+#&?Ih zHUv3@+&M6) zO(MR|k*!;|V%ZB81jus11KysUZ3u(ohP@-fk2zca7cIqm;LvdWsx?B@ni(o)=YXIK z2=GtWtb=n96%PhbfItZ67;uL^1a1vX$X}$YebU_D@L`G%b5vcA`uA6EH(!4B{N)JF z`Re)0FNLkygSpg_54iL4)+70{XhoX^3!2~@M~+bc_&j_T^Qy4MA_y-ERmH;Vb8N)r z#j4_}Jvk-PnqqvlJylf$C_25Wd+kTtk12QhPXaujI|FQ#umM=N^y6zPyt$JGLiLUj zG_mZD#;RA)f{y$RAC5v;UDu<~+m};px9t(vY^gpk*Cd)Abc2mn}B{NZ4MCJwbh%qudXs6|Ix!+um6f#(FJZLLQS(tCym}MxH2(!e_!+I|Z?$mu%S6Ucg|j}mpUL#} z`j!k7yMV8^7vJlySkS8|^~o(Qg+B08MK{s4Wo(?Qt1BUKq(7iG_g9LLRg`ATE49pB zI(EMGlkD#9hugO$J!S!W9493uC0my*rE3&zK4bO7$9_$l{hZS=lzNw{2JJ)2fd6V9 z49Ae=)2H{XkfeErKgAl}9Gb0$jhgY}g6G4ZzH{ww_rveMX`tTQt=?7N)btzbMcipr zHW>hlvPk)JCe9`r)3HH>6illPCO2aS+k5v9_Yrt#-b+ZLlV{tSqG4(?8C?9oRjyeNMoUohNNh0IKK#`O3> zhVE^V*>8lYZ-$3=-&MoAGrK{|a%U`|60#e@1ZS9^i6#+<0R+;#5hi4Z5|cB*Au*+( zG4?yd!yz(<<{Q|iq>xbJ?IqQe3@MK?j1mf0KwI9TEhF#6@p zcLzlxn=y>WUvI&d((%2>cd-THMi^gSuh^<2`q+|_Gl*;d9hdZRad8x!p12k!f;(k5 z=-b`mnP@z*YUPfl%f|i6+vnO*I;xgh7+_M1wMChjVw*(Cn}a(xt_&TXciOvEHB%k+ zP&y3?KmM4mmVwZmcT$$hopX7Cxtqz{y{pdn(}F?a-Qr9kEL;`B!|!*auz6Yyn;QB| zsJL}2ai5CuC93BzPzZ#kH?|r=o!zH8A3Ai{`N%N|< zn>t`}i2tZ7EIWz*bKmsFB>xUOqnz_cZ-DVPWJ$#LY;CEiot90#v;p$xhvySBg#Etix_ zEv`D_DkBD67Je?`0%Yl`l8?0mY?5k|+S`-bTWVW^zC#n|eM+9)#w+|X2J?~O>fKSJ z@K05z!2Bkpr(@wL1Z19;$I2&?k`mNWLr1CinQ%3H@&Yd0ux;j>VPV~ZVMcd&H*#{e zq4_cyd#NQ(;hPH!0QZn&PWwaBW=62 zy}cgOCrL`}#4X!9@_MzE2(B&3$@Ale-oO8*3Vbizy?eL&ezzp;OE=cleN01(yl>xW z`3ts%e|{8R@}>}uFB1NXJ7+MwzAYOS>zm=-Z{EMJhCQAArZf55k*$aR5^6r+5lXSa zN6<9z$etP+`e$o(wYA@Yeh0L`Rb?sq;6No+=O*<>x`TG{?@v(iiu4sLHl@}sx_tkie#jTk|!o`TJw|JMx@=2Q#=O5hG5_0b9^WhE(iY-MEUXR)Iz#moMtBjQnyS zjz^9hi4E1W|GAO&WJ}7#-7Ry8tFB15Ax=**y1MeoE&U63K&PiHMNhrE$_VxlP^)%e z==xaFsW-N_*Vfls+w0Lin4sS;J+gmS3hwx2^OMKLA-aM-wcCIZBaT2I3VblPj}})@ zGF#7Id^WQ?JWLhB-UNU5+(%`KA{K0ABR<2cz&Q-$+t*a0zV-{6o#fHwS7`}DY;FTE zWcv17AQ8n)#uN>aRuCD#{H-WJtFMdvFlannhl;6S@!%WTe?H7RZ?*mz-%H%kK^1KG@9?L+J?g>GYXyX>*a`KP#2Tv} z#NQf$p&rAG#O-oBi5Sf!Cu30p^o!Rs=b+|uVaRIk22x6KL7Xw|<4y}15=!;=32v*k zlH-$4P>)~z9lq785tx@71#E=X?yLXwuYCs_QR@7wG0Z(Q#T1&o2IV1kjSEK4ckghXXKj|3BjADCH3*_~xcW1p7#bZ4}tg04-^cKJ(Aie5V>k*H?0geaR zhy;OyJ8%5$0P2-cWFHJfAFr4Kk(h#b#lu)hKcWtvxMD@!CNP+8JpxZ&Z9WkAcYg6t z{j7JB`iY0kTc?T#HTsa@{Oz|UDINZ|Er}(SxTLWH*REPhN@6x`e%}%weCrGPGSCN) zQ21nJlGRCrv0WC&K(ut z0hskCzW|pX#!z_}2=%I1PNZ%SQHb}EhswSuz-->?j3E~~XC5Y#$t8H^RALGQkqvJrqZ%j$nVpO=AzlxodU@@|FuJZbC|EO4_1Q?;pHv z#5>eI#oaxOJWZ`nR7LP7s!-rtH?23VxGcfwD4|pOS*^FI3YmglbaYkqc~#}FVIR^P z3;ud~*zta&FwZ0cp4o$JJrL&Zu5QL(wj+x zR#TJK+{5MtA9Ci*8Or1zu!7|ib@GY5^lJ~oJx>tS?x({ID(wl6 zQt9L>ssq7b!0dqy?Aeh$(A7rmi_5QYNW4Fmt>VUwjz!o_^uQrD64&7U2kPhpA0H=w zGW^^*=1Ye0Wqg|HI$WFWiX;Vsxbo3!Sa$T7r&)m$#hJL`>ZHuS{8rMU>-@!Yw1s(h z6!gABBM;0422$!Y`h=P+W*{9jdK@}*#KU~(&>bRb*#q=ZuBgqydEvf@eF%Oi;O501 z3GtXfZJY{%Ao52Y;@>CyIqMaceZ=ZRSUvGT(Rjr9zb&R6d#ZV=FpPGj+!1= z>?g}eku&?&u#4Pd{(fNoHPLCLw;ijK9qW_nw5`o%Q_|L)8%W_Wml!7}(1)8%7s#$* z_khBQ?C2-3XbJqiyz%9a`OkUr<(C)QPl_6S21Cdw${;f^D@(}2oys189X-+4KZ^yC zSOSLto$YMsrv;g23eXXnX*h7iQlvH{H~V#QuUhCe?48waMw4;5yUDoPT}W9S_7~Uh zI{7L;i)9z`we-OhH0QFj1?Lt-mjVpI{Ce}mzblMi4@PfPw6{F4-1@P@KWQ~JvZkgD zS$N>UNS5Wet_=mM$PAlOu$ZpKroN^*FK`u7aOl2&{4r&3rZ#CIi`0*)L@yaAtRZ+C zp9adGO#yS4Z8l=7+0(Y>%h98m;grGA45823?e2kWIXqEfC3vra4a`iPS&Pd@tngm( zE!mdzjp4a>o`XL;p*{eAe~^LHnI-*dq$$B}BReV? zQMYH!znlPJ&O7jrXH@mUgWu5g9kv>m3?ErZ@zMAPe2`Ea0iq8=-w|=+oPdo>Fkf(uMXXXJVvvMs9+lHJKx13Z<03fy}^>uionK z(d<1Ee|%eMPE*z>%9rfu^0KnBk^QmY!Xd*013_L^IXjW2v4LeX1|dLGfs%c$-<7_% zX!iGn;r$2i7%U*u^8Wjl=TyLdPJQqW{VoG(ehW3+F$0#fJ50}n)=&)PjMDc?^|51C zt*$SkA6RyqQ367d5U#LSM)AMI)vEvIU*39e@x6F{a7)W#&}6^Tsj534^nD-hC2ar( zgB*G5Q~8YjvLPywekhfgx_?inOVKG+w;ogH*a!di@Wcrkfou)}!D1+lFRu8}5~Mc7 z=6uI1aiob5Hhc}C-aByMK!U$h&{+CPIak)UChbCCTRE(+w7uP<<)VW;KF()g7P}^J z&7Le8UCIqXxz7D(&eZr5-`Zx6zb^<+Sl$UYJl$>Se(oI${!z-Fd!KUp9E9>{*$oc~ zJ^VIWqwc0q@aIlKsmW36D=MN#kSfjkFkD~AG#}DUnsnvLB>iDm53_rGJkMNb>~lxP z!(}>{E7T2p7WZg#q0mb<%FdCuQQq0@pWaq(b(|CaJCS}S>~H7Zw11#}|Ay8H$A9DN ze;%DUf#rk>W}oTG2ZFXFnv(8~cx!rjojf9k9$|66eEc|AJ8igVK+{8MQIeHJPqXj$ z#CWdG_(W@EnluI9V)~TUR(#nLjiAf6i_*0DgAb-msXp|9)K)RmYCZN!b%7aJ*2(K| zxxnUildEx^j0?R&N?RBCD7L4wvj-QQaF05%h$qS|qpj6g^Wj&?Q_*Hc2(vrJl}H_D z5R%~@$Qda6nl_3}TQ^emMt6+%F<~}b6CdOaLU#9GzrL(yVmV9_GFmwxwp_%731XiX zpzQ;*XnbZzKZENivxE-@{%gipr~t*8qPWOb$I!nqL6_Z@=RhiSi`_fVz4K3t0YUM( z1=N6)ugZrN2a1K5*Twi3SIvqqzilZlE*93o^^cFhPt@T1u3M+^H)2XFmYDD$ucf7V z*DlYmH=DC7jZ3Cr88a?t!!09adFy(-r&-**S=@!YIAw}~4qc>IW>z1Pq^T|ZgIn|+ zWuY;rct}hQU&9-E5)u~o#M&R()mS^dC@|LEiFM{U`qc1&G-b+^CDRovjgbat(oCAe zLY+U?nih`1nl?f9N#DRD(NBGV`5#1(D(MMm-8;;5<^BA`rhVV}`7t6!YX6XrZ)eIZ zAE2F?9fpel!vyrV(U%nS@|4)TbLafjKewiTg11A3VEm-xA{~5xIUQC=TeSZnABnxR zw%D*p9L9Y*#srgN=ww4kMuUc>;o5e!2Us2g#mMmE^ zB#lxeNlGPhNR86Gd9!)fu3aL%!Tt=?HY<{j@lmhv32B=xus&Rn+qf%pKmQ872=GAE zOP$wX4cEBNYn|8BN3pm{jqBmmp2%Zq^ha1K+drB*^#E)Svy*6m(+edanC+wy?-k33 zuOz}YXk|J#Q#nVTp|KDDMC+kLDgE?g5%`$7wEVo{KgmAHj%W0^;QkPvJv0@U-8*cR z@xP_q!k3g!T)`#Bcsq;bgLMAPnJe_v7wd5OFm*k(dTGyUl{#D=tgc+q3ewfp3lq~I+V#Q z7IL)bbR37DAJMMaD3d4YV-dlO@slq+?oL zh+Y`>?KL&^ZIX28uC`!e*kU_+x>%4SlFe`tOd}jWF5~h^Eu_ee#Z;1WzuiA4 znM@Y@E`*hy9xS+?x5#e0h83_-a;L*RxE^ga$%|9kq_mo2e==Cb!Z`D0b266xK!kN8 zMjWS9LpetIzyE=6dwc}bU`?~uW4RN+!GURH@Fb>&^|;Dek=kmEH5sryYMT_6W_p_c z*3?LCZ8efa8$J*&S!17Ke9+U905hX<&35ft)SPSAuGzU*xUovDkDi}17?fjPx4mw& zD>3ituQ*B=cj%Nx7nvFHWaz5mM&ZAYA3r{#)tY{&nfx801^j(U@v&?&1~kTY2}53E zf1{$b;L1KvFz2z0vPW5r>SM2lQw!7{*nP}neD8PuqQRSE2dp{QagFx1N1%`XZeJ`G zdkUL=osCje& zB)CwyuQ+O{8h?>X>jy3;{m&arbTJ8S>{3a2RqLbK&#GIx2lkRni@xR6yz>`JO;Z@A z?9%p2CH+myGmKN#yEDVve1~r@Df#&0k3VOI)A>zJD1qy-g!KIRb67J%8@zds3DI&H z7|h7f&YbJvdzrm^cNJh=YCz;j$GKl$t0?Ua%hxr%_422TSCE%)sgo=1T@hDbUl8Y$?q-;@OCxco-?xNon`N zgO@C;oF9KKjrtiCtF^@ue?LyQTJah_$(XLR*1u}O;u9(lFtGophPjSyx7MToS>N8S z82>Vd8g8u01eM17QfyQP6W=E&yqW9H1imrQb)`6Sn6a{&#-kG#&B?0{!IIKi6!5%A47)+bwA zk6JdlViHkP|O>yhs(JQk*FLn9J5*p)cBaGZxA zl!v?#)f|#Ff@rB;^@{S% zHzzVCGJ`35zI4@qEBJGryvQE>ww>jL4Nkh^4QJ6{2qJN1KHYx*jvni(A5lF`!M+WNi4 zvf1Jw(6aeDRvFY=f50ZazOF8#72p)b*k&Bp+RFF>8ADsAPGqoCTqt3$v*mK*3Bg7d z-D27|W`T_dE@eF&~mqamxskOy3&cGMn2QQw9A8efOHh)MGzl>kDa9tzD z3DB@M-=UER1FVhbDP{qlCX|ONgJuaju${}v^ z=P%2s<#|5-lXdHE2(yd1gM;n6b2A{QaLp z3WWQOSRnJLrKLToeswEwEAWhVEecV1%H=DX?>b>-!3kRS9jvqa!Q|{$^gK1OTcxD5 z2KYc<5cQA214{?%(aazoqp7>bQT2ubDg=(h#4n5Ikpg+NkmNwhUn7bQ6nMy}8ih-( zAK{PZC)cO9FWp6#i5FnuOK-2Gq(!M$UY*d|o?%q#(kVPmD2EvUrG*x!55XRB{nrnI z{YniVAMfkx>NJ-v_0s7|YuI482QZfLIwo%HU{@w&1RNQdD1A@IQ+S#Tna_i~AuwOm zkodI=46z#5RrhHZyN|6*Qv68Fx3_0}^1X!y7sxJ@=t^=@>zQ_IYh6aNv91*%JOcn> ze7H!-5n5c!oG4IV@=6>ZKd=-J;LNT*6Z=nTbLI62eQ*yp$SGbw7|2LgV=3>;@Jzgh z?=dlNruKm^THQyC?0iI=deX?@!TX==B z1p#neh9eiZwPs9YgW_O*#4FC>C9Z_g$v3iSsEI?9jH+-hIbP1P`&c$u((_E2?})*J z#|Nx|8^)tqPw`>jCp23iB16sqS+2Z}V$|>Z~8~>3nj%H6y+L zs6)A0l(8JgwN@wUTaY!9p2!6RsyZqTzS zM?1(n<_$A*iihD{ikV`IF_n9LNx;m=@%RyO^sxgXse2fV(aV;M8s(r+$Q%m6hQa6v z{}}wZMGY!-D)rcl_0<<^tj82<{l95;bqJHY$ZjA0B%DZUN5hPMnd)kkt<~0J$Eu_V za-bjKU9hY;$^|`Wf(s)1;n!)bNkxaZ?ku7|RFk^OxNAl0rqyqYVn$O6Pp{KNqr?R- zq@YH8{WXZ9^0jhN`FrC#sK~ z@4ZUP%v-5DBH5>QMDDNza8FTIci=|lzyX&eSC<2>QM_wh67anwT=;7gIybH;6DSh5 zituX z@!^uZ_aF2myrw?r>Uz+{K7h&K+9SwE6dgof%m%PKS<6n2?ZZcR|JXy8rs$TYumPMd zB?a(wPZ2D_+^8Gxv4L91hPvg$(mFDS=2(h{y3Cxp#09es8@T}d^5RMiQ13FCl)_(S zD2j=kJvmiUb#;jJ1voGE^Zm#UQ>TesfH_9QAJtXt@}>W5jTGN%svEx zsQTzJ3`5?-A4<|OD7jjCZ(O7?AY!S0!%{s)ND#d)QrtLU1s54GQ{-kYowYiU8HO)X zJQPA2N_$&7 zjIACh>p!Ny;d~OqF#z1rzPI`q22Drt^|T>7Hr3W~`%)gj+cf!!zTsq0F$MXbdp;_j`at1q%>qVA_>FeAXO|KnfC1 zh*DL3b$xX;vPpeSwNih~vD#_j$&>h9CVNL(`@!n+Bi0f)2wi7$%u4%T`29fK0ybj3 zpF`O38zwBBs6#p%KYkX=?ij{o4zmcuEIXdc%rU+@4A3B%SQ$_8{l=e=vj*dFiUOY6 z#~+T-prWU6zD;Qtr3-EC&@Vo`{#bi;Tm8qMQpchyUg)~e-@e0Iz1J#LPr%RoSmDW# zJtfhROH%`&NJ5^|>rz19_^sp9*#9#gt;CHRCWrx}m*-dnCdXolepibf=nvA{c7dS~ zDL$Oed5R^T5}F0zPontR75WIkE@=301}HE7UAiFEV}U;Kwbi#H%1oQ4!IP)u8$Lb7 z+rJNz4j!u_iSawE))ES@djI{U5#s^^xX1{sxLpc(DcpvQTM*+mVvVK9?j8SBCN6G) z+=$i`&^b6O&dCwBTZHY~i_seZ$egMj(lT5DkX^#`U={XV;~sp%BZUBAwMU*2}`p9`_Fm$tW# z9WGf@T|JI<;W!rp$4yB|aU;43L6z*6E z7DMry4v(da9^hvXiMOC@TsIm&1gHPhk(^}? z_HR+jw;I1Ss{HkPi2Ir(%<1I22feU#DH{pj)#*}nnB-atqYD567@sCjfiX;MX;Pen z=J~rjcBH_U$V#H$VOd#N=->_(0CTC4z!K#Te4!r%bA)d9fH=hp4%^zrwwj|g?TCPV z`SAomhtYtBmr;iH@O>9MMO+;itjzq4+NyHj03IaJ;wy{>uhz zEY1{QZGc!TSgHz3bOCxJUZuxEV$Y|5%@exy^h6hn;;W&v;58aP*dR4;z-zm(o5Dr% zfcv&77tkHGTK`T1G<57e?bFX@U#Bjj!NWSiQNO~w%RJKZ9=8;~%;@reD?8iRHm)lT zQxY|#C`!>V?50AIBAO7Y2Px|`bQNK}Kt%2sDPz~aDjUd;nvuI>rIux*jYBoccJ0_J zvho6J4v55)gt37-sKh!k8Vjas8i9~Cn2j=|(^;bhQ!!tgsQI9|h682S&bHd;4lTp` z@nh^=A>DPC z-XV^JbvWRT9^GgD6#IMDf%o>?cqbIy#P?FZ_Dj1~#5C#MzL$ULH@t-D2@fVn{uOhi z=-otvt5x|9N>bLEEbwuhdBJtS<6T%-5asv#m`#hUU*&pW;dNKbzNdt>-S@RSz*NXlf+)e|QeF}X4D&};sis_2Ms_;8HhXW-aJ7GQ_q+Unc?waIBUk(P zemT;AW>7yAIiwE`l-FG0D|deKiCHzVgep?3wHID^pXxk4;r+rpnrOkU4odnL3Ge2) zIqlbC$f9S8nI8n5g!esi?3NzyBf*2g!`)i+!|2u{A8vIHwQT-u5~)7ha^AuV%H?wP z4fEaOX3nUAnO1(oG-|JxtF~D)*RMYRM)eQWD=Rre;j;dZKNjh&Xn#38EapjXpPWpt zLFV>hVZwPm;gTfXB`3PQEeUYQo3&qSvg?ZM{qBK;OYhn1y~5s-EFWw+$V`ocnpbPl zf?Kt%bFXgZm&}Q^zUGU-TcU}2^IA(N_L^qu|Wp?3dM%I=ZW z8`w*6mLwn|GW? zj=C>CJc_`w3xN+L9`Q2M=HQWFP?o)#tjR%1?up8h6z%D8vq&QvN25Vkk9H)ex#w`8 zBP@j{+U6~2Y1hKGl5-?AO;(Pw-Y}`h5Xx2yWnpi}|77K=&ndZ_VdSdeKb8%JrD#6? z{PTg2Sj3`Y@wz~+&L&8)`o_* z&PBDJp}7x_XqzV;bj7*zTZE~x+i<*k^%|aFs)m`fxHTPk)j#}Hv6brTpDfdOu4))& zHJ5wt$!qJ4yTG>^`4Q1-|E`X z7*k;Atp{|{Vd$GX@}V5nhPHlpzBu{h4S@f^zEQbq9>036YOKE|*n>ZmtW|N4u#sE( z8Jrob;j(EgDTZp;;pYo>&RBmm64^U2z&fJ0dWD13(YCo+V4YmYG1v|bxwrVO3k%js z?E+^iVj*_nHR5GWEUW82`HSw1jMe2;2cQQJI6fQbf!Jw=3OI zskavhbxVsREN|ye(RDOqN%}sef9JrIQ@A+7vh2{RpB>z(>>NF`>*7@E@sX>eqw7be z21fMHA04O^rT2FhvYhMi?*PNqy%iI!@hp__e2Y zJ-+v1%?d7a>EpW{O}wY`as;78dnO%x5eT#vE60y>w7!r*0*mV92L zU&biie*fah#Vrdj33xPm-6nnF@ad_GtIS~liM})PrLy~#(Sa%E3@9VO!}#>{;OOYi z(UEWb(;@JE(XWTajFAC7y;JY+7x?yrFSkbD3s@a(ZHxIe8ZQWRqP<1wHPahAh&GZW zCG=8>hoaHRmAWOdW^;*ZUNO^GFY%9hsZy_(I2?pLwyMZxv^StsxswSgYBWW*fx8ao1|o-u~3v-^$1IxetTtjMexWfD*5SjdfH(ipBJ~Muk#~!G@aLV zWk_@8eqeb}c)@q-)JaWqJ=XMSq&>CBJ}l;T^5m&gFQG2^Cwm*r*@35f&Ym3|IP{Y# z{r;)53ia;jtE{f}i(fKu|G>ZibJ7QO`1^8jaL+*h?tw!iQzJ_M*%0Qpe}A>9?K@(G z_||qq-iE``ThK(Fzk539xR%Pm z6Y<#0Fux=IU_zi{IvHjtIF-hLRnjYs$}(l-z#9jh!=Ghgew97Iv#Wi; zlXKy4)u3k2$Qm}Cv7$v;{+=)jlsKqwkA`Eh*f$wN%d&?PyQJ8^FBT)WNA_ZMr|yTn ziD|432B-6&o0tUO=~Zmgf#H%`1RkNVgRhhjPb0SFfT~oi5^LW;v;u(^y3f3lI0Wkx^2mo-?%P6^4uKu`^4vCb%}tKaUe;n^>Q)KE4W50NGG zbDoq)A)TL|VFE-tIU(=~aOs)!MDoZJ7~pBlZ^a=kA#9C%i3AV;D4az~NVr5@sB7s7 zObw9wSabFQj#95%tcP05<&?mkIq0hj8VwjEm%{$SA$rTW9Kbi?vUpk!)G|>uY^!R# zPs?OO<}=0OnF3-0aB?QafNNfWOEZd`oG2vcg@ZKx<55Ri>`wsGOVE_S{G=|Ie{9@> zB_S?6TFc|Q*bi*rARIv$gTg&E)2?A~C&2&uht z%%(nktD=VD5udeKH{e@sI0eQgFB@|^# zMmT=@w6qL+!awj_3ugeM@CqGLu}G`3Oac|oIR;2@$*H($RyeDJPZjuLiXG}Dq{PfV z_%oE)H-8(-W`o(F5s#ByJV8jFK~OACpaUMq{*n{P`4srlg1?m&Ob<0(c!CarmRFKe=g6JelD4USPQy;(li^v(@QpJ)GmnOKg2+mf;VUgn%V>+E! zIGkf^0*r1%4IpGD3M3n{2r{eukexiNg_=8bpHB|)l~q9u*-MWEn$BW54oK9k3kMSzl_pIOn4c?WgE@+i0rCC z=SCJI5YT0?OQb3RLsc^Ps-P_Py)bPd9C*Z&Qt8tf_>0`;*q+e00(&&VN}b*f z`b2QX0KtK@_yJu6#03mzcvG03a2+KSR)TaSAw}4qV#Hz}Wa1csVG4Wz6pdpE;B=f9 zD@5lblaqr0J?+Hjga{!z5qFtT2S8m6?379~*9AWBGG?pd{^1bVhXXtKlv-5Q;z}rl{m~`Ij1K~khraP*=VWrDgDpJ?LZ3)KMF=SY2hx6&_p->(M&CQ#C<=X$N8^{^NCI9(ue}BaQYo%;D=Vy!Yig?Iu19Z=CF^P8ehtiKt971fHA zsZ8^a8!k?3rvi^Z=W=7yLInzIu{h1mR&uwyC6B~NFgt*3#ihf;pVZ-YE?$qf!|P_2 zJ2zZ>Ud~O};rID`e(tzCZ)yInF2+-w*21=>t-G5)v0=rl+uCmXKiV4j|L<+lmy(Js zh&9{M;yUK}zB?(I9i}(w4t3q#66y%Ji@utamQw95SDcCpXo|=J>xm=;~nkW zcstG2B*@e&yTHb*wAZes`Ph+2$|$Hg(n7|Li%}G27kn)cluu-!boH z_pB$`ez(Ws|I_V%-FlvbU3a@1-Q~_*?zCijk9CLx>x2w(K)61RPI?jW&!T(wA%bsLc zqy274b`^bR{grJ8EWzQ!6Z1*v#>`u4eF8vobD_~3j literal 0 HcmV?d00001 diff --git a/res/ARTWORK.SHR/LUNAR.EXPLORER b/res/ARTWORK.SHR/LUNAR.EXPLORER new file mode 100644 index 0000000000000000000000000000000000000000..8ae2c3550c730ed15cada8573188b523ccb6617a GIT binary patch literal 22200 zcmWKVXEYlO1BGLcT2X4Wh}5dBp($#|d|NwaV~^I}sZAA8dv9VB)T)^()fTNiLXD`s zh1#X$`~KYD_ndpqJ?B1`1OR~F`7cvu!Icc4C=2jO`?doWA3^Kf>Zm$_GAzs4Z3)0y z^n99qMBgN*vW+vQ{XC1N|B2zcWR`uW?~@1jy~_}p_ZL}~|6plH_CdW#b?jwk?d54)(z_kn@K9wJzS%eBhuK41O543UxH_>`gKCnD zDB3CIZNRM8c~oI`sUzrx)<>q&g+uPw9TiXBB$gQ{1T(zp8AgLpWxfH z%9xTf^rL5sMbdZ>>z#A8xABYXhs*ZbdonP=)T}Zwc%431eA8Ca%>-@hdW8K0wN+>( zV+#>j@r`nfGJcbR2@>JQ5tZ{pwJZNsvyGeDhhMH3nsUBt_x|@JG3g^QZ6C+WVU@HR zu_>hK&uw$dn}PkWI(|Bvmbn;JuzEdMUS&89tDVNR?XP|33L%_4b+vwe>y|#IN6kJ} zBV%|PAV(=YJ;8pWp)9-s$&~xt#8(0Lqmi12Ogp%YabncO^X4+Nlit$1tFX~L)=5~! z`(<|G9uo^otr~72OXN)?}1ED1Q(JI1Jg_q*IrIf8;<``Rd11 z$9uj_qE&~}UdGtIYMZAdfBhWFyRI(|yX$gKCnK%Fdo1C?$VvUgtV2oJQWA;R*^#AP zhQ6$TTbtQ_Tp()@LVGZyxC2^lCnrE6df3!kLJ2R^VJSgLI@Dh|~ z5+*Mf#;}Vxc|I2=J>FLpqm$H8rnkr-A2~(>3KO&8ua(Qu7YQVMudw$xmBXXx#WY!2 zmYj>M==}75PKs3ZI8M|b!Z5+RkG!Tg^CbuPIBNYPUD(z4aV zWelQ;PN5VS|4+joeNXGKI@Q6UeEctpfqd7lW8q`lagR&pc;DNe#A~DN&Vi4Vzh&Hl z7waW&04?Xkt#IxUk`8h$?qh($l*`YIf>J=|U5bSvZ8mKu+7yH!C=DI_Q8~C) z&)9F3t+p*Hv$A1+>(J#=3)F04QA^igH`d1U9r&;y^8sTAg-I&;Lo)aU(&21H(b3Tx zFOn&%WQLAK<}mRGMDmm`uMu|)Y!J4Z(+s37IJEmpimIf5n9hKmGRi%oGpn?PhEh%& zw&N}+H|>Jqy3wf2$hvt03&3Up4-ap13U`Mg4&h4~wm@&Ti<^r9xY4E+nLRH2$(0v~ zZcG92Z(n_!l>Tt)rB&J4{@2E}e?@L%WdekgwJ>#~fQ2Sf<47>g<*&oKIVK%**v!5A z^W%DgZIfFwf zM;@VO@$_&6J66m;2(d4>|aU zFI|+2Wsr`uMPb-9C05Ttk;TN*U`?d`t~&Q7wA~&e|8bD)Z8CvI z4Y?dHFXh47EHWlC(jcQ`^G(6a;pZA;{h)11y2q*8Dk^qVX#g~-_}ysf>umr(Pm}_5 zWmxJl?b}LHyA<0_pjSV6WuO|XHlIBCi{BvaN1J&Wuk|!ko=0}uXzNr?xBy=r4e9it zMmWp;Z{uu51uwPJF|im=s2ShrnVJ`!AL75~=}-W!VC>|HYd8KSG4pz@(k@7UpNlLB zxMHD4Pjp+XEjo$ zTfsOTdIAjiA<7Cxs`Z8rL>C#%lqSPs$AK=ZQXR1N{4)siDt0>RE5GAIY86tU;Z^&&v(+zMzP3lk^i%+Rh91r3&7was zc+vfMdZ;nn*pWn;ctcqae*S@$G!65I=0zn!HRWtelq_er+#v>CGyF|kG*^o@FU2pm zE8f%QB2FV~69Z%~izz7=)NvT;4Fj?qUZpn$EV4n1utibyko6;WZ_bbjYoNcquWXT< z@j-#+Lw5DoOQJGb3cq+)Q9Q$z31oud@SPX2fTSJLf!OQ|am=7J29V@EB-KDy)b873 z0TS^EA%-Exc6%=D2ZrQjjz~jl7;KK)MLZvubeuq-!~}-PWk}6K!2_uad-@J5f|riS z`2O!Fi7p}e=9aF)7HQym-{=g=F*2qhV)PQB&D6r`!-6~N8lgL=(I;0`yc+n_`YgqR63WV z9dxR%QF*_`FN@z?oKKFdbEYiqM+2Uqv|5%@QHnK)~N zQN-eeo8-_G_S*l1Z^xT1O(ltBY;2sgF7j|lT+SGjH$%x}Vps>Zz}wK$JR)BTkL1hH z4!VP(eG#rx=ubrSTyWKdHKej3HLZK%GF)7FI`-}3YfB=VZ2!e@sXe}k<+5#ZaJqk` zpb~lEuwn4YidRJ_asPu1(8))h5s?k+($m4tgb?tb z|FkL-2JuNMfhDax>s}VmtB`@6L-0PbMnRF_>#@Jd{9EmKLViuk#j*V7;u|~WHz3vB zV^rD#GM`I8H%Y`L(-Ye=`$0l&YtL%zcT&|ZQ5tbFN3bsV0Vp%Vz1~*tupcFkDD1i< zC<>45`HLcm3h{KqU28JyQ!1p|leK70K3brSuxff2`OIL=qZeppkm^q8Uz%#!_v)2d zFfIJqO*oOw+pFsOZ;lYEnBz7q^u{#L$(1-FO6)(m_czS}M%|WnFEWrpCA9r9>~`bD zbn$PpK${8R@cMrezM{~Bx?&%PVa{XsB^cm+f|3H=pc}V* zLrzGo9XZ#{O&si6{^=;71?g>FnKJwAmXPlQvMt?v>54>s^2n(Aaz)(pJ8i|hk+C~hE_}x7yd&u z)YJwSgTuH#y=^(``X8UDlNt}+eQPT^*v=}i?FZ;1?QPHqPmzjEs^t#``w)t%>cww~ zavL8P9&~NubRj>6$L%AIEde4D1Y0j?aF_3n#6yvm7=)VV1NxMCs{{|?9V$lv8Q?^d(-Ie&4&zSv9Aq*uStUheNNX+ZVxA?HrogXxTFFq1=&}PBrFNJD}*es?L9=eW=UsjD}%4%>B{bj+cQ+0b2Ayr z=nu!8;Cy<7NFB*qeZ}*evZr?!B~C_e_LQ4dz^!ag7^zvhMnmLI7o!SyL)itEt5X6| zAuP>*Zg12WvKd!-B$&&9yxS4nOcy+N-^ZR3sgsPof#SU95>!)5)*{H}5XZ{F$UXJ4JMD{8zU+d7pRWs)3stc$`+X1{Kf!SXsNKp&JxJ-e8c z`$`?*%aCk(4q_gzb_Y>?{ZDe1^gH#8^poNNyp0#&=qsq2*P`sK^UPSo>2UM*JUV*X z>ivwe~apG!ID&>WX{eKxK2w^*9ml7FoE zJ~PU+g|wl}HteY~r^&+|kUUAXM#--tNdV|jLE{p|z_>!__|x6UJ!(n-F3YWua9&8` zS~{Br%QPR54ROvs>d@p~gp)6XFD!*khH-0lkj(D;D?dPny>ze|0BzJ~vUwag7Rw1uHBY&qJ&?oKN3MI4UnBvPs#eYLNv8w@@kR8E>-rzdTn@ zyF@r4r!{GwtxG&O;a)pfqg2elFz!u2Rmlx6Lq**N=)gVnGe7Mr69rn;UOGY&l^6Yu?*xAs}BI-&v8{b)Tkm@A*OY6x{LMmOt-*!nKiC zXl^b)67#VCkNOM-6yu0$($!pbpJFVx7t`)xxJ>{dEA%^eHS*DZ(J~zafIGO;kSM6& z%T5-|bo6VmbAcll8oZ?NlUp&0No$#~uvUUqB+NAdc5YD)>ggV@bB1jG5$+iDe*n?N z51MdQ_NaX0{fTtf3{;wnEY!pBUkb9vdF@@E=u z1l(JGomzz|<{BdJJZ#7f5`pZT@fZgS{G|J5@OglN32qh`T53s@?anmsdG41#+pL*k zCoii=3O^Ytk#zEy?a^$ZUsF-)2evKb!L{L0^6#TE7w+B& zz)I*|C$1;yxzf@%RWD-w^L*3|$DC-u>_XBuH<=n_rkY^|9P@vJp*e+IWaOpGi~$=5 zW&2Wp7~~Sk{dy^_V~2B@2K%?i&W!&)UYv0JHCl>zSVw2-Dqk^_-5luNtg5ji86PU|`SHSBd zdluG~3U6spDf!nLfL^ro4Lh8)kH@NsKEkF&9)L<)2eLBrF*Sid=%^`$xo&*2ml*B^ zA{i?RbF-&DtMDg@MKD>K7bR`!ly*uF1n!jZ*o(jyLbo|Ft?f$mme&?S2K$7IH*O|W zz`Q1)*z5|7?=`VWns|54Z34ldJafNM9EwHx&NHXP7=9Is5BEs0$m<U@Q62f`GLX#w1;kKTezbm)ysm-|)BSR)$wJh-I#$YG zn14J=qeST!ocS_W(*c45r>p1(aFjEqExi+>iGu2`R~7G?QoAvPYP@*+k_x^FO6bk7 zR5LEaHI5I$`oN$f&|)uaMb%4ilySulwi~*TG9Jt5*+Av))wKItDHi5}p~`Cm2goPL z>;``;3EwcVn>_kDf9|W=+no_Ua15>#>VCLH9$sD3?ygga5xZ;XdKFu%hVw${aQ)TU zrOBLFu9}M)j(m@Xt}y%{&xMnm@1hL_wIaAPtq52DIIJe_@+vA-O$K37*x3wzYu_bN zGPvBZJndj<{8j?^aQyM=QD$NN?9TXsNm)5rQwwik$ld;}CyK~WE`<8oSW)&|_gFTu0NH;l)f!?J!ho}~4I_tmYGMtX!1+%CxZFchnr{#uT#IdHR$=NH zQKW6aUbG^COe>`dL^-qG(dB)K@QaOn!S0L6$I@kbR8Tzf`RAp{b&>MI&X~!A#UzWgCI`)#89N$=Mr z_;KinYTNLF@&7ph70GSiOxv-9s2f|DURq$DjaU2JlDMw5(O%iVu2(GSFln;gn%h9N za2(L`FloVEKutuc-TJK1^Rc1h%r$SHfafP%|3lWsUuvx{WfjV>Dr<5VR`}B`MHJOFbUl`eVl%1$@)c_TVQXqlNjPy9ZVElIwfcVQUd)H z<$c-*kP^DCx01Ow4@GJAglt1A@$Qb+tHw|3?T*`hX?+ACT*Nawwo-8OL#qU(JPXE? zqSjiRlo|ctRM*v9z;sHfO?H(*QsHLv-fV}K5BJ(^y;Yl#`y-a3Ux^Bq%|qJ7%Yfeu z`K|a_#I~YR?Ud}+TyFju`|q0bZ)wRvz_o>wC#Z5KZy@)+$Se96nUMV4U+D%5vv_5* zrB#h44@F3x=Y8nx?AB9C_zd^(&)?cgB{oyLOA(=&&m>b&kv+PQ!ECvaA*pZZS!(er zDm;#hiMnGARIsOFmCE4kRSyyI&Xh<`$l~!<4`IT7GyK=d>^*<_%a4}}|KJZyK!I9IF(p)C;R8iH0br z7$P2Nnb*;&HqqA$RZ}khZXJ)KhqI%O-(>dv!hCmN>AQpi) z09tPdZlRT9SL?gN@~KRrL1td-f?}W)@Y{7ymbVc8c)U&LwBa9>BCoT<_aT1cS&18vnY8uxf!1?BXg&# z$#>Rtj(}w@$L{U!+ON;vFSMMWD-?xZziHq8kW{~&_z?qIPB`cj#(g^|9Fb=du{HfB zf>>_GSq<}sB~jEXmVk1g9IMTOx?JOCnb`8=VNhI5_E@>eH_Ll)-5Bh1c#fw@a}qtV z0)b+fyy9;=a=o%KuPu?$DK%f7NL}@LY+q{*_2euTVG_Bv{BLENsnt-0SJO>I!dm6D z1f_nJ>;4Fm4(`lEgfFqQ?{@C{1!q-dUlyT zi_6*%rERUIhLIoQS@5{J={kHt^KG|Ti`S+i<|M0)|A(et(i0vkLU9L z{|of5<;eI_W=+jLhf5NEV%yBGzVd-6sclOBQJD_K;<|(s0vdMU;|=$1jfG8^csGCh zRF<;3oLi1zzoabCT70?L{c{k$W*WqAxovgxe$M*ta*&V2{00x@)v~>25<(gWClElbi0PcbRu2Ym&t2fE1m9_xj_>{# z(XpiANR|Td^WR>pH=X$KZ&IMKm7=1UuJz7PX#!HgTp^uYuOLQpB0yTje&ws}Q2?Ot z9QM%57s^vg(c4UQ4DkJw0}Xh_%MY^=Ieorp$EQ<>N&VXDA`I(j{~dOH*)IXrzEyTB7#ne>C!@qkdHjeB9C`XjS*vw)MQZBeEs z9x)z>?|My4juy79Gr`?Hx}(L*xg^=1nHH(++$2e1gzfo5*ut0mi8k7NTQL zNR|WUc#0Ylr!#(4^c@ou-RBtx%TG(_n8CMvH}E1)2Zvq=W-Dwe)HhlBNOnqgRvm($ zC~W5eck}a@zdQ^7ajx=`jCEl`|2JjL59Q$#5>FH-^GsU7IvEU38!5&WMEvR-qi+=Y z0{GgU`;=8;2P32zr6vhecpgT+mk1yIL-nUQLN3(l8?^t<&mqrnpsA?@i)_lz>{g;C z%-8StQczLrW-3RnK#bmL49wWm0z{Aj=LVfoCs z3_cbZ~VLWm)%j+v$sU91 z@nmZFlf1_V(}P}zO%k^Jf{?R`z6$s$XxQMAVVI&qRYU9=#3%`NdIjK4Z7kOn)(~y- zqO#dP&W_rpLC2`gcG6KzgKUsqJ2n8bFl@li@*-*Zl6t@Hz z8O{8q{a{nmi{bIL5#ON((8nnY9fjGlK_~=e!j~H#n(SJKT-APGY#Bf#*w2vqG4<18 zN(u-9Gt)TYFGSuzk!c7I(nrjI=JEl1^W@`y)y4b`=4fihaK-vx0F9N^%{Z!7AUjic zw@_ym$Y%Hf75Ce3G(D)gzAoZ#hNti4B;2wv@S*{;81QhuCy&w}MkxF`?Sgwx?_>r- zb=Z+#w@Jp6dZx%~uFSa1115U@5}!K++%oiecsd3AxF5;JFg}{`^s9~jncW>KP;)`6 zxOD2XrRIh4ad&+C!P@VvxZ9etDVPG}W*S@bX>j;E-bvsYG0@;8c1$(nDPZfL$OOk9 zIoa*opnJzdspl5_c@+UZ4@y?e4YC7Ih)mP8M$?o9NP~bG3hA_u=?|N{Dtsf!T7%F8 zFiTpNB=QLZDVmmYeAu(skxsp_CW4IpZGTdGp}v25D-AC9 zHuH*f{G2B<9gZmNrN{Bp%(>nsbP{_Z6;ULu*h%=p43wvWgx6s;Vr(T`jd&ZeE&l$W zP+!@7{!iOv)62w8Mdqj8dZz?k*E_Sfg$+RO8R(n4&ODAtr5E4Y|FB$~EaTNXjn9uq zKYZudsRt$IDy;y4Qq`BG#$eg30tP$*NlCg>*3L?|0hUs?yIiB@xr~A z<264$hz6Rc0x!&V#oAq7C>@4ok#xs%`FzTTzE+&HjN!;{`TaY_iiC4d4u@}tjHN=vql04%@Z70J(*jea z%1Eixt4Ml$D`~xCGjg!2yuR$faP@*fUM9^8$+gEo3h{M=9iN8d!9;P9RuL4|s6*?t zr*d=ys95z^EhwHcJ-LAq03~MVa(3rdeaIURGIe>MUBQZ-efr!Nf-XYdqpTs-5qeg) zBWH9T!%_D34EaG&>{+x~bs#YkeYy*fgStp(dC7VH_d-^MwgfZvOU3G6_RrCWU>D#$ z1eN02;`tM^g`t=13jki?D3B@W;_`zTfAaY!vQ*!FObr)s*3rhIU&>HY=9bL2v?f|K zyU?GK%4yp^+WIWvDKGImnK4S`I3Tq5>jmAjDO%&e60VYY&Z~bUj|mB*p0*xwBwsfe zs0fr>71^+hlFnQ9n^7rm;OQk(9`o(-j=w}SygIrJ`l}m}h%*s@<4MIDaQq%56t$G$ zqWV_9GDG=o$Kgnvp&fzWgv{IbrskIZ1rlqnA=Ie@aVuy+JRJL#ft!l zD&KaDZKd49SH-orau?_Th?j>u_;UXbkkV9|Qkfj672xFcnE~B<^IeYryp+?VhAoey z9fM+(y%J_nFkycaXB7jQjq-DY34lz&w}`~P6Y!N0^}q~)%OiFJG{U) zbI5z~T+O+j3=<_P*}L#K{j~tZ2m(lGe5gV5NAk6F-6fjOrn7IRk3`vr?|F`Mq0K8? zGi_D92xm!|%tanBRW|oMCx%j^9%c2STW2?m0c4g|wuRjzOloD_vZ%6`8T+IDUXTEb zli#C-&Mt`$^;|OFH78e=p=R)h<_yc42={FIw7Go=8-0}&X~1Cgz%z_PAr4Pt?$qb@ zI?aygC=eAfBzH;~(Mm6Xz~b;?Hdo8y48_#xx_>EvoGXWf^^vFE{8yjIIqg36y`uhD z74-d)UnyA_9BJ(h{B=90_{4OJ#Tun`2;JR823)WqAX3l+`4^8RQJV1xQL_I`LZFji zVXrsw5Xtbfy499;vW~ww8P`c{vP{^g$#*_SDzh@X)1 z)W^%|>MnOh!n-Ql9ALd+|Cp6CHBW^1Qu+dX#0fXtyigzSr6neCoNMBw4P;}f+-;Oy zGvnwiW)4mR8rP@4btA7u=*Nr$XmYRd#tM5U%<=iTaKlZLYPY;k4@kQ^-&s~HwBn|O zx66`?iXo(uMt5seoLxG{P1#3^6-1j)XT zTI{yXoklgkvcyJZ&luwQQ!TK*YN*GuE9zB@L#x%s+3hVqGw2OZh&(DjArNI-kUYzo#WE!av4U~az@{N)XqXN>S~gNKIH|`D zqDvJ8`>Qk(B>>>#ncHF5A^Cp4r_+SZ5eOjWA+Pid(~xJXmd^Hn91Xeh@!U^H!J63# z4YrQ1GM*1}`}t$wdD%B5K=T^Y%5`1h>JkHaa!qw^J*P#5UUt=VP%5w|$)yF^Dj44> zlgz5`_Uvldhp}z0QKc(?nzAOpYX%_nIBHVwS$kVNs^f36HS3mdPdl6}{_H9CwdLC` zPPN?+j|mWXdYiv8tb8)VlTFX5=C8s2gK!&xJ55Uq0D>~F>8XIcgXr~Zlp9nM|LY3! zJb{#qvl%&7CMT_N^&;R2M0zdej%@_!E6RT9#Z|zQgSzIt=&la~P^#cFik6_3PpH6u zImy7!y1snp(d%B=h*hsc|CMka@O?AxNa!D|cC@;lI3tXE`kxr(+xWn|rl>yE@OM+V zqc>5FM^t;X=>-7*PP&Lp3u=YRha)7ra_3~OxgGs30TGRYiE!)N>o0#kS>9=BVepnm zH8*ILv+11ei{mdw4!-AAx)9v9yLRRFV&aN(4Q_8nqO~&Z^x+NvG5-gAT#j{MM?ML} zg;q*Ia(mzSOV+57J%h%ifcnST2PCOUkQm85P}Ds#12QighKrQZ=>3fqqwx3Y2&(Vi zy!AEC$&qJ{cPB23fGAzUUW>AePos^^;tkgZp1m2>9I2nCeQE_qzD3PS94BuxiJtN~ zO~;eT-Kj_xzXZ6Fi)zVt3pQq61p=i97dvxR%;6S{r`2}@c5DXsUO8#lKXTp(?PwdP zjDP36{AUtyc4U3p@;Vc%ljIT5ANHSk0}#7163CisKIP(yYr|Md2xz%D@4@#+lSKWN zfL!++91%j~SLCE>I#FiAZX~L8NHg@}E?MiHOgw3um;Qt7#kHqjcGX0ysaVZK0vw!$ zDUthmWmoA7VSkp7kg^y72;(B)xX(?y?ij;u|}4r z!T?`qSx~stOAezu1q-qBRNMrkBt87&;~Sw{RHWqF)Nf9nR5GxW7}|BERng~ugl1DS z>a|VBu&lpgb^X5<*u7{{=<=d$0#(7N=#^8Q7Y4}Sa|b3G>?#D6q!^*_TM?w2yY@s|hG%IK^kNOc1|*kbMN-$GVVK-Vcn!kw2p`ndV@D zOD3W2wljR5C03)=-AI5RPTf$YRJ}c))Sj7ub4TzO(i2I%AO1kH?H`5_cmJ%k15fJq ze?IDW{Xb-`f6&)9+*i%b$Kw7Xa5UzAW+($-3)gg}@$oc%C>?t%J z5W1vK2*Uu_f)AMw9c&q(-+Mv#1yIgF!VTcOo_IC+e%6O!yzRNoDyB3qw?|lR@1fLZ zx<7BJFdI{5(Uw*lSMXoZKQR$$2{CjDf+d;uPwNwW_>ok(tQ}3%5iMm$s2Vcf>c|e^ zv^=_6>=UZapGJCLIwYt?B!($wOF~@9+n#iEufZp<|G01UY$d=T0JXeQO9xrwS!l_` zK|hH5foR$23RlnAiViL)R692>qslL=K{QO7VRgemOm_fHN3(PX*f7XUA;HbHay~1| zn@P~-*r8D^xMTHA2Hmeb3-H?oeh?$!q9dLdhqBp_1!-@&1Wk~7%tc+2uz@4JNSXJl zx+ldd+S`vFgORYCcTJz_hu}N+%w7_{qpl`+Arc8ZRvPW#?*Sm@!9lT4Ox!-;Djp#6 zwWKfEKIBgLn$~!gt}^3ZxzoceDj}&HxeZh`F@=`nHa&n+@2j(kmy;l=Y znF?T{xg3MQCmzfgyyCRcq83F~BdV34fA^YPJL*a{n?LwVWJhf=nDBQM(#!SGM=)p) z8~b#$pPgEAx@#i8UpX-kXy-dyFlTwNboB0s^w0*p1;2iEi1MkcvTi+(LMmO85e;xv zJB`fTyobSH772Gcv3Km7JuAb{{&9C9`IRU$gtc?4TuU_vcwfdEg6~8KuS$v%yvMi! zTW{!S41fB{9@4!W9y%I)to7+t+`GYdE%v(l=wmeCFK<_koX7qwJ#`PAoj1e5Hk*q_ zP|#%OOlju`7d=9j9L}jBC~V;4!Xx(ZE>eNHM3Du?qiW{Duz~-B*%=_P>)b7_eN?Lj zNmDIO1QGxCM~Zldjypn*B0i8!`g`SO=$Pj^%X{^Zu#@pO2KJ(NP>x%eqcwE@D{)3~38Idqd#}^hqwohm z&Lg0{-$z2bW>yUdScYl5W8m*kF`aErF-29avU=O^g(?CHG<2U<3fwt0f=tG(sM$#2Mq#5=aJ+ z8dV?AmlGIn?iE@bjDNSkDZ9d3;PshF70AH8yp#wiq)!ShsTV6%B=5yHqV{pW$j1L; zSf(d1+uDF!!Mu+lH|svgGUgA8)3>m9Q(755R?BTbMzGh=1vSo)WY8^HkTp04kw~4h!oT+#4$KL$d#MHhOfaZf8xdya%dKB zmwmZxu3HZ z@9$ncSxF6M|Lzc7I@D{mIKh0dj<^j3X0k`33WAPOfhGI*m!vY7Fmh02i~snu?O1%Z zUgSg>JMrm^D^F+KjML|@E5CqxT$8m5yA$-h5AaRBm#Eh^$#1Qclvome?Nf9^^#Os- zk;tNtUsBg)hIM2TYq98Xyp`5LQ=okW`|r#Wdn(&|E&8j;?a{WsHcVhP+Gp$<*KW zP&6_Xall%AG9hN*WcQa6t_VksuFn*70>efDIH#=K|eo5_oaMQDB%bf)-8J+5_NZ&I%54!O|HElW0j9f z=)*42cd4|v`NoPfB63p0n^5oPwZC70(3B<~X~EFRqx_bNTlk%Lm5s&^Y% zwZ+#gX_VXiTAORZrKCKA4-zDGg#M_ zaX{j2R^SnSGUgRxAbx6roHN9ozS5}#i-*$?E~zU6GW>I%sL#i|digT>^|2os-DE}kah9Nqlr=l{L_Vl@6TCXdnax)u7$A7TzZNTg_c z{=tYU1X(cuSAJOSyVLo0Q}w9rRxqYpzIE&ZPZ8AgFr1Zh4UlG`z`6hVzt8|G|GVNi z1a)_W7AhwtE>hH}9*$>v_8Lr{YuZml*nmiK8Dt`pz)poyHhRR`LoUIu6T{Id2rP8m z3we3O<5Yk!VwFO1w*UR% z+PW{fN|#e150Ff}-pLC8&vPcSXblw+%p1P1tiR7k|7biztKK3=8Gyed1drxdH6*G> z)KEkjps0=Bwz|-y4ire7ekq}ZD9E<_b{m#?&COb&kbu&8y=%>QHBxk6g5H>OsDI1Ty2ZS-=>iZMsOTe7f zCJ|1Ioce|Kk|P33wo~Ww=uf?z-JRq@sfelbOq{6-d9q#6KRPQpM+V+FqiYF6hYpTcUJ;{#+ zTgN(siT~H%OI&d!7nb$N@+S>mBHAMtc{TF)eYJ0qdlcRc+}eYoSBR<%w%3i)D<=Yl z(FWG{sI!^wa=^4g)<+YWDXfCvp=bX=mw`_g4KczC{7RFw`l}~!F2NDL3JfPeI$4q= zCMAcmvh@^hN#S^57>Ov!LKrqjij=ql;U-SO3)q>?YpGNAxMWmONaK82^WG>_oMj=E znMzs@wx)f1GtImuQ~G9^6+^OJNbNjWYVt;XT*Bu;_`)t=-T+g$U}jIz_`MX=Ic{;T zm{~3`fFV<}X5zSKd>`njI&UZceKd49K@!yO-n0+{ch$vp&ur)N@Y|WhmFFA?Vs7!G z?uwv;XI@6Wxo>~>xr;rviV{lKsQFbcW0fx&O8~>KK9G=R@4g+Y&CiZ3Vavi~mfG%o z>f^nQ9HoS#$BoYL*?>&1`}evm?^t{d3mRxWt@gneTUV=S z>#8i>reVTg@Xsf?>e;OA4@z7=fsQycpSs?&6dZh6UU%22IGW!T+bd#Vf~XQ^%8f%! zB>h|)qnNvG`z(UJb?y2fg6Ds?%NqoQolxRF*+R!D===rWg!`j{OA!QgYVJ;2f_-paUb$fng`#=SijP@EpS6;>SB z{jYBfm7Ju6fXIoC(L#5HT{GOz^;tbuUk)#gbLLkYSjgm#)~k6Ag@Nj~WN@|OeP6j- z)8>Dru+D=^N_SDJ@c8mEg+)N*XL23KZd`^zqg(J`S4W{hzNA?1Uq?5f3I2M>-OfqK z@t;I8@?zj-{PH$2%u*s?M&`2ONvp7vV&~GTlo<>9N0u-vsV_TgeGLdcq~E=NHxqza zpmo+R6;u1;9S85iQS%nQCDV}1jHDySIxZ?P;`+OVhXw!aZRzw&bxYFPVVm?+O_r|i z58LHbGQo)z$&r`2dAL9Y50TLs%Ncx|>w*F~jATPp*`i`PJ^S^CT_j<({`NH+&mM{4 z0fv^e6kYF0Ra#mCCq2*N-wT$gEBhGD%1JYBl81no-Yew^`SB#-ZbzEcA!Dn9++Br*-CU z7{uu1=7~xmOPH9uGl_xo1BweCp8+(I$ptQ4$SPG&!s=vFS*ny8`S|gF(yvT9NSj?* zn+)`OJPH>)c7j=R&>M%{h>qsXpuE~VS0@2N!HX}pN@8H);5!^;wE7z3GcX|O@Yb=9 zfr|o#=|<~{z9HbtiAbFu1{A1R!t_9%RfsR>3eJLOn&bpgI8e-tB0a)}-o|K&m^;u4 zSFpMoSYnA}vE3d4@Z=I^$-o=<2fT;l+axF4jQfcIFS0G(_UjU&NUg-dnfPzSQKc34GhL@RUWdC$w z8vH0y*CMbe_Cf;&GiF9*Vfi1Ns0|pi$w4V1uo2C4dL3B+>)nWatJtlbvOSi+^i>yr zUf>$F8_5k7dguj0olLWDx=Fjfn<00r)fWDAlcyIWVciSQHhRRvI6zD;+^*L70_TSWz< zVJ|~O23gr+2^DQOuK@oN!4WmKnmscyTp z6%$PnLVe);fDVcpx2>0IYN6QPP5Z2x)l5UM7Niilm%1Bsn?kg@f>@g-LT2}E!h&LU zCbfd>wRkIN@5srBfL}>=%_kGMm6o(3mm#KRnQiReTnA7AiQ-PP7*?m|V2S`8xQ2SJ zd35ScOQk<^J?p*(YR;>B+1sccE0Vdb)oGh5a^NPQx7P(te`>-0GYifQF#n261#ab1gPEEsWu5iEo!Z+ z<;c+grCO<0zWkN*AB!nV|fC>O&EdrwVDk~^S>GVC6 zU?%K42#<4lAv_s0GnWYqv;qNWkh47ymaM1=a}3d1L0B3Zh}+uRF6J1ztkq4t>oru& z(gj=|?(_g$sP(H@U@Nn;F{g%_AwFc*NVdZ`IUoX8VT+||BGt5YTZDouh$Q~A*{72g%c8NX zk%GE5VW)yTgWJTxpI(}BJ6grv>b9vNKw^<5BsJTs3bzI(0H8nw0{^5Gv9g%epwLK! zbbX@&5vnRE{S1*k1sA3ktvYuSu_2;70s=BwDditv-BIs=GAol1`J)bKorXte& z(dkA)-(;YINI#LlT}je)RAO46Lrm|23PL8>yip7g%Iu9}5X|b0>Z%BU~F!tVCzs+SS=$^JDl?*gHE`lJ(jpU;^p%;bJ}gW**WSh$+Mw zR9PT*OnHHqmW`5NVr~jcl3Hn2R0@O@6;skmTXbecr)4o@oeI^6+WI>Et0lc#2J6YyAIkl?O~G|C8JIe`>}4n+M_(Tn8XPD7(+gL_r$Ol1|_*lw8LpX}U3 zW_loN$!D*}&DqlRYq!_QHrq}Aoym|dw&!v~P&!$}3VSUAz;kMtho%k)0s6^0$4i>n za$N9fNN?%=c@S?1@P7bZQ~_+21d|8>rqd=1~EzGdp{MizA`E*{&n>fzQNZ$?2w|lX*%x#kSDLZ1Fw;S}*R<6&Zx(mI-pwiSlce%Utk}y9rV{~H|v3#=70FPQ| z!EM9X0NP+--w0h8fs4`9=?FGN*fv&fl?w(zodn%9fkn$)?wEK4R^3F4d$&9lOSZAM zg@(PHc^zUR%77xPy0HqhlA1y`gD05#0m5WY%z z2-02>hM+V-L8utkixeo7OoOOX*fZ1{3lO(JwB(DgdRMf(OyF#VD4yB1YQ3opW}VEZ zGB}OfdDh7~|NYm@+g_d3bsd|0&G|2qAm8)Z z6ez30K!}8UK9#fK2;IS0Yts;+fe{9Tk0P{-bT5!&1~yH(@<0JqWGujS=mfvb%;W;_$u5W`ApiUUv;<#{y6c!?=dM>6yMc8XgcgMSyOI z>_{+4B6G1>m&s5NyS2zrw{=et5kYzsbr`Bky1P3kB$9HuO1yy<& z3aVPbaEK{D{$_wHMFmMi0bX^jQ(jcpTEN>x{uYeHtlI6s8-psexum+4h%y~xEpA9; z^{2t|p(+YE-Xe6GcF%@~cF*#P+W0naW$-uboDB2iO?!K%5V+#fu%pt=gihtZ0|=D~+~jmdtZfH?OwT z7KUruSTz48bnzlL1vVBaw`Q$pc5nNSIBlk0Yc_*VhGHTnwj_UVS9RIZ5SiW<6&4H; z5FS|Wh*r>;H2_7H2H1_kMnx$rer}2G=F=6-X~GJ@|8Q8R;$lnnmmM)$UKAu(_h)+x ziP}T2l5LLm5faj!*}o(%%FaX**>hIVHq2?|i>l~~xH7m`3BVk_U1^6k)}WT!;B=)i zAlVgw!KG&GBXyvtL=6y$m?mz`sswAP@SY*C)`i60fF4R&WvoFhiyke9HOIAmpDk-- znY-17^ea2Izv{(;Rrk6aJl%i*+bJu;SL{GYac}HW4G75;qk{I*WU6LE2ik=4Yug&` z>!9AajO{Yhoh_o-uM+#ZAxw@$+CnPJq<-N^goqQf4VWye8k8E0Le$#t*NfN_GjINH ztT*;I))|?mpR}=iMOO8sRnOuj(Le@u0|yl*kYAf218t32)~<7bRoDT}#%|^8oYPUr zuuKta%FK~M$|NAmp%fuTK|~QxY?P9h(K|sY*De+@M2kX{Tzk2=GoiRcHXQ{G;Io2l z3XXToZzZ=i?^+EKLKJNfEZq=kla)Txwi%FA$A1C#8^m;hA+gQb^C`w5q8F98-JO{f zci1`~a@xL2t2k_uL8HVm8Fm{Yo^`S}?uF zz)2yJt6(mXK{NIDp(i0kk(Zghm)oFR(= zt7=HssrhlIZr2vTj1+3-mfSU|9)mjYC!e#Ky~0+i!~)qZ?2?g`(HW&l1zC}4K}E#Y z6#9}X@NKTriV{LjzB!it6hR%InHSAFmO`^6r?$fo6^zkJ(6?QR+4{C$Z59fWP6=F& zq)^xEz2vq7`pbr~aL z&Y7#%lBjwt(YGb*=gE%189dgLHzlNxJU0Zd-z?O_;XbhI`7Z?Dgt!V0? zFgSg}EXfj|u=tmx7}(5@4L6irZEqF65uqK`Ey6ObndO|vxCs&so;MpO>xgKT2^)H?V4!Sizv!OYH#mNT z>5VZQ)4B-kd<$#ljBS2#Fvuu^py5VBZ5az0X+{uSqTaCR?~%LGEdm|l=G2mQd(xMZ z1j3a}VYj^~u~D~TN-VeG@A|r5*Fa2W&a6a&DndM<_&9ekwyw2XOUy{tEHj=U?F^)A zm}s^`z9AQxiYi*vG`Pp`LQNVsQ`piCiw&_MG!(t<&!gh9S|nAOM5u9D31T31p=oL z@h&5>m8slR8K}MbkqG9>j4>I~paQhyn|G3sY)xPk0dyeOkpnM7lp@;HFnd$L19+AN zLK)3{imI>fZ1wtVC(cRE#VE!oa!DJ;wCL~u`r|V=F#oPWR$dUslWtUA?J36GBNdRB z;c}fUEo&)}2se|k?I+zRDDv4sLXzvSBq2-^(Gfu+k^SCDlGDMNlx`ad$WU(7nr^#$ z>xL4w8zs2KHPrf6U#iCCBSDeQ$zg0Lu#}RNna?buUseAzb9eq0wak)5E)83} z9B(@t;5yyBn=jLt{NY}y>$p2E!b?wLL%agKk0v9xxay=ji2JHCvkVE{2JQ?+>D!43 zH020qxD3|o{|{ybelP+66b%vk1E>z~O$RkjOaRc#nh5MNGXw6%cWjqy0pduZ$ka%;ObHmS_U4Q30zG<(cq60^Vns%|Z2sq6>YWm^#fA7*C*%zwuX zotRb$eVQd^?q8V(%miPV{bq|J*%1KOhqesa?O$X8-3rYMng;)N1Bg${1VRC-2v!3B zcmp+G%mp51x(Qci4(Vcs0K9%y1iO7?Gz!(22h0QA1*`vJy9((E?gqT{4*my60I3Lv zpLY%I2Sp62{tlS8%>;-A69zsBfV#RFx`~h@QC|%QqMMi_N$1U|qa%?yf|w8r5eWbQ GA^@Pl`6cfF literal 0 HcmV?d00001 diff --git a/res/ATTRACT/LUNAR.EXPLORER b/res/ATTRACT/LUNAR.EXPLORER index ed915b6d2..8b6a71f53 100644 --- a/res/ATTRACT/LUNAR.EXPLORER +++ b/res/ATTRACT/LUNAR.EXPLORER @@ -3,6 +3,7 @@ # This file is automatically generated # +LUNAR.EXPLORER=C LUNAR.EXPLORER=A [eof] diff --git a/res/SS/SHR54.CONF b/res/SS/SHR54.CONF index 2c2caac82..cac121bf9 100644 --- a/res/SS/SHR54.CONF +++ b/res/SS/SHR54.CONF @@ -2,6 +2,7 @@ # super hi-res slideshow # +LUNAR.EXPLORER OI AO SPACE.KADET diff --git a/src/index/artwork.idx.a b/src/index/artwork.idx.a index a57010a86..4b402ab62 100644 --- a/src/index/artwork.idx.a +++ b/src/index/artwork.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15218757 - !le16 5108 + !be24 15240994 + !le16 5129 diff --git a/src/index/attract.idx.a b/src/index/attract.idx.a index c5c67e5f9..46bff0123 100644 --- a/src/index/attract.idx.a +++ b/src/index/attract.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15165216 + !be24 15187453 !le16 5642 diff --git a/src/index/cache00.idx.a b/src/index/cache00.idx.a index 02ffd8766..5a28a77a6 100644 --- a/src/index/cache00.idx.a +++ b/src/index/cache00.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15099714 + !be24 15121951 !le16 4012 diff --git a/src/index/cache01.idx.a b/src/index/cache01.idx.a index b62a0e9da..dbed46789 100644 --- a/src/index/cache01.idx.a +++ b/src/index/cache01.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15113863 + !be24 15136100 !le16 4431 diff --git a/src/index/cache10.idx.a b/src/index/cache10.idx.a index 5236fa84c..d7781f5c2 100644 --- a/src/index/cache10.idx.a +++ b/src/index/cache10.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15131566 + !be24 15153803 !le16 5651 diff --git a/src/index/cache11.idx.a b/src/index/cache11.idx.a index d3ac9e72c..8f21ea8ac 100644 --- a/src/index/cache11.idx.a +++ b/src/index/cache11.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15151812 + !be24 15174049 !le16 6267 diff --git a/src/index/coverfade.idx.a b/src/index/coverfade.idx.a index 057dece05..d6a8553f3 100644 --- a/src/index/coverfade.idx.a +++ b/src/index/coverfade.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15223865 + !be24 15246123 !le16 410 diff --git a/src/index/credits.idx.a b/src/index/credits.idx.a index 6e30ada9f..c70b344d2 100644 --- a/src/index/credits.idx.a +++ b/src/index/credits.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15224619 + !be24 15246877 !le16 448 diff --git a/src/index/decrunch.idx.a b/src/index/decrunch.idx.a index dab5c1a8a..e532e3534 100644 --- a/src/index/decrunch.idx.a +++ b/src/index/decrunch.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15225067 + !be24 15247325 !le16 303 diff --git a/src/index/demo.idx.a b/src/index/demo.idx.a index a3a20e1fe..da17a5af6 100644 --- a/src/index/demo.idx.a +++ b/src/index/demo.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 12147163 + !be24 12169400 !le16 1652 diff --git a/src/index/dfx.idx.a b/src/index/dfx.idx.a index 204181a5e..fc96e98ab 100644 --- a/src/index/dfx.idx.a +++ b/src/index/dfx.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15173498 + !be24 15195735 !le16 1640 diff --git a/src/index/dgr.fizzle.idx.a b/src/index/dgr.fizzle.idx.a index 554c95b16..880c13d69 100644 --- a/src/index/dgr.fizzle.idx.a +++ b/src/index/dgr.fizzle.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15224351 + !be24 15246609 !le16 67 diff --git a/src/index/dgr.idx.a b/src/index/dgr.idx.a index 07af1e424..25f805f92 100644 --- a/src/index/dgr.idx.a +++ b/src/index/dgr.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15218698 + !be24 15240935 !le16 59 diff --git a/src/index/dhgr.idx.a b/src/index/dhgr.idx.a index 25a9934a4..87a3f2048 100644 --- a/src/index/dhgr.idx.a +++ b/src/index/dhgr.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15217164 + !be24 15239401 !le16 1437 diff --git a/src/index/dtitle.idx.a b/src/index/dtitle.idx.a index c527518a9..28d5746c4 100644 --- a/src/index/dtitle.idx.a +++ b/src/index/dtitle.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15201768 + !be24 15224005 !le16 537 diff --git a/src/index/fx.idx.a b/src/index/fx.idx.a index 5d75a94c7..e2c3dd042 100644 --- a/src/index/fx.idx.a +++ b/src/index/fx.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15170858 + !be24 15193095 !le16 2640 diff --git a/src/index/gamehelp.idx.a b/src/index/gamehelp.idx.a index 493ca85ca..6d3659a97 100644 --- a/src/index/gamehelp.idx.a +++ b/src/index/gamehelp.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15175496 + !be24 15197733 !le16 7137 diff --git a/src/index/gr.fizzle.idx.a b/src/index/gr.fizzle.idx.a index abcb04181..f69e10e99 100644 --- a/src/index/gr.fizzle.idx.a +++ b/src/index/gr.fizzle.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15224275 + !be24 15246533 !le16 76 diff --git a/src/index/gr.idx.a b/src/index/gr.idx.a index 033a09020..dccd3f594 100644 --- a/src/index/gr.idx.a +++ b/src/index/gr.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15218601 + !be24 15240838 !le16 97 diff --git a/src/index/helptext.idx.a b/src/index/helptext.idx.a index 445c44f65..eed8054a3 100644 --- a/src/index/helptext.idx.a +++ b/src/index/helptext.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15224418 + !be24 15246676 !le16 201 diff --git a/src/index/hgr0.idx.a b/src/index/hgr0.idx.a index f5ceeb7b2..9882e8261 100644 --- a/src/index/hgr0.idx.a +++ b/src/index/hgr0.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15202305 + !be24 15224542 !le16 4702 diff --git a/src/index/hgr1.idx.a b/src/index/hgr1.idx.a index dbfa44e37..391dd58a9 100644 --- a/src/index/hgr1.idx.a +++ b/src/index/hgr1.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15207007 + !be24 15229244 !le16 1715 diff --git a/src/index/hgr2.idx.a b/src/index/hgr2.idx.a index d14dcab7a..f7e5967d2 100644 --- a/src/index/hgr2.idx.a +++ b/src/index/hgr2.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15208722 + !be24 15230959 !le16 1129 diff --git a/src/index/hgr3.idx.a b/src/index/hgr3.idx.a index 75fc65aab..95a4d857b 100644 --- a/src/index/hgr3.idx.a +++ b/src/index/hgr3.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15209851 + !be24 15232088 !le16 3388 diff --git a/src/index/hgr4.idx.a b/src/index/hgr4.idx.a index d87dd6a66..5c7fd5a40 100644 --- a/src/index/hgr4.idx.a +++ b/src/index/hgr4.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15213239 + !be24 15235476 !le16 3332 diff --git a/src/index/hgr5.idx.a b/src/index/hgr5.idx.a index ecdb927b7..1508d8037 100644 --- a/src/index/hgr5.idx.a +++ b/src/index/hgr5.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15216571 + !be24 15238808 !le16 479 diff --git a/src/index/hgr6.idx.a b/src/index/hgr6.idx.a index 95f4fc8d1..2df6050dc 100644 --- a/src/index/hgr6.idx.a +++ b/src/index/hgr6.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15217050 + !be24 15239287 !le16 114 diff --git a/src/index/joystick.idx.a b/src/index/joystick.idx.a index c2356ba10..9c90c62cf 100644 --- a/src/index/joystick.idx.a +++ b/src/index/joystick.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15225370 + !be24 15247628 !le16 2370 diff --git a/src/index/miniattract0.idx.a b/src/index/miniattract0.idx.a index 40162ed2e..37f7ff212 100644 --- a/src/index/miniattract0.idx.a +++ b/src/index/miniattract0.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15187945 + !be24 15210182 !le16 4741 diff --git a/src/index/miniattract1.idx.a b/src/index/miniattract1.idx.a index c8fcfd463..d49a31730 100644 --- a/src/index/miniattract1.idx.a +++ b/src/index/miniattract1.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15192686 + !be24 15214923 !le16 2400 diff --git a/src/index/prelaunch.idx.a b/src/index/prelaunch.idx.a index 9275d773d..66afcea02 100644 --- a/src/index/prelaunch.idx.a +++ b/src/index/prelaunch.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15158079 + !be24 15180316 !le16 7137 diff --git a/src/index/search00.idx.a b/src/index/search00.idx.a index 8171537de..eaa7a0ab1 100644 --- a/src/index/search00.idx.a +++ b/src/index/search00.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15090451 + !be24 15112688 !le16 9263 diff --git a/src/index/search01.idx.a b/src/index/search01.idx.a index 75e46551b..79af999f5 100644 --- a/src/index/search01.idx.a +++ b/src/index/search01.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15103726 + !be24 15125963 !le16 10137 diff --git a/src/index/search10.idx.a b/src/index/search10.idx.a index 157aea914..dbc5b2f50 100644 --- a/src/index/search10.idx.a +++ b/src/index/search10.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15118294 + !be24 15140531 !le16 13272 diff --git a/src/index/search11.idx.a b/src/index/search11.idx.a index 65db75663..8bf877a60 100644 --- a/src/index/search11.idx.a +++ b/src/index/search11.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15137217 + !be24 15159454 !le16 14595 diff --git a/src/index/sfx.idx.a b/src/index/sfx.idx.a index 9cd91a49d..121dbf892 100644 --- a/src/index/sfx.idx.a +++ b/src/index/sfx.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15175138 + !be24 15197375 !le16 358 diff --git a/src/index/slideshow.idx.a b/src/index/slideshow.idx.a index 6d49c8ef6..07d6cee97 100644 --- a/src/index/slideshow.idx.a +++ b/src/index/slideshow.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15182633 + !be24 15204870 !le16 5312 diff --git a/src/index/title.idx.a b/src/index/title.idx.a index 9a3043aab..a5f8d327a 100644 --- a/src/index/title.idx.a +++ b/src/index/title.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15195086 + !be24 15217323 !le16 6682 diff --git a/src/index/xsingle.idx.a b/src/index/xsingle.idx.a index c4b1fd5c8..2e7dc72ad 100644 --- a/src/index/xsingle.idx.a +++ b/src/index/xsingle.idx.a @@ -4,5 +4,5 @@ ; This file is automatically generated ; !byte 0 - !be24 15086446 + !be24 15108683 !le16 4005