Apple-II_MiSTer/roms.mif

525 lines
40 KiB
Plaintext

-- http://srecord.sourceforge.net/
--
-- Generated automatically by srec_cat -o --mif
--
DEPTH = 12288;
WIDTH = 8;
ADDRESS_RADIX = HEX;
DATA_RADIX = HEX;
CONTENT BEGIN
0000: 6F D8 65 D7 F8 DC 94 D9 B1 DB 30 F3 D8 DF E1 DB 8F F3 98 F3 E4 F1 DD F1;
0018: D4 F1 24 F2 31 F2 40 F2 D7 F3 E1 F3 E8 F6 FD F6 68 F7 6E F7 E6 F7 57 FC;
0030: 20 F7 26 F7 74 F7 6C F2 6E F2 72 F2 76 F2 7F F2 4E F2 6A D9 55 F2 85 F2;
0048: A5 F2 CA F2 17 F3 BB F3 9E F3 61 F2 45 DA 3D D9 11 D9 C8 D9 48 D8 F4 03;
0060: 20 D9 6A D9 DB D9 6D D8 EB D9 83 E7 C8 D8 AF D8 12 E3 7A E7 D4 DA 95 D8;
0078: A4 D6 69 D6 9F DB 48 D6 90 EB 23 EC AF EB 0A 00 DE E2 12 D4 CD DF FF E2;
0090: 8D EE AE EF 41 E9 09 EF EA EF F1 EF 3A F0 9E F0 64 E7 D6 E6 C5 E3 07 E7;
00A8: E5 E6 46 E6 5A E6 86 E6 91 E6 79 C0 E7 79 A9 E7 7B 81 E9 7B 68 EA 7D 96;
00C0: EE 50 54 DF 46 4E DF 7F CF EE 7F 97 DE 64 64 DF 45 4E C4 46 4F D2 4E 45;
00D8: 58 D4 44 41 54 C1 49 4E 50 55 D4 44 45 CC 44 49 CD 52 45 41 C4 47 D2 54;
00F0: 45 58 D4 50 52 A3 49 4E A3 43 41 4C CC 50 4C 4F D4 48 4C 49 CE 56 4C 49;
0108: CE 48 47 52 B2 48 47 D2 48 43 4F 4C 4F 52 BD 48 50 4C 4F D4 44 52 41 D7;
0120: 58 44 52 41 D7 48 54 41 C2 48 4F 4D C5 52 4F 54 BD 53 43 41 4C 45 BD 53;
0138: 48 4C 4F 41 C4 54 52 41 43 C5 4E 4F 54 52 41 43 C5 4E 4F 52 4D 41 CC 49;
0150: 4E 56 45 52 53 C5 46 4C 41 53 C8 43 4F 4C 4F 52 BD 50 4F D0 56 54 41 C2;
0168: 48 49 4D 45 4D BA 4C 4F 4D 45 4D BA 4F 4E 45 52 D2 52 45 53 55 4D C5 52;
0180: 45 43 41 4C CC 53 54 4F 52 C5 53 50 45 45 44 BD 4C 45 D4 47 4F 54 CF 52;
0198: 55 CE 49 C6 52 45 53 54 4F 52 C5 A6 47 4F 53 55 C2 52 45 54 55 52 CE 52;
01B0: 45 CD 53 54 4F D0 4F CE 57 41 49 D4 4C 4F 41 C4 53 41 56 C5 44 45 C6 50;
01C8: 4F 4B C5 50 52 49 4E D4 43 4F 4E D4 4C 49 53 D4 43 4C 45 41 D2 47 45 D4;
01E0: 4E 45 D7 54 41 42 A8 54 CF 46 CE 53 50 43 A8 54 48 45 CE 41 D4 4E 4F D4;
01F8: 53 54 45 D0 AB AD AA AF DE 41 4E C4 4F D2 BE BD BC 53 47 CE 49 4E D4 41;
0210: 42 D3 55 53 D2 46 52 C5 53 43 52 4E A8 50 44 CC 50 4F D3 53 51 D2 52 4E;
0228: C4 4C 4F C7 45 58 D0 43 4F D3 53 49 CE 54 41 CE 41 54 CE 50 45 45 CB 4C;
0240: 45 CE 53 54 52 A4 56 41 CC 41 53 C3 43 48 52 A4 4C 45 46 54 A4 52 49 47;
0258: 48 54 A4 4D 49 44 A4 00 4E 45 58 54 20 57 49 54 48 4F 55 54 20 46 4F D2;
0270: 53 59 4E 54 41 D8 52 45 54 55 52 4E 20 57 49 54 48 4F 55 54 20 47 4F 53;
0288: 55 C2 4F 55 54 20 4F 46 20 44 41 54 C1 49 4C 4C 45 47 41 4C 20 51 55 41;
02A0: 4E 54 49 54 D9 4F 56 45 52 46 4C 4F D7 4F 55 54 20 4F 46 20 4D 45 4D 4F;
02B8: 52 D9 55 4E 44 45 46 27 44 20 53 54 41 54 45 4D 45 4E D4 42 41 44 20 53;
02D0: 55 42 53 43 52 49 50 D4 52 45 44 49 4D 27 44 20 41 52 52 41 D9 44 49 56;
02E8: 49 53 49 4F 4E 20 42 59 20 5A 45 52 CF 49 4C 4C 45 47 41 4C 20 44 49 52;
0300: 45 43 D4 54 59 50 45 20 4D 49 53 4D 41 54 43 C8 53 54 52 49 4E 47 20 54;
0318: 4F 4F 20 4C 4F 4E C7 46 4F 52 4D 55 4C 41 20 54 4F 4F 20 43 4F 4D 50 4C;
0330: 45 D8 43 41 4E 27 54 20 43 4F 4E 54 49 4E 55 C5 55 4E 44 45 46 27 44 20;
0348: 46 55 4E 43 54 49 4F CE 20 45 52 52 4F 52 07 00 20 49 4E 20 00 0D 42 52;
0360: 45 41 4B 07 00 BA E8 E8 E8 E8 BD 01 01 C9 81 D0 21 A5 86 D0 0A BD 02 01;
0378: 85 85 BD 03 01 85 86 DD 03 01 D0 07 A5 85 DD 02 01 F0 07 8A 18 69 12 AA;
0390: D0 D8 60 20 E3 D3 85 6D 84 6E 38 A5 96 E5 9B 85 5E A8 A5 97 E5 9C AA E8;
03A8: 98 F0 23 A5 96 38 E5 5E 85 96 B0 03 C6 97 38 A5 94 E5 5E 85 94 B0 08 C6;
03C0: 95 90 04 B1 96 91 94 88 D0 F9 B1 96 91 94 C6 97 C6 95 CA D0 F2 60 0A 69;
03D8: 36 B0 35 85 5E BA E4 5E 90 2E 60 C4 70 90 28 D0 04 C5 6F 90 22 48 A2 09;
03F0: 98 48 B5 93 CA 10 FA 20 84 E4 A2 F7 68 95 9D E8 30 FA 68 A8 68 C4 70 90;
0408: 06 D0 05 C5 6F B0 01 60 A2 4D 24 D8 10 03 4C E9 F2 20 FB DA 20 5A DB BD;
0420: 60 D2 48 20 5C DB E8 68 10 F5 20 83 D6 A9 50 A0 D3 20 3A DB A4 76 C8 F0;
0438: 03 20 19 ED 20 FB DA A2 DD 20 2E D5 86 B8 84 B9 46 D8 20 B1 00 AA F0 EC;
0450: A2 FF 86 76 90 06 20 59 D5 4C 05 D8 A6 AF 86 69 A6 B0 86 6A 20 0C DA 20;
0468: 59 D5 84 0F 20 1A D6 90 44 A0 01 B1 9B 85 5F A5 69 85 5E A5 9C 85 61 A5;
0480: 9B 88 F1 9B 18 65 69 85 69 85 60 A5 6A 69 FF 85 6A E5 9C AA 38 A5 9B E5;
0498: 69 A8 B0 03 E8 C6 61 18 65 5E 90 03 C6 5F 18 B1 5E 91 60 C8 D0 F9 E6 5F;
04B0: E6 61 CA D0 F2 AD 00 02 F0 38 A5 73 A4 74 85 6F 84 70 A5 69 85 96 65 0F;
04C8: 85 94 A4 6A 84 97 90 01 C8 84 95 20 93 D3 A5 50 A4 51 8D FE 01 8C FF 01;
04E0: A5 6D A4 6E 85 69 84 6A A4 0F B9 FB 01 88 91 9B D0 F8 20 65 D6 A5 67 A4;
04F8: 68 85 5E 84 5F 18 A0 01 B1 5E D0 0B A5 69 85 AF A5 6A 85 B0 4C 3C D4 A0;
0510: 04 C8 B1 5E D0 FB C8 98 65 5E AA A0 00 91 5E A5 5F 69 00 C8 91 5E 86 5E;
0528: 85 5F 90 D2 A2 80 86 33 20 6A FD E0 EF 90 02 A2 EF A9 00 9D 00 02 8A F0;
0540: 0B BD FF 01 29 7F 9D FF 01 CA D0 F5 A9 00 A2 FF A0 01 60 20 0C FD 29 7F;
0558: 60 A6 B8 CA A0 04 84 13 24 D6 10 08 68 68 20 65 D6 4C D2 D7 E8 BD 00 02;
0570: 24 13 70 04 C9 20 F0 F4 85 0E C9 22 F0 74 70 4D C9 3F D0 04 A9 BA D0 45;
0588: C9 30 90 04 C9 3C 90 3D 84 AD A9 D0 85 9D A9 CF 85 9E A0 00 84 0F 88 86;
05A0: B8 CA C8 D0 02 E6 9E E8 BD 00 02 C9 20 F0 F8 38 F1 9D F0 EE C9 80 D0 41;
05B8: 05 0F C9 C5 D0 0D BD 01 02 C9 4E F0 34 C9 4F F0 30 A9 C5 A4 AD E8 C8 99;
05D0: FB 01 B9 FB 01 F0 39 38 E9 3A F0 04 C9 49 D0 02 85 13 38 E9 78 D0 86 85;
05E8: 0E BD 00 02 F0 DF C5 0E F0 DB C8 99 FB 01 E8 D0 F0 A6 B8 E6 0F B1 9D C8;
0600: D0 02 E6 9E 0A 90 F6 B1 9D D0 9D BD 00 02 10 BB 99 FD 01 C6 B9 A9 FF 85;
0618: B8 60 A5 67 A6 68 A0 01 85 9B 86 9C B1 9B F0 1F C8 C8 A5 51 D1 9B 90 18;
0630: F0 03 88 D0 09 A5 50 88 D1 9B 90 0C F0 0A 88 B1 9B AA 88 B1 9B B0 D7 18;
0648: 60 D0 FD A9 00 85 D6 A8 91 67 C8 91 67 A5 67 69 02 85 69 85 AF A5 68 69;
0660: 00 85 6A 85 B0 20 97 D6 A9 00 D0 2A A5 73 A4 74 85 6F 84 70 A5 69 A4 6A;
0678: 85 6B 84 6C 85 6D 84 6E 20 49 D8 A2 55 86 52 68 A8 68 A2 F8 9A 48 98 48;
0690: A9 00 85 7A 85 14 60 18 A5 67 69 FF 85 B8 A5 68 69 FF 85 B9 60 90 0A F0;
06A8: 08 C9 C9 F0 04 C9 2C D0 E5 20 0C DA 20 1A D6 20 B7 00 F0 10 C9 C9 F0 04;
06C0: C9 2C D0 84 20 B1 00 20 0C DA D0 CA 68 68 A5 50 05 51 D0 06 A9 FF 85 50;
06D8: 85 51 A0 01 B1 9B F0 44 20 58 D8 20 FB DA C8 B1 9B AA C8 B1 9B C5 51 D0;
06F0: 04 E4 50 F0 02 B0 2D 84 85 20 24 ED A9 20 A4 85;
0700: 29 7F 20 5C DB A5 24 C9 21 90 07 20 FB DA A9 05 85 24 C8 B1 9B D0 1D A8;
0718: B1 9B AA C8 B1 9B 86 9B 85 9C D0 B6 A9 0D 20 5C DB 4C D2 D7 C8 D0 02 E6;
0730: 9E B1 9D 60 10 CC 38 E9 7F AA 84 85 A0 D0 84 9D A0 CF 84 9E A0 FF CA F0;
0748: 07 20 2C D7 10 FB 30 F6 A9 20 20 5C DB 20 2C D7 30 05 20 5C DB D0 F6 20;
0760: 5C DB A9 20 D0 98 A9 80 85 14 20 46 DA 20 65 D3 D0 05 8A 69 0F AA 9A 68;
0778: 68 A9 09 20 D6 D3 20 A3 D9 18 98 65 B8 48 A5 B9 69 00 48 A5 76 48 A5 75;
0790: 48 A9 C1 20 C0 DE 20 6A DD 20 67 DD A5 A2 09 7F 25 9E 85 9E A9 AF A0 D7;
07A8: 85 5E 84 5F 4C 20 DE A9 13 A0 E9 20 F9 EA 20 B7 00 C9 C7 D0 06 20 B1 00;
07C0: 20 67 DD 20 82 EB 20 15 DE A5 86 48 A5 85 48 A9 81 48 BA 86 F8 20 58 D8;
07D8: A5 B8 A4 B9 A6 76 E8 F0 04 85 79 84 7A A0 00 B1 B8 D0 57 A0 02 B1 B8 18;
07F0: F0 34 C8 B1 B8 85 75 C8 B1 B8 85 76 98 65 B8 85 B8 90 02 E6 B9 24 F2 10;
0808: 14 A6 76 E8 F0 0F A9 23 20 5C DB A6 75 A5 76 20 24 ED 20 57 DB 20 B1 00;
0820: 20 28 D8 4C D2 D7 F0 62 F0 2D E9 80 90 11 C9 40 B0 14 0A A8 B9 01 D0 48;
0838: B9 00 D0 48 4C B1 00 4C 46 DA C9 3A F0 BF 4C C9 DE 38 A5 67 E9 01 A4 68;
0850: B0 01 88 85 7D 84 7E 60 AD 00 C0 C9 83 F0 01 60 20 53 D5 A2 FF 24 D8 10;
0868: 03 4C E9 F2 C9 03 B0 01 18 D0 3C A5 B8 A4 B9 A6 76 E8 F0 0C 85 79 84 7A;
0880: A5 75 A4 76 85 77 84 78 68 68 A9 5D A0 D3 90 03 4C 31 D4 4C 3C D4 D0 17;
0898: A2 D2 A4 7A D0 03 4C 12 D4 A5 79 85 B8 84 B9 A5 77 A4 78 85 75 84 76 60;
08B0: 38 A5 AF E5 67 85 50 A5 B0 E5 68 85 51 20 F0 D8 20 CD FE 20 01 D9 4C CD;
08C8: FE 20 F0 D8 20 FD FE 18 A5 67 65 50 85 69 A5 68 65 51 85 6A A5 52 85 D6;
08E0: 20 01 D9 20 FD FE 24 D6 10 03 4C 65 D6 4C F2 D4 A9 50 A0 00 85 3C 84 3D;
08F8: A9 52 85 3E 84 3F 84 D6 60 A5 67 A4 68 85 3C 84 3D A5 69 A4 6A 85 3E 84;
0910: 3F 60 08 C6 76 28 D0 03 4C 65 D6 20 6C D6 4C 35 D9 A9 03 20 D6 D3 A5 B9;
0928: 48 A5 B8 48 A5 76 48 A5 75 48 A9 B0 48 20 B7 00 20 3E D9 4C D2 D7 20 0C;
0940: DA 20 A6 D9 A5 76 C5 51 B0 0B 98 38 65 B8 A6 B9 90 07 E8 B0 04 A5 67 A6;
0958: 68 20 1E D6 90 1E A5 9B E9 01 85 B8 A5 9C E9 00 85 B9 60 D0 FD A9 FF 85;
0970: 85 20 65 D3 9A C9 B0 F0 0B A2 16 2C A2 5A 4C 12 D4 4C C9 DE 68 68 C0 42;
0988: F0 3B 85 75 68 85 76 68 85 B8 68 85 B9 20 A3 D9 98 18 65 B8 85 B8 90 02;
09A0: E6 B9 60 A2 3A 2C A2 00 86 0D A0 00 84 0E A5 0E A6 0D 85 0D 86 0E B1 B8;
09B8: F0 E8 C5 0E F0 E4 C8 C9 22 D0 F3 F0 E9 68 68 68 60 20 7B DD 20 B7 00 C9;
09D0: AB F0 05 A9 C4 20 C0 DE A5 9D D0 05 20 A6 D9 F0 B7 20 B7 00 B0 03 4C 3E;
09E8: D9 4C 28 D8 20 F8 E6 48 C9 B0 F0 04 C9 AB D0 89 C6 A1 D0 04 68 4C 2A D8;
0A00: 20 B1 00 20 0C DA C9 2C F0 EE 68 60 A2 00 86 50 86 51 B0 F7 E9 2F 85 0D;
0A18: A5 51 85 5E C9 19 B0 D4 A5 50 0A 26 5E 0A 26 5E 65 50 85 50 A5 5E 65 51;
0A30: 85 51 06 50 26 51 A5 50 65 0D 85 50 90 02 E6 51 20 B1 00 4C 12 DA 20 E3;
0A48: DF 85 85 84 86 A9 D0 20 C0 DE A5 12 48 A5 11 48 20 7B DD 68 2A 20 6D DD;
0A60: D0 18 68 10 12 20 72 EB 20 0C E1 A0 00 A5 A0 91 85 C8 A5 A1 91 85 60 4C;
0A78: 27 EB 68 A0 02 B1 A0 C5 70 90 17 D0 07 88 B1 A0 C5 6F 90 0E A4 A1 C4 6A;
0A90: 90 08 D0 0D A5 A0 C5 69 B0 07 A5 A0 A4 A1 4C B7 DA A0 00 B1 A0 20 D5 E3;
0AA8: A5 8C A4 8D 85 AB 84 AC 20 D4 E5 A9 9D A0 00 85 8C 84 8D 20 35 E6 A0 00;
0AC0: B1 8C 91 85 C8 B1 8C 91 85 C8 B1 8C 91 85 60 20 3D DB 20 B7 00 F0 24 F0;
0AD8: 29 C9 C0 F0 39 C9 C3 18 F0 34 C9 2C 18 F0 1C C9 3B F0 44 20 7B DD 24 11;
0AF0: 30 DD 20 34 ED 20 E7 E3 4C CF DA A9 0D 20 5C DB 49 FF 60 A5 24 C9 18 90;
0B08: 05 20 FB DA D0 21 69 10 29 F0 85 24 90 19 08 20 F5 E6 C9 29 F0 03 4C C9;
0B20: DE 28 90 07 CA 8A E5 24 90 05 AA E8 CA D0 06 20 B1 00 4C D7 DA 20 57 DB;
0B38: D0 F2 20 E7 E3 20 00 E6 AA A0 00 E8 CA F0 BB B1 5E 20 5C DB C8 C9 0D D0;
0B50: F3 20 00 DB 4C 44 DB A9 20 2C A9 3F 09 80 C9 A0 90 02 05 F3 20 ED FD 29;
0B68: 7F 48 A5 F1 20 A8 FC 68 60 A5 15 F0 12 30 04 A0 FF D0 04 A5 7B A4 7C 85;
0B80: 75 84 76 4C C9 DE 68 24 D8 10 05 A2 FE 4C E9 F2 A9 EF A0 DC 20 3A DB A5;
0B98: 79 A4 7A 85 B8 84 B9 60 20 06 E3 A2 01 A0 02 A9 00 8D 01 02 A9 40 20 EB;
0BB0: DB 60 C9 22 D0 0E 20 81 DE A9 3B 20 C0 DE 20 3D DB 4C C7 DB 20 5A DB 20;
0BC8: 06 E3 A9 2C 8D FF 01 20 2C D5 AD 00 02 C9 03 D0 10 4C 63 D8 20 5A DB 4C;
0BE0: 2C D5 A6 7D A4 7E A9 98 2C A9 00 85 15 86 7F 84 80 20 E3 DF 85 85 84 86;
0BF8: A5 B8 A4 B9 85 87 84 88 A6 7F A4 80 86 B8 84 B9 20 B7 00 D0 1E 24 15 50;
0C10: 0E 20 0C FD 29 7F 8D 00 02 A2 FF A0 01 D0 08 30 7F 20 5A DB 20 DC DB 86;
0C28: B8 84 B9 20 B1 00 24 11 10 31 24 15 50 09 E8 86 B8 A9 00 85 0D F0 0C 85;
0C40: 0D C9 22 F0 07 A9 3A 85 0D A9 2C 18 85 0E A5 B8 A4 B9 69 00 90 01 C8 20;
0C58: ED E3 20 3D E7 20 7B DA 4C 72 DC 48 AD 00 02 F0 30 68 20 4A EC A5 12 20;
0C70: 63 DA 20 B7 00 F0 07 C9 2C F0 03 4C 71 DB A5 B8 A4 B9 85 7F 84 80 A5 87;
0C88: A4 88 85 B8 84 B9 20 B7 00 F0 33 20 BE DE 4C F1 DB A5 15 D0 CC 4C 86 DB;
0CA0: 20 A3 D9 C8 AA D0 12 A2 2A C8 B1 B8 F0 5F C8 B1 B8 85 7B C8 B1 B8 C8 85;
0CB8: 7C B1 B8 AA 20 98 D9 E0 83 D0 DD 4C 2B DC A5 7F A4 80 A6 15 10 03 4C 53;
0CD0: D8 A0 00 B1 7F F0 07 A9 DF A0 DC 4C 3A DB 60 3F 45 58 54 52 41 20 49 47;
0CE8: 4E 4F 52 45 44 0D 00 3F 52 45 45 4E 54 45 52 0D 00 D0 04 A0 00 F0 03 20;
0D00: E3 DF 85 85 84 86 20 65 D3 F0 04 A2 00 F0 69 9A E8 E8 E8 E8 8A E8 E8 E8;
0D18: E8 E8 E8 86 60 A0 01 20 F9 EA BA BD 09 01 85 A2 A5 85 A4 86 20 BE E7 20;
0D30: 27 EB A0 01 20 B4 EB BA 38 FD 09 01 F0 17 BD 0F 01 85 75 BD 10 01 85 76;
0D48: BD 12 01 85 B8 BD 11 01 85 B9 4C D2 D7 8A 69 11 AA 9A 20 B7 00 C9 2C D0;
0D60: F1 20 B1 00 20 FF DC 20 7B DD 18 24 38 24 11 30 03 B0 03 60 B0 FD A2 A3;
0D78: 4C 12 D4 A6 B8 D0 02 C6 B9 C6 B8 A2 00 24 48 8A 48 A9 01 20 D6 D3 20 60;
0D90: DE A9 00 85 89 20 B7 00 38 E9 CF 90 17 C9 03 B0 13 C9 01 2A 49 01 45 89;
0DA8: C5 89 90 61 85 89 20 B1 00 4C 98 DD A6 89 D0 2C B0 7B 69 07 90 77 65 11;
0DC0: D0 03 4C 97 E5 69 FF 85 5E 0A 65 5E A8 68 D9 B2 D0 B0 67 20 6A DD 48 20;
0DD8: FD DD 68 A4 87 10 17 AA F0 56 D0 5F 46 11 8A 2A A6 B8 D0 02 C6 B9 C6 B8;
0DF0: A0 1B 85 89 D0 D7 D9 B2 D0 B0 48 90 D9 B9 B4 D0;
0E00: 48 B9 B3 D0 48 20 10 DE A5 89 4C 86 DD 4C C9 DE A5 A2 BE B2 D0 A8 68 85;
0E18: 5E E6 5E 68 85 5F 98 48 20 72 EB A5 A1 48 A5 A0 48 A5 9F 48 A5 9E 48 A5;
0E30: 9D 48 6C 5E 00 A0 FF 68 F0 23 C9 64 F0 03 20 6A DD 84 87 68 4A 85 16 68;
0E48: 85 A5 68 85 A6 68 85 A7 68 85 A8 68 85 A9 68 85 AA 45 A2 85 AB A5 9D 60;
0E60: A9 00 85 11 20 B1 00 B0 03 4C 4A EC 20 7D E0 B0 64 C9 2E F0 F4 C9 C9 F0;
0E78: 55 C9 C8 F0 E7 C9 22 D0 0F A5 B8 A4 B9 69 00 90 01 C8 20 E7 E3 4C 3D E7;
0E90: C9 C6 D0 10 A0 18 D0 38 A5 9D D0 03 A0 01 2C A0 00 4C 01 E3 C9 C2 D0 03;
0EA8: 4C 54 E3 C9 D2 90 03 4C 0C DF 20 BB DE 20 7B DD A9 29 2C A9 28 2C A9 2C;
0EC0: A0 00 D1 B8 D0 03 4C B1 00 A2 10 4C 12 D4 A0 15 68 68 4C D7 DD 20 E3 DF;
0ED8: 85 A0 84 A1 A6 11 F0 05 A2 00 86 AC 60 A6 12 10 0D A0 00 B1 A0 AA C8 B1;
0EF0: A0 A8 8A 4C F2 E2 4C F9 EA 20 B1 00 20 EC F1 8A A4 F0 20 71 F8 A8 20 01;
0F08: E3 4C B8 DE C9 D7 F0 E9 0A 48 AA 20 B1 00 E0 CF 90 20 20 BB DE 20 7B DD;
0F20: 20 BE DE 20 6C DD 68 AA A5 A1 48 A5 A0 48 8A 48 20 F8 E6 68 A8 8A 48 4C;
0F38: 3F DF 20 B2 DE 68 A8 B9 DC CF 85 91 B9 DD CF 85 92 20 90 00 4C 6A DD A5;
0F50: A5 05 9D D0 0B A5 A5 F0 04 A5 9D D0 03 A0 00 2C A0 01 4C 01 E3 20 6D DD;
0F68: B0 13 A5 AA 09 7F 25 A6 85 A6 A9 A5 A0 00 20 B2 EB AA 4C B0 DF A9 00 85;
0F80: 11 C6 89 20 00 E6 85 9D 86 9E 84 9F A5 A8 A4 A9 20 04 E6 86 A8 84 A9 AA;
0F98: 38 E5 9D F0 08 A9 01 90 04 A6 9D A9 FF 85 A2 A0 FF E8 C8 CA D0 07 A6 A2;
0FB0: 30 0F 18 90 0C B1 A8 D1 9E F0 EF A2 FF B0 02 A2 01 E8 8A 2A 25 16 F0 02;
0FC8: A9 01 4C 93 EB 20 FB E6 20 1E FB 4C 01 E3 20 BE DE AA 20 E8 DF 20 B7 00;
0FE0: D0 F4 60 A2 00 20 B7 00 86 10 85 81 20 B7 00 20 7D E0 B0 03 4C C9 DE A2;
0FF8: 00 86 11 86 12 4C 07 E0 4C 28 F1 4C 3C D4 00 20 B1 00 90 05 20 7D E0 90;
1010: 0B AA 20 B1 00 90 FB 20 7D E0 B0 F6 C9 24 D0 06 A9 FF 85 11 D0 10 C9 25;
1028: D0 13 A5 14 30 C6 A9 80 85 12 05 81 85 81 8A 09 80 AA 20 B1 00 86 82 38;
1040: 05 14 E9 28 D0 03 4C 1E E1 24 14 30 02 70 F7 A9 00 85 14 A5 69 A6 6A A0;
1058: 00 86 9C 85 9B E4 6C D0 04 C5 6B F0 22 A5 81 D1 9B D0 08 A5 82 C8 D1 9B;
1070: F0 6C 88 18 A5 9B 69 07 90 E1 E8 D0 DC C9 41 90 05 E9 5B 38 E9 A5 60 68;
1088: 48 C9 D7 D0 0F BA BD 02 01 C9 DE D0 07 A9 9A A0 E0 60 00 00 A5 6B A4 6C;
10A0: 85 9B 84 9C A5 6D A4 6E 85 96 84 97 18 69 07 90 01 C8 85 94 84 95 20 93;
10B8: D3 A5 94 A4 95 C8 85 6B 84 6C A0 00 A5 81 91 9B C8 A5 82 91 9B A9 00 C8;
10D0: 91 9B C8 91 9B C8 91 9B C8 91 9B C8 91 9B A5 9B 18 69 02 A4 9C 90 01 C8;
10E8: 85 83 84 84 60 A5 0F 0A 69 05 65 9B A4 9C 90 01 C8 85 94 84 95 60 90 80;
1100: 00 00 20 B1 00 20 67 DD A5 A2 30 0D A5 9D C9 90 90 09 A9 FE A0 E0 20 B2;
1118: EB D0 7E 4C F2 EB A5 14 D0 47 A5 10 05 12 48 A5 11 48 A0 00 98 48 A5 82;
1130: 48 A5 81 48 20 02 E1 68 85 81 68 85 82 68 A8 BA BD 02 01 48 BD 01 01 48;
1148: A5 A0 9D 02 01 A5 A1 9D 01 01 C8 20 B7 00 C9 2C F0 D2 84 0F 20 B8 DE 68;
1160: 85 11 68 85 12 29 7F 85 10 A6 6B A5 6C 86 9B 85 9C C5 6E D0 04 E4 6D F0;
1178: 3F A0 00 B1 9B C8 C5 81 D0 06 A5 82 D1 9B F0 16 C8 B1 9B 18 65 9B AA C8;
1190: B1 9B 65 9C 90 D7 A2 6B 2C A2 35 4C 12 D4 A2 78 A5 10 D0 F7 A5 14 F0 02;
11A8: 38 60 20 ED E0 A5 0F A0 04 D1 9B D0 E1 4C 4B E2 A5 14 F0 05 A2 2A 4C 12;
11C0: D4 20 ED E0 20 E3 D3 A9 00 A8 85 AE A2 05 A5 81 91 9B 10 01 CA C8 A5 82;
11D8: 91 9B 10 02 CA CA 86 AD A5 0F C8 C8 C8 91 9B A2 0B A9 00 24 10 50 08 68;
11F0: 18 69 01 AA 68 69 00 C8 91 9B C8 8A 91 9B 20 AD E2 86 AD 85 AE A4 5E C6;
1208: 0F D0 DC 65 95 B0 5D 85 95 A8 8A 65 94 90 03 C8 F0 52 20 E3 D3 85 6D 84;
1220: 6E A9 00 E6 AE A4 AD F0 05 88 91 94 D0 FB C6 95 C6 AE D0 F5 E6 95 38 A5;
1238: 6D E5 9B A0 02 91 9B A5 6E C8 E5 9C 91 9B A5 10 D0 62 C8 B1 9B 85 0F A9;
1250: 00 85 AD 85 AE C8 68 AA 85 A0 68 85 A1 D1 9B 90 0E D0 06 C8 8A D1 9B 90;
1268: 07 4C 96 E1 4C 10 D4 C8 A5 AE 05 AD 18 F0 0A 20 AD E2 8A 65 A0 AA 98 A4;
1280: 5E 65 A1 86 AD C6 0F D0 CA 85 AE A2 05 A5 81 10 01 CA A5 82 10 02 CA CA;
1298: 86 64 A9 00 20 B6 E2 8A 65 94 85 83 98 65 95 85 84 A8 A5 83 60 84 5E B1;
12B0: 9B 85 64 88 B1 9B 85 65 A9 10 85 99 A2 00 A0 00 8A 0A AA 98 2A A8 B0 A4;
12C8: 06 AD 26 AE 90 0B 18 8A 65 64 AA 98 65 65 A8 B0 93 C6 99 D0 E3 60 A5 11;
12E0: F0 03 20 00 E6 20 84 E4 38 A5 6F E5 6D A8 A5 70 E5 6E A2 00 86 11 85 9E;
12F8: 84 9F A2 90 4C 9B EB A4 24 A9 00 38 F0 EC A6 76 E8 D0 A1 A2 95 2C A2 E0;
1310: 4C 12 D4 20 41 E3 20 06 E3 20 BB DE A9 80 85 14 20 E3 DF 20 6A DD 20 B8;
1328: DE A9 D0 20 C0 DE 48 A5 84 48 A5 83 48 A5 B9 48 A5 B8 48 20 95 D9 4C AF;
1340: E3 A9 C2 20 C0 DE 09 80 85 14 20 EA DF 85 8A 84 8B 4C 6A DD 20 41 E3 A5;
1358: 8B 48 A5 8A 48 20 B2 DE 20 6A DD 68 85 8A 68 85 8B A0 02 B1 8A 85 83 AA;
1370: C8 B1 8A F0 99 85 84 C8 B1 83 48 88 10 FA A4 84 20 2B EB A5 B9 48 A5 B8;
1388: 48 B1 8A 85 B8 C8 B1 8A 85 B9 A5 84 48 A5 83 48 20 67 DD 68 85 8A 68 85;
13A0: 8B 20 B7 00 F0 03 4C C9 DE 68 85 B8 68 85 B9 A0 00 68 91 8A 68 C8 91 8A;
13B8: 68 C8 91 8A 68 C8 91 8A 68 C8 91 8A 60 20 6A DD A0 00 20 36 ED 68 68 A9;
13D0: FF A0 00 F0 12 A6 A0 A4 A1 86 8C 84 8D 20 52 E4 86 9E 84 9F 85 9D 60 A2;
13E8: 22 86 0D 86 0E 85 AB 84 AC 85 9E 84 9F A0 FF C8 B1 AB F0 0C C5 0D F0 04;
1400: C5 0E D0 F3 C9 22 F0 01 18 84 9D 98 65 AB 85 AD A6 AC 90 01 E8 86 AE A5;
1418: AC F0 04 C9 02 D0 0B 98 20 D5 E3 A6 AB A4 AC 20 E2 E5 A6 52 E0 5E D0 05;
1430: A2 BF 4C 12 D4 A5 9D 95 00 A5 9E 95 01 A5 9F 95 02 A0 00 86 A0 84 A1 88;
1448: 84 11 86 53 E8 E8 E8 86 52 60 46 13 48 49 FF 38 65 6F A4 70 B0 01 88 C4;
1460: 6E 90 11 D0 04 C5 6D 90 0B 85 6F 84 70 85 71 84 72 AA 68 60 A2 4D A5 13;
1478: 30 B8 20 84 E4 A9 80 85 13 68 D0 D0 A6 73 A5 74 86 6F 85 70 A0 00 84 8B;
1490: A5 6D A6 6E 85 9B 86 9C A9 55 A2 00 85 5E 86 5F C5 52 F0 05 20 23 E5 F0;
14A8: F7 A9 07 85 8F A5 69 A6 6A 85 5E 86 5F E4 6C D0 04 C5 6B F0 05 20 19 E5;
14C0: F0 F3 85 94 86 95 A9 03 85 8F A5 94 A6 95 E4 6E D0 07 C5 6D D0 03 4C 62;
14D8: E5 85 5E 86 5F A0 00 B1 5E AA C8 B1 5E 08 C8 B1 5E 65 94 85 94 C8 B1 5E;
14F0: 65 95 85 95 28 10 D3 8A 30 D0 C8 B1 5E A0 00 0A;
1500: 69 05 65 5E 85 5E 90 02 E6 5F A6 5F E4 95 D0 04 C5 94 F0 BA 20 23 E5 F0;
1518: F3 B1 5E 30 35 C8 B1 5E 10 30 C8 B1 5E F0 2B C8 B1 5E AA C8 B1 5E C5 70;
1530: 90 06 D0 1E E4 6F B0 1A C5 9C 90 16 D0 04 E4 9B 90 10 86 9B 85 9C A5 5E;
1548: A6 5F 85 8A 86 8B A5 8F 85 91 A5 8F 18 65 5E 85 5E 90 02 E6 5F A6 5F A0;
1560: 00 60 A6 8B F0 F7 A5 91 29 04 4A A8 85 91 B1 8A 65 9B 85 96 A5 9C 69 00;
1578: 85 97 A5 6F A6 70 85 94 86 95 20 9A D3 A4 91 C8 A5 94 91 8A AA E6 95 A5;
1590: 95 C8 91 8A 4C 88 E4 A5 A1 48 A5 A0 48 20 60 DE 20 6C DD 68 85 AB 68 85;
15A8: AC A0 00 B1 AB 18 71 A0 90 05 A2 B0 4C 12 D4 20 D5 E3 20 D4 E5 A5 8C A4;
15C0: 8D 20 04 E6 20 E6 E5 A5 AB A4 AC 20 04 E6 20 2A E4 4C 95 DD A0 00 B1 AB;
15D8: 48 C8 B1 AB AA C8 B1 AB A8 68 86 5E 84 5F A8 F0 0A 48 88 B1 5E 91 71 98;
15F0: D0 F8 68 18 65 71 85 71 90 02 E6 72 60 20 6C DD A5 A0 A4 A1 85 5E 84 5F;
1608: 20 35 E6 08 A0 00 B1 5E 48 C8 B1 5E AA C8 B1 5E A8 68 28 D0 13 C4 70 D0;
1620: 0F E4 6F D0 0B 48 18 65 6F 85 6F 90 02 E6 70 68 86 5E 84 5F 60 C4 54 D0;
1638: 0C C5 53 D0 08 85 52 E9 03 85 53 A0 00 60 20 FB E6 8A 48 A9 01 20 DD E3;
1650: 68 A0 00 91 9E 68 68 4C 2A E4 20 B9 E6 D1 8C 98 90 04 B1 8C AA 98 48 8A;
1668: 48 20 DD E3 A5 8C A4 8D 20 04 E6 68 A8 68 18 65 5E 85 5E 90 02 E6 5F 98;
1680: 20 E6 E5 4C 2A E4 20 B9 E6 18 F1 8C 49 FF 4C 60 E6 A9 FF 85 A1 20 B7 00;
1698: C9 29 F0 06 20 BE DE 20 F8 E6 20 B9 E6 CA 8A 48 18 A2 00 F1 8C B0 B8 49;
16B0: FF C5 A1 90 B3 A5 A1 B0 AF 20 B8 DE 68 A8 68 85 91 68 68 68 AA 68 85 8C;
16C8: 68 85 8D A5 91 48 98 48 A0 00 8A F0 1D 60 20 DC E6 4C 01 E3 20 FD E5 A2;
16E0: 00 86 11 A8 60 20 DC E6 F0 08 A0 00 B1 5E A8 4C 01 E3 4C 99 E1 20 B1 00;
16F8: 20 67 DD 20 08 E1 A6 A0 D0 F0 A6 A1 4C B7 00 20 DC E6 D0 03 4C 4E E8 A6;
1710: B8 A4 B9 86 AD 84 AE A6 5E 86 B8 18 65 5E 85 60 A6 5F 86 B9 90 01 E8 86;
1728: 61 A0 00 B1 60 48 A9 00 91 60 20 B7 00 20 4A EC 68 A0 00 91 60 A6 AD A4;
1740: AE 86 B8 84 B9 60 20 67 DD 20 52 E7 20 BE DE 4C F8 E6 A5 9D C9 91 B0 9A;
1758: 20 F2 EB A5 A0 A4 A1 84 50 85 51 60 A5 50 48 A5 51 48 20 52 E7 A0 00 B1;
1770: 50 A8 68 85 51 68 85 50 4C 01 E3 20 46 E7 8A A0 00 91 50 60 20 46 E7 86;
1788: 85 A2 00 20 B7 00 F0 03 20 4C E7 86 86 A0 00 B1 50 45 86 25 85 F0 F8 60;
17A0: A9 64 A0 EE 4C BE E7 20 E3 E9 A5 A2 49 FF 85 A2 45 AA 85 AB A5 9D 4C C1;
17B8: E7 20 F0 E8 90 3C 20 E3 E9 D0 03 4C 53 EB A6 AC 86 92 A2 A5 A5 A5 A8 F0;
17D0: CE 38 E5 9D F0 24 90 12 84 9D A4 AA 84 A2 49 FF 69 00 A0 00 84 92 A2 9D;
17E8: D0 04 A0 00 84 AC C9 F9 30 C7 A8 A5 AC 56 01 20 07 E9 24 AB 10 57 A0 9D;
1800: E0 A5 F0 02 A0 A5 38 49 FF 65 92 85 AC B9 04 00 F5 04 85 A1 B9 03 00 F5;
1818: 03 85 A0 B9 02 00 F5 02 85 9F B9 01 00 F5 01 85 9E B0 03 20 9E E8 A0 00;
1830: 98 18 A6 9E D0 4A A6 9F 86 9E A6 A0 86 9F A6 A1 86 A0 A6 AC 86 A1 84 AC;
1848: 69 08 C9 20 D0 E4 A9 00 85 9D 85 A2 60 65 92 85 AC A5 A1 65 A9 85 A1 A5;
1860: A0 65 A8 85 A0 A5 9F 65 A7 85 9F A5 9E 65 A6 85 9E 4C 8D E8 69 01 06 AC;
1878: 26 A1 26 A0 26 9F 26 9E 10 F2 38 E5 9D B0 C7 49 FF 69 01 85 9D 90 0E E6;
1890: 9D F0 42 66 9E 66 9F 66 A0 66 A1 66 AC 60 A5 A2 49 FF 85 A2 A5 9E 49 FF;
18A8: 85 9E A5 9F 49 FF 85 9F A5 A0 49 FF 85 A0 A5 A1 49 FF 85 A1 A5 AC 49 FF;
18C0: 85 AC E6 AC D0 0E E6 A1 D0 0A E6 A0 D0 06 E6 9F D0 02 E6 9E 60 A2 45 4C;
18D8: 12 D4 A2 61 B4 04 84 AC B4 03 94 04 B4 02 94 03 B4 01 94 02 A4 A4 94 01;
18F0: 69 08 30 E8 F0 E6 E9 08 A8 A5 AC B0 14 16 01 90 02 F6 01 76 01 76 01 76;
1908: 02 76 03 76 04 6A C8 D0 EC 18 60 81 00 00 00 00 03 7F 5E 56 CB 79 80 13;
1920: 9B 0B 64 80 76 38 93 16 82 38 AA 3B 20 80 35 04 F3 34 81 35 04 F3 34 80;
1938: 80 00 00 00 80 31 72 17 F8 20 82 EB F0 02 10 03 4C 99 E1 A5 9D E9 7F 48;
1950: A9 80 85 9D A9 2D A0 E9 20 BE E7 A9 32 A0 E9 20 66 EA A9 13 A0 E9 20 A7;
1968: E7 A9 18 A0 E9 20 5C EF A9 37 A0 E9 20 BE E7 68 20 D5 EC A9 3C A0 E9 20;
1980: E3 E9 D0 03 4C E2 E9 20 0E EA A9 00 85 62 85 63 85 64 85 65 A5 AC 20 B0;
1998: E9 A5 A1 20 B0 E9 A5 A0 20 B0 E9 A5 9F 20 B0 E9 A5 9E 20 B5 E9 4C E6 EA;
19B0: D0 03 4C DA E8 4A 09 80 A8 90 19 18 A5 65 65 A9 85 65 A5 64 65 A8 85 64;
19C8: A5 63 65 A7 85 63 A5 62 65 A6 85 62 66 62 66 63 66 64 66 65 66 AC 98 4A;
19E0: D0 D6 60 85 5E 84 5F A0 04 B1 5E 85 A9 88 B1 5E 85 A8 88 B1 5E 85 A7 88;
19F8: B1 5E 85 AA 45 A2 85 AB A5 AA 09 80 85 A6 88 B1 5E 85 A5 A5 9D 60 A5 A5;
1A10: F0 1F 18 65 9D 90 04 30 1D 18 2C 10 14 69 80 85 9D D0 03 4C 52 E8 A5 AB;
1A28: 85 A2 60 A5 A2 49 FF 30 05 68 68 4C 4E E8 4C D5 E8 20 63 EB AA F0 10 18;
1A40: 69 02 B0 F2 A2 00 86 AB 20 CE E7 E6 9D F0 E7 60 84 20 00 00 00 20 63 EB;
1A58: A9 50 A0 EA A2 00 86 AB 20 F9 EA 4C 69 EA 20 E3 E9 F0 76 20 72 EB A9 00;
1A70: 38 E5 9D 85 9D 20 0E EA E6 9D F0 BA A2 FC A9 01 A4 A6 C4 9E D0 10 A4 A7;
1A88: C4 9F D0 0A A4 A8 C4 A0 D0 04 A4 A9 C4 A1 08 2A 90 09 E8 95 65 F0 32 10;
1AA0: 34 A9 01 28 B0 0E 06 A9 26 A8 26 A7 26 A6 B0 E6 30 CE 10 E2 A8 A5 A9 E5;
1AB8: A1 85 A9 A5 A8 E5 A0 85 A8 A5 A7 E5 9F 85 A7 A5 A6 E5 9E 85 A6 98 4C A6;
1AD0: EA A9 40 D0 CE 0A 0A 0A 0A 0A 0A 85 AC 28 4C E6 EA A2 85 4C 12 D4 A5 62;
1AE8: 85 9E A5 63 85 9F A5 64 85 A0 A5 65 85 A1 4C 2E E8 85 5E 84 5F A0 04 B1;
1B00: 5E 85 A1 88 B1 5E 85 A0 88 B1 5E 85 9F 88 B1 5E 85 A2 09 80 85 9E 88 B1;
1B18: 5E 85 9D 84 AC 60 A2 98 2C A2 93 A0 00 F0 04 A6 85 A4 86 20 72 EB 86 5E;
1B30: 84 5F A0 04 A5 A1 91 5E 88 A5 A0 91 5E 88 A5 9F 91 5E 88 A5 A2 09 7F 25;
1B48: 9E 91 5E 88 A5 9D 91 5E 84 AC 60 A5 AA 85 A2 A2 05 B5 A4 95 9C CA D0 F9;
1B60: 86 AC 60 20 72 EB A2 06 B5 9C 95 A4 CA D0 F9 86 AC 60 A5 9D F0 FB 06 AC;
1B78: 90 F7 20 C6 E8 D0 F2 4C 8F E8 A5 9D F0 09 A5 A2 2A A9 FF B0 02 A9 01 60;
1B90: 20 82 EB 85 9E A9 00 85 9F A2 88 A5 9E 49 FF 2A A9 00 85 A1 85 A0 86 9D;
1BA8: 85 AC 85 A2 4C 29 E8 46 A2 60 85 60 84 61 A0 00 B1 60 C8 AA F0 C4 B1 60;
1BC0: 45 A2 30 C2 E4 9D D0 21 B1 60 09 80 C5 9E D0 19 C8 B1 60 C5 9F D0 12 C8;
1BD8: B1 60 C5 A0 D0 0B C8 A9 7F C5 AC B1 60 E5 A1 F0 28 A5 A2 90 02 49 FF 4C;
1BF0: 88 EB A5 9D F0 4A 38 E9 A0 24 A2 10 09 AA A9 FF;
1C00: 85 A4 20 A4 E8 8A A2 9D C9 F9 10 06 20 F0 E8 84 A4 60 A8 A5 A2 29 80 46;
1C18: 9E 05 9E 85 9E 20 07 E9 84 A4 60 A5 9D C9 A0 B0 20 20 F2 EB 84 AC A5 A2;
1C30: 84 A2 49 80 2A A9 A0 85 9D A5 A1 85 0D 4C 29 E8 85 9E 85 9F 85 A0 85 A1;
1C48: A8 60 A0 00 A2 0A 94 99 CA 10 FB 90 0F C9 2D D0 04 86 A3 F0 04 C9 2B D0;
1C60: 05 20 B1 00 90 5B C9 2E F0 2E C9 45 D0 30 20 B1 00 90 17 C9 C9 F0 0E C9;
1C78: 2D F0 0A C9 C8 F0 08 C9 2B F0 04 D0 07 66 9C 20 B1 00 90 5C 24 9C 10 0E;
1C90: A9 00 38 E5 9A 4C A0 EC 66 9B 24 9B 50 C3 A5 9A 38 E5 99 85 9A F0 12 10;
1CA8: 09 20 55 EA E6 9A D0 F9 F0 07 20 39 EA C6 9A D0 F9 A5 A3 30 01 60 4C D0;
1CC0: EE 48 24 9B 10 02 E6 99 20 39 EA 68 38 E9 30 20 D5 EC 4C 61 EC 48 20 63;
1CD8: EB 68 20 93 EB A5 AA 45 A2 85 AB A6 9D 4C C1 E7 A5 9A C9 0A 90 09 A9 64;
1CF0: 24 9C 30 11 4C D5 E8 0A 0A 18 65 9A 0A 18 A0 00 71 B8 38 E9 30 85 9A 4C;
1D08: 87 EC 9B 3E BC 1F FD 9E 6E 6B 27 FD 9E 6E 6B 28 00 A9 58 A0 D3 20 31 ED;
1D20: A5 76 A6 75 85 9E 86 9F A2 90 38 20 A0 EB 20 34 ED 4C 3A DB A0 01 A9 2D;
1D38: 88 24 A2 10 04 C8 99 FF 00 85 A2 84 AD C8 A9 30 A6 9D D0 03 4C 57 EE A9;
1D50: 00 E0 80 F0 02 B0 09 A9 14 A0 ED 20 7F E9 A9 F7 85 99 A9 0F A0 ED 20 B2;
1D68: EB F0 1E 10 12 A9 0A A0 ED 20 B2 EB F0 02 10 0E 20 39 EA C6 99 D0 EE 20;
1D80: 55 EA E6 99 D0 DC 20 A0 E7 20 F2 EB A2 01 A5 99 18 69 0A 30 09 C9 0B B0;
1D98: 06 69 FF AA A9 02 38 E9 02 85 9A 86 99 8A F0 02 10 13 A4 AD A9 2E C8 99;
1DB0: FF 00 8A F0 06 A9 30 C8 99 FF 00 84 AD A0 00 A2 80 A5 A1 18 79 6C EE 85;
1DC8: A1 A5 A0 79 6B EE 85 A0 A5 9F 79 6A EE 85 9F A5 9E 79 69 EE 85 9E E8 B0;
1DE0: 04 10 DE 30 02 30 DA 8A 90 04 49 FF 69 0A 69 2F C8 C8 C8 C8 84 83 A4 AD;
1DF8: C8 AA 29 7F 99 FF 00 C6 99 D0 06 A9 2E C8 99 FF 00 84 AD A4 83 8A 49 FF;
1E10: 29 80 AA C0 24 D0 AA A4 AD B9 FF 00 88 C9 30 F0 F8 C9 2E F0 01 C8 A9 2B;
1E28: A6 9A F0 2E 10 08 A9 00 38 E5 9A AA A9 2D 99 01 01 A9 45 99 00 01 8A A2;
1E40: 2F 38 E8 E9 0A B0 FB 69 3A 99 03 01 8A 99 02 01 A9 00 99 04 01 F0 08 99;
1E58: FF 00 A9 00 99 00 01 A9 00 A0 01 60 80 00 00 00 00 FA 0A 1F 00 00 98 96;
1E70: 80 FF F0 BD C0 00 01 86 A0 FF FF D8 F0 00 00 03 E8 FF FF FF 9C 00 00 00;
1E88: 0A FF FF FF FF 20 63 EB A9 64 A0 EE 20 F9 EA F0 70 A5 A5 D0 03 4C 50 E8;
1EA0: A2 8A A0 00 20 2B EB A5 AA 10 0F 20 23 EC A9 8A A0 00 20 B2 EB D0 03 98;
1EB8: A4 0D 20 55 EB 98 48 20 41 E9 A9 8A A0 00 20 7F E9 20 09 EF 68 4A 90 0A;
1ED0: A5 9D F0 06 A5 A2 49 FF 85 A2 60 81 38 AA 3B 29 07 71 34 58 3E 56 74 16;
1EE8: 7E B3 1B 77 2F EE E3 85 7A 1D 84 1C 2A 7C 63 59 58 0A 7E 75 FD E7 C6 80;
1F00: 31 72 18 10 81 00 00 00 00 A9 DB A0 EE 20 7F E9 A5 AC 69 50 90 03 20 7A;
1F18: EB 85 92 20 66 EB A5 9D C9 88 90 03 20 2B EA 20 23 EC A5 0D 18 69 81 F0;
1F30: F3 38 E9 01 48 A2 05 B5 A5 B4 9D 95 9D 94 A5 CA 10 F5 A5 92 85 AC 20 AA;
1F48: E7 20 D0 EE A9 E0 A0 EE 20 72 EF A9 00 85 AB 68 20 10 EA 60 85 AD 84 AE;
1F60: 20 21 EB A9 93 20 7F E9 20 76 EF A9 93 A0 00 4C 7F E9 85 AD 84 AE 20 1E;
1F78: EB B1 AD 85 A3 A4 AD C8 98 D0 02 E6 AE 85 AD A4 AE 20 7F E9 A5 AD A4 AE;
1F90: 18 69 05 90 01 C8 85 AD 84 AE 20 BE E7 A9 98 A0 00 C6 A3 D0 E4 60 98 35;
1FA8: 44 7A 68 28 B1 46 20 82 EB AA 30 18 A9 C9 A0 00 20 F9 EA 8A F0 E7 A9 A6;
1FC0: A0 EF 20 7F E9 A9 AA A0 EF 20 BE E7 A6 A1 A5 9E 85 A1 86 9E A9 00 85 A2;
1FD8: A5 9D 85 AC A9 80 85 9D 20 2E E8 A2 C9 A0 00 4C 2B EB A9 66 A0 F0 20 BE;
1FF0: E7 20 63 EB A9 6B A0 F0 A6 AA 20 5E EA 20 63 EB 20 23 EC A9 00 85 AB 20;
2008: AA E7 A9 70 A0 F0 20 A7 E7 A5 A2 48 10 0D 20 A0 E7 A5 A2 30 09 A5 16 49;
2020: FF 85 16 20 D0 EE A9 70 A0 F0 20 BE E7 68 10 03 20 D0 EE A9 75 A0 F0 4C;
2038: 5C EF 20 21 EB A9 00 85 16 20 F1 EF A2 8A A0 00 20 E7 EF A9 93 A0 00 20;
2050: F9 EA A9 00 85 A2 A5 16 20 62 F0 A9 8A A0 00 4C 66 EA 48 4C 23 F0 81 49;
2068: 0F DA A2 83 49 0F DA A2 7F 00 00 00 00 05 84 E6 1A 2D 1B 86 28 07 FB F8;
2080: 87 99 68 89 01 87 23 35 DF E1 86 A5 5D E7 28 83 49 0F DA A2 A6 D3 C1 C8;
2098: D4 C8 D5 C4 CE CA A5 A2 48 10 03 20 D0 EE A5 9D 48 C9 81 90 07 A9 13 A0;
20B0: E9 20 66 EA A9 CE A0 F0 20 5C EF 68 C9 81 90 07 A9 66 A0 F0 20 A7 E7 68;
20C8: 10 03 4C D0 EE 60 0B 76 B3 83 BD D3 79 1E F4 A6 F5 7B 83 FC B0 10 7C 0C;
20E0: 1F 67 CA 7C DE 53 CB C1 7D 14 64 70 4C 7D B7 EA 51 7A 7D 63 30 88 7E 7E;
20F8: 92 44 99 3A 7E 4C CC 91 C7 7F AA AA AA 13 81 00 00 00 00 E6 B8 D0 02 E6;
2110: B9 AD 60 EA C9 3A B0 0A C9 20 F0 EF 38 E9 30 38 E9 D0 60 80 4F C7 52 58;
2128: A2 FF 86 76 A2 FB 9A A9 28 A0 F1 85 01 84 02 85 04 84 05 20 73 F2 A9 4C;
2140: 85 00 85 03 85 90 85 0A A9 99 A0 E1 85 0B 84 0C A2 1C BD 0A F1 95 B0 86;
2158: F1 CA D0 F6 86 F2 8A 85 A4 85 54 48 A9 03 85 8F 20 FB DA A9 01 8D FD 01;
2170: 8D FC 01 A2 55 86 52 A9 00 A0 08 85 50 84 51 A0 00 E6 51 B1 50 49 FF 91;
2188: 50 D1 50 D0 08 49 FF 91 50 D1 50 F0 EC A4 50 A5 51 29 F0 84 73 85 74 84;
21A0: 6F 85 70 A2 00 A0 08 86 67 84 68 A0 00 84 D6 98 91 67 E6 67 D0 02 E6 68;
21B8: A5 67 A4 68 20 E3 D3 20 4B D6 A9 3A A0 DB 85 04 84 05 A9 3C A0 D4 85 01;
21D0: 84 02 6C 01 00 20 67 DD 20 52 E7 6C 50 00 20 F8 E6 8A 4C 8B FE 20 F8 E6;
21E8: 8A 4C 95 FE 20 F8 E6 E0 30 B0 13 86 F0 A9 2C 20 C0 DE 20 F8 E6 E0 30 B0;
2200: 05 86 2C 86 2D 60 4C 99 E1 20 EC F1 E4 F0 B0 08 A5 F0 85 2C 85 2D 86 F0;
2218: A9 C5 20 C0 DE 20 F8 E6 E0 30 B0 E2 60 20 EC F1 8A A4 F0 C0 28 B0 D7 4C;
2230: 00 F8 20 09 F2 8A A4 2C C0 28 B0 CA A4 F0 4C 19 F8 20 09 F2 8A A8 C0 28;
2248: B0 BC A5 F0 4C 28 F8 20 F8 E6 8A 4C 64 F8 20 F8 E6 CA 8A C9 18 B0 A7 4C;
2260: 5B FB 20 F8 E6 8A 49 FF AA E8 86 F1 60 38 90 18 66 F2 60 A9 FF D0 02 A9;
2278: 3F A2 00 85 32 86 F3 60 A9 7F A2 40 D0 F5 20 67 DD 20 52 E7 A5 50 C5 6D;
2290: A5 51 E5 6E B0 03 4C 10 D4 A5 50 85 73 85 6F A5 51 85 74 85 70 60 20 67;
22A8: DD 20 52 E7 A5 50 C5 73 A5 51 E5 74 B0 E0 A5 50 C5 69 A5 51 E5 6A 90 D6;
22C0: A5 50 85 69 A5 51 85 6A 4C 6C D6 A9 AB 20 C0 DE A5 B8 85 F4 A5 B9 85 F5;
22D8: 38 66 D8 A5 75 85 F6 A5 76 85 F7 20 A6 D9 4C 98 D9 86 DE A6 F8 86 DF A5;
22F0: 75 85 DA A5 76 85 DB A5 79 85 DC A5 7A 85 DD A5;
2300: F4 85 B8 A5 F5 85 B9 A5 F6 85 75 A5 F7 85 76 20 B7 00 20 3E D9 4C D2 D7;
2318: A5 DA 85 75 A5 DB 85 76 A5 DC 85 B8 A5 DD 85 B9 A6 DF 9A 4C D2 D7 4C C9;
2330: DE B0 FB A6 AF 86 69 A6 B0 86 6A 20 0C DA 20 1A D6 A5 9B 85 60 A5 9C 85;
2348: 61 A9 2C 20 C0 DE 20 0C DA E6 50 D0 02 E6 51 20 1A D6 A5 9B C5 60 A5 9C;
2360: E5 61 B0 01 60 A0 00 B1 9B 91 60 E6 9B D0 02 E6 9C E6 60 D0 02 E6 61 A5;
2378: 69 C5 9B A5 6A E5 9C B0 E6 A6 61 A4 60 D0 01 CA 88 86 6A 84 69 4C F2 D4;
2390: AD 56 C0 AD 53 C0 4C 40 FB AD 54 C0 4C 39 FB 20 D9 F7 A0 03 B1 9B AA 88;
23A8: B1 9B E9 01 B0 01 CA 85 50 86 51 20 CD FE 20 BC F7 4C CD FE 20 D9 F7 20;
23C0: FD FE A0 02 B1 9B C5 50 C8 B1 9B E5 51 B0 03 4C 10 D4 20 BC F7 4C FD FE;
23D8: 2C 55 C0 2C 52 C0 A9 40 D0 08 A9 20 2C 54 C0 2C 53 C0 85 E6 AD 57 C0 AD;
23F0: 50 C0 A9 00 85 1C A5 E6 85 1B A0 00 84 1A A5 1C 91 1A 20 7E F4 C8 D0 F6;
2408: E6 1B A5 1B 29 1F D0 EE 60 85 E2 86 E0 84 E1 48 29 C0 85 26 4A 4A 05 26;
2420: 85 26 68 85 27 0A 0A 0A 26 27 0A 26 27 0A 66 26 A5 27 29 1F 05 E6 85 27;
2438: 8A C0 00 F0 05 A0 23 69 04 C8 E9 07 B0 FB 84 E5 AA BD B9 F4 85 30 98 4A;
2450: A5 E4 85 1C B0 28 60 20 11 F4 A5 1C 51 26 25 30 51 26 91 26 60 10 23 A5;
2468: 30 4A B0 05 49 C0 85 30 60 88 10 02 A0 27 A9 C0 85 30 84 E5 A5 1C 0A C9;
2480: C0 10 06 A5 1C 49 7F 85 1C 60 A5 30 0A 49 80 30 DD A9 81 C8 C0 28 90 E0;
2498: A0 00 B0 DC 18 A5 D1 29 04 F0 25 A9 7F 25 30 31 26 D0 19 E6 EA A9 7F 25;
24B0: 30 10 11 18 A5 D1 29 04 F0 0E B1 26 45 1C 25 30 D0 02 E6 EA 51 26 91 26;
24C8: A5 D1 65 D3 29 03 C9 02 6A B0 92 30 30 18 A5 27 2C B9 F5 D0 22 06 26 B0;
24E0: 1A 2C CD F4 F0 05 69 1F 38 B0 12 69 23 48 A5 26 69 B0 B0 02 69 F0 85 26;
24F8: 68 B0 02 69 1F 66 26 69 FC 85 27 60 18 A5 27 69 04 2C B9 F5 D0 F3 06 26;
2510: 90 18 69 E0 18 2C 08 F5 F0 12 A5 26 69 50 49 F0 F0 02 49 F0 85 26 A5 E6;
2528: 90 02 69 E0 66 26 90 D1 48 A9 00 85 E0 85 E1 85 E2 68 48 38 E5 E0 48 8A;
2540: E5 E1 85 D3 B0 0A 68 49 FF 69 01 48 A9 00 E5 D3 85 D1 85 D5 68 85 D0 85;
2558: D4 68 85 E0 86 E1 98 18 E5 E2 90 04 49 FF 69 FE 85 D2 84 E2 66 D3 38 E5;
2570: D0 AA A9 FF E5 D1 85 1D A4 E5 B0 05 0A 20 65 F4 38 A5 D4 65 D2 85 D4 A5;
2588: D5 E9 00 85 D5 B1 26 45 1C 25 30 51 26 91 26 E8 D0 04 E6 1D F0 62 A5 D3;
25A0: B0 DA 20 D3 F4 18 A5 D4 65 D0 85 D4 A5 D5 65 D1 50 D9 81 82 84 88 90 A0;
25B8: C0 1C FF FE FA F4 EC E1 D4 C5 B4 A1 8D 78 61 49 31 18 FF A5 26 0A A5 27;
25D0: 29 03 2A 05 26 0A 0A 0A 85 E2 A5 27 4A 4A 29 07 05 E2 85 E2 A5 E5 0A 65;
25E8: E5 0A AA CA A5 30 29 7F E8 4A D0 FC 85 E1 8A 18 65 E5 90 02 E6 E1 85 E0;
2600: 60 86 1A 84 1B AA 4A 4A 4A 4A 85 D3 8A 29 0F AA BC BA F5 84 D0 49 0F AA;
2618: BC BB F5 C8 84 D2 A4 E5 A2 00 86 EA A1 1A 85 D1 A2 80 86 D4 86 D5 A6 E7;
2630: A5 D4 38 65 D0 85 D4 90 04 20 B3 F4 18 A5 D5 65 D2 85 D5 90 03 20 B4 F4;
2648: CA D0 E5 A5 D1 4A 4A 4A D0 D4 E6 1A D0 02 E6 1B A1 1A D0 CA 60 86 1A 84;
2660: 1B AA 4A 4A 4A 4A 85 D3 8A 29 0F AA BC BA F5 84 D0 49 0F AA BC BB F5 C8;
2678: 84 D2 A4 E5 A2 00 86 EA A1 1A 85 D1 A2 80 86 D4 86 D5 A6 E7 A5 D4 38 65;
2690: D0 85 D4 90 04 20 9C F4 18 A5 D5 65 D2 85 D5 90 03 20 9D F4 CA D0 E5 A5;
26A8: D1 4A 4A 4A D0 D4 E6 1A D0 02 E6 1B A1 1A D0 CA 60 20 67 DD 20 52 E7 A4;
26C0: 51 A6 50 C0 01 90 06 D0 1D E0 18 B0 19 8A 48 98 48 A9 2C 20 C0 DE 20 F8;
26D8: E6 E0 C0 B0 09 86 9D 68 A8 68 AA A5 9D 60 4C 06 F2 20 F8 E6 E0 08 B0 F6;
26F0: BD F6 F6 85 E4 60 00 2A 55 7F 80 AA D5 FF C9 C1 F0 0D 20 B9 F6 20 57 F4;
2708: 20 B7 00 C9 C1 D0 E6 20 C0 DE 20 B9 F6 84 9D A8 8A A6 9D 20 3A F5 4C 08;
2720: F7 20 F8 E6 86 F9 60 20 F8 E6 86 E7 60 20 F8 E6 A5 E8 85 1A A5 E9 85 1B;
2738: 8A A2 00 C1 1A F0 02 B0 A5 0A 90 03 E6 1B 18 A8 B1 1A 65 1A AA C8 B1 1A;
2750: 65 E9 85 1B 86 1A 20 B7 00 C9 C5 D0 09 20 C0 DE 20 B9 F6 20 11 F4 A5 F9;
2768: 60 20 2D F7 4C 05 F6 20 2D F7 4C 61 F6 A9 00 85 3D 85 3F A0 50 84 3C C8;
2780: 84 3E 20 FD FE 18 A5 73 AA CA 86 3E E5 50 48 A5 74 A8 E8 D0 01 88 84 3F;
2798: E5 51 C5 6E 90 02 D0 03 4C 10 D4 85 74 85 70 85 3D 85 E9 68 85 E8 85 73;
27B0: 85 6F 85 3C 20 FA FC A9 03 4C 02 FF 18 A5 9B 65 50 85 3E A5 9C 65 51 85;
27C8: 3F A0 04 B1 9B 20 EF E0 A5 94 85 3C A5 95 85 3D 60 A9 40 85 14 20 E3 DF;
27E0: A9 00 85 14 4C F0 D8 20 F8 E6 CA 8A C9 28 90 0A E9 28 48 20 FB DA 68 4C;
27F8: EC F7 85 24 60 CB D2 D7 4A 08 20 47 F8 28 A9 0F 90 02 69 E0 85 2E B1 26;
2810: 45 30 25 2E 51 26 91 26 60 20 00 F8 C4 2C B0 11 C8 20 0E F8 90 F6 69 01;
2828: 48 20 00 F8 68 C5 2D 90 F5 60 A0 2F D0 02 A0 27 84 2D A0 27 A9 00 85 30;
2840: 20 28 F8 88 10 F6 60 48 4A 29 03 09 04 85 27 68 29 18 90 02 69 7F 85 26;
2858: 0A 0A 05 26 85 26 60 A5 30 18 69 03 29 0F 85 30 0A 0A 0A 0A 05 30 85 30;
2870: 60 4A 08 20 47 F8 B1 26 28 90 04 4A 4A 4A 4A 29 0F 60 A6 3A A4 3B 20 96;
2888: FD 20 48 F9 A1 3A A8 4A 90 09 6A B0 10 C9 A2 F0 0C 29 87 4A AA BD 62 F9;
28A0: 20 79 F8 D0 04 A0 80 A9 00 AA BD A6 F9 85 2E 29 03 85 2F 98 29 8F AA 98;
28B8: A0 03 E0 8A F0 0B 4A 90 08 4A 4A 09 20 88 D0 FA C8 88 D0 F2 60 FF FF FF;
28D0: 20 82 F8 48 B1 3A 20 DA FD A2 01 20 4A F9 C4 2F C8 90 F1 A2 03 C0 04 90;
28E8: F2 68 A8 B9 C0 F9 85 2C B9 00 FA 85 2D A9 00 A0 05 06 2D 26 2C 2A 88 D0;
2900: F8 69 BF 20 ED FD CA D0 EC 20 48 F9 A4 2F A2 06 E0 03 F0 1C 06 2E 90 0E;
2918: BD B3 F9 20 ED FD BD B9 F9 F0 03 20 ED FD CA D0 E7 60 88 30 E7 20 DA FD;
2930: A5 2E C9 E8 B1 3A 90 F2 20 56 F9 AA E8 D0 01 C8 98 20 DA FD 8A 4C DA FD;
2948: A2 03 A9 A0 20 ED FD CA D0 F8 60 38 A5 2F A4 3B AA 10 01 88 65 3A 90 01;
2960: C8 60 04 20 54 30 0D 80 04 90 03 22 54 33 0D 80 04 90 04 20 54 33 0D 80;
2978: 04 90 04 20 54 3B 0D 80 04 90 00 22 44 33 0D C8 44 00 11 22 44 33 0D C8;
2990: 44 A9 01 22 44 33 0D 80 04 90 01 22 44 33 0D 80 04 90 26 31 87 9A 00 21;
29A8: 81 82 00 00 59 4D 91 92 86 4A 85 9D AC A9 AC A3 A8 A4 D9 00 D8 A4 A4 00;
29C0: 1C 8A 1C 23 5D 8B 1B A1 9D 8A 1D 23 9D 8B 1D A1 00 29 19 AE 69 A8 19 23;
29D8: 24 53 1B 23 24 53 19 A1 00 1A 5B 5B A5 69 24 24 AE AE A8 AD 29 00 7C 00;
29F0: 15 9C 6D 9C A5 69 29 53 84 13 34 11 A5 69 23 A0;
2A00: D8 62 5A 48 26 62 94 88 54 44 C8 54 68 44 E8 94 00 B4 08 84 74 B4 28 6E;
2A18: 74 F4 CC 4A 72 F2 A4 8A 00 AA A2 A2 74 74 74 72 44 68 B2 32 B2 00 22 00;
2A30: 1A 1A 26 26 72 72 88 C8 C4 CA 26 48 44 44 A2 C8 85 45 68 48 0A 0A 0A 30;
2A48: 03 6C FE 03 28 20 4C FF 68 85 3A 68 85 3B 6C F0 03 20 82 F8 20 DA FA 4C;
2A60: 65 FF D8 20 84 FE 20 2F FB 20 93 FE 20 89 FE AD 58 C0 AD 5A C0 AD 5D C0;
2A78: AD 5F C0 AD FF CF 2C 10 C0 D8 20 3A FF AD F3 03 49 A5 CD F4 03 D0 17 AD;
2A90: F2 03 D0 0F A9 E0 CD F3 03 D0 08 A0 03 8C F2 03 4C 00 E0 6C F2 03 20 60;
2AA8: FB A2 05 BD FC FA 9D EF 03 CA D0 F7 A9 C8 86 00 85 01 A0 07 C6 01 A5 01;
2AC0: C9 C0 F0 D7 8D F8 07 B1 00 D9 01 FB D0 EC 88 88 10 F5 6C 00 00 EA EA 20;
2AD8: 8E FD A9 45 85 40 A9 00 85 41 A2 FB A9 A0 20 ED FD BD 1E FA 20 ED FD A9;
2AF0: BD 20 ED FD B5 4A 20 DA FD E8 30 E8 60 59 FA 00 E0 45 20 FF 00 FF 03 FF;
2B08: 3C C1 D0 D0 CC C5 A0 DD DB C4 C2 C1 FF C3 FF FF FF C1 D8 D9 D0 D3 AD 70;
2B20: C0 A0 00 EA EA BD 64 C0 10 04 C8 D0 F8 88 60 A9 00 85 48 AD 56 C0 AD 54;
2B38: C0 AD 51 C0 A9 00 F0 0B AD 50 C0 AD 53 C0 20 36 F8 A9 14 85 22 A9 00 85;
2B50: 20 A9 28 85 21 A9 18 85 23 A9 17 85 25 4C 22 FC 20 58 FC A0 08 B9 08 FB;
2B68: 99 0E 04 88 D0 F7 60 AD F3 03 49 A5 8D F4 03 60 C9 8D D0 18 AC 00 C0 10;
2B80: 13 C0 93 D0 0F 2C 10 C0 AC 00 C0 10 FB C0 83 F0 03 2C 10 C0 4C FD FB 38;
2B98: 4C 2C FC A8 B9 48 FA 20 97 FB 20 0C FD C9 CE B0 EE C9 C9 90 EA C9 CC F0;
2BB0: E6 D0 E8 EA EA EA EA EA EA EA EA EA EA EA EA EA EA 48 4A 29 03 09 04 85;
2BC8: 29 68 29 18 90 02 69 7F 85 28 0A 0A 05 28 85 28 60 C9 87 D0 12 A9 40 20;
2BE0: A8 FC A0 C0 A9 0C 20 A8 FC AD 30 C0 88 D0 F5 60 A4 24 91 28 E6 24 A5 24;
2BF8: C5 21 B0 66 60 C9 A0 B0 EF A8 10 EC C9 8D F0 5A C9 8A F0 5A C9 88 D0 C9;
2C10: C6 24 10 E8 A5 21 85 24 C6 24 A5 22 C5 25 B0 0B C6 25 A5 25 20 C1 FB 65;
2C28: 20 85 28 60 49 C0 F0 28 69 FD 90 C0 F0 DA 69 FD 90 2C F0 DE 69 FD 90 5C;
2C40: D0 E9 A4 24 A5 25 48 20 24 FC 20 9E FC A0 00 68 69 00 C5 23 90 F0 B0 CA;
2C58: A5 22 85 25 A0 00 84 24 F0 E4 A9 00 85 24 E6 25 A5 25 C5 23 90 B6 C6 25;
2C70: A5 22 48 20 24 FC A5 28 85 2A A5 29 85 2B A4 21 88 68 69 01 C5 23 B0 0D;
2C88: 48 20 24 FC B1 28 91 2A 88 10 F9 30 E1 A0 00 20 9E FC B0 86 A4 24 A9 A0;
2CA0: 91 28 C8 C4 21 90 F9 60 38 48 E9 01 D0 FC 68 E9 01 D0 F6 60 E6 42 D0 02;
2CB8: E6 43 A5 3C C5 3E A5 3D E5 3F E6 3C D0 02 E6 3D 60 A0 4B 20 DB FC D0 F9;
2CD0: 69 FE B0 F5 A0 21 20 DB FC C8 C8 88 D0 FD 90 05 A0 32 88 D0 FD AC 20 C0;
2CE8: A0 2C CA 60 A2 08 48 20 FA FC 68 2A A0 3A CA D0 F5 60 20 FD FC 88 AD 60;
2D00: C0 45 2F 10 F8 45 2F 85 2F C0 80 60 A4 24 B1 28 48 29 3F 09 40 91 28 68;
2D18: 6C 38 00 E6 4E D0 02 E6 4F 2C 00 C0 10 F5 91 28 AD 00 C0 2C 10 C0 60 20;
2D30: 0C FD 20 A5 FB 20 0C FD C9 9B F0 F3 60 A5 32 48 A9 FF 85 32 BD 00 02 20;
2D48: ED FD 68 85 32 BD 00 02 C9 88 F0 1D C9 98 F0 0A E0 F8 90 03 20 3A FF E8;
2D60: D0 13 A9 DC 20 ED FD 20 8E FD A5 33 20 ED FD A2 01 8A F0 F3 CA 20 35 FD;
2D78: C9 95 D0 02 B1 28 C9 E0 90 02 29 DF 9D 00 02 C9 8D D0 B2 20 9C FC A9 8D;
2D90: D0 5B A4 3D A6 3C 20 8E FD 20 40 F9 A0 00 A9 AD 4C ED FD A5 3C 09 07 85;
2DA8: 3E A5 3D 85 3F A5 3C 29 07 D0 03 20 92 FD A9 A0 20 ED FD B1 3C 20 DA FD;
2DC0: 20 BA FC 90 E8 60 4A 90 EA 4A 4A A5 3E 90 02 49 FF 65 3C 48 A9 BD 20 ED;
2DD8: FD 68 48 4A 4A 4A 4A 20 E5 FD 68 29 0F 09 B0 C9 BA 90 02 69 06 6C 36 00;
2DF0: C9 A0 90 02 25 32 84 35 48 20 78 FB 68 A4 35 60 C6 34 F0 9F CA D0 16 C9;
2E08: BA D0 BB 85 31 A5 3E 91 40 E6 40 D0 02 E6 41 60 A4 34 B9 FF 01 85 31 60;
2E20: A2 01 B5 3E 95 42 95 44 CA 10 F7 60 B1 3C 91 42 20 B4 FC 90 F7 60 B1 3C;
2E38: D1 42 F0 1C 20 92 FD B1 3C 20 DA FD A9 A0 20 ED FD A9 A8 20 ED FD B1 42;
2E50: 20 DA FD A9 A9 20 ED FD 20 B4 FC 90 D9 60 20 75 FE A9 14 48 20 D0 F8 20;
2E68: 53 F9 85 3A 84 3B 68 38 E9 01 D0 EF 60 8A F0 07 B5 3C 95 3A CA 10 F9 60;
2E80: A0 3F D0 02 A0 FF 84 32 60 A9 00 85 3E A2 38 A0 1B D0 08 A9 00 85 3E A2;
2E98: 36 A0 F0 A5 3E 29 0F F0 06 09 C0 A0 00 F0 02 A9 FD 94 00 95 01 60 EA EA;
2EB0: 4C 00 E0 4C 03 E0 20 75 FE 20 3F FF 6C 3A 00 4C D7 FA 60 EA 60 EA EA EA;
2EC8: EA EA 4C F8 03 A9 40 20 C9 FC A0 27 A2 00 41 3C 48 A1 3C 20 ED FE 20 BA;
2EE0: FC A0 1D 68 90 EE A0 22 20 ED FE F0 4D A2 10 0A 20 D6 FC D0 FA 60 20 00;
2EF8: FE 68 68 D0 6C 20 FA FC A9 16 20 C9 FC 85 2E 20 FA FC A0 24 20 FD FC B0;
2F10: F9 20 FD FC A0 3B 20 EC FC 81 3C 45 2E 85 2E 20 BA FC A0 35 90 F0 20 EC;
2F28: FC C5 2E F0 0D A9 C5 20 ED FD A9 D2 20 ED FD 20 ED FD A9 87 4C ED FD A5;
2F40: 48 48 A5 45 A6 46 A4 47 28 60 85 45 86 46 84 47 08 68 85 48 BA 86 49 D8;
2F58: 60 20 84 FE 20 2F FB 20 93 FE 20 89 FE D8 20 3A FF A9 AA 85 33 20 67 FD;
2F70: 20 C7 FF 20 A7 FF 84 34 A0 17 88 30 E8 D9 CC FF D0 F8 20 BE FF A4 34 4C;
2F88: 73 FF A2 03 0A 0A 0A 0A 0A 26 3E 26 3F CA 10 F8 A5 31 D0 06 B5 3F 95 3D;
2FA0: 95 41 E8 F0 F3 D0 06 A2 00 86 3E 86 3F B9 00 02 C8 49 B0 C9 0A 90 D3 69;
2FB8: 88 C9 FA B0 CD 60 A9 FE 48 B9 E3 FF 48 A5 31 A0 00 84 31 60 BC B2 BE B2;
2FD0: EF C4 B2 A9 BB A6 A4 06 95 07 02 05 F0 00 EB 93 A7 C6 99 B2 C9 BE C1 35;
2FE8: 8C C4 96 AF 17 17 2B 1F 83 7F 5D CC B5 FC 17 17 F5 03 FB 03 62 FA 40 FA;
END;