From 0f4346d382887f04dce181c121fbdaf27cc84e06 Mon Sep 17 00:00:00 2001 From: dwsJason Date: Sun, 19 Aug 2018 21:00:33 -0400 Subject: [PATCH] Sprites: reprocess, to fix the color indexes to match the palette --- asm/drawsprite.s | 152 +++++++++++++++++++-------------------- data/sprites/xrick00.lz4 | Bin 28207 -> 28277 bytes data/sprites/xrick01.lz4 | Bin 22709 -> 22654 bytes data/sprites/xrick02.lz4 | Bin 22696 -> 22647 bytes data/sprites/xrick03.lz4 | Bin 5571 -> 5578 bytes 5 files changed, 76 insertions(+), 76 deletions(-) diff --git a/asm/drawsprite.s b/asm/drawsprite.s index 6aee292..068ddbe 100644 --- a/asm/drawsprite.s +++ b/asm/drawsprite.s @@ -39,101 +39,101 @@ iSpriteNo equ 7 JMP (xrickBank,X) ; Bank Number Table xrickNum ANOP - dc h'4300 9100 3400 0E00 0500 1D00 0300 2500' - dc h'2900 6400 1300 0F00 0200 5C00 6100 5400' - dc h'6000 5A00 0C00 5800 1100 1000 1F00 6900' - dc h'4D00 5E00 3D00 0400 3500 6800 0500 2400' - dc h'6C00 2700 0A00 2000 1F00 4400 5F00 5800' - dc h'5500 6400 0F00 5700 5000 2500 0200 1E00' - dc h'3D00 4F00 1C00 2600 3000 2200 4800 4A00' - dc h'4C00 5600 4400 4300 1000 1100 2500 2000' - dc h'2600 2B00 2E00 2700 7A00 7B00 7D00 7E00' - dc h'1700 2D00 1500 2700 0E00 1600 1F00 4700' - dc h'0000 0600 0500 6300 0800 0800 2800 2A00' - dc h'2E00 0B00 4900 4B00 3E00 4600 4800 1E00' - dc h'2B00 5400 0900 1900 1D00 4000 2C00 5200' - dc h'2200 0700 1E00 3100 2300 2100 5200 2D00' - dc h'1600 3600 7100 5100 5A00 1C00 2800 1A00' - dc h'4200 4C00 5D00 5C00 3C00 4600 3200 4500' - dc h'6000 2A00 5B00 3B00 1600 1800 3300 6100' - dc h'3E00 5300 1700 1A00 5F00 3200 4E00 0A00' - dc h'3600 2F00 2200 3B00 2B00 3700 4A00 4000' - dc h'5700 3400 3800 2000 3900 3F00 3100 5D00' - dc h'3000 2300 5A00 0400 0E00 4C00 2800 4300' - dc h'4900 2F00 2F00 7900 2800 1F00 4A00 2700' - dc h'3500 4900 4F00 3C00 6A00 0A00 1500 8C00' - dc h'7300 1600 2200 7C00 6700 3900 1500 5E00' - dc h'7000 4200 3A00 2100 4800 5100 3600 1900' - dc h'1400 1300 5E00 1000 0600 0200 5300 5000' - dc h'0E00 0B00 4400 2E00 5200 3A00 4700 1800' - dc h'8500 1900 4600 2E00 5900 3800 6200 4D00' - dc h'4000 3400 7200 2A00 3100 7700 2C00 3200' - dc h'1B00 1C00 6B00 5700 2300 1A00 6E00 6900' - dc h'3000 4100 1700 2100 1D00 1E00 6800 6F00' - dc h'3200 3700 3B00 3000 3A00 5900 3D00 6500' - dc h'6E00 1400 5800 3300 5B00 3900 6D00 1200' - dc h'0B00 1100 3800 2D00 5400 3700 0700 0300' - dc h'0B00 0600 6600 2C00 0900 0000 0100 1200' - dc h'1900 2B00 2000 2500 5500 0D00 5500 2300' - dc h'1C00 4B00 0800 5600 2900 5000 1B00 4700' - dc h'1D00 1B00 1800 1100 4E00 3F00 3C00 4D00' - dc h'4400 9000 8000 8300 8600 7F00 8100 8700' - dc h'8900 8800 8D00 8B00 8F00 8A00 0500 0300' - dc h'0600 0200 8200 8400 0000 0900 0800 0100' + dc h'4400 4300 0E00 3400 0500 1C00 0300 2500' + dc h'6400 2B00 1300 0F00 0200 5D00 6100 5400' + dc h'5A00 6000 0A00 5800 1100 1000 6800 2000' + dc h'5E00 4F00 3D00 0400 6900 3500 2600 0500' + dc h'2800 6C00 2200 0A00 4400 1D00 5F00 5800' + dc h'6400 5500 0E00 5700 5200 2700 1E00 0000' + dc h'3F00 5100 2600 1C00 3000 2200 4900 4700' + dc h'5600 4C00 4400 4500 1000 1100 2000 2500' + dc h'2600 2B00 2700 2E00 7A00 7D00 7C00 7E00' + dc h'2D00 1700 2700 1500 0E00 1600 4800 1F00' + dc h'0600 5F00 6300 0500 0800 0800 2800 2A00' + dc h'0B00 2E00 4900 4B00 3D00 4700 1B00 4900' + dc h'2C00 5200 0900 1800 1F00 4000 2B00 5400' + dc h'0700 2000 3200 1E00 2300 2100 1F00 4300' + dc h'1600 3200 5000 4200 5900 1D00 2900 1A00' + dc h'4300 4C00 5A00 0100 3800 4400 4600 3300' + dc h'6000 2A00 3B00 5C00 1900 1600 6100 3200' + dc h'5300 3E00 1A00 1700 6000 3300 0B00 5100' + dc h'3600 3000 3C00 2300 3700 2900 4D00 4000' + dc h'3500 5700 2100 3800 3E00 3900 3100 5B00' + dc h'3000 2400 5B00 0400 1100 4C00 4500 2A00' + dc h'4800 2F00 7900 2F00 1F00 2800 2800 4A00' + dc h'3500 4A00 5000 3C00 0A00 6A00 8B00 1500' + dc h'1600 7300 2200 7B00 6600 3A00 5E00 1500' + dc h'6B00 4200 3900 2100 4800 5100 3600 1900' + dc h'1400 1300 1100 5E00 0200 0600 5300 5000' + dc h'0B00 0E00 2E00 4500 5200 3A00 4700 1800' + dc h'1900 8500 7100 2E00 3900 5900 4D00 6200' + dc h'4000 3400 2C00 7100 7700 3100 2C00 3100' + dc h'1C00 1B00 6D00 5700 1A00 2300 6900 6E00' + dc h'2F00 4100 2200 1700 1E00 1D00 6F00 6800' + dc h'3700 3200 3B00 3000 5800 3B00 3D00 6500' + dc h'1400 6F00 3400 5C00 3A00 5A00 6E00 1200' + dc h'1000 0C00 3800 2D00 5400 3700 0300 0700' + dc h'0B00 0600 6700 2D00 0900 0100 1200 0200' + dc h'2B00 1900 2000 2500 0D00 5500 2300 5500' + dc h'1C00 4A00 0800 5600 2700 4E00 4B00 1E00' + dc h'1D00 1B00 1000 1800 4E00 3F00 3C00 4D00' + dc h'9000 4500 8000 8100 7F00 8700 8200 8900' + dc h'8800 8600 8C00 8D00 8F00 8A00 0500 0100' + dc h'0200 0700 8400 8300 0000 0900 0800 0300' dc h'0F00 1200 8E00 6B00 5D00 6300 6700 5C00' dc h'6A00 5300 1A00 0D00 1200 0A00 1400 0C00' - dc h'0900 0700 7800 7600 2400 2100 4500 4300' - dc h'5100 3B00 2400 3300 3F00 4500 1700 0100' - dc h'1000 6F00 3500 5F00 4E00 6000 5600 4100' - dc h'2400 4200 4B00 5B00 1B00 1300 2600 3E00' - dc h'4F00 3700 0F00 2600 2900 4100 4100 3D00' - dc h'3500 3100 0D00 0700 6500 6D00 7100 7500' - dc h'1500 1300 3400 3A00 3F00 3600 2D00 2900' - dc h'3E00 4200 2F00 3C00 0C00 0400 6600 6C00' - dc h'7400 7000 1800 1400 3300 3900 4000 3800' - dc h'2C00 2A00 5900 6200 0D00 0C00 0100 0400' + dc h'0900 0700 7800 7600 2400 2100 4600 4300' + dc h'4F00 3B00 2500 3300 3E00 4600 1700 0000' + dc h'0F00 7000 3600 5D00 4E00 5F00 5600 4100' + dc h'2400 4200 4B00 5B00 1B00 1300 3F00 2400' + dc h'4F00 3700 0F00 2600 4100 2900 3D00 4100' + dc h'3500 3100 0D00 0600 6C00 6600 7200 7500' + dc h'1500 1300 3400 3A00 3600 3F00 2900 2D00' + dc h'4200 3E00 2F00 3C00 0400 0C00 6500 6D00' + dc h'7000 7400 1400 1800 3900 3300 3800 4000' + dc h'2A00 2C00 6200 5900 0D00 0C00 0100 0400' dc h'0000 0300' xrickBank ANOP - dc a'xrickBank03,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank01,xrickBank01' + dc a'xrickBank03,xrickBank03,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank01,xrickBank01' + dc a'xrickBank01,xrickBank02,xrickBank01,xrickBank02,xrickBank01,xrickBank01,xrickBank02,xrickBank02' + dc a'xrickBank02,xrickBank02,xrickBank01,xrickBank00,xrickBank02,xrickBank02,xrickBank01,xrickBank02' + dc a'xrickBank01,xrickBank01,xrickBank02,xrickBank02,xrickBank01,xrickBank02,xrickBank01,xrickBank01' dc a'xrickBank02,xrickBank01,xrickBank01,xrickBank02,xrickBank01,xrickBank01,xrickBank02,xrickBank02' - dc a'xrickBank02,xrickBank02,xrickBank01,xrickBank00,xrickBank02,xrickBank02,xrickBank02,xrickBank01' - dc a'xrickBank01,xrickBank01,xrickBank02,xrickBank02,xrickBank02,xrickBank01,xrickBank01,xrickBank01' - dc a'xrickBank01,xrickBank02,xrickBank02,xrickBank01,xrickBank01,xrickBank01,xrickBank02,xrickBank02' dc a'xrickBank02,xrickBank02,xrickBank01,xrickBank00,xrickBank01,xrickBank02,xrickBank02,xrickBank02' dc a'xrickBank01,xrickBank01,xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank00' dc a'xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank03,xrickBank03,xrickBank03,xrickBank03' dc a'xrickBank03,xrickBank03,xrickBank03,xrickBank03,xrickBank02,xrickBank02,xrickBank02,xrickBank02' dc a'xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank00' - dc a'xrickBank01,xrickBank01,xrickBank00,xrickBank01,xrickBank00,xrickBank02,xrickBank00,xrickBank00' + dc a'xrickBank01,xrickBank00,xrickBank01,xrickBank00,xrickBank02,xrickBank00,xrickBank00,xrickBank00' dc a'xrickBank00,xrickBank00,xrickBank02,xrickBank02,xrickBank01,xrickBank01,xrickBank01,xrickBank01' dc a'xrickBank01,xrickBank00,xrickBank01,xrickBank01,xrickBank01,xrickBank01,xrickBank01,xrickBank00' - dc a'xrickBank01,xrickBank01,xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank01,xrickBank02' - dc a'xrickBank02,xrickBank01,xrickBank01,xrickBank01,xrickBank01,xrickBank02,xrickBank01,xrickBank02' - dc a'xrickBank02,xrickBank01,xrickBank00,xrickBank00,xrickBank01,xrickBank00,xrickBank01,xrickBank02' - dc a'xrickBank00,xrickBank01,xrickBank00,xrickBank02,xrickBank01,xrickBank01,xrickBank02,xrickBank01' - dc a'xrickBank02,xrickBank00,xrickBank01,xrickBank01,xrickBank01,xrickBank02,xrickBank00,xrickBank01' - dc a'xrickBank00,xrickBank01,xrickBank02,xrickBank01,xrickBank02,xrickBank01,xrickBank01,xrickBank02' - dc a'xrickBank01,xrickBank01,xrickBank02,xrickBank02,xrickBank02,xrickBank01,xrickBank01,xrickBank01' - dc a'xrickBank02,xrickBank02,xrickBank00,xrickBank01,xrickBank01,xrickBank00,xrickBank02,xrickBank01' - dc a'xrickBank01,xrickBank02,xrickBank00,xrickBank02,xrickBank03,xrickBank03,xrickBank02,xrickBank01' - dc a'xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank02,xrickBank03,xrickBank01,xrickBank02' - dc a'xrickBank02,xrickBank03,xrickBank03,xrickBank02,xrickBank01,xrickBank01,xrickBank02,xrickBank00' + dc a'xrickBank01,xrickBank01,xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank02,xrickBank01' + dc a'xrickBank02,xrickBank01,xrickBank01,xrickBank02,xrickBank01,xrickBank02,xrickBank01,xrickBank02' + dc a'xrickBank02,xrickBank01,xrickBank00,xrickBank01,xrickBank01,xrickBank00,xrickBank02,xrickBank01' + dc a'xrickBank00,xrickBank01,xrickBank02,xrickBank00,xrickBank01,xrickBank01,xrickBank01,xrickBank02' + dc a'xrickBank00,xrickBank02,xrickBank01,xrickBank01,xrickBank01,xrickBank02,xrickBank01,xrickBank00' + dc a'xrickBank00,xrickBank01,xrickBank01,xrickBank02,xrickBank01,xrickBank02,xrickBank01,xrickBank02' + dc a'xrickBank01,xrickBank01,xrickBank02,xrickBank02,xrickBank01,xrickBank02,xrickBank01,xrickBank01' + dc a'xrickBank02,xrickBank02,xrickBank00,xrickBank01,xrickBank01,xrickBank00,xrickBank01,xrickBank02' + dc a'xrickBank01,xrickBank02,xrickBank02,xrickBank00,xrickBank03,xrickBank03,xrickBank01,xrickBank02' + dc a'xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank03,xrickBank02,xrickBank02,xrickBank01' + dc a'xrickBank03,xrickBank02,xrickBank03,xrickBank02,xrickBank01,xrickBank01,xrickBank00,xrickBank02' dc a'xrickBank01,xrickBank00,xrickBank00,xrickBank01,xrickBank02,xrickBank02,xrickBank02,xrickBank02' - dc a'xrickBank02,xrickBank02,xrickBank02,xrickBank00,xrickBank00,xrickBank00,xrickBank02,xrickBank02' - dc a'xrickBank03,xrickBank03,xrickBank00,xrickBank01,xrickBank02,xrickBank02,xrickBank02,xrickBank02' - dc a'xrickBank02,xrickBank03,xrickBank02,xrickBank02,xrickBank00,xrickBank01,xrickBank01,xrickBank00' + dc a'xrickBank02,xrickBank02,xrickBank00,xrickBank02,xrickBank00,xrickBank00,xrickBank02,xrickBank02' + dc a'xrickBank03,xrickBank03,xrickBank01,xrickBank00,xrickBank02,xrickBank02,xrickBank02,xrickBank02' + dc a'xrickBank03,xrickBank02,xrickBank01,xrickBank02,xrickBank01,xrickBank00,xrickBank00,xrickBank01' dc a'xrickBank00,xrickBank00,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank00,xrickBank00' dc a'xrickBank03,xrickBank03,xrickBank01,xrickBank02,xrickBank03,xrickBank03,xrickBank02,xrickBank02' - dc a'xrickBank01,xrickBank01,xrickBank03,xrickBank02,xrickBank03,xrickBank03,xrickBank02,xrickBank02' + dc a'xrickBank01,xrickBank01,xrickBank02,xrickBank03,xrickBank03,xrickBank03,xrickBank02,xrickBank02' dc a'xrickBank03,xrickBank03,xrickBank03,xrickBank03,xrickBank01,xrickBank01,xrickBank00,xrickBank01' - dc a'xrickBank01,xrickBank01,xrickBank01,xrickBank01,xrickBank01,xrickBank00,xrickBank01,xrickBank01' + dc a'xrickBank01,xrickBank01,xrickBank01,xrickBank01,xrickBank00,xrickBank01,xrickBank01,xrickBank01' dc a'xrickBank01,xrickBank01,xrickBank00,xrickBank01,xrickBank01,xrickBank02,xrickBank02,xrickBank02' dc a'xrickBank02,xrickBank02,xrickBank01,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank02' - dc a'xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank01,xrickBank01,xrickBank00,xrickBank01' + dc a'xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank01,xrickBank01,xrickBank01,xrickBank00' dc a'xrickBank01,xrickBank01,xrickBank01,xrickBank01,xrickBank01,xrickBank00,xrickBank01,xrickBank01' dc a'xrickBank00,xrickBank00,xrickBank00,xrickBank00,xrickBank02,xrickBank02,xrickBank02,xrickBank02' - dc a'xrickBank03,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank02' + dc a'xrickBank02,xrickBank03,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank02' dc a'xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank03,xrickBank03' dc a'xrickBank03,xrickBank03,xrickBank02,xrickBank02,xrickBank03,xrickBank03,xrickBank03,xrickBank03' dc a'xrickBank03,xrickBank03,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank02,xrickBank02' @@ -141,8 +141,8 @@ xrickBank ANOP dc a'xrickBank00,xrickBank00,xrickBank02,xrickBank02,xrickBank03,xrickBank03,xrickBank00,xrickBank00' dc a'xrickBank00,xrickBank00,xrickBank02,xrickBank00,xrickBank00,xrickBank01,xrickBank02,xrickBank01' dc a'xrickBank01,xrickBank01,xrickBank01,xrickBank00,xrickBank01,xrickBank01,xrickBank00,xrickBank00' - dc a'xrickBank00,xrickBank01,xrickBank00,xrickBank02,xrickBank02,xrickBank00,xrickBank01,xrickBank00' - dc a'xrickBank02,xrickBank00,xrickBank00,xrickBank02,xrickBank00,xrickBank02,xrickBank03,xrickBank03' + dc a'xrickBank00,xrickBank01,xrickBank00,xrickBank02,xrickBank02,xrickBank00,xrickBank00,xrickBank01' + dc a'xrickBank02,xrickBank00,xrickBank00,xrickBank02,xrickBank02,xrickBank00,xrickBank03,xrickBank03' dc a'xrickBank03,xrickBank03,xrickBank03,xrickBank03,xrickBank02,xrickBank02,xrickBank02,xrickBank02' dc a'xrickBank03,xrickBank03,xrickBank03,xrickBank03,xrickBank03,xrickBank03,xrickBank03,xrickBank03' dc a'xrickBank03,xrickBank03,xrickBank03,xrickBank03,xrickBank03,xrickBank03,xrickBank02,xrickBank02' diff --git a/data/sprites/xrick00.lz4 b/data/sprites/xrick00.lz4 index 40419c59667492c07a6cab6f7d9b130a19cd3d4e..de19aa7fa6e24ac85b6df6e7e6ed0777d953c941 100644 GIT binary patch literal 28277 zcmWh!349Y}`hMrgF`2ZPNz#k-NSe0v0D7bcG(bx_SW?o`Lb+0vOH@P!q0%B=Fi5*1 zrvaL@K|C1j{rgMJt9q;ix&r4Zc zi4#%y<6rICM*)6_YUT$t{wEo{gZzEc&u%2$qQ~C)_wH|2edYXO`pA?>=4a_cS^p@! zvh43|@BR6&|Gt=hVf9;5==z&eUVr?xzrB+1(t3aY>CBV6dcHkA?`XiM>v-bOw+9>d zU)p2a-P@k9YmZ28fAZ7W@Z|u$9!>l!g6`b3uRFCG_@349V9&*ZNF-7W!4c7mqQ6HXs5ZI>_RIZZH-1)h zC<64c9A z`o*qY#DU}ZbzNeobZj>919J@D^!owS??SZ$_I0xflRVfj(h?bhf&r2IfGEiMAo>CE z%u6aTx8jW}wx5+1xvMj1)k9bm2&VWLt zB&oq8Nix2LMwI6Orz(R=ys3JDSc&hpU+mdT&cQ=QzW^`PdRJ{h7m-S)RzSYCWZhJcv^&p{URgvc7m~21OwqB3J6KP6&(0A)uX`e9|gY8 zMkHyR!1t;lzIO>xi61140r@QOo)C~L30seJ%0?W)&6b@ao`HLaHTb={Md~-;ncrZc z4w&wmmBdb9_Sc9#*UU4C^os@mCHbTIut*~wm5x6Fw&QH%O^R+M@-G%FBMln|2D)!f z$igymayL}s+1R57uBRCq@c6N8HATKnaU*Y2JIDIbL=?G3R#3dJ0PgeQSuioNf=cQu zf`bG75#(~AVc~B;d`;C0c$T`IuyA4&xdw|skpzd(0S_7z(BRElAWXmwo514~(0~v@ z!tm2H?|l}wdoLEa-Dnh_!OI$2FCeO;AB{%Q*aQ;phwZ=Po8rOhmk9HW4{LV;>BB#%#q@YPXtXB=G_MK^~-z)XfWt_+}|E4b!vqKoFL}@w336o(V+*;_*Xt;lN1bKMGZi!ZPME5`3=<_%0XR*M(ntX#5A- zBKiJ86}d#;If5HIN9?#Vga%z`aNtM9ilLimbOLv4u%)G25ajmuCW(rp3#n`7JCvR7%E)=G*uMOWXhtnNS#TS3ZbI#CEZRF!4u+08 zi0=?ULT#<6r5*QLOD>_Z!_L-rG=2l^jZOl^h~gy{oo++rcI2?FAs>sNP%FxvFhPL~ zrZ#Gwj%leBkB<<+8_lSCAG%qN{I8TNsTatdLN&5wAgirj$#>d-@B9J^(QDO9A?|b{ zVQe`-aBc6y>Ys7bTHSjnL#LatbxJ49u;~P<9!J$JO9?|~1yE_g6{W%S=Dm>=m^79G znNLR!5X2hAy*^ZBb62Y7*fJuK{{teQ;;YjLN$&3#J7w39c+8_Jz9M?tR6i-*cmmW4 z_H`B5sJL7rCEw{6)wdKI@N6FxRcGlqb9?)8vaqG4X#tU_>ZA3_MI^bN;M)kbwY`~8 zlNw5YV>_ihL*KU-o6vbJej;Be>t*QkS)f9c{#_7J)_WEmoLxI+^sKDDJ*- z+|iRj$&()34?zjFJ`rgk`R+x8zS{&3-0VSZ0d|5+E}9_gfAu42f+RDl_x?!nLK)d^ zvmwEOTAItqnNN$p)v&ZV4^_9lr-1iC{S4XcMnAXM*GW?eealorIfY(Y-GcVsSVpIf zSpW>}79dw>4p_x5B-EnTZ@0iA*)~}``UF{;E_Rkt2k?!NZL)n`)mBYIvv@)x{tO&3 zlTql zXb;h=+e9B8M<=N~q**f$`-_&HxfErD11)&FT|n*aPQr9bK^9gwN8X|oCy3+_N$y3x zDEbT%dPzll@2@C?kWfP_N^N3C4f!6*Eyq&_)s!Pg@o8wt6}^)57}H-VO2{uEn;&I3 z_A2>|dmvaWplBN^+lPvpm#8e#5f5-j9wB}ps!&S?+H6D3laV9WzAi8W`05`?bCJzR zHl*87Rnb!lgMTr=I4rgHL|FGtQjcvSfBa*B_mq`F4{Fg9`c?;_7^h(xX=!UwP|7!z zPgUdPg=)uMqF1}|8>7~1)QhrED|)eC@7w_Kom=4@G&F`+68fwe)n1or+cr@xv5N$e zl%#C1Nm8E%R630=M8|7A&ASP+pUCR3qKMB?7zan)B zpqn?2>b~4T75c@l$uxXIFAOh03+AsNNegN0w2)jmMJx^VqM#jUE@V_TghQx2xQv8{ z31tp>HY}lF0KHX%=AZwJz`e8(ZYu-g5%kg!8mK{j7kcFms_C?^JL9K+rVe9+G#}65 zJE;E(n&?Nzqvi0AL45Z4Fkb#QQS>{HTA2(zSMjcZ58a7I>H(68rY6*9b_iL*DBI@jH<$=cso2WRyFI zoSqdb&QlF|ZRK{M&fGmpuD1^QBB<*!j<%39CqR@6;*sU>F7o+N*BJUvM$QG9kfD(? z;aflUBiLJAMzV~~j9Ot1(<2^9f<*x@I_Ry(rv1<h@ee zn)wwr{4!3a zzkr6r`gGSoCr#W92GxsXp&<4gC6f9c;)@8B30=@If2z=w(}sq zF8CjUv#o=3eh(6SIP^lc-W4Q&E{lB7>xM(MB5EnZKFKFLFGNCJ=*)%YSgaLHt4UT+$telzH{GKLj`&@EKIz-jX$krz0kk;|@b&tnhl#XhCA8T;0q zoKk_k^mpt$oNkQA1OIe9EJV-z54D)%RTZ&q?ak==1e!pdvB|B+NppGVYa-*j&~Z{V z#*%+$7e0YK%)ITK;Y0^hbpjQ&_Or|8ZNh$GE`z9aY}twgRBgp>61y7YkUAOO_BB~w zm4Pyv&#;2E2%Wycrpwi>{OTfi1hoj?DpeQR+D6dOdC=``o?MDzDfPiMTtICV3ic@AaUt9eD#KN~Q=@4<~K6g-|evdTRNtWNjml^Z~-BP(3|w(`Ee`ky|@J{$@qogu-rk6dC8BfoV%qq-W`9ttA~FVehZ>LZ`nfuxWdy%t2yz#N4E zdlv49mnaMe+!~#sZ#oO7p##5OD2N{zc0$sTZ1OMo`mIl*mUofs$+c8#OD8(gg0|); zxUD5%KGM9OynCw?wRGJ>zIMckE+0WPPE-@BQ&r*K>s&za0sOKZu^EBzN|F!$10asb z57-vQSrXMrD&=QvCH4uex7GQPh?EeUhQH`PzI17~pb9O7-93ims#TQWdj{a8&O_t)COe(a+WBdMi#oF3?F?UiktYulN&V zl~fmKx>n0On^VXOw6X6;f|qvT@atkh7q9G7fQ~Kpd0CT6d6{D7(Pr6GtOE*2gJLW4 zIU~sDtXsAVfU-x8%)q024Eb3~;{ z+`63rwJ{jzMV?-?wF`N+UZD9b?vc3=J5`h;u{nMP4Ij25*dM7H9P>&6@Nu3GMOd1Y%CfE*3-B&d~ z65VL>PUN?tazARrrZwXc!q5{>f8K*OXQ1fM$l8jHZabl9HJGghDaj`URJIwl*icJV zAR*3w4vftjY{t#mz-?A&m)X)$wIiP_!#T!%bXLoz#RRz?G>meQP~%2zR}z({;tE>? zcQu{Zo6FYW$rUlP=9ac^6Vip2S>&1_LG+2oaY`hJ9Urjopt>Pc{S>z2Xteee$3NfC zVi<-_V0htyI8p;}565e^k!hN$*tq?sV0YLq+k;J)HDBr&juU(FYTz;8q_9|dMIKem zi9P$$GtP#;p)JJOZ)maZbdXsQ-Jxj2z!*n-m|#B3J)bwBlgc-4RQ!0-I0j(txc?I`$61AA6I40pPn| zQ1aYr{r0d4nehL!m9f+|Yat<0k7pKgqVmCv3XRx%9~q5qGkd+?v-WVPsWF89GB3&3RZ^% z6bQR{eFyT(XzLTG`tqNcKOEQ*4?1#$f^6YQD^U;-y_Q%9zP|TrJio2ST2do+Y>rdz zXN=+f40Tus*C4+pcqJizgOP$EFPA64kdFv ze*)dXWM1#yl$_??pG?v(CmY>zoN8C{vRoX*=j}@7&rBjoTQcumXHXL)6vc3XgHYkA zb00`nkZxvrjVK*RwjA`|i&5Setqy!u?un!#`Cv{cw@AnI?$@c_ONfXEn?_l%RZwgC1^jTgyijxAQuoP-iLcWIzS17;i^HyF3}-AMw=2OvO_P z%qenjje!0aMKufV&T7Y2tY!fUyz-Gg$@-V1H*vHj^&(pll6tPj@I7n5A`4rr=^2h# zEg1yE;koe9VI)}5&zn(e6bV%ilY~J22vm`H2=!#3O&EbHLyje^ayH44v&qlhsJI2C zTT!zOm9_Md)6>PnXJTH%F;X>)LpCH$#<;3i((>M;P|$I)U`nE+4WsH`g5q~J!yWmR zWnRk69v$)+i7mP2wq^&A#aR|%C^w62CgJ@!@_q}cA^4+@XU)NY)3?8{rft8l^h%3C z#<80G3!C->fl<+ce!1U;^HMWqlHgugG%kXqei;nvsCLm94qW=a&eQijO>V`gdfjz2 z9zUsUO!WS3%D#~iR9lNiM$$pOVt_@1!)8$J8+M@q3=#IHgK`<`#>i(XB-K{JjM@Qr zPgdIXRM47T+&?@S|S5(!5wiTuf2=s1ZJ4c7n$ zR8uJY%npC1Ss2bzLP=5T$qpdur|H=Svb00&Oap3K-1Hdns0S>SpHnlc>9R;Vk9py+L9*N3qU3P$eIGP_u_{cNH(Bm6e4V!Yzg%s64%Crj}>d#=!1mgTf5FqDosxOV~LZv8lF5r`2FMt&X)^ zGq1H(bc>2l=(uw?F#$CukAL5E%^a{0>9NI?qW|mM!m<8HUZHZWX5|&^1xEWv3mZo< z*nQ>sWM#JY*l0hxi9yWKC|_DX_C3aK_Qou|c?Y@14yS&tb>Xz<4&I3&o~Sz+xQ?I6 zRTnOZ9oNivl@w~lV{U@K@GkNA_b&APc!Zs6!K8;)>mnMkv$#NKDoGXl5ztyRAP`zEdZ~btt3T&GCg*zDo;AGgNUo#L2Q=O^YH0XJrqdz zbv2eL+LtJvdJ}l+L8ADA$oMdj(S`au_W*fOSEa2HDJB>1@7qNz!T^@vUz({}KrHJi zM*T(Kf(qO>-t5d?CKX! zEl;*x5$T%g7_9Gox!9omM$Id?s?rpX#U`!}Vl>It3DcAjC>MCytANT!8#L4Y6 zM_o^RFc68LfP5!S-@TjRd}|>=?o*^|&RdI#C9v}Z&Xn+R=>s6%n95WC(qf}u@N6t^ zI|v5fwKT5$CpI(OS&kw4e`93-67b$PV|eQCP>%x@f3TX!4A}DkJ7~?Tf1)zGH4mE% znyNgW>YW4_s*mSkSeIy5mt|ZtzdD`xSXrzQ)&C}RFEerc=$ffzSH_#1dHe}A7*8ys z3Wo*vI-O!c68HULwdH#uQo`TdL2Lh9cwcnPji>Tant3as9?$Bl6aS=_MXzFprqjIf zf_NOy{75n^n!tOm@|lZnV#3v%L)*O4vF$*N(!^GpImYe8MsxfMhB@RFl?O^Lhk?2Oa03v<4*^Ss!rQy5elp#C;J zU8~)!B)S2%%$R;&Jk^j)5DNaa-Nr_o*k8D~+sIuwg2|v8F?i0Nept;P{XhZx6$|`+ z)Snv*4yy}QZH!gvV;V2@qe~w!3gyQNN6}1Fh3F-+vsXO2J#RI-T#RN6jL?;?>mofu zW0p|4fv_p1Q|Geur2kQKuypyaNs+Rk!HqdQZrmw&CrE$Lip+&lQgi_T$Wde8i<86TvvtmDe~ihFs&>aeSSYFB~3rPlJrw@$PI}t$6q@D8aW` z62}X7!(-S%~w=%uMR9O1_m zy#FsiU7EV^96~q;;S61MQ=ulC{3Vm?eT9Nmj?~j1n&))BDxRn_^U`s({?v;k@s)!5 zJdQh`%53WW7Yf-?xrSCfkttpM74`d3?*OWOR6#O^{bBJiivEIJH+N_kUX3E>xzllm zV@@jR*q*p&hcM4GfdVfpFuG!EZHHRo3nifu-Hq{m@8 zz1WB)2hUQI2Syl@o0y?m7`=|U=De6i@|6*>>zO>FW2!|u)=9w$N5j?Mv7+IVVmPI4 z8N_*Ehj@H$f#IlK-*6O1a-(~uuIRul19P8&rnfP?T8*K%FX%WvNb-w<_9p2h$-~ba z*{I-8)lmiZvylgK z6HS>`DicdD0t(_OakZGls zoTa+k9(JROSt`{u6$$)I#D^)~9JPPT<=HBl0Um&i+tF`Sibr%gMDXQgtNrX`K06qU zCeP4_J@ZtK3OlNpt0L5sxNt1w*=?DG{%oqg)D~t(fY$OvGLgW3X@Mfb==(kyqEKau zAbyR>aI}Jf0ft<}z($qAt1rY!T(^q;-a$t6Jfr?Y^KhyO(oPM>C9ZG{$T!D+cW6}? zrs|-|0e5S4WK}uVJmlY;P*&xpx#=o(KFdPY6Y=gZ)d`&w4#gKS$)mL@^N`S{#<bslzCa+_9X>CR+n$(>nwEsir;N%&w<%jU>#lddmIRft-u(*Yl6 zrn6}0R}|lplMIm}`oFoVL)2b83x!csE&R)*Zw_X~RR^<@j&CVa{z5`9i*uFbSVx8K zqQs-MWy<_Q2PV{q?$s)7d5W(l>Gr3^ul3<5!qb$eA{d6)mgmoG9MOo1J7*K;vHh7;R7FcKyk9Y4JyAq&RSN<9Mqq-Q!-HX72It zHKy0(%CXG?&bnnGH&PiVM=Hs7qDb(ut+OA`_25X%=lLdXkq<{$nl)ydM$)b^8??X1 zE$YF#D*xw1fnPe43JY>7#WR6e<3qLGwIi~tEX6obmJ&Z$mXbPBma=nb1i6OL@URUp zrP!#EBG8-Y($%*Jr$HXv}+Ox`J^W>DHM6S)CPV&$+Po}5-Y_ZBl0 z^Ep5P!<{g~s#+~3^QF&0PtO73F@isRwc4ndI-B?(Q%sB9%OSJ2|4K1GG=rxwRLPVR zv6Wh#X`Vr8;`6VWE%PYm9+l2IFR=>0SWnu#?B>>g(xq2L?|M}OrsC$cpN>iEOPNQe z%}bf5u0S{ErOi{}pVO9kI*YG)P^Dz&asTW~ZndFa2fF#sb5Q0Jy}qfGWnSX*=9;pA z*r~Iws20$?pVNRO5>%F*&5u}hvq7=!Mj1NNnue(Wto9g9gDRZ0_p&xaPls|+&%?7E z8Tf|%+-|IKXvXu)Gh4S9HTSdZ)2d1rX5Kf{P)>#Zd#8f$cY#9~a=ZUSRbCwysdPF? zwZEpqC6b@cO|*o=?HLADJ8V(mIaw^qiVE^H4ra5-1mACk)lP|JpCtLOPBV!U|5wYm zTvNtHcQ0f%s2f^aQS{qMVCt(Q3+%n_>bYq*O#o)wIc<4%^gCyKvOlQ=L#$WlPLKW? zIcG13ioQKX+(bN9`z_2-Xbc=nmD_&{M7pyAIE z&Rj6t18*0_##C6s{_&z|La>;+5E73GcWF>jWesK(Msmo!be*w0u>tEA zgW)`0y)lt2)FqsnXQH;n(;E|2Urr&`D#{3v7>K9t)+utUcM@kYb8k1fw{q~Vj_D%k zQeOSDj(Uen3ckZJrJU(>6R)(SE7x<(X9=->PJJ)0(DG`;>H8kjK7iv*>|Q4GX{UeZ zmBqL1k^6Y%loXyAjw`W;Bgc7-QBPmDXd}GtKA;(^o?3&mr8Q6-4!alYRU@F3rxn}J zx_x^1EXi=pY#^p56J{K|nhnOT@=2=21oe}iEaG`AyNjc%4gAS$vI1imEf_J}N8P7V zyjZJw>@H0(R?(^kXF@BBJOZjJzf~}2w%8dlsWjdNL|#H#B+d=bTt`nwQ5#n4z>*2{ za@76s47G2j`Q_+^g6#RWUUfOPSD9dbCAvLva!oMLxIKzxRT1>cO>27E$(;tpW}I8S zjf60EJfXzLBPjef>J4}-25-+)`YcI2u4}}YXeij(#EdH8+U(TOAl5?J1!KiDfA}Ao z2D=}P1is5njdnTyj9DCa7_D85mMqb!3+luU0gvxkX>w}aXR`{>_|QU_HZi`5s1M^o z4mj1BHKF@e3BD8~-<1oAT}4nCLW2Lp0_uK3_kKYVMvb~hN93`Q$5O215vOJB`(1IS zlXRSNr8aJ~JSFke=9J8_@y#i^nQm&a!f-dveN{P}Kf zj6-`;=0woQ*ySneJt>?!4(8%;xo%a8p>|&iyp_U_=1gMu zrErm)R2|+yIDwUb*q1`?g*bmp3j2tr5KG&3E=j|8*6;%tQnE(IZsI)B4lQVOFoLd( zSSphT)U3I`fAv(y$RHZo$0=V=sljpU@J3Tg|JD>vcq1jPJUfoH|!PkeE#2aqvSN`#K zp|dHLm!(Has_kez@HuS`cfU?Mun0FadoJ?>tv(k2=Lq^chN7;psOFq6{=JZR*p0Cx z9Nh&2OKlkSJrqeQ2=_)dLtN;+>4qVqq%p!GyT4jTo-a=F{YGyeAH&=>60W{Lo8&~r z;>C?hEXG2JbYz zdUwHk7tW3ZS0qt$xxk8QGYeH!MT+T4#bNz@csCjzm}$hV@fRd?nx-r6j_2H!1?hGD zdkE#1kgpy166nHOSA<@jdg?lGL)XDN?CPk}JyJ;6`(5niLd~8+^METWJ%6A!9Z23a zzlg&SX#U`E7D4hu|0tY`MWD6Wgl-p5%TfO@8g7TAVY<#dGV)Fw^>I-}gpKsiNg%@p zT_Q&Dr4rpF(=0`0lWLO5BsUvW(Pfnwv+rABm>iG@MV_^QP^ijHs!R0ba`VR}suGjR z3a0dLX!x~+x>k~4J(|7+L(Yd$bbgW2rHM2rS36 zm`XYsfV7jr<>s`?;PR+mXPIS4jhtN`YnheCT4oVx9?LAog8cj}UC(m9G*|3-ZwYOh z#c7{eR?>^Ssk1iY=vwR&Pduu$)rdZYqQD^@e}RBMFTbstfze234@PWiazs`jPQ=+w{<@H2eu1SH@kz7-KzT2H^isrGBg_sRgw#m@z zbii}O()4QNX&y~a7JgX+L$hLgIYqx}8q0pBTG&5|`o~^W?z_{EhU-xO)sfiv?Ow0! z^)yNJa<$%h`e8zYDep4kcT<}BuM5@iwJF83BZ+7C2?lQ{OZmJ|C<|^$NR^e7p8vNO z`Cmrf@e4wV^P@@6o&N>>68?jXCM$0-z4UXnytB{6hpyHpp5DFuJruH{TssmZR8#!J zBz@?q5~7|dz+m2!v2z2MN-2*gK8;Q4S*79feuybV;an+}`d7m$xf6MVkr|WX&Zra{ z1(%+nD^p<=m|M2pTNf92O2r?2l~V1VwGsvWsQHQc zbJJ`5O^VDKe{kt^zj*X?auqItI9WYM@4tK13XF{jfedp}QEPkCDXeYRQHjJbImPX^ zIjrqFH_{&nHPSN?;nMP0=92~6~~qKVrEf;r3*Gqr$`+r!g=b1!vdI&8*# zqDH4bvgHA=Kx+%i%RtrXd5Pq=YnMLVhCXXaD}w`CK6WwGe~WcVd$&Jm5f)5}r83IW z8Qo^UwxspOW~~$BjNd!T$k|{Pny)~cGl-%tbmz`_eooA=csReVp|%B$3p2qOsB-d^ zFYt5Zt76xPDoMLA)9ex)?j0DX8pKm-=(qCBp+Q-tckP-^%muh#Tl#@0{hC#Xu3UML zgSDA+2XP%m(<)k6;c$&(+sDCGL;W4BR(Hi@=hu!SCjMbO9X&$Rdn(@liGfodS;YtT zWSM$53GjcER=Kc}MR`U2_f8cK-a|q{++?SJB2RTAL+@^ND_(Nz%Qw^X7o4JSMh7a- zK(=zM)lJlKR;%jqVk|zxblqx!_`6{vo<_weqw3ndiGvm`S9Cvp9iN@kgJxbr12*Ke zS}ESvM4D^lLu7-^hJ22Eg`%;r-dQOgWB)n%@ggiSvmz-jTknXs;XV|um^2x=MgCZF z2Akxqp@`*^t9@vO;H3?ot&6H~7VF#3WM1~B7MgCR7Mi^>S52L1S}xaqFCJTOQ|_N` z`QZ9|Wy0~K`xB(3`wb^cpy(tTi>acTGI?^-CbEyGW@_}VPvdA!rXu!!+Fq(#QphBNS-B6ftAcXYJhrrlGrv*0QTT$YntBL6Wf72RWGY~xGh$r5LGOv$)6sAz2 zN1?zMiJYYJ?ee3*0-GKe+6crtK2KBb0+Y`LWFs-j-$=j}6(>_rhlP*6MnZu#jqFz{ z&R20?g1;H^D7pEMbfn5;mgR@;Q(eSxWE~hZb-;%nXi-cflD!)f_=p)e|KiCNSY3bJ zX5{S8sxtiHzo!@v2W^gZm7*GQmz6Z3l0{i@WgfAEjeTvA2c0TK>(?V^Nt$`n>*vs- z*U|i6n4bZ4HX{2uRB;>452Cr}nZ%;S;^AuG7FR?4>&3`7!xg*iMH$U+>Sc`fp;%5@ z!1D5F?V^AUtB;q|@tyyzD!Pr%@4nZ9mb_F~81l2LR_GTuGxdRDWcPk(Xs`vaeY#k{z2HeY`ppHPtn)8?+VLcN5A z1m|VgXFHEN16W&o7r>mgNIsN4^JyDe?n6r!TVbW$hh&L834p2IumGgx&=Lc&I*1l8 zM~j~aF7h^*LtZQGu%AcaC$U-CmS*;be$`DEobG_ehU37CGa*^|Gl}Cnc`;fWLedhn z*oWpWesi^P?p}gx+)D&89dtK7@K-b=fcMBVU`1dF@<}dp`~wwHob5H$Ht>p{Xrp2? zMPKGIWoQN(M=#Qev{vrK@%%Nwyc)nLio(GB-z)=D3s`EQ_>EsdG5;XlZt1)aq z-V;M9`q2N}oWBAT4?S9d$xzBc{y-SI|7)Zy8AMz*fBR&Eu{UA8W4}i1RODJ--T%TO zeXymOw;L^rpM)w_7Q4Oxp8W#g+&r?bfLvW;k-D$gw#cFnx4N5B@a+9={mgaM59k;c zQ>VXeB`W4vIQFT<#YrNeAc^;gaz>Q@G7BydvHvsZp5zV0mQv;WtFo_|cUJ3}?L711 z{kkVt%ujPaxuW!suXO4L%-AIQTD8jOSMaCbSCG5&;}74zMOEEwX%;qYN`39Ed+%+z zfks;1-*RBQ1!cG6;s*A=lT7|pc($z>mF-2>trI2F#;=&+TU;ko)`DqGbQPiK)gwiG zKdtAQ_rnt5GcOWvMe=xjElYhN-vnl@mkr8=(yy@A(m})b8p-Pna zR)*5&+#G*19mZoDM*5NW*x+nMt)A~XmVCyGx(1MN85d!_H~o$10Q%r}u9QhAv(^a_t!1n#J_bHfEwUD)@vknGTE?%*Iwh!# z*Fj}SPmVEh=TG-Tn@x7PcRb3U5yjG+~V(nnEE6{@PaJ8Qde z9?dUDHTKUdv^d(C1g+YNFNLw}Fw5Al>f)T$*?^RS1;f){ED8)f>{}#wEu6{jTnz5nRI2R9y0+LLR~Z z=Qi>5vn9rnzm#&d$Knkqzq2HqESt@%nw)7Wt(DNcTSAH@`u_jyz{@{IU8?#=330iE zzYwkg{BzIASrt(Ri*}O~Huf!r*gOzdM#DD0B74F$lfz>w&iujN1 zvK+8utfE&uEuEZfgU3j^@j1G&jQz(>gQPMLe|e6uC{krhcBAJUI_F7ND5)v;_79TC+1b1ubXK>_TxHp$Y;UAwDQA%tBm6nX0QKiJpJR60#_%SX9(@< zA9(v*1UbuFfpEjiHRYJyEEmxH&=RQk%|K!NN*U#zD+=Zo)Q50Yj~ z8!nfs(VBmIb!W=P00wE%?TQ8ISrxbUCV^}r&Mn|>?@}g4w?bJ^Jp6MkcY74mUEzx5 zpm<+@8reb-mW?2P#VbsPCi)8Fh!%*)%b^7CdizO`+X{l>5iApUNR^?fC7y*!I^&IT@jtKes?rBqaf3l4WS7l5N{Py~m z$28?wfD#Q(Er9Jf!3&ud!OL31vDX*bj!8ZHpT~8;CqG_fIQdaxDI<3Nbs^LlGEamr zoASf{_v4fD2l{K0Y#`G*R}!!M^Au*MHp?S4CfVhh;%Bu?b&_KCX4Dl@1JN)GFobdN1ov{*$tN3y4#g$+nSP=>FRZfy1R5V^?9Xn(> zzAJMr*B`CTyWDl&qHNIRyIc)AdU9PITSz@ztEkpZuDw%hO1fTaQVB71qb?nN|6>Yl z(HRaqVjrl&S{$Khsm>&?&+Nl)OZXT~TtZi}lateDJhKQVJayOHI=$f5CHcozTF1Xz zrL&A(!@}Y>iuhyG=k6Jepz8uE8vl@jY4!ZrdY$%cv3X3mQ=g5l|NaDhmkuuPVpixN zx=ZQOQH_M|!(6Q@mm-(yEVbjOiL^?$Zf=?|X+yfMaq7E@StK*6GiNw#n=M7njZ-zH zYcgxnOQ)u|i`PK6nTxyvy85YjU<`yfPgS~x+OlGLrRe?fQL_-SJ(=DsnBon6|1>)K zP*l(=n1l0}Pn1f}yh*3mPvwLXP(3o0?Aiupp#GwUuWs9tUweJeyaamcHUoo$US=XQ z$r28{@DwpdQ@3*Uo`ku7sJmjCW3xF2F3NUpekl3W_ib3QyZ0exaBCs*d6Hq8;JZz| ziEEU`z34m4VIF&!GZR(SZ1|^Jf0&gaeceFs`n<_ z6z;)F^Vm6LH>rA-u+)@aN}W~{a?erzCAT0Dh}hKn*X~i_dS!1Ib(ZVaC)7N3nsy|-atyCx#hAx z%hJ`7wtyK}PZTtB?;5i5g?GQ>q4FGR38g?@`I~jIszjC9?_ZN_K3#obtD&aXf-#Lw z(&iU?l!y4rKvGe!csx7C=#R@VU7A$qMl#dydF!xx#)GN@B!Pt`kL+;ERlQ=*Hz{1tX;p?p+W#lg z(!@#JvzA={zjoq6$N4eq$aM)aUU6h64{dtL z;`RL0sF#0gga)luJpKlh4v0s7ZiJOddf```i#}%+)$OFd|DVe-N~X>@tO%&+r5bo? zAz7%d&#rpLdIlxsuCNY9K@txp{D#j)^OeXLIsmlFBs~ zc5nh`F|yWjTdjL`5F`(ro4s!OYK7IrNM(Py5?6^ERw5%?h-f zROtS11CV*;8O=}RmFw#A<|&cZASK)#oA|tLM<*%t|9@d;9^XW@|NV1jNoKNimZWKu zbV<^dmKM5&tqstYhNUe9%5KYIkyWp<2p5p8IEsjXEd@%_LKD&glYmewViFY8Dk>=V zUN(P~a@AXxdxfGha`lJW=gaT;-RJ(E=bz{GVy4q%m}yStEZ^_>eBMXt`@P9RyhB(( zwZh+AN@PszQK#}<%b(#};LM3{Wf+<&t;J2shh2(p{-u7U>qEzG0{>%>?M~MppP${Q zD7_z_h(+!sI?1U1+wSKe0TXd5SIb>v&}vc`GtVVXGOt;esT8ItUFGx4(;U*iK+{D| z(@)q+Q#U`4Tb_^kQ&s@dD?=)k{@cpPZPTX0Q!%7(C!MFHx<94O$G=cd1NC%v-z(4C zvv1i^Qg8*$_hg~s%HHno!D#Rp=MBp|7|mq&Eg@d)yQ)=sK&rg$34brNg*^cLaQD=0 zR;}f8yQHR_NmAck<#HcDK+*={X$fdm1N1Zpp2{*E;CbS!lCAFB%I#jI>(>3H$vajx z6g>xSHrXS)g11vpO)Xhajc?dpK;@`!X_SLONd@{!d{z{(&pt*I0FA(7_FT=A@k1lh z;lDy028xrTfzXUa!$a@nPDm;ar=W?uFq%d$MtotV5tNkE7OVS+4>T_D33#I2FnQxjj5P)m zV{Jj->_G$M(Co#;3b4RInq`-f_f^q(p62Ski&dit^z9+2J^j+SRY3mp*722uu|S@I;gWA->p{ti0l<@d-qm(lyGx5*pH5{K|&~gtI_p~ zjtVC+gT|-}CZWlUT?rlBI>_zb&G5nD%Q8aFuPJlOlY3J_ZSi{bC?TQg7iKY^bNH~BkgW@jcMjeUIQCs zg(ks$hJzp{GZ@^n)w;KyNNX$K$=c<%?Zmi^tCjWpx2sN6m4KnKrSzHf@lETkh9Ld? zvm0E>`VSS?#$TV#3I^}*V6P3$ahG2kn#`T%%sK9|)7;2pZ70VPM2YqUXVfAc;ml@o z~KczQfU~%L>WO7+6TO>nzkTUVBX^!#%6+BQ8syXVMER#8)p<*<;wgLR?JNr#h03dy=+Le>S=z3S z?m~^bgzE4vI+Noken_@{(p9Yl`;a`g1zIu8~lXB+5eKoW==(9P* zairk}6ThiZZ79}7mnR>XMyt?SP0WvKuGg+Fan=Onjk@8GtTGOx#^?oRLQX7Gt{2*E z$k;wruWDRElp>KB%GiCuKMWui=b+qjqA%Cv`}%3TBUpuHzeHE)MZQ8$mtsDYGSL>5 zC+X7){gb6JfcOcW+=>Agb+{7SJNtiQjYqF6=6$=Sp_R@Im-FQcG(yiiDLVu;joY3Q zqnnDCxS&1sI(Nkho!Mw=1fn~GE~g4iX4Tar^2E^ott;<2Au0;o`!z{*E=ADnXL1X@ z-HBtz^cN}Kl@8XZ$FopcXaDAPaQFJaVil;2PifYn)M(KWHBpH910$z1OCA{yjqic# z6uT?5bvlupf^uS0yzaWub6wciOHXA6Up?eANQNAllo2MW--01d@hsh zn9I=AX94vtonuJx?=N5%Q+!wnG$Fft1R=MWQOrV7@gq-gY?k(G-XK)@7=+7dqG(w6*5}RdUs>)s_!5 zA2k?<^tii0f@#T#JJ6p%9}rWzCJuURM5W~YzD2#i)ONc!*Jkg%{bfS(f!$&ep<$+h zJOWa{DstL%zU*wOflV_A?8Lm;TKTFJx;2w-&q%l(W;6G?zlc-+z&SiE8lHSkm*ei5 zrB@B!+cry&-qW!adex_K`bvFL@TG0|L5bkD^QE0pCA2b)E=oi1s`$t&FA(1wOFCau zBHvrdclTO@`3^CfqDN!&#OGD9#|KUr8Iks`nOPK#bpKFA%?L)6Nbm=sV;O4 z5%<5Tr+=@CKQ?nTB(P`cwG})Xj4~qbRkPy9*Vm~R>s2=@@E7~|3!4vQH#IHKg5g&t zlN#EngLDu>b#~&r!}WLLvfbr(*~#9vW|BgINO+&R1_4!n2PbNQ(xcfhg13 zU3r>0>DG>lWM13D8Vp4ziTL?3Z$b(HQ9-Y z!#fA5Vl?vzK+)uzYHEs}dh1z1bqeDuF@@#F#+vH&geniwmtNxzt~ajq`$AFK;(vTJ zezsF2*6R)4Wu5rkYohv+%TezSa3MLQa}n;tP<-7my8E8o9`=42{b`o zVla{anyC3mF9b(wOM%0NKPfspxE2yyvmPLc7`K?OSGQ(NitaJ!hHkJzKl<05ShSqXS6vhdM-fcsx(ANH_a;{$y ztOrrNJZ>boxh!sE_n*5Ghh{?>t3wg|e?Vzo>(MmaiR1U*-TbKH_kz2(dfrrjhth1l zrdnVPX%&n0STQ>)`vchwQfkPd`W0(%wN_vk&z^h~ z-s>7{(%R*QEwSkLoRW}Y^)D$wS5B39f)e=!RYl7Ul6uRqA@vf@B&fPkRJxwaK5o8x zPre6)p~4X4#~S5SrqrjA*iXS~mNV!Y#GaBL%2*2q;ETGo1Gx$$4mB)5h_Thw1e2;$yx#z2QqD)rXH-_)t_-g9O zd)7=L@P*p;Ao%6Swm;0kNV@S0zY-lf1k)Lk2v%dGH6nUgJYm8oJWen`;7=b-e zB*>e{INz=kbs3Lp+_TMICFI#k8#}hrym<F-d^or84cHc1p_BS;fk*i~DWc0lLr3GI4LU|Z4z`5&ox>h-d_9$Kub_vGNbY%ig+8nD>h)dhA9wN9 z_jlz~_UzxqqQ`4Ap&EA8h&b*2UFhTrlP?W%pJx%gyJ)Tha5NK*8#+%Jnl}KcE6$|3 zo0W3uQnNikNp12?5PC~TP2BJ<37j>|TlslcCH%|KIBHHI`Nk-%=)z|UkynZf{Prf1 zmm{8^p~Eu}d$EvPKaWP!5Ko=16ed3L2Ki%wfWwbXm3t;ie)US?4=988Ki=Nuek>}somiFT-EmtlTy1@uaB@W4 z7TkDZGAP<7UI5-pqth4k$WCv~JA{Mvd=QHpK$SHTT%xF92@%qv!$YahW2t)@bvZ*c zJ%zGRZbb6`9Ov+O=9%rDW?my4I!XygpCaA6>J3RYFOqf zY>Ma80ww`L&U>HR_C{k!u~0*VZ`g*_!!TZi1CNsD;BT3n{9^(!8y z^58Qn-4mQ4q-qPi!+GZ;sw*IW=oge^c!=NxMUx`C3Q&qSxM9Q!2=4+)M{p_P3$_)i z*Beqe(M`^&H)-nLa1ivP@5xTtsmO&&(62cC)6CBX;ja$B;Pr<> z_QUbE#_$)&(ct;z6_|R^lGS$fEJowaylY{~>;U|?=J<(Xy{F6;C^(4YBPlPHu|Uyz z0-^UF;hTqv4sZCE7`rEY){@-(W30(`=~BZIdw~0!A^*I@ZKE7q-?>S(EC-5_Q|U$g zsSbjV=`)u8uH3n-LdAW*D|cVqy~4HZBoJ8}T&OgrPikmG;(5;w$7LYT*}-(S*!W5& zvT~US^tPApPzZb_eLWcnC#l80#OH*D-l=@UV!iF-OOmwmat~O+wB5_r*#mo~BIg}x z&v{ln&uUNou6%qMmb0T&Ww*G2EGnK*$tC_D^n7D5JXi2(t7|L}P(`JE0PT;2~~86*Bi!A)*Z1Z{30+ z^)zJgmlvZVLD*AV%H$*KM+Aw@2G!EM}oFp1pgpoApO>eQ#X%k4?btGPN& z5+B+zm>8ZEQlhy6wz|VRK0yJ$SCBAW8Ld3RREIQ$yM0Py1Y^`6tX7KKH1BK(@$Ge4t7d9{lM^=Gi*WHyc2^E5eUA_sX=KwCuPr~!Y za*Y~7U0Z;uWhEf$t(=8$aT)JFrRCd(Y0O8vHxO8hm{be@@ zyY`MU_#el~{_7qhrLybBIzyWm#kHja*?$svVCca5FD`auHvKnE%89y)=$ z>jhWpOJg(`X#b zup+FB&G4H$hYQ-m6~&6xQr#6RT2@%u3$q{;-xh1@?{J#T9nWd4-fw0a<&`G8wZE4m zPo^$|=|JczC);fn`3=(xFg+QCF{t2I@u4M)Q-t7HlpYRRk!W6%qasW;ixp;MpEt#a zpRun1wiKv>h2e4O9g##_h9gqbN$3a6`hGu|Qd={wi1hcs22J zOfC!{N36?o_OC=N4D6g6OsFd*Ef{=q9R4|usYCdTi8^zXzCRY%A$qVRvL-yDNb*9s z=votJ?R;jPnu{Ocj81u%83Aw=Dqc06G6rkaV<5WnUYeOwkAITImPKzMIx*Jue!e>G zz<3yF`?)mG(SAEaIQ(EL@rO7=3lH4myd~&5j`JFK&Ta7w^U+Vg4kY5PlkZ(*m9(|2ZBcsead8D?jt-b2hc%6@H4Q{yhaYw zNRVkJ8rAj&PZrpGuG3qg6AlSVgK*bLAFxAHEH&LQphxGD&b>#d?(N2(nlk)WhQRM=)eZ4D1!Xs!TQgUsCB+Bl3Dxri(PDZu z8c`mc>#m7Q4+j4_8pMryl@Vvm!(FC$f5Pf4OZ_)M^gyY?xofK7LCJq`K>h=v`#=sm zjv{o}Sbrf&^t}P%NyXD~GL9FT%h{eM9hfa9@jF1m3S!}h$5f&>P34d+|1<&o*wB2R z0!3P)(VHpQIxK7B0jdz|!)FZCcQhIfGPen4_|~qVKN`}$v{ew)a|EMSCHn>)kK5yn z`n*v&>a3YFQYaWdX#z;US>GV^g=WW$*OeJC3HI2BFTU{DBIWgn(m3Z zE3O*lbo=rN_)VNR?Syl68F+BDO3gbX?eg>mppR%S$)8^6QBH@~TZJJbv8}oN_4pTn z)D#XXW2@iRCoHR6>5wC7o5xoxrO^zO(3t^kLc0>oA2OjiqU@WB5*K9x>eFsmR|vdE zu=mcJl;8qqSfrh&ZP9{8ef-SnC~-z6h{xyIqXoC-(n)Em`I+|4(@&x4546h6X@5>f zBhv(WWSXs@?b_=oIFk34PRsCyQ{a&RDdWuPif6_u5`8jwQV2XoCAjGM^)hSVi)H^?^6rn|kb z3???6`NzH)N=;B1x>$K6sLYt*npG!vDi;AYIpcW~IXG_E`3UD@0e7fpW6A>O%&@6ec zSz)j>bOG6%Z)keSWNO+@p^GN;ajUiM?O0p5aQtRezLS1undk-h*1#@XNKPiwJ>I91 zvRHPLwyHC%G&F8{-w?e1CT&{qsN(WgE0%FHu+y*kIkva3Q}N4+Ur?MDrCEL!8^axQ zr&t;l>w`1M(EL;({iuo|RlIgy+-k@HcwtQHGo{D{+y%SxL$YMv)KONPE!Pw!jqX+(ryw$>oz#8o>vbowIv_6_xo)zh{4{z1d^T zOb+Wv!_%_hgIs{;rSmBPrUK@eo%o;%-Tjnk%|_qPi1|L9nA`mjATPA#WPoP$SeEWp zS@1~Y4oP)Dl7AbLrs1b>qGt++OOlDcL_yP_8_G+#bq!fIfL+jS$M^+A1l0INl*+No z4nyV_pV#4!k?1d;kt%53+bP!1R8!B|1l@cf#O$zH>)SJGSst*-Y!5lj42b(<$Mnpe z&&cQ1_CSb7l!Ed%NWok0J6QQv3SP*^9$u!2ZM&+cCIISv3!--@&cyXx9!B3qk^Q#7bcB$^q#9^=n2b=*!YNnXi~FQ`aVV* zpKhS8IB>5X|MW*uJ*(c%TK-mp=0bNnB@tZQe7z)pkc$825!laI^{ZOqO9YLsc{X9^ zP_jTsR2(@18`^f!hPIJ0YXn$Yz#|1aF+G^l#`HkjG>8?BeSngWEhvt+MdZQ>D92b! zf!wz8*Ub=Q%obGF#8}nV*>$%eg>&=hPn|a-!~YJzy#QloR_V~whTkFAP7Ca za||QjxjhQBY@-leHh~FR2$7=pBZU5g$qEAfhx-8RwX*l|*$>|hUbbKsop~H>1nmma3gP%O zGi0xF>mwiH;xT3S$WtGW_5P3uNeC9WfZEZRd`p!ZMAq7w$=&g!X@=J#HwXh&#LE;p zZ;`zor;(fBJB6#6Q!}lqJ;R4b-0Xl&6H+ zDN=z_^=mZIx1Q)-PxY;zRu5F_z&{y$m%||x+rC%t=$D5t7VvpWY@iykG#HzP<4VfO z1djbk3CGguxWo5VXcJ0kD^THJT&l4{3wF_=z@K@AR0ejlu zyQ0Re2$z`0e9tzp?el>%t1jwb*9quAwt9{c&qJsj5qw6xY6(HN6XZg>`V-8w6RM&V zZurdDt$nwAlil*2zN6Xr#ys+y+=05{@8-c`6sh{+Q3J>5i=}ot�&OufP%osTR$l z7sf~+ecX$TWqFVDcP7m@_`j}y&kq2U-(aN$z?j^rX@=>WnBvkGK}m!+rh^^??c-M| z5-`Z5Lq#C%=v8_rtI-hGvXWFHmJ6f*cM~7Jri4*^Zy096u+{oHrnaZu>U(SmZa}C| zfa{W5M+h;S0?*35QWF3n4}Ks`1+K~DYBf?{B)FqU8yj;JJ=~IE({oM8QUzd%J9mF< zodnh7t_N|&IN8|if%=7T@&fU)h`2|Q&LEe1MEPtwF%jWJgW>$Dgkk5UBOHacJtO|9 zBJbfxsXz~JzwD9J%Hkhu#LCdaU*nG5M%-5f!L7J#7QCxjVvfoXn37-!Z24OA8$*4V zPF_<{|5$_1kS1yn7CLYTZ#|d@DXUAQ$4BTrh^R~`mcvS8*iOAahZ}%Y(B#SNm?*f~)-2&X z8k!G30s{UB-<|Be!ZKB0zNoGq2+fEOvCnwIFyq)5@u39I0F)Ar$Sj)uD(6EQfM}gq zOL-C3&JwLkL*t+C`SwWE%COAWCe zv;Eg3_)hRH)Bo9%Jw?TJ40y>X$Z#{v>ztiovY4vv&>?ZFkia<^1LI^yFp|6jnTt0e zp17fQY-b87T!FNk5J-oax`QUD!Is0DAXfvc3UJhVL_I*s~IMpLa1w7?P5I)BYt&^C!&>uhS zlf`vKfW&wbY4Bta>LEz**d=BwhpK(LM9j?qhT1!bdjlaYgzFHHe+a8u6rg0H5|Ow@ zKs}hNLMHki((XXquNb9G#xqH-l{BOa!la0BvRwneFsVEqj8m~NmH5}Ll}y9J#!@40 zB~vl%QZW7q*xG0)mbkZu39#Tz{C}4Y9zYs|O?sdbYoIjhv}7yEW3GeU1S^c3{lCiv z-bxkVVx<^M%wZi22mW0us^L2fM~uh-MZ)|Sc%?=F&a+ zUj>ug_U|Hj1La6e8qVTgg<_aa2yoKih@{7i=4wzpnN2{i99+xY zMFe+-Ozm_lzFPp=yHyjwFbUHc3*Q_0gTt;XzLEu%7BzFkdwO7+!7=Xr9^OPJW8hc} zS_2`_EU_@P8jz06eyUV=OF|9K{7JCp)9Spwo7GS1(r#5RWU!*eqt-mUXrDfo>(h%5 zx86QI{%jTG;*?2hL~Khk-~oud4o%$u*ka)Lzc&$nipq7Qxe89LcS=RgkaS^k7NV9v z^;p0U*+>8EQ7E$s!j(SHW1J&KquPh?-w@fTUk-PGl_Wk#lXB$VFA?S{kd-V&%-ZFs z%UldA<@8fHuK!)Q(Z9Fkm;laMEl>PaIxMvhniZ>Gf&R6L`j0SikAN-(_c=t}vyeK} z)uA$0Cmp@fk*dG5q}ZXqlN|#emU_EVyjr1xBW&SdPb`m74JEllph~LAFWp`zaevnv zn7k~M!w9Fk)l^MBybBo7Lp3u6HX*8=&5@36K&qH0 z5ceY}DQc1WN5tJi3_KPIR3!}J7NO*}0?Z3X;HvHJk#!Q>%0S;>@sdBod_er+`Dl^6 z9XdD$Dm5_*B@#~}9ULAHaLLDyV6|#3qOT)zl7@N$M!BUZQTGIjsX?3ztGK@)#O=gp z!U>VL8vZ({li8}nh^#^!jOVyRB+~3Ax%t{yq8z0Od^rLP6}9!x!YM7oeM_oig-xbZ zfo~@MwY&fD=vy+ChuwIf6#tJxp}u;LI-NyEuP{&^V~GDc<-d2rwE#XpkFi##(X(f>9I{`fcFun~ZJqsnTZ()%opc8vV4`=t(^9v=D6yZ>tX-u};uZwq_J#tdJl z+!8*Y@adxPiyyyv{o9_Tt4rTM;s5))8QqV+{r;Qkiz~f>3n}jB+WT5(o^C%OYu<9S z?@09_|Nil>o^+~T-Xs0~; zSjG$_9!SX3e$H)IC#r(<3b~xRgonXSrfk0)yt(e`Kj?gL5dCOQZs)nSM$W@MuzN&N zqFm?34Fj4=m(ID)j{_{7Zk5iLt*^q8VP6(plwP*}97y&Q_DN@4r^W$myL8IcHV(`l zZHEPH>$a$I@2mY)?My7GWR)BLQd+rUIj@`3X>XQ$n9y_z7^I==4MOC-}iIbVSS6 zUjWIjmMoCp;yRlJ{?UuhhwOi1P(56>{`^Y7x32{2+I#Y2v6uQ~Itm>_B9M&%i+FVmHar2gK%Rx{uuTOT82G?pn*??bM9~JU z;l==~=T1~Q^%8ct6+Ns&I_Wx7iru5npo>22g;57==q+16155VwMJ3XOzXS4nB|MDf zkNa#ijJu$E?Ut_9uDd6a>}zX}*-$F{{;4Ez3 z3!N=7C^|C$T?Y2-ZGoe|!dCPM)I{tY1K(?g)fQN5o`_RUuq6h=dtn)yjGhm5ZR>g< zIIVM0Bbu|oeb9_<_G=TYKLm%XVc_yU%;*tc#`cKy&|C~n<~EE!Sp@i#-+}^ot$qne zxS+=hozjULfNQ=1R?tn*xz~nc8LpEytmvfctN^y2h4rJb-nj(Ro}38?1K=tQU`kVK zEES9yNd;L4V~4RzXbw@*30P;g9l&Rsi(|1MSb;_qI_eFW%M*=CCq0gS>CCsd>5kMA z#Ygovn+-OIW$S06?sqsO!lRB#3Kunw9j2|6M4|EOljJ@5OL6U=dwbi4SdXL6Ubeoi z8SummtblN}D*)HN3_pSf35p{6%E2%T&JE7M_KZX!%R$HBEx?~Jfz>Bahp_BQBB`Sg z9O;dsHaXyc_&SD7#5i#xCfsAIK_NTD6arZiXli%E5fSzc&j(mC;0BVxX`2XpMKm-6 zi*T?IthmR*p@|X9&It11@CX68I|Ptt zgVfP%;2VPKQFvzv4i5wYoekc((+m6Wpr*n*{U4CvO&~NlrjYi2)PT`{0{KiF5SX!V z^eupGBg#F!QtK%)qjzvOE}Kskj5ruAn2l!Gsd-@aDfEo}qmU#riFijQ!HslbFWu>f zeGb^yd!L%wKWww%+WQy^B0TH4$JssUS(3~}9+TCO=n5V0gXtYT`D4k|Fnt2FXSe{H z2-xyb*!c5z0RKc*5Rgs{U|hHPIfcAwol5Brz`Mw7B+J5o*FjBO;#Ln^h&2a;(*k?53K69O0BMQ%kcaBIoO9D&915dLt^jN|sr&!^e~dM% zj^)tccbU-~8BoYJe7BUejhjEG5qvMRU-H1<3S#-k&! zmy~g_+4NX(*olEB@x)Wl;lj-a%kUa>qv5`}nAOuKO0D^L(K*-YG`irlt6d4UHlP+h z9Aq4L4T{zc0}fof%Z}q{G|{ILpiiD!2MQ>cQUMG~JDwo4w5+EKoK8EB>E&{{Mz)H^ zcPaShjYMWk(?$YYjA?$2Q8KxFbt@Z#OPwnTnL)m;WjKbSZxU0|EHxijtZ<49Y(Y^t z`NAvM9uCFeQ53Dm09lpnB@{nHU2yNKB_ypQkE7p)ufXOY^8uDpp;lU%0PJQpbY^{P zwTu_5Xs6i>#aifWs-mYIluoP!OPZ#^`sNG;xB(Og=_(tfotr6*b0Q|&N-U{&!q#8k zk{L#f0OYj%I!GNhNvF^phkJk70ct(wDbneE^yDJxWR>zTx+XMFDO+C=Rn;^}XI0N`7{1i(no60bm~2Gi^<$EXnoOu~)LPKuCe=;!KD zYK9FX?=vfH=t<$;yTt1ru|aA<0O{l=pgZ|A_%rMuLFpI%*aRCM{D~>rB`FB?Oi{v9 z0zh z7DuG`Bjg^RAC~n&n;WM%cNN%fgJlF{{`h<=nGE({Lu-Z@QQS_32;6y`1DEu zUh~7)6$V}jYyuh6%F=))Rt~hScL4~f`8UP_?v10Ms~h@*@X9sljm4@^xIOt+T+K-| zOs>szkN*mEl|gqSgYL401`9YMPM#pf$yfN$tL*pg;EymUV*0vaK+Gq#ZMC2VK_pM0 zAAcF`^CL9Seg*?;fYEj(A8dmDQfM!2z;&w-_VRk|o8()3k_&}Pg$vgzveel&n}bZg zF+zb?L1x5XNaIUoOTqyd2%aM}eiNV`66b9&R0@lVpsnbzOmns^F5b6YLktnmd))}B ziMD?#g)Z||S$b{tWy)C=fb$_jFy9a*Ug<=l$~pW1&L4(0Z#Xrs7S!mK%H# zyff4fy#ZKZgUif$YA}a#-V~wN4U400D!z9+;L!JOHV$6wgT1zGsuvM<_Vo5jC%$Hi zT3x4}AvLF-SIc#>ZN0-VD#C#eH0h4s%M7**Xyvct1)|h`8jJ7fCXFsy0cO%Y`Hgt0 zwu8oToC145BKfU`nxRMubjoXe5oq^!%0Kmpk_%0mU>J4=z9eOZv{2-3z_VPI;&`?Z zPmq0u*O|LuwRx4M8Ja8M!dozKr4f(sYQ#D3OPCWiU408SqC-ne(#d3M(Yzp>KhTI* z&Wk|v*BaTsXsh21OM@%%9GeTB7y1d~%G%?j19Zfl!jt+gCcND{0Ap?hqM+mE$C}wFNuOARa>@e5 z*4{oS_CodB9R32XZCQl#Ee#kL2lOYul#I|O{|}ppqdbi z%}l`L2|4vGpDGTwqNumG2}S*q1Q~_#&HL#&3}i=jfIp}Mi{N1e+?%13-(_BDX@U<> z?Lo~@rR;6Rh3arWmic?Q6_+2P@s0GNS5XjLnyA*ET}@Yb9Styz}rK}A@%8%8Y98!VR5 zg+TsT(r$AYhC=LwGgqq$fb8iW}@h_ocf{_M!Mm(D=-p*?%+(hU1wip}- zG+~5qqCkjZx|0e@^d&>Xv)@P_|=2Ach_J93RGYm!>aan3mmv|4GcN?}B9Zc!xS@%r6~`1i@wU&#!Yd=pOYm!np-KO#4~ty0GT#=8JkgkHOQTG@{PER>mi zUdE0SD>PGm((#3a;CF9V0s`><9PDZ6L&NX$y5jS_((!L)yAjs)cA_1N0OiHF>oxdl z)YU zD3Ddd$U5bA7bbI3nZrm4e;Q+puFl z4BmDE)fLL=?10XF@P_joakvAa^OzI5JE|!CzTF6>>D~@@Lw7gacM-bxEsz@{;dfKP zFgevOosyA)rF%;%7)$WsY#NkcdG^QyjZv>ilFPnkW}&RG_r4-87F(R6HlPpkj}O+s zEKW)OV@v+W))f3aNcrVURp#ENJ4aUNQwNo`rB;afkEv3oR%>{iAAu-&Rf z;z2mnZ&YVVW}_OFyQeb&SA@Q$WS;!kFtbVOurPFL{d#TT16V&6(BIf4?ey+$$)&`D4)SZznl#J1KbG7EpJ?3;p3kB=Hl`wM=S>p>!37Hv0yR z)_qBS2Hx<)X%T1%zn~VvZoR%f5`@bv%Vm>;Qgb#7*2x!JA~0ZL)bjt~PBda&DCAC? zO8ni2#-S^0gV#dP7JQe&7U+#ZTkjZc$1f~lBp(Zh&}|~SN%D3%rk=^Pr(bm=@Q?uThcq84Hr;TO&7S*T#@ ziImHYcu%<;pNtaNBC6oB)HYsQgG=o$Zz(A8_T-1t-n9r)8|>*}U~c4hA}b;kY724c z1V5%8J$-I2&|_o3qv(|m!BCrm&uz!MB0Z2a!w{O?W=|4+3?!Ugm~tHLtR&GFa}DG0 zHDh*L&5-Ay#e5jx?=qU62iUnD$dk}&MOQkd1R_Ydyp87ZZ!m$3pyH^KjP1gBGYhh6 zxITFuVkrcCcZ$#>BrCC{z(jGe8#JB)zBJ>42AwPvMwDN;X959z*ab61L~*$nO|~O~?d-SCrG4UO%c@<`Z>3G{t^P#dqFeaPw<+V_eJSZ$?|+!YwiRGyScJidZElBM4rgiWy%(03!F1f zOYjEy5Wm&>D;!1@RIacb{t4At!S@n12g}CO-?DGLcNA81!7FCi+_fPEd_ZfDZAd9P z=4xX!KVxlh%260F!|DKRMoGPR4ykP~XYRDaJ;jixp=mEl_lu3VV4C&6?6V@Avj;lO z&{_9PN`kiw#5esnPN>e$0og8b!_`Z9#wr@frQcXr}O;6OW<-R%67Dc3%w-Gj~XAnw*T~o#aZOc;>-*Ot{BWgBRU*3$k^M3&9CYfoA zeM-{SjGUqVTP(JHywKcK4)CutjAH*M8TfV_+(^K8<={$;KdsN4jp))}J|UBLeL|A; zMy&@SG|wlb;U0$A(cY*h>Od8#KqR|B1E?L9fJ<=+Xcb>lwIc|ubo^t2rsH}1X~dp4 zB1%a&res8F3FRIf9E1%GaBwgkC>nbi*f$^m`N07P>_xO;G#$w585^QL(?C+gEHJg9 z*H)Qnc#sB^%(H+@0|lPmf!}nv0+;`$lfP9^fQ~$$3wCO>r>9|wr;U2vJsvc>QEB1m zMT}}Hf$rHnKSK})#>#-HFwNZzz@w^k8&iW%ZkA3O0I`vqqLxm-0gU26Dh8Y>D@J;y z7T3vZprk=MshJ>mrf3GTQm9-&_88e*pjZfd`(qn$ZPz`njT`ZdKD6HzW*M+|8Ix7= zrj9KbiN=DHWId|ocTheYif+lP8$v|w9m5z|lX~?~6y9TFqvMo{BJSanc|8B!glxyi zgp~d`2ZHA6he(}3`N5WgqQsNTiknEVXK=$NA2YfghV`6Euhv~RD zKT(5H!cEFg;PD{o?616$jlK(9NM-SeQOWJX$ASXfCEEmQtcZ72m;oR6C4ox-v?&mk zB_AgkT^Ifi;x8EDRuxS{$GfZ`-{rb+T0VPvoOIz42E2=S?{%2zrbOq-YC12%Xy<-_ zFNv#&vrEKVlhFQ-m$6#Jq6PxtbooN8{z@f`R3ea4 zrAJa{MsBV^pm_|?xVqK$8V=@~SE(~xZNJBHZPP$HXH8RUFFd9OFL1RaLadcP|YusXRTB%TF*5qw<=cpvk?U=9!x; z_-~q)5&%zKQWuaeP=UoGEP>S#2|^t~*d`h-KZYl2h7^U_tbI6-KdS)oXRSoRfM~nM zQ%iN+!yhQ)LvdXZKl}oz8pt(sBQ~a)q>bwQp(yhOS#GH!${<7Nhgb1TxXsG*w-Ysl~h8_kvpR{IC=*W>CX{bTq4mdBpF#@oJ8*7jn193Fe!ax8<{R}8K zIba=7$=j86x1#XYO_Gv*N|jg4hjl~bVvns`I?az;31gLTUhi#kmg9j$-X;+*EZc$= z$z12nnVR!z-38( zPrZT(Ai2{!4HS@)cg{G}6Ur%oSDxmJNU7~T6He+jGiK=|3{}5PWCa7M z3Fm?B4D1U1fvPe7+(A@;W|EJZVYm}oyh%mXQd{CUE+Xi%L*Wm|EhHjA5tMSOvxAYz zx~?$7v^G?-A<^y|qtO#klY%c)tg8%oVOKt|vMhc1^0qO%!_XT>hxl%ww7ZYwNM2YM zM0|SCzad?7`4@)sJqi;2UMiir@!Di;G1zk!6`SbqU7rE$qlp^w--YS)tnLKfd<1BH z3)8vkRqCurXDO2G{)NQ8Yk+Ub@JLXmZu`CF=%xo+Y~ zJ{1H3CTRhm$d}!g#GgB2O1to;fR&iiyTw)${w&L;HTI9`?+;tGQxVBw z1jd2kLDQIyW>yosIz9QqR#tZ2M6FYE>__Ax?g3JSz7=XccLt?Xr^aI4IY!r+OEmcN zbm3lHhbg@=u2}XI(i~3gl3G_z(4MZ36HgrNk0E$jp_P7pvFry?CiS@7*sCH>x zI7V~%+azK@hyAV~GSnzuFt`WfdZyA?VIr9hh==4yVOXE(5YNiJy@!$5ClP zev0w3uesvOlJZ^>-=P5dj`wKHJcfObPLKu%+eQXGgCmQ}WoyQ2JD$!~JRK+Bp~aVD z8b^$;LX6y~y$f`mTQz?K4>7y3ZHr6;y(ygv6I z*+drdNR$y+9Jx3WgO{L%MTekzS7GzS~4vMF8v9*)rf6HZS^QR6;C&-Ldz5Q^|!Hk$z^hqZ? zcj87&csPMSb(RuZJchZl$B_Nx;SdAW$azwZ@YfI$WnI{B^a~hd`=L1Wj6v7f(&7=F z&lpk-wr31~0y#4{Dc`_8V^HCX3=^ES&lr->9}As(Vok~H4uiY^I_uK``a3;^fk*#A z@Xm}BaI26U8ZSRe9Oy^pgCSTiMw2y7yA28TyA4ULn+s+ChlAY)&S4stF(jTS)Ss>@ zk&P=TM>c}~|Io65JgRpLyU(C|)Q3`++dfW?eHVuk5_LgSXqBkB#mwuK#GSG6-j>On z`*&b^ATrwx>)^iwu=f4A`IB8z^Rm1S2KM^k6(kW|drm#sjaXm9Gl+@pg>K((S+Al) zy+}I`gbYHjFEP#7_xp=8^j@8SrGQyC5F!6PN2=nfpYpLZP*ZAyuCA+T_)jLz{=E7y z1N{N`+ZE{hDj!r#NW3_HLVmZ~_P4Tvi@w+tknH;*@w+mlXQ6U_8Vn%m%DxoHjlhbe zqcWEfB>9bi_@7#ln){X?27K_hBIp%kN6R$sRR-PZqSSH(8EW4xNq5^C4Z@W`vL@YL zc8%OFBC^3FmOV8i!T;0@+=&&6KIWCmC(GOjmipY)`dS}y=qMdRk?RFY_vrdz(F}rP0ey3Ir4&qxP@yT-op8)1(b{ZHk(E_ zYj{8+pe0HEv1O)1!Y`?H8yeag20f1RhWOs|23_BIL)zeZ!=C;@=;((710@Jj#_hN% zoj~@ehCL;_kuygvHM>qMN}L*%PW()4MdFF00S+8V10Mt3>C`mjm>5X}zX1J!ZF)JH zR1WkiM+YQ%7bqN%z(K6M0V$9DDg3vOv%8T8g+x7iVWtKo=&5`I{tczY)-1sGB%_>o zQ@uG0e>N3-$j(B=(6{A!ZQEL)Z`-f0^bAPnayeojsBJ){sca(PWD7YgU#aOhPoury zCBWnIS;gNstCQ52s3bGoa&lU3h(|F=0&C#V8 zdqkTe@Z9Y}@KUB${vFsMM^m#>@{G>FQwZ&e(`4d_Ckm=;T?})NT8faC=?mT zD@GFVJT~!sR8P?HfBqeaO)!F8RVzUUu0aThGytCa60&#WJ2!@`|51V)p#C2tA<;lf@e@{Km^nq$ReJ zm;GrBk8jWxTOzU3yi%hfw;5Eod3H5GrH_VK1$br^5`iKw6sUTE%r(hm>9I9yz@v%U zGih4vTpE_9<=fJ<@uxmcl#3VvHF!SHldpjUvQo>tbMTpnhj=+yzsUELz={Z{hs6?Qr@hQEw!L0{h z>iMAqDU4gs#bze4Xa_bIFh~S)>+u61!Mk72JV0rtueV@{0bNhg`bd9I`jY;N{_*bc1^&fqE6y zE;peVVXEq$jKRoF*d1&!YFpy-$#E1mz}F$xI~-Cq(7Oom#R9 zt+52)U~t*QG)`Ul9#YXBi@+Ug;M%pXDDxYo=Ac#>9nle!ko$GIFxtNeOkzj3V%7+n z%fUY@v+UtMI`PEoI(4iLILur?IfYn*vE-Db`&cB>772TT5oDP)qj(`3CQ$)-;gJf> zg>!M*j*y_vsTSeo2XMG`ylh^2X6WWzl>#&FY(iuqJj|Ku3x=f=1-hy@*r-TnQmTI? ztSHxqhi~L(|9&%zn$UIif5dEbdStOqJIpL+Hl%am*V2t2cIA~;S>R}JIW9ylPshuV zO*TBGl71RjoYB1(gWn)-;|>Gu*H7p^4ok;uHfTn$E)=XOLPYn`+e!J6?$|bv5bl|x z?Y}m)F2+DhAjsoaOi3psd^hq^v7=BNj>nTdZMeARQMlu&7jbQyj3{V>LoebSzj&$o zX^rrot`~7*aM*+=d0(j#0^Pgfgwda>@bo}n5B`WfAhq5lkac4sNI3b!LLnGH4v!6m zhQN>cNkCk>91(ArXM|dS&B|G2Mcz!8OP|NPw7H-gB!F|1h?T7BuXRPe4a~&6qNvm& zrwjuV^T>?o!1v|Ma2_ZSRwBC=j7GoD)VBPIRW#*4=0Ff%bj0+^Yxx zM2bJ%O2`g&VblcEER2$uCd;pp+12@<6w8Z~<;W~n^gRh+G4V}tqG@OL4n!6ogZ1`H zrI{x29liXUVxgk`n_@%lH^p&6EH-668!JW%W~>-t-B>Z17K;`Cv5{`r7b~7AtI5{7 zzEA_I0I$mC-My-W4s{++eT2~369PFtK}+|kwe;87I(+=v^z_KWYY!hPS$U%MGP8@JU>H3mrBSU5y*2|Rfn^kFgOPYv z@$dZ1i0!j{8a>UoV;hkYcAcBRqFfeAE6A%vn>e7dL9-HX!J++jdTqd>mEG84CbE>E7i@Tv$KYbg5*3v2I>_%CUT{=Ng z`L$B(YZ!QY>3#WBR2bNwx}+ZYj>=mM-31uFr5f zyY*~qDwW4Dt*O>Lo2@lu(tDefvRu4$Wo-@}pIN_*R3gUh5Kf+s8Ea#b8!XMq2ruZUVaEQSr zFVznqjR6kye_NW>-#3oZI9@NpDgpSOCO$61pUEtMckcWc2l~@1`;b#V+CYA=tlTjQ z2NY`XwbrUewrR)yth|O%8?W2#^?JN+yNmov$=ibw7*q z%%{~_@6>U!zg8FcMcdu#H2<^szTdns@FDb$j#j7I7N$0Zl~5T$&Wg|eVE(!(&qCgI zr*wj>vHUs8&i|QTh?*GU%9FvQj<2V(}e$Rlx@ekX&X_w8z~cb z;>f}k{!Zu(#okFt=-eaUEJ{aV*Y((+Q;oquu$m7W5GxVB&S;H+$U-qO@-+vF>#@UD zF1TQ#{4o)~tH=r46TzpjLxi{Y!~ITZyZtL6>}whW%5OWN*p;xjF4myGV^DDw zKVaXXqf4*A`L|%N8QM($LaO>IT(J9js>W=FzS_$;l{eXHvr1=}ud|=5Kt?bV?9%3F zYTNVCF`^6;<4g4eI8 zBF@>DdKw-|XZ|l=_DiYp=7R{4*gE!!MAz6SwC-YneK`?O6~uSxwK(!_x1Z;UQl-Xm zD}j7Hol1U!{7Vr#V{#_`B3>H~*j>y^goZhkQNe_ywy5^95>+#uXh}?))VUetD?##Y z0m%oBWkz%O6*ct?Q=u|TM|E;d+gueFP6wa>WLqM6W3mEmadUZ8O~T9t_m3 zVz&c~Cuixf50PcU$7}?-WOyUU_r+pvBG2OA2pB-8CxkZxY%M>5skWdR-U9GCY)qgI z0}Cn6Pk;r;rRb}}C}conR#Tj>WOZU-JD5QFxBpRo{8cab{VP|?dw|Zr0BD&7fDbo3 zMNPs|yc-kwm;gBM$Kz077(m#^+#~oW7)DFJ0FFX>#&A|%R+GR4Y|H>jdZLEaBHf7 z^`lDYn>SBgf6fn=J)R|xw|t@Iy5=*y|IDJ=pcyRy(A9FCDx&;432#UZM!5Eg+JbO^ zS=y*s^FU?|RwAPQQ*Dhoh+fHGmViVctbNqH=!h=_Eg=Rj-jM)|UDuCd2;P95TPM|7 z=I07)9-YQpZ=eILW5J}wJ2HT^3)v=ac)&sP4cHk(CfG*+n7sq~&tIDQycurr!L@5L zz;cTZ`nwo607#&Xm;r7)zgCN_48b)U;F^7ai+u=$us4G&x7>h{XHh`LQU!1L7j}wh zv*jv^5CU9K3Q}ah;0W3I*T5ZN*tHg}@xjGw-dq{K_yEQ|dH}nEbcZL5ye(BV=fLDEs#^w>+ zl8@Ww30;@B7rgB8BeF0kwGkB0q%~@${nw1-57lKSR*w2aaiPK}sGbx}<0Xv3v5&D* zrR14c2CiZ12U_+SUW;v=EW;f+cZDD3YUmeu`qee;_Qq<1ZF}S7KR(ilAHh^fI`N`P z_EIB%;Rc1rPt+abcggQ=F*b?H&1u)V8ywDG;h^)qO=sb#6HaY`l*rs4lk80cgUwBF zPAhz1ftz#EOF9f#?&- zT;G@J`2WTk9S`YAAUj?dh&H8w^|KOMZ_Umhl1{ZvN*DW*S>v4tbLVT+FYAbi~0emahyMoWsm}cDY=)^3rfv*{iLhqYPr%}}!{^F^W z!)fRL`1dLALk@O$NMka*Y^- zj)$A@rJmYisrgvE%v>Ye!WWyR<4WptfKl2hoxs|O(yrxFTty%RJEsBd(ZA~WqdcJr?^Izad8%VT z2)L_NeBew2IKMv0^G)UQE;m|EpqlbXj(Bb-05)I^UPsG^Myk@f7lT2c8``>HCu(&B zp7Rf*aHipWe@o1&_T5>pJ(j2Bj#aF(hC}e?8jQ=a~)bat0?fi8+4tTJ&8hIp;}M`NP9Xa+C8R&9_g zGccvK2v-#qMkVUYYEFH)NNy{_78UU~jq?HearU1Ijr!EWEc)huimaY!zeH}U)yM~` zat3eOEsC!w3~0#98RR9TSt1jk>}m$@`b$EBE4_#x_yXW2QX@}NYWlnh%2v*#max0X zcsO~HR{kFguSQba@ycj=O*U!j_$ozEL`Ycaxcv%*b zAzLe-L6@V-zyD|ksCj87vd$z@x-Tt$PtbzMif-wG%bit}=>Fy?2Vdo=S`nX;Qb@!88C$jT=tix`t z5!~YE&lgzd+1??jYL2TuA+z2PAsL>_8g1ciRI+yo*b-c3W8gCXRw~)IRsI&TNY(q` z%`oh+C&p6=JQvyl1fM%KMF?F#xjxmm69`>5ri~YZ<_8HA>TX!38KI?>6~aCvvf@=A z185nrjuO0qeic}ekmT#l7Uqdp*Q~vH9j$b!-U%=pxMZ(J5*;F7mW3Ast8X5RpeM?# zc7ICI!@wFwD`>hlF&h7~kL$h96(2#1Lm0@Yprur&L@fyio7p;)yaP9$PXvDk*w!7J zptl<>qM5=beZg7np1=*nQ&;;W`8D3C>`GaPPf=@>7Q(0uoKW$yqPP-SPyQ!JOt<9B z!g}NQw*6@F;?LBiNO;)zE^?`VPQuSsXdUA`m7u!%QYsg`^`byp=ckXYA$dKxU<7aB zJ9!edzngm+l!T;Xzo|VFiCk#od{k36fP}6V991P@ zMmL2cZF8~%H&gipX2t}NF##+GCK$|g;IhS;i&18c+1^ot3=Gua0vULQt>X|6&_ya{ zqwIJ5My}>^ZZ01fQ^ZAPXJ)^zy0~KtQ;kdyT^SElITjv?yZU^7zdN_o0Pjr3X|wKCeStYLkwiOUkfaS)7z$o0P;(s=zs0nvy6@ zqHm?h>XHl>?nz{}TeK$8XC}#BNJl;rFKndZ@w_NomlSVo5TALOQ+6%LXlQ(KA!|=s z!dh89`v^-CD`q59GjUlROYuhjV*h$B`fBxr*u{5^vKlte;izG$#Kzr4MCBr?ip_3R z&reQzFh5ytjw9>Xbog+y0Cuq2W990#b*NAjE0(ayp7mL~P~H;1gKSPpN>RZJwW#oE zyl-PQqK!@R_Ak#E{e1;%9J!wc$lPN7%;d`bLoxV3gcYMV3E-d4k37bzN(zM$aqog0 z_y9gbKEi_4d+0_MoY*6?utXikemY(yA5Y**SYyNJ1IG-)^$g=hXYxY&wawU%JGt9$WaNpr|ILG0*P%0<3MN#4yg)72%Y{H- zRf_Ol{V&_K_H#y5i=V{#z%PsOVVXbpPno7QCoVp4EMpBS0kLOi{2-ei+9rO8n86Y@WJq zsr~y@?#cyuW^LEWL-<|?=Tf|AEDLBRD&Rd1*3SPoiJ9WxHlaTA zL31yv#vcJVMU_-bN0;K(b7&D#;3TyKt-`W(e?L(mx_;Tk`47wMUC7|8#l|;{Aqpr%_;OA*_UTT8yDJC7*KB9s$;kzkK-QiU@Aewp7P>bK(+9mG8a8iJUQ>F_y|sqxzwykjyA$7MAcG`|`1?Ib_i^`Y1+6a^&S2 z`bLiG*Ga-_U1Px5pwmsU&u$0!xYEp~SI3pIpXJPvL6z3!TB_#uvAa&U9A*r@VI_f$eW|gD3 zP*=R8347!J6?W!vO`L1rzwcSHOcG|vLNFj%K#;JCD{9$Y02Pq9fZ|d`txMHvt99>K zwbli#8!i|KAW5)N#HvZ!dMMhKq?MjNw3gP^_8i+jr$?~u=xI-@yqD)a&-*;@`_KFN zIKvRbOmffM_gwdN{eIthIjktz1u2&NN{M?UP1!lU6t0N;fqitEG{SLD^nE2cq8xu_;Z>hODi#v=7a( zsEe+QB>udRcYU~o_cdwhgIKQ`T+Zj+u%W#D_;D#+g}A~gI?(NX^|ZW?cwbcn&InJa z7fLrpQF@&}N^kbJ&Pp8O3;gA2Vl|l7Al0&8$2m*rG*3%;^di}K0zLP%?0FsfGF}Br z%Of;b_}n&wiX_Q>i*W>+>Ft&Bx@NwR1#;BmGZyon*7*2IlgAbb4b7#gbme*m_yI3gXS7?dP z78{77dH5#^jg27%g8f2nN-6iKH3^WSqQvKqI2b;^l_W1t(qf^-k4ar?9Gv!>FCHb$O zW(}JBc~IsHh7!^_t%pIpXJN)5cVBTjICxXjBizSg-uz0*r zB{AiD63#!`!Ki;*-VVww#v_HUOJ4e~I>ITM8!w$UnDdGrCB~PRzs?iJLFju!+9lD+ zF5v^suM7^1Pe;u}*|?w-82gP`abStu!@^J)1b<-Q=S}3ubaZuZbl1pq%y(MS!Sv?J zgVz+N*zD_Ivn^yI9hX^VQhI{lU-_b`v6_gzRIyJV)7#Ey$rU1XI6%2u6c zbte6JFS$46LpjugaNd~zUF-)_utm<^Cm8c5P6qU;fh`I)UZpen6i6x~E+74@eh&pM4miteyGAQtOczAF_b4kB;tO92C_f-SB9 zvO&x2Why8O7qTTl*9e3fSbHF(RuoI2fU5Tt8{=@?gPmTBw6?1X5#-#2@`nmp*vN8l zodg~8UHsmkcM`t?spdDGuxBhyH-Qr$q<5?6Nxfh%?Fl0v%FE6-OYh%$C_OqP*t3{KYSK)GRR*!=8lx`%Iqu~{kQuK-f4c}-+ zy2etC?BEh27YW1&g{9TMVhB-{f|3h}zEp#A&6+xpw|Jqj+a}V58o^wsp>r`8uoRg? zzNwnn!nTQG4pO!Tp529k;#5BcTds4Dl)7^_tGL!zr=vCL@kM$23(;r|mq%Gf^hyoF z3L&&9Ye^9dgWkOT;e2N@Dh^6^3iF6cg8NzL&HSq67|Pm**i8~bxe_3 z=wMU>A z3G#)}vR#6)F=9)xbQ{9Q56`QX3-C(BgIz-O*SiEV?(SCu2GhI&1G!a*>#3Nlh)$oY zpsDBUbbff}s)T zw7TJaj^2=x7?cuzVjz+}A=rB6COkd5Qat&?Y59>{^P>l;X3K*|-$q8CI3Q#ajAA-y zA|UxIBR`nIl?P(9%2+M0EJ~ZDa^Drbi^}N6_{c|Bl?jcGZ^Gq2u~yG{h9h^XQye`r zHL|3}OEWd-x>{MNk=+W{OwkPX6uyc#XYvjUm)jYV0&8OF%vkiUj0>*XN8HzCcU}k0 zgo*t9pvg1LDuiqrl%pZOD$%#ZO&S*zkNiG8GZgIYFQFX%pcM4?mw-K=_@{W@=Q097;m*LC3FU##wu|%d0f9oCN?QX39X7zbn8+JajkVJ(f>j^{e<&_ z&TT}4!LhlGOG^}$7n0zu^3!NIA=Vw#YtM|-qxeWV=~WQI1~U_NO@AG3RjY6|FdL{7 zQ9{;I93N7}zplS#nF!tbboV+`yCuBy^h7h`w+}^ln0(uCj;VhwHspsfVzSCol%tpv zm)KDl&8crIwY9G+xY{8IeYK7{d{BGx@jf(RAAuARrz_T5xS|mXnJW&xCMPdqV&Oq+ zXI?4+wVB5&a-C}NbZ{dizZSTIfaVJQ$}9{OsiZ&`vTBKc$T85dxCHWeil7Q+5U*h( z3(aVP!NdKfoGR8(h1)^gg>i{sQ=0Sj2B(IQr6IDSl6{soywv6lgxvPFii`RjMkNv( zHC8XfEQZWktJ9nMq>s1#?;pPR3A{T49bwEK@$pg^7!Xd%o~UF*=UpZn1|lz!lmq4n z=TBp^A(`wSr^;CsM68R3)0!-<{N_~TDmDfx z47%2LW|^(_#YxlK5~Yyck)G8eby&x5%e8h(p-0Kuvjv#Q2rcTr9qbjmKWxJYQ<_f{}SvWocW7)RNdE zTbLM7N%q9%Eamv*_k9T0lCnTP9o#Xbt(USrev$l!Dxux=qI}!P;Z6}J5Y+bZRGfxgw!rvT zo&xz`g}X2S`LPCHj3T#HB`R;Jd38!+4@^GAI%s5-J0v!oqIoY^xN`PlJid< zKU!+{jWG(>YHV<2QD!b=k=xrlpGnRF%S`X8`SJPG?35>ND0Mi;6~$`eibKg-t-tXq z{!dD#$Q{!z9;;8b@ajNvc=5Efgre5!9Mq?dDKGy4+uYRY7f{z6`974usQYrb-nh## zZ&0QLzWp1yS?#}57GJ`hPub*$DmvxseK!TAD`plKN>p(wqMzEPtOZ*&q!U$3-{gZ+ zF;9Pr;ya4_(}>eV76oB?QW$+!fo+*oN-Ed7FNyOfhn1>}A)tD;1U1m%&Oc1r+W5$b zTHsJoAxYi&ILFrAxu_U13Zfv4S6?U6+Qn0EN76eMq)F<+7qQ?{%rYV4prpMO7Kbn&kkDGv4iFkis{StHp$*0d90Gxx1Z(A(T3gDL@7-z z1rv7`a`sc~m5w%v>yWy;Az{hy5UjHhWC`ueO<)3LnoZ!y~5Q^yvD z?C@&RP|_>~(>V_EOflZ>5{`F9VZm9d&r*lL(3nB4=H|l%2zu1lI3cl&=Im>Rjeu+X zO#+XlsAYDZ*+UdteQ?|#IeqByjy&kCZ9hg?eN4sRG!U__rs+5?zRmnSi@)BTuaY`K z%UL`EN7U?Bh>3P7iIdvw9}?&|!=I?4$)z0br;R5kaM=)Q zF7DDQK@F^Qo!cxY@(DBTK0Tab2*qw1XKrAP8+1iI&c4cmRrJWw(R~H0H1^87AMIDJ z+Rv5u?N6!fJFs7gmR2zC3gz0-;VMX@j9z7M#v=BPo!}hf=UTxSIZe0e+GXvjO+bMP zH!%Hn+wI$@EG|lHaNh$Fw0O?Ij_MJ?BBFSESlV3?|286=o|8dd8Ltw?;y?x>b{Smv zpC!{$gl>l#JCHJ%!M;#Qqv?pF(u?`IhPTL{$M86%s?^;#SFEdEMcjN9-CLHNS_}X(6f9^SwJGaHi2McLrMLAxdl=qa59Ha+dmfYOn z+ov%5Th=P#Ji)_OJ#*N~6@8?$c)J8G-@sbwhr>~;3KeROClmqg2(l>+Z^>6PLFlbr zJ{HoRp0?Z~A`+!`ibwOzR~iD6-7fj9QbW#Qq;EMp3He5uyFA$@bBFH@CN}6jslt=h z3+g0Ko}~VQiu3p#e2lu_`xiW4sgwezZu4s{MRy+Jzi?K*>)=W0H7o5Sy z;Ol!PGXTF?j?lZaxt5WF)!X%3n8ni-7!=(y%mx+qo0NeWXS2CH$tLp61R}#0)vPt) z0+ZtC7~-QrY^jMfHs6U*PBXFN5Jk;4nN$-~q%l)0oy-)O*opY>6_#gnN@2f3MXCD^g)7 zr#h{Qut;Q`ruT1IqpdkW8*0KMnXOAuRN63z+tw!2bIO@#OtNcc>KRj}*V;WmAh8xB0AO8%1U2#g9y7@`mql@T`oifXDZ7Os$FjD?vtTeKi-qx?wf@Y!fwMj*(=@drqE2- zQ?IHFX&*yfVmQVgWcm6Yg<$62ga!>vMfq-?oiqu9oFjbFbY$wAjtD@l_V>fA!GW}O zgL2V-68vE2;w-1%Wkf??1N8K`5259-Q@|$bT0vs`FOkZ z_K(uzyVAfPSe}fc;Nu(uVQRP3_hWCb0`d0%XJAFy@Rbjb9Epw`RhD3szY;AzVZHrz zV34`IyzU~pe<_VcrU{t+Goj;pw8^P}0C=~WBczSQHd-8Z*`bpQB(TDgm(>E%d<4Qoa>*$G|ipE1+?7jyMa2Fmw zY%pGs&mLn4`JyqHUX~5Q-pZK}7)<8sE~>bOF-*j{s*MDtLMlB0B<9!0P;yLB6G+~s zC{%GYltX9k#OGBUKEZ_7-N~b;WXL^;ore($s4YGB>XxFhT+G~i^U|kj0JixICQ8A^ za=YiIm+Lt`UR(DJj;{N-hOkxk+}faRIElg=;*hLjT+F?m)O>POhR#uG;qC?_QBa+J zTk2CpvVS{?qg4m;nPJl1zB!e+AT{&0bo=BU-QiHsnHX~Wtrg>{tl zOu|IsH-*+UnHLhigUeT`@<0LBv%|qeULp$fPde{={)9hynVvVxE8<7z%ie2$AgIgQ-Z2r%iVaMS<`AxP<^kD zvA3+0^9hA0yQ@pGZqmoMCA>L`S6^J4B_-O+-_r~B=S<43nJ_VbMQ`RhMj9eIcB@R@ zzs=D3))_2G-1jWm7PAbhfpDuVwV3TbzhO6^IX{Fz)cTx~3oNa&S^fDauFG#iLc)d= z8Er7z6QL@*$6)N*Vp$FRAdm)IGs5FKf>C%f5fqPKfV)BvPLdGZj+9e+s!>aRlmduFMMfC? zIo!kiV-TJnfqSRmrWAw=(Pk{$c$6ppY~t*1yhN@)8cD1_3dGY>TGg~f@MNz)ii|*- zME}VM>Rl#T%PTOAI=TBON<7I^Z<%END%3L?y{gye?a`BWG$T92;~$qnNhw&~``gm# z48Uc|e@NA=EGK>sONAhE%m#Ztw+1O8y35;YK=0XN{r=Sx@bBS@?Fjn})Qc_jZ_s}c zeRaFQ81U#~NHiM2R2gpS+_XV17>DGA=65N^0vttdl$B9B{{ndq%(hP6oMCek_uazj z`B#u4N?-KBF!{x!6Cv8J6JkLG`lExde_TpD8?HUgk-T@<67(q!KdId}qXkfn1@mHv zM|w&X@x(u=Q+~{`e-IJ5YWK(7;4{`%-%C@lEmCM)Ku?1yTJy6AAZeZ^L|-&$6%P>} zlY(uS_k01`;dXMQO2kVs@tWLH?@0!W&!_YbxDN-cQoZ%(bo!KKGQo()|FO|x?YKit z?2rP9FMA_fSzn+thEKouU1+KCX2)!6j$c~*2L2? z!5b^Hy6yioP-&$0)I%!b)TAh#H;FfQ*k3e)mA~-}_GzgfX*7z~Dhnf!EF67D^30g& zR3Sm_BouO4bZcBo_~E>e(;IS!@+#(y;|mI=P67qE(v^t5VfI$NCsjc)Y+ctYyVpH0 zy%&@kmfRQ`c|+h|Ug406R!BGATssNBg`*a=rmq7dSe{B+aebV{y?7xqx3}aCD}&tl zjjqimK7SlGx4e1PxEttHU4CiC^4GY?7pJbV`hr8Y0ui<>6ptc1<6&HQQ}T}(KB*-r zHI<^M=Mwel2EwQqN z3FgiltI(qBYL&EP(SO9D%vfHQ8EY=MRP9IS$8p{X)8oBeHZWF!uyM&^$y2qKL?0(j z4S@A#0y1}9TJZ)K$c@k>=zPtDx!>y*9(LS)q*16dGT zE%}?I6#?nS3qPf%IG&M{x?ku5r!UXlkXHf3PmtAkyrRp6f7js)H2z7&*Fk-Qa;oTs zQP@wJ-5yh%>shvUZsE_yL3A_#O&XObwlSb5~*aCRDhO zp0Gsl$}Kpi(;Vw_LJMcHlqNGQaEp zTG%PI`J^_hlxLS(d@o^LSNq&zdxMmuzKpajYclBhG6gB)R13n_K{mh(fvL-2a~Cl0 zStKIOYOz}vhs$h6wQ`ZUp))hw{4w_%up&HD%!aPp3wh@3(|`nCr-qO?QOXM&V|Dx5 zP*#KFPxuH;Drl1eB+$e)@<{m)4MkD|@J;Pfp&dfq-}6n*#ZhVP!QNjDQNFOT`Mpv? z!zxUkp2jE&jZ73k`wxUBnP2xpo?g z1-5j9&*Je-&7EgFWbeEdcKIgMK+k9@%4ZqE$C?xQ-bbLkz#E_=?Wb}(8 z?3XyKEyb;EX^hw~EPkw0Y__Z0+*XfB?iFPxL^m1{t1=g}f~T0pdC|mAQ9N@|BuN1K~9lzJ-KgvgiW7MGVpl!S(D5aurj`HYO+vhT8>06|=0c`Ku}C9$7in-hg`v|yZps>vZ%7asw_S8aSV-SY{7-}z#b6tQ zItAjC0&m3l3rtQgINxbeg1A-!8|VeH>kpt*4GogTFi z5O_|}9gM->*6_+Nl=3ze@hyVkH*ywXVNo;>P?m6VG}bokr?m~^!q!_w1?(1*mvjAWI&dnQS!2gU!fe*~L9ec(iHo6V!4AT5Ey|$4$olWf%sHR+bJ5BU&B<^mc`ZrE@0xjMJ2a$NBZd%SgCl*fSbr4p=1=6M@SKtQ)=%X4EW+6lIro+IO!GY8#maBKuH3+* zQ_1o_p(?s3UHZQb|P*Xsc8 z5eAP^07S>*Ij5dj`$D@wUxc^CfldS+<<`<7FvY~$Opts$kRBAv(eSa$vSSE6cNP7= zi}2(%`YJL$xQbE>u*vWy-m*8gf1qkO*t1Xu5Bnu|j^^2l=9krtiH1eP9!G;p05$RW{x4^zLNB>rgV-z_t$R=d-3wvsLZMqg?0lrw zx^Es6-0#E@MF>Y}wcTqYM|O)%EQNNzDBPKv_T;M+q9=!6F*~NR_(6qG>3#BRc=O*8 zdzT>CZ$hN2YZZN1_%)OpS_YI{q{fiX(eu)bb7ZGHIX_G2gM|BA0k33i4Q< zJ9%2>9V9Rxs)nj#e~zN|E#RGwXoK_pNTND^*4-ZIuEQHSvQ(^D8^2I$hiA!LzcDmE zF|hP0`39%Un**9;DW**-bk=Gci}{GglBtCqT~h2eTSBn-&RUc>+Fa35h$=cj`ceP; ze3;CmRwdtiVr{f1Oi3Sd2SZXXrbK4>x}=6zW2g`2utSgxMm@JO2f$$74NKS>hUU8F6o7O~)f?^oM5!nNXGVGX zP!#QVBIS#oE~rU11f3|-GX&YXf^H@H?gHyXjM*1#eStcO*hVFBRtoyc0aP9+cPXQ6 zH8op=)@oqoT>wa_QoL}L5LjUWVOXHcep_y>R=zEV`Jb}pkHK(O@P5PpS(A4%v#OP% z^CAVAZ>920)}mC}6(u!rb7I#bo=t~DZ@OZ%QiR9FL}YD29PydlTBFRMU|yrzf}kv- ztUhCaK0K%%s9n&N-)E_fi25C|8<9~zJQOw)2##2g{(J9XSIHHnZMA;z%PmzGm(U22x}?vU#qiZEMeW2t0T^mF)$+_Z3NM!g2x<< zAVl_0BY8IvhW_0;cnGPrZqX1^uQ1RW+g0RQk|O}yv<1;CegAj6z<1-2g%>}4|MqJwt! z1BbA`!iAwab5RfHjr_@K(G)i(L$^hzSmL?{eaSxAR{m>!Aq=(!&pD?T#7s&kzPiMw zidDIqei0o>A2v^P#;r>oxL3YXJ>q_U`630DR5)w>lfCw7^jx0?CHSBR}7<^ai#uVZ16d7#cNEX(_nlRZYl68Sx>0nB3O|{7WsDUnKM`;Sa70Q$Q zQ|P^NI*N^{sXi{iYRZ$)-Vs{PP;uO%UiSa0k zSc%lI+0w{Hw=`k7>;(iTi7aM-TF9$&QIvW)3adcuSS(}zj1apIM-b@^me$2OO6zmVATyNcGh_RYa5&yM%_+;w` zGR6$6iGXYVAFV>Y@Gf=PPG0#$@fxCYh=0B1-z)16BxlZurlB?N{C`?Q&i2q1NMR(| zY>c0@2z_#r@>SAg{uK^N{7P!go3VUr4+UHB8$1Pxh5oKykJvY{>`6m>hsdES`0B&x z(35YjP(&o7T0*k`fz-tagA-lt4E3o;<( A)&Kwi diff --git a/data/sprites/xrick01.lz4 b/data/sprites/xrick01.lz4 index 70de6b1be6d4a112785acd8d6abdff09af20ca95..66540ae7c507a10918a1f3725d1b92e616292d80 100644 GIT binary patch literal 22654 zcmXVX33w9M`u>?=7?vS{0YV^3B&_yl1-H3KcH=4v->#wz~NqVoRyN3Vi^ZfIKVHjYTbLM>C_kQp9 zemtW?eLD{R{;S`#41oW}7uE&Efn6eWz<;OyuU~)f{k0at|MpDQjsNze??%&icfJjL zv+Sy1^p)5>Un)P3eA@PL#+5IlPk%7)z5l-Be|zag$y*m+eJx9jJd!@@({}XFBVjOx$~A&w)o5{X@0$tM=|k zR<)&X{c-b|2OqwF&PLvb57!-9vwW4Mb$t1&ckNkPw>W9h)h4c?%~4iM)qFXBpz7g@ z`DLoP-p#wGxCeDf={QP%-xaQl$nu!+w`d+khVv6S4xqZQe9WPMR!SE35%$F z5heJZcn2Q`?Ue0V0XTE-g>OU!;5qbkOxqs_^m+qa)Vtia@d8il!?S;uiimO+_wlo( zQc-z1%fDve7?$vz&PFfU)0YZt8&3hPuPgg!AR;_$m#;4yNJZ{)whvxsV89LSZrjEI zpoL!rVCC}^F#3*72Tfk~n6Gy_xW<*Uz34*}?B}38&;)3Y85Fr$PZM}KfKK6rTojrD zRp2r7t8TXcQo#~X>A4Kg7K2+9I^<{jE)~Qm=ygMP1TwX@jRABmeH*~VK6I3G!)y-> zcv3*cHG|LhKA?TYVDP*h9t=Qlj&0-f>p%he{yX40$H5=^VU8PehoC*E2iSw)77yn5 zVU8DibD_5z=5Vmqt4CMg=LEnEn$B`C=b#xZ40Oj}?MmZ8_LcYr?9mqlK%2Yl{x31? zC5GvBxwy{Gq!v_gd)eM(unm2~39DV*n9=7c0tKE+ z1r89N=zx<`uo|6L=a zHb4VjDZa?edVD=Ug25i>YKuW@5v;V%#f`rHW&kSiW>*KaS*`)mydp`+~) zOqv3)HwQy77)HlnL;NqS$!dc^TPlz@rUEPvSW073v;g`hv6Fo;;D^CM7@y1m3(qky z6wU!aN+^035l{3$n;-gvPUi2$$<_7Y?ob$ptxi}Q?!oD!>oD0-E!fAv!H^R@j$;KO zzw-jH)Y-Iv{0x5+-Ken%XnO(H24mptk`}mlJq#^I*YP31#dy&e>wShNn|g+K0DW*` z4317vcZ1b%Vgmg?iEZJ+412=YZ3RE`gKReigCWYcaUQ1Sf`csIC#VE_LglOg84a-? z6cRqa5ES_@73i@to8M`{Q)zrNm}O=0CZdWPW;q8@iHwc0;EKLWU`<~PS;U(boUa7C z;bc4pt0q6d%O~Too#iENA`J2CslXBrO~=HkKn7DmC7R5^ImA6M4r9N?}4U~YsxTnzZv zfnt0WFp8wDpqYupV1&e!Tt08r2y&j+4C}yeJW(Qh^? z0(wvwzzqQF0ZqgZd&G$WtP65aRLF5cs%A(ft5V)t!xl^8kMbeL$}DMP1*3Q3EIsQJOL$a4!C2n*ak7Vk*_pw`gULN-FuIGxWL-v3VTIyAy!8&vpv4!Yd~?7J>H0e1;TF~jBVo_WHM0)EAa8{xRvh{SpYB!7dqIJmkNq; z%TRO^Q4>Y0X&Y#y;7Alr&g0Q&FT-%-lNMZ1MezRvcpSz{!XiV+vrUb_tCNr!vI24i z-!ML$!^@hO*dr;3vd1`HWdzno?&T?t+JWq7J}^whi$K=Z@jCp=diJOj+BI-$7~UMy z{5>A9j&Z|iBo^kA%Xm%W5jYWV0$HOIqY`EGJzN%9haH$2q2Sn6$T-S@DHf{%tKiiL z9Gl{Sg(DNtG4U65Z4$!qJ8*IgM#o{p9VNJS`8vFL6OKC26`~vcGmqZ|@Hj4W#PLtj za*3ej!oYE~2HpmW-YJe1v&XxjExr6P_$5x^Xb&Q~?eA&zO z20WZMu>6|ANxrn7C4B=3lk~C!PvcGHnAUglQeqW)dD0A;d(oT1TmW&QuRr@|k%;a0 zokGuI_LLQ1SMjwX4RU*@=Yj*LkuT;@Xut~pwqHR7C=~caGC+$C;j-RCI4;2~ffPG$ zwK#=6?(5$yw(e*958|@@2XP}6^Na8Ysf(gMmWR&bWjUYN2$bUcsf7Uz3Kvs^qPH4s z>xCf>4&Ku9ACIH3Q3gGMOE~rwZV`Etgx_%c*%MCqR|<^BVTv{bC{NV_S*R9Z^FWhr zqYr2Y!0MkxoS5yu31r>dghjU?_c4L@^Q*)h+kH|*i%yD~!+kI%Xaq&a*b_@Y#ew~7 zZv_DPB*+3=jw7@Ly(#kuyisNn?{Ac`K-9~ju@AHZdY}a04y+lC$j6ld1$P*uzQJVs zzrmyrkZFs05wJQ<$yBF*5~BDBwvb9+wLl)I7SP=$01~ifZy45c|HLqimifLD6@_5e zb24(ZOmt4RBAgQZpt34WnE ztux@fw_%{aAhFoTdfg3`4F6+P$X<>>gluTwr_a#D<$= zJkVhsgB~BOpSUf?K9?FC5oG&lI->SP651w{B>g8M4Z=*0MBT|EdpoAs^LjE zMv4^2R0%v%CA&EfI|#4dgcHM%8U2~Kx5z=k%j5Z=c!)jrAO_wOHd{?_ys|_@8YnBn zdjFBQib2^4<8UMhd_d>$0ps;Z1u}!L!9vFv92$QW816X!m5}ea8Ww8|V^c?kw6GBr z3Voaq5PDh~7QbEw$0y$q^YSU`ym-}2ZgixPR5FcZb@T$;^QQPy1i5yyeC~FH9qyjU|IU|{{~=Lc$)fe+4uyCna_wVd4GN8~F}@rjSXSusy$q~AALmL^a_K_4 z{uI8F@j`~#o^-F8awX}hhm-hfY8%?wl0=B*BH`vF$?x)}PzZ(xjUcaXum_iJNh;%S zNW$*NB>f7@6w{)RRA7cs$Rw^rtJS_46mnrdApze=3ToNoGcf}byrwYKh94nUT0;n= zUJ{!Wr|PlhQwZ(weg;b3!|2zJ3)c#)wDhN88$k37W+*BurnBtc45}o`dLPs^TZdu5Cl*Ow0hUA7 zWPqc%9C-!oL5bQ|T`+p}6_CM=>`X>CuzMv54iNp(+qR8mC?-durHR;+z>4|!Z;~|s zJu!AV5u79J(J0&VgMvl@`Oy;yZAFKuY!s6{o?b4%o#(RgZ5%U!GO0*VhT`6U=PZVZRmA?2WEG{&@`~vV~fQo z8fE8Q&=z3T8R2je@~aEMqJRx@ToRB6H-K^vv~i1pU?JG%=|WK4W6J_qF982mEdvG$;b3LBkmV*`hXtJXQETDChSu zu_H+fkWC$bmQPOc!3ehv_E%<(7B9Y>0TFXpFsQL zMqs>AB1}#4&!taPqrhjK3mMm6Qe$S@G`ZY23nwloA3}2gP1TTT(@C2fSc3IGN+yxn zNtg;yMbgdi4#HjaIk?79Y0bf#+tC^qxq(8IgBxS9pN#5LttQcv*e2KB7@WLu3E$M& z5rg}tk`h*Tv_n^?lfWdSqBlC*;f;0}pMdTAQ1Ta>XG$tPpdgQ>R-%-yJz1*c#xc2T z922nkmNr=Z9Goo8k|qzlB{neC20GJhJtft3tV>+f-UiQBml&lvIM3MjT$`9L!gXzE zxHag+XxKW&2$t_d%)+)xunt;XaO~5M74-3GKz{sVI=_1B8Uh1naZ{UVLbKX&o#nG9 zbMPdAA$c2jJ%WHD@rzJS8ggaZv4H|=7QD|w9{JcLd?kyOJfXHTG}l{ zRxEZgNqPAjZG{7+2tWer4TFv`6b**%uqS;2-QUsRlo)_B68kHykae9A11OAZ{h`~UP(?!T)Ms-P`-}$Dd8j*U(K2A0V z-1%zJxg>Hqm`BB8%kjH7Zwz{7Anu6uqzeTECa4FBo=u><2eEO-Qndc0gjPhF_4hYp zm`jY6V)B7A;?{^Ac8^X74AF?mU~*hZ)s`dE>uRToG-2fPE6 ztmpxJDM}nehe(pnCP~H$!-wvZD((Lf8bb#&rHWJcQyAY=iOkr0R8tXyocBH;q4;}- z5!=}at&T4U{5v3zhy=b-=(z%m9MCc7OKu)Q>{!FLby}Ycnt1sPb0tHecMfIXdgq530=@J7zc=q@2(VuOZ!$ylP6qES+7@Jw zUda#@D>fp|g@QIcF}s%a9LLs$Lx>Bq&Qb6ny)KAS(!uaqz*q5ffv9MajX@MkPg-Ws z_Hl-2K1D7QwIBw|8U8wh{2eczWWBm{X)J>j-A6Q|ME`uym8l(oz!3I#Nln3U8E6fM zVCmo|8Pb=L+uDOGh!238&`8zoxyB+VywM^_8Zdx@eQehrVOB?Hx8gk)g1wz>(1m=4 zOAQLzSx=j=5;2^v0#FTIOe`u8yee#NLk_ULQ^LOo)0ytU_J+_^hM_B*M_RZ_K4A=7 ze-@Hu8h<70b;5}vXgWjk=r#uH+{?gB7o2x%C&9l3z!mX2id4hk=`T^k8(HP{-3HyyBk{6)neLJBSHj=H zzc#p<$E(5s4f2E5JY2cuPH#MpNSi#oK)`8+NP1uyR(OCNn4ZM@!;o?yEqeo`yP;kF zkx*CvB)?TT42|?>x)$0G<@8fR1mdpaV?EIAfOHBB(a=7aC)r1H(DpK{v!(!p?R+_r zUpII@3si()$U3PeokVej^^T|RhEow(_a+6UOA+-Kx%4&AP6b7~V{j}E8QW~oipaf* z_`-xjM8$oXLcI>;vET9&+=WaC#(ydRya?f*M449jJe-KFC|tr##@XYkh#Tzr49I$P zB2#?otVw~rE!JS(T>crUnW7#uG>*V4BP$3^{$Qv`w^>hdg=#f*s*n%0l9Ppqk$oah z-FK#tDo8*%#NdmC{8MzQ|3|Xi53M1!PVgKp3ssrHAjk^aw(C;@j~ivqFA_}5;3qPy zLWlp?AnL%$H9UNQ&KN%V8$P2kB1;@5^)u@JAsNWD(c;}ghxUKJ)g8wB8sB(Ox0+ur$}ay4`zJm9LM z)dOG1K_to2-pL8D-7}?}0$YuuUPm=m2K1+UlKAB@7w*%<+7(bgoV{AMx&L4f#%p0SnWrCo~ez= zlOuVV^!7yg?xXN%0PZ?^KFzRwI}hYlzkdXiCM8rE!r{Y)46i32CzL8MOUK_p@ciTq zJL`MMMke9qZUzB!dBP`>W>nMiQeGKfO~~z|IrE&9b2v#hxXY+?uE^q_(SqrGL}+$} z*8qu&2Ja=xgS&C{X;OL$=7yjx2i1>i>l5_n!Uj-e4aeRRSO&wDDBJ4$2&4OOaZ)%R zH(1YCi!*BnGiKBhUiQfQ8K7J&8*Bh3rZyipdM_WstX;5fHc*^EV>~f4`v4;DTns$r zip>V(1ER}Ek>lU~E(~mk+XEjZJ=fg^kD@^zeTH0YV3>S7e`2CETcsRb!4PZb){n!>cVc}gJ#9P-vaXxUvNdCch#qC$%5E`PAv1nah#yR%y9Pwb zUKMU&>W`KPs`#lRhbc=#WRrwEn6fZ31|#Eq-lGWMjx@kXdWzBz4#f%$@mqf-(|mD3 zA>ViMRAJIdc|iqY^-iV&THKWh(m|4-tbjgssE~gy<)H%}mVb=(RU}mWEZQ%2QwLBk zC>F7OzLWo!W9Fj2zj!*+Oz)qX1B3v3TSqUrLg7Rq_V|pyzaVNf(0zJrX&(ps0{+xW zQGo4!9g-z6>=d0B6TDKl91n>3x8}Tg821FY=tXP*o-VOERwm$2JyXZ)5YNqW!%)B{X&nGupV_K(w?7+m3_(0c<8& znF0f0S)roa0V=y;A9qw}^mWg{Xz~A0u?OJ46c&1YCn^DW+idh`YE<$$%~mWO%;sM+ zR9R>AvVwasY!pitjbg;FnDi~7+7t++XXck<-xqEvb~>G;TF@esc|O+gEg~Z~<138z zt;}~KG9P6!!q?um!r^&vcofzT1xWtKnY8CIafjIh<^y7lT~M^mgXmNbbSMj`4Ql*hFg@z|MT|d^B6?^R=%|{-d7NMKFI`0w z;&C804LU}b(yK-yY!^3zXX00Z^$P0=8xS*f`~(Sx3oC|T#C?b-qG>}kS~V>{8ij=# zgnj20O9!U&gsnRMj@b=P6!x2iyHW1zK{ek>>3lCoQFF5Se=y))i@_V}#jM^ zXQvxN+wL z_DW@*loUa-8Q63@3^POT@flQZ2JdKko}GI^XUxf&2~tna#<0EVihw8~EmEvAjM}Tj zM!Q#nH*;4aZ1*oF>^DhLSAHp3lWiP{cIxC;*Xyc=A}sN7a#@tcAEQ-guf{prh&ZJK zEbqhYwHj33_w}vI2O2_PNtYzxi;ZshK3$WDwPmWi*adDV*T4!Ik&0=A!ktYuP_ULh zAletSK^lRBK1l6Dw*plkW?S z(;Vq?{`*4R#QVbXK?cUJ7HR!PAqW=W_bb3x73TQlYLd(*w#Oli{{`!xQ@BUbZ9H=w z{x#SH$alcPi3loOe#2KBACvRuNM-Sa#D#I>5ylFovhlz26$HR}e_CYGu5yLHTdeT^ zk*~b^N4{p{kNo^g1sjMs4_ldrWe~)2BAIJV19!+Y>QaK)6VMU-uvE@1B4qtPgB&mS z=XCHGk!SZ3SPNn}a!f^pI0ek)(1IeQk}|^J^=zW@>_bacMkX4a&dW_--_2b?M3W5= zs|mqoV7xMNp29a2fvO6H@Nq@i5KA^>YQV<%ivDNi;J*@SOJ*4_7cXgNkNs*FtjLtS zqItHx6HeTK`{Ev)cULAUHzX|2#I!(qcjk1_Sxi>5D3j3HL~&yA-Zt3wT!=)a^j4Jo zx={Jt(AG9DGmqJZ_Nv>eGW9N0Q8&2SydZPDatSVTm1F4DrtImiwoHDKGqDahGx7IJ z=@Y&x{172UKPLS->9%MIApVymIe|5QYk^zKlJxxPX}XRhWT({vU1qrZ_txCmoox3l zyb>j~`{wgRf%$?z64P%~XD47V!Q6(*gSkurfp*tR%RwY z6sw)jV!DxjNo%A5IvVT(>3!0Si>N>^r#ZWPId?#_KLIbq3q-zv7*q_Gvw>Y@;9j60 z|5GK}4rb8Z)LIPxL@54}x3@k5X(c>hY)Qa{q>1)V&XfEilCrurSb#5mLMO!E#IzF^ zESC744xQuxFvh12RfDs%A%5qYFtZ{41Ww=S6jltwx8m=m@PEL7Y0f5SdkZ%F`9iKS z7-D88o%~z~cI)^{W`bL@%}o4ku6#^MW1kCouL+Z)9odzr(t7H1Vb;){G$8$4sK?_; zWgc#Mwz^X5%vP77=$@@6^k`$y$FkM@zc-10Z+8B@nJ!Q}6oD+GG0Z(Hmg*d8eQc&$ zFD+2VpBHXzk_9G788b_Pa=J&FQuVQ~6)Hn8{9?A$tCJ}DZFpM$PJ&z`HG8-_2ELTW zHkQad%kf;hCq04cB8-sxRwn1x8#|%bj_NI*SY`ss)sM3C4MDGTmeGrNJ#`=%e;DB> zBpaZf%%smP2Te$=5I#Fml5h9COaOZDBSW6uE=_MjI-a6{5y?E}f;UWArDyGP1z&0! z5r3Gg1w4a|V}KlLJ2u`?Q_|$%|_k9<1 zAB6!|SEA@ERJLZ|^WJ9(HFK;+;z?*mNz7nyA;2Ey=lh&h391%UTXDHQYLT_CwF`Gh zc^8X?2diZJ@IugBls17An>rEWG;w1>02&fnCy@AM;=vhw2OzCPK_~0c=jGQ-yfyh2LKgQ1jwf(;!T*W<57uf@2A<=Axhr9!OH(Hsbh9y zg^bJja1r@eq0F_eC9B${6Va|A1NK#p-d_tyldEk`HR;P1eJM6F)ipI#Ji7{2Om45K zZA31>v0W<3A41#dhM}Qqb-vZQtw>G#^NM8djKX|xZbl)HdH$F^jp<)iWaQjhP>+f? zxjqt+7^VQ3kZJEfDWr>@DLaLHqY38!57zcSk$}z1UD@b^XDXQ{91(HQyskj>VL=5f zvQUc>B!d7i0DAiyC_l_OU7P1cp=S5UFpQ4GVj5t!yEUqOkG&Y+%AsOFzBa7@n*6Ei zRu2RFvxg8-o3mM-S()>gN*0|7nsXTF_Aa&Y7g4g?$(TH{rT}rqji`EiXMO^xj6%ol zy*%&`Qx=6onwsRy&dvu3J@X&|G_m`MgB=J%c6K;n&D%71Qkvd5`3#SGF_mt6Uh?E# z*trjBkE-A1$@*`nwRX55?0ku6Lb|1i$#)lm(ve@Ia+`l26 z{|&vjx*G1g0c+2d1Kdj(*tShFOYM0TkY9fdJdDIh+hA?zHjWflZRMhtqI$@fKdr>K zr)nMPhI^m|eV{U4tLU4VE9+axSGY3rnnn0w2 z6#_<$5_|lbp|dEJ^pgr0a9MQT%kUBMvi}li7&|;5&gZw;{3J#?QJgBhktWD@ zI&Y-SC*GZf4p7o7P4^P-G$jvgm1lGANE2^>DD-m9M}%M>FnQd*EPjC2*`o42D0m&t52>WOl(F&!PbPhdrK{qdH z@`6s}2Ow6GKRKlapbR>lc>r4k-;BecVVdAe#hM6wVFGp))z0MNQy*8%zRVtHR$>Qm z&Ac33ar&k(<#aPiVrImh520GqRiSESbsNW2Ulk_P?N@~}VP~g5Md4YKQ0Rf}pBHCM z-gu%w)-h2m+gkv#rY6)tzFQ5d9{mlv<|mO`9gib2?D`a(f-j2T(fl!*kkU8MGUMq`;z%7 z0$JN(tf}7uEp0P1H<=L&S2^R9yuaFomYNH()@BC)ymGpYw=OHE=syj!8TRO#ctIOO z*#y|v;v~+F6|}M5dXUjk-OC7fq{_z^+chU6j>;Ou+Np{UBVFiI$WZE;E14mr8L zua?1AoZzCDM3uAw&{zbx8EEYc6(g#w^63dj+z(B1tn-2i?pEB}N}u0TzW= z;1lPOa`B4F`RENU2Y`Oe9CjZC8v9X@Vt;Z2?LP`K>jJN=ly&)0wEdo@sd_-(z?EGK z%=Gz&bi@vMz0c}1YdyYnS*;dqMRiu+d01MlCe;8tqLlaiqBI6=pVZ??nzy;SQZ!!Q zIkwm5n+{aIwWQJ4W5B>{V5kkRP@(GS&&jvQs`D(yb>;wUNya#7g~ zrTw2(c)Ek;*8(Nqroj3#lZd{|x4AAii*xq#2%YyI;92{9C$sV7lOuJ+0}dLgQ}m)M znd)q>2P&j1^CWl#sNB4nf^%ZtVv}&Gkaqs8ki;rPlR~4{n_r>y-ldYo<|s>`oBKq8 zy@VS)ZU7&;&;yu9%+)e<{H9*1|+WfRng){nny|s#0Ukl&ban5ZM>Dl5Blp34n*X*`7uq6NjNm}Xme&ksChJRQ zGJ0Z%N{k7lGno*-1s7&BgjidK$`KbUaFp9igp3h-GtEgkKLfsv;(wVfnkj1ONA&?y zvos-vu_CJJ^-Njxe^t3xqN)UJL?=)s7>3;?dXtA!C6q^Rv;JRsg8y4c{2R$wTG65! zcuWYs;NwdZ@`r9OO~9l-l-(AesO0@rmNsz4xb#*gteiKOue;GMwLJIzf6Mqgq^X@> z)~4)xwKlB-fhC)mj4Br*-gs>IH*xw_>u+ME>o>8?WC50~*7HJaPD0vgAeC-X30oc4 zq2v04<W+0@C1RUd_nO&9QC)OH%d)I2cBv zxr4O604e4csj_mz&j@Aa+to7vOqIigXx0~M!RLvsmOo)BY)Sw}^6H9UzqKoCH?*24 zSZN+an9W@PBz_~^pOBT-{}i!$2ni2|obRoaXo1n~nNQH36$_=a0G2d53-B(3RVGBq zAIv!*YDFr!TGKA_8RR?y{jfhUbJg~KKje_oCOob13fuMX#cIdhGLQr+kz8ve35X7Y zq$|_FCIm%b)bY+bDPJkh@9gN>BFc3-S2SRs{>^{3^T0pTdAarc1~J_(R`4?Fr78gQ z6D^fMf1_H7YJF{5An()ykdnE%lR-hW^ZjNFJgv;1*jEksQi0Maqdt?MX9FlfB6Q_D zI9+a?m{=~i(~R6cYX-|r%Sk(ZtQg#rIt$u;ZURFJ!f&;hEj|^?!(_43W%`C2GO!fs zp5x#1%Z07t%}yBq5hCFTi9Sp+wpRrVBah&yTmbAlgDV+K< z_9G#S?=d$r@UP&9tpJRPtAg;aa9YV?RDK(@N%e@1X|7|B!N37CZ_5h#sedJwKwGez z*4^_w01wRP-M0d~CCFTI&+-*mSS|1UKiV)p;a&k=lB!S5)rsE53lZIRdyV$wMtLh2 zhTia9{7PgYrMKkrfw*2jxNJpo6H*vXj_+cmH+9Lf(OI}*Jn~sao?|qRGE7ZfD<^wa z5=Ed1sRN;-J5`WGVQ1$& zQ|Bw_$!3tutxrK`J(F28J_VWkfcEw;3E8pOSFPH(9yB8ua(VpV8on9mCQ1^+SI6L; z33z!7PL8|=M46!Jaug0<9-WvKD^3?w72!+RZdO5H>b+EstiWG^PMH29!RU5 ze4qm&yHk|E1Ec|Lz7s#b980cIAI{$)UEt(d{cdW3GmT=L((E1l+#K&Lr>K}uRyvJ2 z?nI4Bm}}y~+Y8F;V5oG5EUUKELKco9CL9NjOk@4|(mL|_OvT|l3fW;^ z#?vpvVYC(o9Jd?FVV6G^sxnSRzLV9a>ur@|BxA#wwMuAZ|up*v-bG>8;NE=93OUeB&|Hg;;gVLr32|$dIq>H&T8-cLZYpHH;?#Fx^7@7UEzw0rPtEmApeh+ZcY#-iXWBk zcV5nLweNTGD)9TB>p;B?PLJ8J`^HYd?{xBdt;IDrVvjp{N|0Xt?YnZL&$}%}Tdl65 zosT;u3&h#3ZNA*O!|buAon{!Rnd!NS3Lo5%gY6kKs~xXb@=rMF$e>wy_5X_Kk!n4; zeTB3PS0M?=Cn<||BKa@(oRsF|q*ioo8rjL4HbLzpWF4zZ)Ybb4pjR3u_CASeI`v9j zwO%P&-AbYwK~4X1@t4I_E(F?NHdmm6%d20)gyYHGz1<=~^Hv#J{GBVQBD6sc=yqIgQ7wZc$F$ z5`sPXOYT_=mn`X8EbqDDG)#<3A0RHy6p||hgHo)#C9h#>elb{|o*F*Pn@_=z?`9$R zvruo#Z%O`Fy!Rr_#mAR(74#1?Y36~18A|rlgQZ zsxdjG#)OJ2yG}%Cg7*7mT;7Ug&J_haa3wL2mQfBR2{nNBe z|1{+7ZDWp>qi|vb4vj(Y8}Aaj+YQ({N5l!Q#_zNhz&n>aw<(7HolrhD!g`l%0^Cge z8|JIQL9RSZd;!zP#Ltj^EY;L26EWXU#l3kSy!G zoX0z)7DU#IR?Q>Z=TV+%)2sK+#48XH(YafvIF)OxK!9|o9f*ok1V)N3ddi+|8~pbQ z@*!0tqCj(=%Hd(3fhUm`VX_F(1NjuTgrc8gGSW^~QfVEPR9>~~=YqoOZ>c#AWT0eD zHS0arV0Kx%aI(5(*0!QpF}r09VJ8PetyrKRm`y2+FmiNl{#c}pn#Id5)VI}UrRtqE zSt)wwf~@T;;~lW%xdli|H{-5KL&>%pt+XZ!Gg(~gvy!OVELruR5=-DNGs$xZ zO{TCZ3!9S4TDU9f#^0Eg+3sr0TFNo?NN19z=(1zDwF$I? z&AX8l)Y5rv&7G)Uh=U%*L8EVb*dSmlf zbs^Mb58gk$!52c^4s*a^l^}JFkMYCv4D=2{oA=QpgMQ?+Yi(@L3v;lUAlY}XKo;Dz zTplW0P1};QZh=9Ravk!s{ao#AlyV76fmP@`jjZuB0~U)>dto3ui|!KwW#8-Ka+GZ0 z_hg|w2uEikDsaWXBRCkylg?A>dPYZk$40sF@#RO1gy?Wf1*&^fkFE#5)0JamaAIwh z^x0kb!cnw{$H#XdN#eHgQ8+dZC#LBQqme)UX&4{-DG7X>k`|DbKv~*5uTVIm$6Jpod7&tlEu{yl2x7djCKDW)2EdJkEl~#?8j3kDrf32#=z9FlC+Z^Y1Uu19enIq@~+?DpCMQsFG=0@ z=yiDIH`qSAe(=RR(Ek?trAOEpT{tTGCDTNPdOc#CS!iBo9xt^}niefi=G<_!c-$p}Dc+vF4 zv1Sx*UZJzS;YU)zrHqx<9Hdp~{s%CaZGRZ;sGZP`x}!eDmLZwY;MvVu(xNqn+?Bg? zZ9(Dv_(?$TdJ1nwy$OR(%?@F5n&I7D=gRX!uXiUKx4S-@mgJhYfV7!kJ=zBCyP#`3 zO4qx2*c)s9Ra*-^@55?jr`u<&xAyu@96&09HNX%Yyf{B292hGHNo%DHt|<KV)*kYTXg1LuqK?pO324B2aV?Rxlyvw2{V_9$=j&cgTSAX?&Y5v6MsZF>!KD>0PG-c1<&6YQJs3+4L zsnHgt@=9{6_-~}~;)W(JdXw$CODWx(C2hQnT)^I}oL7;mFg~@Mv(xOrt|tDI)#9hN zf%T;dZg&~jGK05q2JvpbQ4`5)Q2YF4*!N5D8%EKJG;)Kg1!=<$7=I^tL6v-P>EATE z+x(<~=I$@e>$088Za{Ba2a*e5*q!^&{IKoeOdKhW*Cr2~Pj8;Q2_xa@5;7fER;A)r}N@$0Mj%a>ofB)3l($wD9T_ymIp+vK;CDz4Ml8dhSvp|9Ik2K3Ia6 zIbigI1IgerSrz1hp||eFUN13;Jnu=@0FgMv_U>=0@a$&;S$I-xfT!p$TdC;xku=Xo zy21g3Bg4*zr4|u)UxLi@*M6fdB$vqsdxV)b>%H}+{i1vM7@{>hl0n5vdVe*K?sd0f zN~^+KDp=|D*fw503*wE^l?|Hwu>b9Q8GIi|=x#!-VBCqO!+NT_X^Ys=Dn8xBb5kOJ zldxYT<37CCSR2F_U}}-7t1(erv`86tQaBKUE00Jw{WDqbUQs0>)=|<`RaIm-Q@W;# z)H_#IS@q7=Dw8_9wMxz~#Y?!W%F6STQ)=EE| zjk+||PXXT!+Sn@i4$7$rS4sYU@=HMvP$^u&;_*>^T3ys4c^vV0 z_Cv7NBIY%t@^3J^(V;)R04IzikymFb-)F>4+?8RryAB7hOYyC1q$vk?fkh*T5$Bf(v9@0*QuW0AkL z$M{@Ki{DP%!qrDuzYn|sP~$Cl3paj*YJ~+OEdGC*EOddW*^a1+qBc*uxDs&z{Fm=D zmP?jm;1H14Uw9TQ2=)QXZ$?x;YDojs|lmE)t_#OR*XMET7L9eWp8qbf_a0$7X;E2O_!B0q;c$%*7Q$sofy0 zF)h-ODmB+o`Jv@$HK)OW43VK`4q^-qHInz|7~SsfCzQ5DT4`DhrKfZ?eAJGfe>SYE z!3|;eHImfT2tQ7(bR#KlMvY`kc78ByuHhR_x!-pS%r*2;p97s*I3M+ReDDlq58Bw{ zSv6z}X$&xP^R!io4}tFrq;~E9E8)!Jnz;5herA$PGMR))LReye$-)*kIb3mb26XF$t-7{-}iZfC7%B_pf(_cN|Q6xOnC!%gJd;1$(Xz+05&OgoK07? zgM0;|+@5)IfxHMYVp?xZjvtVDOmgfx2=P)9uq;gKE|Lqhn-v~iU6J;yuO!Psbe+3I zjx7YCX%!N532JS4TP{%WK$TqT+(!aF7HRheG-8Le+Km2x*UNPM-UZ?n{)QN#KQ|>; z>+{XVF?@ZA-_n(;cI#^?r;#B^JQ2+XN-0o)=e|d~;Sj6aHm*FYuXK*L9k%OwIN_Jtf92vv13$)??)Lh83uDHwwX~1Lqt-NJ zt|KB@(XA~+S%k+yl#S1{bc72hWO{shZOnZIvlVx(sDa*-2)d}IWZ^p93<=|!AtC6f z<82HTaa_rrPbD1iP(|Bi*=s0ls}%bl(4VYkPe1`F0tZ>0!GD(R?;Pv5Eky@?4rsVn zBSdbwHu{}Sh`s7ahC@b^Skn7vAN#QquL~tcVK{q_`t|EVG3sSscARr)1`3?8ck6(p z`|1pJ*46#nbI&8kGjBc!g$X*mBX7Y%sDXu%;aP>>i; zMi#6_{{|srfnSrrzdVd}>uMbPjRBt;ME&;-V~y4uY^*;Ru;$5n(`|oK=^xoXS?u4k zYNj|L+NL$Q^XBkD`YO41BBQiB+(6=($OB)GmiH`O&-FDUj!@z@mU7@n!NBBX2OEYj*%-Be+in#jl}4*ZylZC>V!x`2rKz@YP!AMoi0Z6e6rz zG^El~SI4Dy?cw5sk>R~-{+UDe@hle|u(chU)6Ps5dA1lM3~tH0e(TfeOug9ej8M2g zk<%qIa+{G}kT}ZJmgqMr2hg#+{fXF*JL9>QHfy5bXh~AjX4tgTG!AYHZlD$l}K`lGVi<>fF{qO}8nejn6L%qOoWpckgQPy2JTWof8G2MRs$_%Dz8>BR9Q8_P^HzJL}a@ts}2LJ3A(&Gh&@q8S5%{zkC;=5 zbY(RQd@GcD1>>>3n?>HUrCOtVRW%2k3}$+2tMNiq$TBw6R)Z6hm^HQ4EF^K7Ypb#O zk}3U`&a-|*vP$VS1HG1tfK5( z#usG|V@y{X(b|>9nNf9{uQbv}4~)&R!*WhGAX3oJ$J@x$h@7xqY_Y5PXnF*m?#2c14Wt zTY~<5509aq?OFHtqUidPC0&0kaV~j|CKC!4J=%iu21s<*cGAC#8o%O z#?s`8qY4q{(^->8;MvfWh^j6RLT)`+#UH0p-c0^xJfh&lW?W3YjaSsOaFHF&>V|Prn6L!Tc(iUqDrcV!vQ)W(T%* zhtgTKk7Yp#Gx_=Oz({(Y_Usb**P;Hlk}wtmqCC-x2&ewkyTz!aMp5R%cFwXw(x~SR zi36y*LVkx*kOA3{ZvO5s$9eYN3=j__XN|ME#>Vo`l!;yILdf;{xp0aL zs=rf3I{Whuk6BD2W%-}YO*CgrsOp5M2M>iih|Pb&DWTYKyB{!Mr;(pd-2 zk5NoVRjgB~bSFDN>h5GqUU#wyVGueSvRmo-*#h!=6JCx4m}SUPl^x-5zdFoW7=;*p zd#L#Eual!Z{b{GUrncGrCg^!6M4>A^fu`+oyyPH*3*L1%WQRHJi?k;1d)YyZ;k|4= z``y#{A-VKm7vifr<+1bX>bmO8W3MyKi`L>?i{>W5T9oc2SiJ|))oL#on5 zy$+^-NDX3qcTzcw=}sz}q3)#OjPG`82%eG0G~P~4{2lXpyW9!R3>Tt}b!ak5Yyc9X zEJ1qm)E4~v7UoS$EM9c{0UUN&TC@5QfO&3~1&)}Z`#9Y2$t+HabT1sKgS%eAS$}4U zPYxqC+yl>Mz@f*NSj0Y=;`oDY%r?6Y4!dE7J|{}-$%(>S@flsP?}3oLpwErmwU4Hf z-S`XyDY)`aOFX5?ZP0;4q$}U}e1@wl3@Dbze$p2OCLmMAO?=c*UQ#W(L!%A}2V}(Q zNMtx@Js%FZF@f&k?{E{~ukuse%6Nwz_2%hfp6FgYmXn_c%a}2J|KG9*y~SS)(0N?lcTmDhy(!#7Ef2YU038<2lcQpl#W;sjE>@s z5ynj=)^?J_byh?8p~N;E?JAbo$?6p__kPw!FD^r`Dti5wM~w@AV;!QCAI3S!kw9EVG4t>Lm!h8&x%&tyX;|TAnp2>VWX$Czmh1<=^}$;?)d`0 zLhj zhMuR@LOqOsyd)F*Yo^$}H8DEVeY$>%+p_-)5S!^RoyipY-om9v`;ng150X6zly5C^d2YmxWr`f}Ofr%iUH|l>U74fkJ6q)RiM-{!|JJZiDEe z41MV$ms^@dU%6;ysLL1SbQ;M@qu^=X#v}Za8-ePQ8?41A#rB0aB3cZd@&0Yn2|;bU z;uX$)Z%@FMlDxGaD~>%uxTY>*x}XTdo=X)l+igqEGQ#A62+q^Aq@f{bjUe%h$kViu z4C+jXQx3dhr%5kY-T<~ZQD+t@voBRh&fi!hPMWzK@5Tc0s;M+JQKq)G2Z!M{IYanj zkYduRAta_9f2Vcq(_8|(NP549r9#&W4c)3?DZTEx&19qD)S~F4C(qim#8Jvdp1!sovpN_Gf z3rqPfqzd#5EAX;=0j}J8YCHZ>7O3H(@(55?;0PhxW|)BzBD-Dca9EbZ@O@MXo8|n6?E6ey+#2z^G0AZg_KA5)K;{5hI*3CY#>t-J=QHgEr z<00ggT3Zg8itUu-np|B8)>@Fam6D zAN!%6lYps7b_oF zT_49UMy`6D2Cd{HbI7?VV`(fNAJu;PYpJzDfJ%j<&l{;d8h)t3?0_*&IAC8@L;4C!@p#U4&%wI|XCX>t<9SCSiqHYuHvC1AsnEVs`49 zGYs(05tuRC6yEMe4&mA8o@yFaDeR6{Sf1Sm)rMmMcshno_wm?9N~GKRNNG?{77;7rU7W)D{46ga%K~S_;2iraKBiIkpl>5d+N8l8WKVwmE%@W5a@WD|wb^=wyY@gq5_AS@}VfaP(4VT7u|Losk!uUVR6+=TGNYn#a8jy%? zn>(hnc>#;CAg&}Kh#SOpN$7ca2L&&n;5bK#9*~$~@O*(xd-<-R14NCy5nL2^ ztYnYsV69gSz5WMK4G#_J^vVw;8aiXhlg z0@{B)gCFsslR_i=oA~PUY49^EFqbddT>snO3Zd1k7nvr+vmZPq>#?09$Vl}RrZ;sP zS;u^da5(+A4?V69_tHALupPyW3_lV}?z|utI+Ee=ook|pnwv0w|S zO@G0lD(Y7pY{rN5bigfq6bD=Dkr3)asblw!j+q??9d#ib96x?A(vdv10Zd()j&f95 i3gQLNG!m1cQP~YX^RW_c5K|P)^zVFubN(;r^?w1-H57^f literal 22709 zcmYJbd3+Of{y+YnGsh&InIvt~Ge_^1UbN+Ep|nZ48tBDHNt>4YQbENFZyc&ADu`NW z%F)u0(j@gG0`*9$u26PelGTc4b>pVE>~>vOd!TPzQE9$!fB*b=JTjTgOy_vd`~7-7 zU(e_B4Pmfoqfzktztyg00A`{MwO+aB2^rdO_DQ>;N1tB*Wb)(J zA9X&w{lPg8+}!ily-(b2+9}_DZA;Il%^T*l{nYY@^#|9j6;hl2Q-5L2QDod99LVk%)f=8M1mn7hg9e?B<4DEVo{CmG7iL z5;VG?U@hP21W7ma&J#H^GDPZ3_MrX2035A_Es0t=YNmYW zVl2sRi-@G#HZ7iU4y*!Ill9`j-@w=OrK0kk%dsRF6N0w!&#4c(ej-h)MIW^Fd74AreS0jw#R6|Kd#?`ckaL`8@hdH zo7DjNBx>^Bo#@7G^Bosrd3sJlc$@J<9qrkgSKaTZe@ zcLPpvgJ-AEHgu{;2i}0bXD1kNmpd{c_B3N3!tq;hJPIcT*fz5jh>wds?(sX~sWkAn zHnAJ^Ulz#fZQ_YStR3CDZPJLVF9<@P-R^ccTAb28&>%%`+xZ60IUm3|$x2M3B)HDM z6B`{}4D58=0IxwuH)I{Kx%Vy9_!Z!@Zqx(pXy#UJwbVtQvdPTrbMdfN0Cc zACRIhAb6RdaQq%@%Z%uNzYQh9S>t{BEa4s4Zx8g+6LRXU$J^L z=tnFmK-G`}umX@?8)kuIAJO3-h9jeB_~wGfD*_Aza{-tnumqg*C*WHx!*F=SYW}?g zyIW7=8v{WYG+Xbd@m`!iwguykC4oZ%91U2}=Ok7X7`FZaq_B2}QPX9`79KC`9tyO|2xh44ffnoUF zD2&dcE*u8u(UrH2z(=;hyBmev}vjpO7zwe%NMy3#>z{=2dTjoZaEEHJiLOgU z=kZeacw`m6GJ;yLh^d5=kwenB{gH@!YO2NAw+GCh5c`JZJS_#qw3Cqnj@bw5y(rdq z<$-g`TVfX!Ccr8jv?m zhEbff0=635kBx)XR7mWa2dIC6xY-SW=Qe-_AryuoDW-7?)C`5P*=f|JkIGo_=%pfe zG`eENijNs1H?tB@w=s|bmLhNvlZ9>!1GpZjk4CZLqgHD^k>vd+eFFvgaTMeyVPp!0 z6&1cA5)!+`-cJ=J$DJqjOv1@h$uqN)FobgW)P%gyHjDbin_gd^-{bcBTW;u8__;oj zb`BuKa84Xh;h!zVk`PpxVP*1jqALwFoI`u1c>v;4XFs|Tx!CVKi@q80Yze@wD21f=_*Y}~Yg%yKh%qgh?#S*8C1H5c6!JXSn;3G`BKW`DKZw+{w<@CvD;p5;(T ztA`%{*BEw_N|$?YQvdMviKnbkkq3DW=FQ0jnzO3_7gz?+|1p{E?jLgS~{okW7 z$5vkFtc{68_A{68^OVd%|U zLIRca8CJ&hiMW$`U9tI`4?X+9qktcn4{!_CfS$q%pCJt5 z@gNM+hkDiEm$JCQKheZJn94fC?SKIfw2lnQ<@eJKBQ6;9|Ca*y(}ztiSW*F5%X!@Fqbw{PhgOe@{gW3Z1@kamJqZKOVWrAz zB>-rneC5#7Eidku&1|C2m)1br1oWAp)w>_ZHiMP6 zF|qIOg#OMn0iHKcL(fIn7JY%lUSRaL5CIy_m|?`a0qk|7<9eJYyyPnXLN9P(e2t9+$^9+tgUf*PX)IxS3_f)hRhpcGH{`qh9hyMtAETvqE*b<){< zTyMTugd3a8KQVT54Xpbd`Y#m6%)2P|yekD-X>-iyu$?`|%_|j;p9Y)Ysu9#okC3kk*ETid7#B1g&aH6SvXEnAE6pxE1veexe!Z6pBXmoxHUWp(aKZy|8SO(sX z;Fm3?TAiSuW_Q!PbQ}~*oo*>0uPNASb$unAnKi5T6f(?G^@cL{)Fg&$1Posu854VJ z)Ssbz__#>9l_7D!DY*(tB+fH=Y~q8SLN^TWWl z-nRl%;4~)3R$=rjxaRd%;@K$_d909)?j!ITe4$P3*+MLi&RRDS+fjc-QK+3ruKgEP zG&N<-lY>o|=IlX|E1$r2qyAHCVIEOz9yP;qmU#;|xF_b}hf9ayj2ZgR%_ng#W)0YF znug}fOqJTOWX7$gIu*&$DA9oO{!}Q70G~@u6A1J=aCP^O@{(?6pC$f$Ul`8Zfzb&l zOwEuxOg08ypDhIQ#>MV?F<`?R%q4KP=_8!3QI-f|j}`TXel2IqVkAzGY;yv`txy#j zVPAnp+cX@XeH-XwY_BkdwwY``!P&sIAaEs0oz53PiPPzBP;1nf z&@v5b9aE>Kw9IO>QmZEA-_g>QYQ;6KJ`jMxQ3EJw9sMK8)~YM1Dm8XDrg(x+XN3Y@ zQHALPfnO!nK|i$a0mbg%Fs=Yc;)`0ulVzA*7#ZP>Ey0KBc5?u>1dmIN>a(k{hO;Q@ z6Ei?V)S;{WLB3g1%(H)xV`IbI;+hu08mud~o|rkLl;TCszZX6RcD ztwY89+EO#T>iiFoy@=^ercyrJ>WxY@k#m6a@nnN}0{SoJGm5uB`WbUPz)?00y#@A{ zyWoF^U}WYkkm;TjVo~d!SU`ez=>CYZd}k#}q@l=y802Q(g)y?GpR5N6 z#>w}lfFfMtPZ0@{o}*3+ZRI;xq8koexeMd5XMhIFQeZy<9{&;CxR?P) ztgtp04mUy}{omC7IWE{b0t1)!aG)Xe&0GOyjKKa{I42{Pd3gvS>)q?xr4cmwEzswS1*(zlV5tXMd;*X(g1w$01Q|V+Y=HFvFsfOGVofj`@V=d3 zo7a!>nl%^TjX>`Y&>$n=iwAm-_ZBGh`x?o(*Svmr#EZuB*IlfUs_$awqFVnXo+4b2 zC4P`-JU>V{*BVlPOLzttt!S)!BukmP-Yya~#E zu-ps170~}W!sg}2F_{6>U-rXtrvW7R41ktv^Z}0v-}=i1=n=xN#V$jG_vA|yJxc*) z%roUX8T8J|ho1lif;Spl?RP?9IST7OA8c9lINk0QptS_`Prbvzr@m<}LqNyfEe<;I zA)vues1`Un!gutl+dh)cr*`+UVYuG@PC~n5CJejZN&tK8f)zUKNeFJ;?tW8Y>+Xf! zjuh||14l2y&KJH>Jix%23$S~nn3X*mLnko%89y9mp{!##ReiQgN_-mMC^VsRaxtKu z#iTLxBMj`QfX&_C8IrqsZe6ngJJItd+YMk{mmPLS(fX_b7;dk)kr;a>jy=^Zo`B{x zP_U<}3=29aPUT#Hlfn2`Q4_$DW+-%2@r~^wDV|wwq_SeMIKZsqF`H4>mWv+QZck!C zxnAu~&?mb4q?Iy|l&de&g>&@}>a4kXO4pIAC-%siVe@+HPdZT73_E&r^?19z8Lqtj z`$~r$npdEewq`pjnRE4ZVc1lbttYd?;rn>a_2GmnL@%8Bs|-7k?wm%M=z5Ika!Qha z&qQbC0CdQy9F|>6Cg_{YYsrRAG@@mPfm*hfG=#%fFzwk*M%g)Hx4jER``h1>yB*zO z*voF?H+FYIyTe*QDDGhIIXdC(PRK=JXKxDN!dg7G_BAzqFJ6r*t2+#iPia_efG3;z;3-+#e6lCYfeh~1vpG`~w4C;K9vZUIY}aJYk9@AHPCrxX-< zL`Q~HLSmBDK;63=2))qjVg|D5SY;a;f)_3{VAvyaY!Rj!cthS6vclJ=rX>1E=*uLd zZ7eBiDH?Rgd(~tri;Ag}FvbYdBIA8DKiQvzi^)3a({zd&|B)`iounJrlJCj2olj=* zRu7@+{UjIYK~b-GypGQ9b}VH-vLkTn=zw1xFJ*o(k&Xcxjgl%#`h z=s+W-bBs_I#K$r7yrT13JaaXk8l59^QBCMY2Ym_+Q6#Sp z_@V#bY4kEuN+vBuB+8;`BGX#3L(cvufzDzWwEPRLzi5s@h_?1#++Oa7t07!#o|eq> zh&_1RRycDDuAaHZsgF+r=@_;S)z^VD$1r}8oJNIs@Ju(av3B5wfK%YrXB|rHTU|AZ zY2H%_sF3k_cLBvV$^Hoe`s<Ltk#$2(LP?)KU1DtCozYNg@BZIMg&nz47(8=mi#%>uoOc5pw@St$ST!6Gh)jvS9jBet)rcppeg_Z<`3g&J3TKX_H-va z1=$d6{e(HetV66)=(Ddt5?e<-Wrfom6f6rt8={R6_p2`3-5a4?MKpJ`0ma4|OqzRZYgIdR=G0Y?S zx=A=T*-9o9jt0oYJvv6n*J_!jeCm%0iiZ3&=jq~H?dg_$24_m3f7F^!)hSbkwX&td z&>Z-0f@Hpu3oJ+j7eIE<@_;VUpP#~6-HcHfeN2I=6Y&$tvgdJB)8N`fL-4Ktk*Q~K z8TQf~!}N@e#J`nGmgLF5AeST7aOjj)wv0$0^)Dj;m(*^#h^lq;BHC|q?qKjBy;x^G zNaMdRB>(>c%f*TP`7%3A?4!#^#AC0}vZz{CMoq6H(VHy9?;~hkvbs0QsCw1P zc&l0tzK8{L_`FWXN1Bb$jv#>j9IqXCodct>>75Qrfi;(~PxIJDlw-P^nM$A=?2V#hACxiLl9fDHwg=mVUPNisx-{8d520Oz!g?1ruT$)Kng^C-@Db3x zJWq*(|AG}^812v~satw}HU$*iZZ2a@LL2;9quhsx>=h^_?^PDt#N+uf*-hp{Y*9#b z+(|PElX;qYx2;WdOe^9}zD#33%E3nP?DPZ-T}K*@OTBbvQY1{u1c^q;*@oiT#mR9s zh;Q~RPM$A_)W1s9k_EU*ayJ?0x|@{z318~-K<|GuV!p$Qd@iPolfG88(S_6yCOlV> zzYr!=I1RnRQZf0GC93;}(fhSP%TGF$5XeAmrQUb3 zGa>zsZ-&R)K|=Q|;D+;M69$cSe=hY#5@?ZBnc+}y6Cx{XDvZIAr*Q4y8u~1(2tZ3Y;zwJ4p>$XLdN9`< z3{T3^M}yU@P1LG;XnKB=G= zghIS98dYb-X{I(&T)2Ur8=YaErqulns>J@LxvA5F1p7csUB;5j-jj4A9cpTvfm2g) zbnykTXEVQM6kaSX#Sl+amz-<1w9$tVnyq1Bi;0lpylJ-)J$Y%xdn&~+u?|)K>_2xK zlV^A0KwivGEs=t|@#?lHjK0fAzAU5fmOhKJO4}^F9z&8vP^do-vTv1Yvl6C@fu0q* zv(}cFp)flw$6tx%k9%bCJ#n~RXj@$(F;PjACTdL>wucIqHio8QXqF?PE2{kcczK{xd1na=&6Z@k@luNNxj`Y_mW7bIhaVEpp7gwN?-%^-;4D>(8 z8r+^|as4I#N5$*Tk3sMGKE-Lqi^4k@fLFL%@x=2uw!Ij;jMcERzH{yv9`D8s7T;4q zCd|e5;ot{=mC@CC&>#G^Kz+gjs!zc4zGG5@^F#&4Ge01m1K>Xv7xy?%RReH2&EUyR z)Tk=zO0W#Dkh-C-Dk(iDO15HH1dEqPF!Cpi{XiPa-~6cB5D6tIcaUzOAHkAp@YfRc z01Flj+|d77UqpkX535z-7G4%DqW_Gy8Pi}}<5ybzcC~sUpQa0?E(_A2N07n{LrR&X zw)m+laLy?78tYJEykb)q4vl&xc!qgoC#~)=#K-kKkXgmR->w9z6lNB$?LsBG{!RsDVx4#{%LkbFL< zpMPCEIT(W#WKxDQj#`$5Jt0>qj}}XgE~Sqa?}0JnNV=ShClndyw&dd_gp*3ekrZ&c zxiIl`Hm+F36Qwv2tH7!<_~YFG7y!6kd;m%2jYh0FEZHbMcC~kmYDR3vy*O^nL0Uo`Sr>u6}I_z14HKpaKnK`Z8#Yh7fi68lgUE z282Y(am(;V4vmKC-xS>JWTBeO#EC0LE|R=ReP+8{>-VbcLYri_`?yefYB;8zPpWZR*?j4U-}9xO;M29)2B^jERos z-}%CmeYpfS3H`a0C%D zIn=>gWm8w z=5Q|YYfgdH#~TdgtH2xFVpqUL0?Z%&dI=%09%leFLTn|`t%HPW`QhUB6M}Yj+Yy7nHIF6g4B-W zWPL{mn^7PfKx@q%nhc#CDa?9%M-RxFZQ_-jeJO^1?aaxycLpxe3ybS#00{+z6 zO8hd-qKmOR$S8pw)ni#C*-FN{yYyg|PGz!GX&!$HNgc#hzO^KGVFu)SeeH9>ezL&o zC9$=Le=092A?1mn%m+QINp_Ek)O$Uju?5!g9>O3*isusBvbLRYk0BDU9FUtx$sS-B zn@r{K9~XeODz)^RcvSb$YZBv*q#51Tv=(=N?m;DfTTvu)dgP#LqF(eoSPAw4Y|ok` z_q43k_&W0ygGc4^FNgzeA!y8i{d4~-#ZO{Jl#S0YcP2Gf5V(jawQhXzw6n)W{ z&d0owsyG4+JZmz6AzshN{4+7Dox7vpW8RkUn1G*hut8T3ZY|smEn~2qc{0Z^64+WE z>!KJikSeKFnh>?jJ)5JNj^VL;XyO}rY{Z*ajRd%}6q9{DCKa$0qoZ!evM@F}B;_nc zDC+BIgEwfTS&b!07k)AMH`!f)Jd~`cls3RJDAp@;sT4A~`##)`XajpGJS_XAc%ef? zqDVEOPkR3?ll`__(iNL=yZMS5dpnss(VcAU{Fy+Za>0+rc_rfUQ!?zjv}r;4P80Te z7ELI%)|as4$#6_ts2$qcwH!;wWg;4D9-eNu@2=-MGnPyLCM7ybrG=GTFY@A4&P|Qt zh($qkO7!+12I85vD6&yRB}J44&?RJ%Lv#%16t0gp76EmaOkD&W%`Qf_{(-qj#Qb}X z4tXs=oG=&AO>)^6j9%zoA&=|&F%R?Os!pMVdRUq)JS;61?AFb5>2HfUJ6@mNZ2vdS z+mEDTx98~2tOB&r-jTMPzMLlmxIt*1FfqD0CL{MST^6ITmuBKhb$s&y1XbsRrnKBSz(GEwV!|iXC20 z_y;-SGmgDjqaX2BE;4u#y{h$y^i~8kk+;CnY;a#`0rkk!5WJkasL<+>BT;9xAfv!) zy*H;G(YYqS0T8{!FOH;2f}vf8iaoPHidi^uM*&U-hZcRg+H!RoWb{^vUGF= zw){1<(sI%Ir8J?^<7@d5p+(H^i^qRo~QRu`5S;`HO=>bOF)*;%UPhucay*Igxr-io`B`t8Z7m?K#3hvYd!$*`3k1S$BUNWnwk?6h1Z=sGAj5tsY_Gov&ow>Jh*z7-Vd=Ia* zn^H@FKdq>Y!11pdx zw*OZ1Hd0ROXW%<6@UYyAsZ5emkcYsxkhLm)V8h(brcv1W4s3IMQp$zjR;z}OWl?dO z1I^8__cm;~vJ~L7O#fO3u1IgW8V6Lj-T@CGW6%NE68H@-nvr!3Dc73XpioNG;BH-# zO)zeSW$1vW#ya)sR|~n*@5|Ko^QHBZD34y>KUeZ;Bj>J)qml{iuSW1^sXiw3=XOvb zsPR%ti+>TzzEhgQK9MLXv|67?tfA@?(FQr&w`K=-k0T+!1S9Xo zCI3RMy!zE;Ff_SpOC0g!3id1=*WTRW7Mjn}@qFi5x(qrTrxVqVqinGQcIK96&)oLT z<+`iOxvnCR%|^9Abyy2bN2IXR6ie^2Jd2pjTP$R$eG+}3`}5SsfEhOby05-|Z5gcX zd`;R|TME~|P_I;X^~b5Z9#y{FBpyS)GHB|Qu4+WEY`s_k_Lp9OCIL2=MmfrZa~&SM z{z4fn>&VaAU5dhIQ_WYJ{$@LBDLdlhODzCoRP!C7joIaJXR!jya{V z?v+?khuE_kWOg@qo2AMG)$Hn(39b=K^?F3Po-gLkHf*ao?rsyNQ%ev>@I^9+G3(nW zjsrupJqe$GP?Y8`RQDtDK90rZ0N+A`$AOM?(^{O^fcGy$)QLxL7#$s26g%{hb^cYc z>xm`Ub_>44@+C4Rx&w$AaJM2K#jo2kfp%uD>)Cz+Ww1TF92%Pc) zS|xwVT!VJ_kl(ByOAES=fduO@FyAV6)hG@A;bS1H)xV{MANp(uc!TAc)qwsFt{Doa z@>g%@mkvX(SD%#C;+b#YT9Uv6NV~mw71q{hX)VC6#;JO9;teB~tE+K!!ew`BEgEYV zuZVq4XFiB?Zl-~lppq@#p>GL3t3-nARQ!+h+N&bw9;!i_q_q}lk{4Y_!;~!rD%sX~ z8mqSkPqFaSDxjeV9&=>HQjV<4?je_myRBD|9@&3{DCtLT89d(gc`doc!Xv+nPPREs zo8$G2@a(z*1wIPmTsiY4W}5iFZ0R~FZ+(YXT&t2zOATIcR+Yy4l9mgTs`=36>*29; zxZdNkfR?KWAqUoKt6D`z_((Zu)Fya(l25^_K3EY%2DJT*G2pV67sA%&vfpW~lWe-b zbeobm${H#zZpdN_09cDCuFIo`>J@L7>Vy6@K;wO%UptIkehdrbD79UIFJ6T9FQM(F zZ2%WvKomQo6Au2&{GSWj{qUd_+FyUaj2E8L8hl?V+27+z;D2!f%54ElW&_xnpsU5J zf0H}s6J&Mjz?gO&xd1lR;Y9N7zev+x{#jm4eIiY9+`TNZch$1gZj^R`lwQv@BEEfk zB9fCKnj<+HdnAV|NeAho`6(L1nAE{53U+&(w9R%4+HO5p&2|czzgH2HlUI0li6Itg z={-@xaM}M5uLu%)ufXcFE6Pu?AkTo1DuU2KWLk6nrY=H`wXgZ?ieLlHmA+fU4PW9d zC5VT8Z524KXe(o2ErsWT>8x54ykP#(@DwzcFtDlg0?PlcBB1zVB7b44A@xEWw{aXf zyT+|;Eehm5b$J8>?@6d<7XU1FY5^cV1{+F{qTwvROVWnSYAq#I{yADWR0DS1+rH_?ARP6I7N_a%Qp93*13WsEgE^x9JJ=6 zuF}$DE69PkN~GjqW*k4XLhP?oqkt6$6vGxLz5K0d7O8bfu#MS*V zi;HYnkvA4eR$}!ANwQKu;gY2oJ-%e+(uh(#bB|Qgw2u5EhTXdf4bTCe1gqt^O<8zd zX;WrhLFQ9i#NCcO62^>wOIwU0c|(6FPLZ8f(318c&c*|3MHIIGup%7>jW zSP$!4Pwh}S@2S*`hK)vd@cFEztuRpgf+V}8wuvpC61xv^;E3MPcJ-UZ^i93GD~3Uc zGx3EFI2dVxe%tEBOX1LP`0}DOHnc$V3^xk{Gm!h$n3mmowNwcbmz;?Sq44)+3~U(^ zWO!HWQ``jl{eRcHv-$AZv{c~;uAhn|Tk~w=7s~Jt((px@W$rr>ADuL%pY!tAEe3uX zQ@*qRS~8Mvgh?bn^p<3l^g7RMifcH7%+1zU6z$z2E=rq~40da4WxXi>lus&jbi41D z>_|dh?j!)3a`rd` zlnotsnlP|VRT%AE4k-3B-oPK43%q6@0I`BpPkeuH*&n$^|u|d+VIk!QcY0 zD0u<2UL2z_8slIRR?f5G0*njG%>}5&F|I?VX71* z1fBm#71)7*C(nfcM$F^H9&gN}Fjxy&CcII`asN!N6QE)wb29*mO4A5b1REC$NOc^w zsC9^tYG@U^q5sEZ!quiady|_FEhB5=k{=!d;Nz9VsWvcAk+uEd!)=I0RP|Mt>1U%u zB>0}!o~=rjUB-(M-6(8Na`h&*`GU|J9FtX}KvA1qsv02ly3rTgekTTo>B5=WnPe?D zwE)-8hS;0}+Z2@QSvJl@|FW5!3+jrcN3KclB0V$KXTB=MB(*mI5YVUkY$1T>k&9{ov+BfKCx=GzRmV&>J0(S|^$}BlA{dD*WMUW82hQ%GkDDWms;C>du3Y=9#hLWYvTsvyMT`=Oe8N zh2HWe6Sj@kGE1F8OWY&m99z0QUSKF75~(R?X#%5F3SsjzQ5js1AA&l@tfH8bk=S zqH>XSppLw{q#){8L9tputC|f-xX@gTd`n*ACN*C!AXVAP1JNwC{X;3M$$k$Ut>f9zhUG?=cxF5UF?g9b^mnC{mG7)MTbDF( zwyqhmZMOacoMr;sFWJMST=ig5u%FmbMa3-SET58?bpH|<^1e4OD`;N0pOx-6Ad0C+ zx}Uy|Yp(Ck=V}fSyU`SsA45!FMLGL@_`Nz1zdxJhTviqLqpWrsws1BJCX;8ijNNTW z67Dvb!_YFlcl9wCorL4l(EIVvc(QU0X10Z_%wKjE!IZ1;K&c%`=H(yS$kihEz%m7!tPPZVzf`QQ*9q-+B>J(h^NV2FL$F^2-cHn`op&$ z2h_jYhy{i7*53|)-9~6YMl)OzZ*cZx5=qUL8s7SKn_`(f$9~{!-r@usck(v`_f;89NvryX{q`^_GKHBvhmf$$5O>RD_mEGM&uOKw*!3H_M&}2tg`bk3- z5|2K*RYcxWFayQvl2C@hGU{m7mOiFL*7ly_<=IVj=Nb`<E25Ty`cyQ;g=PU==#sPZ12xtThulV&psBS-ci5u-LWdu{Fa zlBzoKcz7Et|2i>)yLG8bHYPpH-GYZXRM5@L!z(}FtUu?Ypmu$b^w6@8x`@t??Pxuw?)I z9c_65c)s!LB>hP63kCgD8Q;>4Z5)mu3(0heGT!}D=hIw>K~Fdx7YF!oM^?gM!8*i_ z#RDxD4>aR$lACmsYhqCLdr|HMnf3oDw% zo)_1o+0928x_s?|1Ez4cc>gqlWHx~jSLgVGFGaD zae7&@!He9Npbluj;roCE=j7()98*Q7n=MlbToY+x8AoR#e@avjtR=uxtJ$f< zWTK#0*YSLAiq6`Ro2avjx%=9=Zn)xQ5zTk0dDX06abRT<+mVYI%k0kFSmya$uK8Dm zq$5||{nnBA?z?iNFXdv3Rh<28o;;FE-TydNF*m=tS1RYjuagO9Ud+wvw7;0U&MmZw zr#f@h$5&#S;|qwV;bBD2+7Ve_(>pcQH$CN^oo)F`8Y$~sTZJTSre+IxhpnEThEab7 zYkdrFoI-x3S?QC=V7Pa73Qo_$==aI`sgScnKRf+pDmbD|^%T#?YtR&wRD>k9#?y;P zBqAOAKwC65i6+@)-(;68(oClTeth{#q`J>Ubs%xJ8mu2j>_fEtDecr!fXwjiQ`2bD z&1QhBC(=L?V+Qlb#beoa!&Kz)8dirY<`80rj?^0A?ngBnj?vF) zH=vv~8L@80h-Mcu^Oh`DC`C0rFxnepm)wZzHWpfbHs`f76$WfO!5!_|S7m{LUsG#pMq&G}4id`* zXS$Hgf-GhuFt|B)e;^F+pb1QW*{4YG_zm31WcBl51Q)}wwHC3VV>wkYAcTE6D+0(@ z`><@@i0JsitPh7jX2@Ta>K-eQy}yxTvY3M5wij15pa}ZN_D9<3`I7raP%odl#TbMF zVdmG05z7@g8$cd(So_KM+w^{aP`USmSrocq%TooW7QfgXH7xLpCl@88yN6k`z9q1V zEc6F9*b?R;>p(7}!v4$Phe$;$3l1gZd3;YS0cg%qnbatF781N~K_a$&iDV=u$@ADQ zJ@l^4Pvk*JFjj3sC5) zz^q6tvoHm_wgr%d>VkQGrsQ)Cio~zX$uI?~(&@eWjh0~sR#>j4CY%+v7y_d&?ntn1 z!RLP|I?{kJVzxp{8X!MRDuRC>d3#R5moGhx=?@0qrcH=ZwiYR98~zR=mIv+dOBZZD zI7orx>6Zpw@L&gg-2;b*%TILij=mU8ch4^7Asgl_B*+5HzgRbF#uKoIY_S7oocMNg zfgqG|6-Z*!;vl~`&F3Wy#J2@1@An0=>jlIwFYwfS60BT;oZ+?czTQ*}#~(y=f+qld ze_cvvROv?p+sQo7P(~GU1s~fwA3U&GUmkq5Fy?$+4bl!rZ(-EC*kQj9n#-+F`21<0 zFSXn;7y?06g4~CJ7jf<7Q<=06GXz|ZJ(Fh{v2Vd$fPd*@vIyCzcj1YFq*VQvFRAc? zz+Zy6;h;UXFxLJ`B^|^^20NhjC1^j07>JMY;g%NY3B%?=Xg%1otEA6)>Ig_YRX}i~ zS(TYV|G$@l$G5XT)yDJr@+6j3sknSrMf~zm?2WorsvEyN#Kx@vG^?V6SVsPh@GjYC2JJR`;?XQ{S4C%G(6TQJS6#esYy7}_84YM& zMksiH484n-w^lq}-iO3}TV-P+lP*D#^W&Mjs48T;Vjjv#p7}c4#<`H)Ep2y(Vt6Kj z=9&}@Icc+_aE*TQ`@ddM;8!#`a_FiJzy`J)&*Uy7!9b1E|uT4z#O-;DRL&$lbMFf&Gm^Eh~sw>)1 zLnPWj=3#7*$cTwFXQ;+(i8WT(HgPRx(~N9VDfWDPC~b^7LV@=P@Eu+~ISz%nTk(I~ zt?r2>)IG2A{Mg&Ea|N-tE8b`eDK~Ox3d7Q|F%i38vWb-`$3&u>TBd9h=^O{K_rbYs z;=sx0v6WQmm?-ti49-E6%?H<0YU~HA8hhfwxoGBA_FQAk7+F$?0nqssPAlafCxZB2G1h_vf%{lO^2KS#K^FYJn$i@xUgQiJD)KXUhwZ2BOP|>7_Z`5Rq1MWmXXiVt; z4zQUEs}c7?X}1~bmFqC@Dp0j$bz(juys9kcE+eVp^jWyBv}STy2e9$$~t_+3_VZ#;fsDR(+8e!k}-)0dPS z$y7XRM$_rez?QPFVj7UDJhDi&O8zcEH);%%p~?B0a8y$79-9zPq@{pIlsHSMPJL^` zSELwYLc7-SQ?W@vOHg0g=*^F%IbDeoo$KDm(!erY=lbVz1-T4ozgcdgOUl@9m(x1y zH_JObx4W4KVxQWGR&5Q2QvFtX}JZ{#Ujomyb#xXc~sA z(5%~IhX8!SFyTyIq&vF|Cl4U6*XxLIh}5Ho#JQ8pH$r!QeY_afcDUo1Jn;r=CfP zQQdh4y^Iv{*%Z}OLkjzBio^hC@5>?&q{L3&zYjl+VkQECKZY9S4mm4U?!O3V(han1DVMY3?bU8hfG|qH z*Q|Q{TL(y}OAS4j!n%5uY+rA5ht7|1z1sUtSwSRZ+E4cN8U*Lj4#cI9D{16JCBNyE z{$@!S8y^H8nfSJ+1;u`~!8ZB-N;vnpCa$!PpEEO=$z+mDZV*BUlZ0?9mP80D7X=|G z5KxqaVi2)jplDTUy|r2|O=H2kU9Er@D2NciON;5clG^qay4@yy)w=Ftys+(-u3Kxt zb*i=c>U;XWf8@+bJ|~ySoSAvf^ZdTQS#((E-s#+}0xZ&Gv-L{2fnEv8zTMNrFU=WRMA?$ z8UctW%lPq9xuR=s55a1&ZzIS^h`qckhLz5eqd^@*J%TSY5ToAFnF>8qWh4VnTFglOjrc!f*f6#pbZc^+)olICA0h)D$ZZYSb6HXib){P0`kkEKB7y;Oi z-UUdH->6sH6KLJ;3_I{8VyEu2kL>H?!(wr;0 zA3&@mx!=a`qo0C!f52iCuUUxnaOPmvzZ5_dNHxMMAS#%o1qryyKiMe$VTV3oyS&gm zJUnRyNNDh<8{vGQt9Vz7PSNh2`9^V^5ZH{W^NCU*f^lbq$F@LzBAaN8DC;@z4HoY? zq;jsBB)vt7l5k^hPuB#oS&X1?_@0g)ea##S?bG4l^fW_{e;vk#tp83_hVRnk|Jma zf_;>1I2&KveUcwI$5(8Vi|;Q~xN;HvUg^KTP&ssep}{}o5oz;3oE~1hxqUYB8JK^5 zOJwR`urlHerL!qQNo8$Bd(=We%(zrK{5sm*W{uz-5@9>pC>G9DfaQo@3G^_nLx9bz zx#kU_v4AWV=b}|^@!!lsWLvPBFjNkT|3J1-+N!xVr9lLS*|b8_jovF#?B4~V+_?q* zU?`N8V%hC(*+9ha*vY*^z9vZM?hJo5HsA|?)iU3GM4+J9T)9llR=IgGW^9gYIMd1=RG5a_7ZNSWqsG(}E4LT(E^OL{L|Y6l4p5eGsK+ zN?1r#f66{;NB5&g?P#ZdZ$gjS4PY7pAGZtg(C+$&K(-iVayZHS!eU|OZ zDQxj>A;%Q$e(U#U0W~pGYSlGL_cMgk1^O{kMq(zgKRX0`gjpNI07@4z- zV}6C0p=_|wrs+fyIM3!TuCvI-Us=Dtjau(rPoii{kAD^KM#cmp6t+->@d_$8&LHl) z(kS1wI|;FopG}Dc;lTpr3UK9SPVA;L4pPoI$Xe>F5HG8VrebS9i>Bn_VNhFN)iLICS7U~y20LG4$Iz*@d$6JJxy)al?)kWlP` zt9BW@n?^A=a!RSG;ZMq_x#r93?+odb?Uyxp9U~7kX=AT}j$7akl1brt}>??{?@}`c> zPNWc&o|%}%F$X#{NSSh=qndf*kR0m}ZTliQ&~Y_Pej64g_YB-Ii*l>w1OHOfo2_&C zq5|K^sr<(Xh4dIfO4J-#$4>|***1!l5qnVqdL#vbeQ>y8WN$0O0b zL?iz|6BZvB%=i;k(lmPz5rbA41X)MJUAra=bJa+G@v}eO9_?LJXUaxYMj@^sbELN^w^hhzVkge^eQ-|>Oi zgUN%JEk$l#=HdNk`2D6L0mHn<%NqFo624k!WzLQxQEq_0T*;3=c>|I$(fTvLl!rH* zwejOfCzcOlWKFFUP3dMtoh&ITX6xp{rb2Yl$p#jB7(c~+p$>Z)rcs%$xA>6?uhOdz2xjqb)TC{gY?&cf`C<)SDlsziLAv`qpKD;FWZNt z&q!p|88`*t4+~5AmHzcyBuR$z=X7%ONA;><$?TFmBSJ?f>^{ve{;10>}pJVPiTd1?*8{ zu3%OU)@l@P*gy_NiCc}tEPceWc#G^>URXk)VJs32(a`sS+2?$(M#Q=H)fmXH5P~~I zQRd04cBq+SG(%eUKO{aAQRB9+J4BZ+gb@?+3YoVkUIouJIPyWWg!7P(ZU4kLdYJA$WM2 zeytL}!OB|^3y;Z+PJhWvfsQ4;t7tGwbYm5igeAyjYiY&6(+QQ&pYfMiya+xok@-$U z=AumKfKtvrEs12uJX-d1#|j}+Pkio(=p0!^FUI8d7a7Boz}ki4#Te$K=kK)h)_IFD z>Z&7=cRbmgmg+uq%dvz{E91+~Qgw3M7DDa#)?qs5iDncP*QK&NrF3pyD(|trCKI4D z%i6zb3-%z6Ek-_4{qII6R5Xq%WzKZH+EYZ6=4k92hj<7FpU|m|eB(0@!gk(1N7`s| zBe3wJ&mPv!x#Qs%{PMF&bk!kPbe#O-hpe48mi6{{dwM@IV(nUv3?-pBWrKxOxY8lI zcC4{{1@_YHIty;(2fj#VH&}2(&|lp_Z?IsBS%AaMy@M+)7vidwprlE+vqr)Xl2kB+)YYHA?@8H0@O}r?$BrAL{mA#*|7Y(?dWD>1HZW*fq{v3)#AG4bm#bI5EF$jK35}51QYbnAg*R>QK zdZ5u)Qrz%a0(&(@%D%h=r>~}n|BmGj4Dwl7lISkMQF9}Mnbg0=`3<;x;FyFE7RKCg0?<_+9dDUY9JlzPdXQA+xD_DTr&k1~wz<7y!}u4c-v$70Q$0mTKYW3r)kKv=*?6FbTl6qijVy0Qwdq+{t-`)Dr ztK_mPrPZT+#h!;V6=R>BiE65zhJW|Dus|)9FJ=1(6)OqdrNF2IG38%bKguM336jm* zz)i5A!^Zap=EV|@Jj3y+39%T1VfS%n(JZ+=Qv~`5Xey53`yV_M{@f+(TJtjBd5`bC zcxk)3tB;s-8@^ZmSaLVSc*1OrLzhdw!BP;o~=vn?O8!Md{RFD z#`cL~V;)qh+ZT-TCk1?3h(}2cY~n-Z5f>CqjMk5jXQ^XuS0#dMbhkI=5kh4ZR8xvf zHKnFX)$B`Hl)yHOCdC#N_z%Gr4JTqtSHc;?37*Eo5G8k-iFBFkfrUtZME6Q|%MkJN zoS)Zp+m06wBMYZ__F=R#96vWVjjiZK9u?#JS;dbZ5c7Q~L-V8XLjlCGlHrKMg;<0? zBMl@|=6N&+Fj$Yn?@qDP09En0fbVWZ{!{}9V;FP3FuQ>FH4I@G_Rsg@d}YVig6~%r zATN;4h>7Bsc!jHPouL!BhH>xL{-L7ywTt*n{(m6Bq8q zGG}(hTSaaH|C!t_#-2$KEZ4P9EbrPRehotoZbEP8kMSTKKtg1oMR#U_pDcnui_arFs{n~0FeX|yDwBP5zL$EA>vgkxJL;TI!8+eBr1^L#ia zL82#gAiGupMOXGVBJ@M<`A&Cxv%?^A?yd%LKqFe;K-`v!=Drlu0gEAW9uk5va9Dy( zw!kA&n8^x}6`n7eD2Lc}y%OJwQdhU6a3R#RA7x*1xsSWMcSE?1RpL(oc=!p7W{qbm o7s7l%w^hpe5e-SprOKn#Ec}{)Zvty86QZT;>NLFc|9oQq7p!{#Z~y=R diff --git a/data/sprites/xrick02.lz4 b/data/sprites/xrick02.lz4 index 4115b6339741150737c432893dd12d588784cc84..412396ca58890027696c75042b85816ab8359277 100644 GIT binary patch literal 22647 zcmX_o30zd=8u#;_ZRX4wm~&{*BLCfss?Fv}E?Frx8_xpaI&S8eL&3oSWd7tP1 z{Qu7jZF5K6oPJ3OUjnm6s>to^zB3Yv=dEO~C_Gk5=O zWBt~@J|@>cwfBjme>t_|wMQ=2ezE4B#d(3VK)NZfXOI-=BO7c>Xupf6eM=l68EW%)FJByD1-8u2~gD zX8fJWw|HLeGy$3dryI$RW8|!S`Is53U0?>OU4q^1Z>4#J+)bSZco64C|)_Zao#Uvs0|qMH>)>LAhjmIk=D1;a8D=h{hsugw;B8T{$Gzp zkRD6Z%}1th=dWMiwtlm+?0zy}R@&l+WF7F0Ei(AIWVpqj++8c^_W?1KzwIcmE)PU%->g@cK#o#&R7%9273cZ>&#+z3cBM z*R02HOvb@N5B_oeG|+{SOgx%oKB26t0?oH_#}W$8a}o4u_58Ck{V>I3 za*}@`itzt&>W4@|dnmnT50yvyLZ&XFLKHz4qMSCF{wo#V_*ZI@*u)n_#9GLQ zcwqsWZ36>}*SpHETY&B!yDaPcFKXbak<0RnWZ>JbQDU1J-O`4WejFIV13`}m z2TtNZ0GIf3H@!e+(B3zxrHjSR1bPe<^` z2tG^hKy9J@ESYC%HkiWeHVP7RlU^gr%r$F;Fp69V zrXh(<w1sg)6wC-c|6|Pw&Q3GM=NTxnkN8I{Yjm+erhD?@2 zs!3M82<4Mm(KbUAJ6xPg*C=-^LaT`#pEfT-x-05Q3Oz(gnrEqL$}hVq+D|b~q}w1& zY9AtYlPAg@G@GL#cc$a{J=COuO4Cv23`@K+<@aBwt!a(fno=cC?%2Q?G#j{h{$bOv zVQw-nfp5!xoLbdE=4Hn&iYj6qX>H%o;pIqIZ2VQEzNXij8_7M|Bf?jIFSlQ_zD7$_ zQg|el!|FoP4?V;(nq7*kbd?{z5vpA>_+R`+fDg2icoId4pJ_#1W+bA3a%fqz_K!~8 zn*>koeJf3Ibry%neyr6c0u!esLQHcaq?Vjb1hJs>Y_W#|azGQ`mk4p34s>mlT|yUC zX|#-<30r@Qzs1WPpK6)!Xp{(>X^o7oSv#mnv?LH{3n&lih<6sO(OWukUq9~6?F#>v z>dnP1{kfY?g2jWo1GvARhJrra?KD9?_9-4;ctUTk4$^RcU_R{X?#2Nx?j??9O9*#& zhp-Y4R5|p9a9@DfiVyeoNdOLj7a2pY9gqW-VxJE??Et%Uv%0$lJLF z>{|ty0qma&cX#&_Tl7RjVqah?SbO^tV42V7!8ubw>>dPrjxPbM0q?^)eJBi;5UUh~ zJv!YFbi{Ww#)|toad78o%Wj#HSy^4kE?_3*_Ij!^pE!1v8q!sMjT%JF!&GH}%%g+T z;9J1*K|B$}!Hf9f1fIlaBdZU|hy6$6pz=JLC?37wMI*kQ%+tiutdz_hMHC zt_V(t>Z9b?;q|Kc!*f9U)bACzoJ5)8c#e7z;4v+Aoj`zka?5%^?^EeM`Ea~Z@_qv* zZxJmWRfF^BTWSA;HNU&DdvXIZG<&5s$*XvMt9Eh{f7BrHg1QpPZGOK9>3)Bg8lt-j zE!D(p`<3|;>_d|J4bG^(-)i%Bf6f`Y-{q(iHDipI>ZucLZ0wVt;Q=oWdUl>Z~<<=e$+wOp$5bp6} z63#cxLl&i-#233p;-*77=U2CZNj(bEaVw-B_XnaNGH^=BxWzXf)Jd$GI7W+2L@{By zjVO9*)2hW`vxo~M{_=zxq!Uh*DazeDz@XZQL{%qj(D4LdwK+{v#|((-IE>gZb^-Fr z2Y+IgG|IlW()^rF@%{<5DN>Vs;1KDSBcFk_jkmIPrR7^Xy~iu`vVNthNp9IKAN3#J zh$OO+e)EZH$#;>G8h@h~^!TuMBPwc%mR0YnnbSsz&jg7dU?rZSL4=r2@-XUs_DWt> z6GKL%_c_1ffOusiifH$?Ii1S>^{f1<2PmrMlSp_NZd0FS{>)`w&}VESFpFj}+T}MD|c977Q(aY@gFon;s?Hv2Hq_tSAyt zBec!eSjR}d&7k+iLk9LOZvx3z1v&jP*@ymtEXR95@)m;V-4RCa@0qT#Dt82#LgJ!w zJE2Nh-Y*}?0{yxykPc^oNVdxL1cK%rhmOcM0-67JRbha(QRe4>yjv#L6Yz7l!O8jg zgH$^2KcRspK|VpOtVtH4IATTUW14hloBw1J7)}mIdf{jE)Nc9kA!2d9Wpp|j+7T-K zsN&0JDhd7!G<`tPih;sn6$x*a##A2dfCyDrg3W*YLke;j*iMY>jVQD(F_P(wq^sD} zx6&R^$K>a3N}?6y9FoypD%;rDU8;z&Gb*$9AyvHco+<|AgZe%4@q+pkC4lZjDq^GA zteBftd85-snU3IyEgpY{Art9CDw1sC@lR>_7Vkr(m0hAr-*rk|ptQ-#hm=tr!O~Lr zy`=rS<-naBL=muXeX8WWYOW*@{WSliq0%fPzaY>i;W#Iq6akU@X>5)q7IW^V4vPx) zu!fEyO6qu=S>hvuab$t2CV-nrkea)nvN!Th{wb1m^vEhd-#|OtWd32s(72q-ZIioh z5$CHKqF|B$f@zSh`NKAK$JmJHr2aN3T8Z|CtC)07Qs0kE>NT{cNtL1almy*Ia=&)D zT~-$;?YwJi?OQ+?|r&;1Q+NCZwT}kiRfu#P&)KxtamUVXYvMhWLI? zlEh(xfx8fFX49o;PdjQ<`YrMSVwr|_XfbpLvP+gaB(`n>Tfg`}4fLTcjq*_v@|(6A z?NaZH>IH^AxjjcCp{YRCA&ApZAG5ofBy2HbpXD8jj#E>4+=4bFpQzRvP_=fJMrIzN z*^|KBZuynVQLzx&xka52?Y&9a{GExAF36qj z6xxGql4m(WMvl8z{Zz<<`+Kp}|237jTlRiMF7tO zC}Li3o3!JPXVraA@$vlk)C|>sN&HJ?)T2}e_O`bn!-4flET(G6z=g0xPL|qc0d$Bp z{SwaY4|!0S!+&T8qW{ELNf^)+D$(-M(@biA{}`3aRPnk8nV3HkJ4>z--Ta1?d61Eg z%|h(%nMg+!okns;GceOYVt3B8uup_nD;A5~(G#7~E>oA;MLmsj=lDz}1=cq85|0Ao z2^pdcDX<_{lsoU$&Jkqp0TZm5R+&qT#SkID29PO5N+;(s_kf-$1ihsY)TuFaA%qvl zs8+%1=pJlIPc_YpZt|EQp5)PB$CkmFse)&Jw6REO>sHPo`b&!28$ms*jX(#qj89F) zfUTwRg$TMtV6sT+7PXf5%iwR*0LhTsR_UO_OwUuZuLuT`&u4YZZDX`<3b66LQ$S;A zf9#K4unlxafim?Th4}8HpjGb;QzeA+HZ<*;buQvew61}PXdpC#S?TFnHDWP{e=&iN zX7a4JR~1{mzB`pS%OsH%Wzw} zaz7(>ozd$LR55R+A^8xoUyJPX?~kD)_Ww1#z=L}%ksQ^>P|tAs16+g^nHyEr1jIUf z=8Dz1BoMYv)xg&#iWm4F!grDgA(2Qh|0@wibCIfNG9*^y(pkTd21X%GkBG7?ZjDk=T6d@O~_AdQze0vMl$dz(b^RQ@BJ z=>M~`GGHN)V-NR`(rcDm{NC+4gZH#bLXnZ|yHb^aC2#15a08Rd8JJ~ua4?dJ%+EFz z=#WvfjzVujdYjxC82HM#2Z*~FSD8p(a z2}4~isvWf4P8>3~EZ(ZLJgGLIKqh?1!6z*7Qfwd-t>LKcEIXBPVaXEutA(@b^Lx#> ze~lD0a;v|sRsBSx+$La4_USO= zvr=2XQ<3^tok`Zc#_;ituQ6%#`^I>4km2ZhgJFMmqPRaDWF3#vX|vnpBk92WTLbGNZ0$WW)w*{XC!=t> zJUV6%@yyPCMUpNt1fZ%R{A-Qw5;KE%uxXIhBzOLcVZY#w!VshSfD!#2#OE)KLR06c zBo=;A+i}xRVd}obtytBG)!Txf}|!}3U+$m0%KrCfGL+6`z4! z-mxgO1jOM+*n9Oh_MOE3jL;GoAGnIWC$Tdbd-vi(=NYY0G@sFC<@#1VLo+Yfr1tii z#`ZHR)Njt_3QJA}}!!H!y35A=)F(n+Waloa`y3Z3pSf^Q|@BU=v~Ye@@Bd9AR5|7Y!;i-vB8_WX~As4 z18C#IQ0sxk_;l6Fze^ zxIAhBGbnc)w`Ata>>89X8f>3iPWDjlG~FF@_4FC~&>+DilcTt7+)S?D4h{Z+(sZi9>F?D9UEH*(Rm&r^fMD@I{z~h z@B5jds3lb5+5G1$67wHbrLPnBD@Go98CWD$^#yRC`!g^cs)V6KIN62mz1VKYu9E&J z$+beV1(Y8d$`K_cOpywjU7^rt87vbc239jxzw=`zsW9gZH^h)+3xAn{opZ5k!6A;z zK^w=fw`A{|6gx}7=`v$SM?*T9#f05BAKWdc-&H?}bwZ?uja=yi^b)Pen ztt7Ov`@(yK%<#I_ggtfEiwC+%>Kw;v*0K4W*pZVCmABu=16QqZ6(?ulz0MFGcn=TW z?nZIdgkA6}k;tHIiqJDl=t3SWLPDG!_l1(YRka94GeQEpTTLl?vj-F0?ZvfSnhy%ekIeIyiy7`#z;q zJJHroJa-tkC<@~8Rd>4yVc|^JiyYl&S8{%Gd#{slzW7247!J@3eS#BxHDL3)V2W)( zIgL1fLjIt9Fmw`Cy{F)-w-q$*Ou)%r@>uviFTOp{jqFq(?<92m7e*5^o1?oC{Wzj( zDeh7IQeQtVRd#BrRdjK8A8vUGiOPG(YHwaiXYS1v7SWPR%ZQ#2rf_$V^lV&Z3x%Gd zO~gE(3_z<)TxfWFA(KmWTbLV`pEFAmD3&~^9GL% z7c+2+#S`OrVzM}Q(`kaxC&q+g^8d#y``DNf2-2SzVL%LhmPOJf6Qp_Y@Y&cc`1UX! z83>ilu}zGcN!!M5&X@xhs2maS$f-=wk6563Z~)&P;Xph!8;Au>SU?&k#0&@EjHNSx zK$6h`a%0aHpgJIFa`IKMjZOX&RR4hWgv<>U3w=L3bJ%TFxqMy=RrC+*PZxB%ag2y;)Syo=en|{UY6A)u+u{=+g0YgD#4otM)IcL zS1OsZ@8IbLaIlBCEiXX<`<(nXzJM5);{7@T)-lo$?{elqL_<|rE{~FkN`h2rj?&yySV8LFC=v?jqB0a^JOG>^lJ&& z!cOyHLAZ>d6sU(yiC*&|m@Ubz$qDyhOAl_5Iz_{=d$rQBSgWaPiR95mSOAhT-7^(S};mj$uQB?uI4gnL}BkbsAiAwwA+*c`hK z`21nHB?0aWxvYHqnT3i9xv>%6coqUF3` zIv&r9zPDyrdy^kjPbskNA^qt?*R-f_d`k_Ew~YpsaS}!cgM{~SUwKxf zG9>0nlZn|+=w^U(B4s5x+if7fphlDBm?MK|9a;mS8QKbi8V}KFLJ>e%u%i!x;iwU`5Bw2UANWJ=AR?#n zb%(zr9pXFEjg%_M7(6~b=TBm9cSx`+zDIO-4-(Kc5UQn93$xFrMLan&q6`eI@&}%T z1!ehifKz8OG8F63JQCaPcSb3v(%>>vRTjc!uJ7nKM+UKb1P@;E;EQfHle9erb{-{` zLTF}JDj}{@VF}d*qPvRHWP=!}n6VAJh>^KnflS-j(7URRF+4$Dgk_(IgtU>MXR$VE zbmRem`{;Cn@j{uV;gHCEhO8s*m$W+vhp?Lr*vNfJFS!TggYpq&ASkye<_-Cb?7e3F z$Y~u3{%N6gbofF_>cx?>$WT?<-{$phqqpXBmP0byaVD91pWRNBSEX6*JdfyUv(SH| z_4)seHu_Ig*_c4yRDE++yuHNx(d>pmGjivO+RbcaH&Y&%aSJRFR-|wn~lFmgSl`R6Q1;CYMFYPNN zM%pRBeTT{A3$kZ`S(yQaB&6n4z_g0sr3^42=rr3Osoe@`yX7Mfa^|kpD&}Vsmr7*o z)=pehlDnw@S#}VGNxhJXQ0F-ajTa`pMJ)y?v(U^&N)%$H=BF7>FVV7bj$igQsM#2a z^CzKK)%S#Ob~m1TX$GAsrR|jut!JhFmFB4q=Wcy=iF`1XN*i#6KGr8VvxA^7^|0c= zBD2G%Y|1k1S99Y2P{iKBNt{g78E0q-W4#@m)L6Z~R5mr+TVs5ij)6>JB^zAjl@`#3)VOTFFL=dI9C%PkSU z8jVvcADYk?`0#uEYc$j-$HjuxsYF|kzz--VfuZP)1C^*X{((0P^wW+?BE!@t>3 z4x!j$FrQOE!1#j&v$o9pz8pt8hsfcCS^drcl=4pp~0ZHhPj@i-+8Jcsv8l zCoX7Sxe&xR$?Gb1@-2Y3XM5%L!*rbYC}ZYMft#(x@ROvPy`-1jLQa1DmbNjgG{hskf2jM+_v!aaFlccoY{lr-43UF3=?imLjX-nqO{n`NAPS zG(~G%;&!#U-Sb)hZkbcuLqt+xx_ET!4kU;X$Nm$>ZBbK?(7nMSlCKN}33+>bdrrjh zOcnaCRnNRjM;+^rg`=Y6{(^#Q;=L7RM2quzXs6GA^xK@sqY>Jwp&>jpylRRea1>NV zfqpk59}fnxYJTk`c1@CxQ385M^X4Fts)G1Z1#wRv9eq&114DRtPzaT2#NfT?E!U6- zSCCWO9z1kJLkPY3O!^^z>msR|#4P_2BSal}x$thqB_CAWiDFL(UviQAk?<1qs~vvL zvy7zaqfJmdm!5){zi2qUM)wwqxW^S#E)1_apwpKe(5Zgos6v)fAyCht{>d{7a-*2m zWmF>2=X7FODi}@}$#$6RjZ33uvhf{_c~mkP`?$4N2-ImFI*7QpX>st;NHO?8-pnC) zbzDQxg>Sp?;6+R9cW_ZYgx#%pVt^PTF1vYe*sH{r2AlElC=QNSSd4=e7SUbE{BP8S zM+fonC46`syT>anToRQg;ET7S=HRixkHhB-%LmN>dWyRqZY>L@7^T6SO8dxXPpe>h zmUW;Nv#IXU4~!9amkkjAyQ0V%^Sx&1@V;Qx@b_|x;Vad7CUf$7ReCL{B(Nb8MN zq$O@w55w#+f|G_9vQmaz&*oW&2kqQ~VK*)-FfJ%_$!&Y5O8Jv^}W>_*zX6)d< zxa9I-j{pn78XUO{Ddj^MkmefnTnkHal|@1UDSaqos@b}HT}G;DlJ&aUT#C|MLT1*} zl!YK2@-nG3srC+&imnLZ5|=^6qFKhx&7CCYE;XrGvGjXJQWk;E mQbiZ*b$#Xe| zytA8eDVcZ8^MNp6sywARln>k*tPs#-Q*ll~@Lc71i;DUdqDo>_F?(ZGvWr9;a!F@C zReJqas%-s)Ci$%YTbE660(1T>MJ#oRnu^D!goOb0J;IBf^^Wmhf=NG zy?)JC{v7h~F3v)zBAGpOY5Hu!thud;*R0JdHd+PQ4iXq=>+kjU6Jl)tj_J<*e(xlT z_VQH1;=Z4vr30TwM;`c5tq3CkqY4x&?<2#t$+3L^c6fc1bbP0YJsi82>|&LPVfom0uxYPs z2T7F(MzZZA`?%fmF+bxV)cOqA9yTs_=58v|R~ydhtEF>yM({6}>(6bCnKg_DDxwH$ zLdw6U#ceTw{_g{zA*a-G5f-rzkFXYjt4 zOBAHmhf~qQ*eqT?^1jvTRsQ87Ka$*Qe1vm&wfZ!{$OxL_(y~gJw%{=S&%5vDx1!rTF6O*yE*3daH;D9mi-!S zcbAcfROX%mvoFg2Wi!-&F;Gj5oQ|uyNQy&Td+dvbuzYl;i8d~FV)^ii8GBuF2OccL zWn~47;lPYA^MTh4PZGpB>B5s0_bi5HgQ6jj@d(svfiac+jx zH{H6jKY(4O@szPUo)UlG2(P+>cp`*PjbqlrWs?ILq-@BdaHdLilRL{np9rhf^ZP z!(o1~-1ALjG^r?J!P#abir+PSSS$`j1-0g{T zl=QhlI|UZ>V}IXYw5CCOm^3hErWiAfnWZz2LDeI*c=5q1So)$1JLXn_xw8svt*)v# zcwS{V@`ZuEM#97VF!9(CR?qbOVJw}Yu&T~(T-v(^Do8&K{DU@H-NDxI)Igv$d`rCG z3y;gd{+w^)6a9qWjBhy-&Y|!MrY)Q{R7B;rgj2mM(9^1FrS_nFXoITytbD-ls}DE( z%Be!v5H5KzewN}567{Pl4Wz|sK!0Gcy@wOx$%IVIDV+|+?&%QIcPGpm7zv#Xk32XU zYdDN3)8SW*d8B~w1RJrGIN-^lZ(`D$c5`A}ux#eZmQYjiY**;bAzcF_ag)Fx{DIGpz#r_Xwgn!Oh`2JRp$0ooW z!Q)vPRW~jZVr}xbnN#k$D7OgMe;>oI&@4DLgunUEbX&#bE?$4Ve?{R4DQ4PHl~0mU z_C^++DcYF9^>O-Onh1re)ZyT)a2+HNz$~U$R;J;Zv73>9K2g zV^$j}wskSY_uHD!ps9ndYAew-eNMWPAP~KIcZqgWN<}o0vX@b?)R{{p?SHEHkC3&O zVB*x0eiijcNnUTxSyr7B_56Sf_q$2W+I_H%fTPK4Bxyja@xL<#&x24oaUD;N_b+Gj zvMiHh(-0G3?1~JNCSFaJ-sn)V)5GBU@>#e)=kYLZO^mbu1zZ+IXq(9wXbH#bmXUI{ zk@0baE~e1+oPPLUY-UjA#?hMF1lA3XBg5&kk+y*#@uei3E~M6$xrsyzBV6@m;*IEE z1p~dvFlR_sokY|66p9_Aeqci~Zs%68ZD$wr-VfJ*ZYXnC> zSwWbvE_%|;^03(1#MIGYFG~O62SKmiU1=~EHk2mt2bHN^?FK-6h`0zD4zART~ z6idZu(Uq&SJxN8X=_&D|>yXZHY>%KypBlDvE!~o2OG!DD#&6eYzSt}VK4Fte?1^cX z(yx&yylPHyHQxbMF7nt3DO1@`a+Y~XtyXhDhn6A9`;WP3CYTEUGgm6?56fsy@H{Y8 zy>qIoIUyum4@X()sA=7LmnVcvOKv1l%K@qjRLj9wvK&mFuyDiCbvpCW%y5X(Ehq`$ z&do9EFXnRZQ0rZ!usOkdV=6QdaxdD{{6;kU?`(cnhRtPPg7hheD7brTeBgV6BN`gM zqUE{m?80?*BpjSf)~2RDRa=9P*W(xe zmq)`1>#m7<+*yYwzP~G#4rj6)viA#XT5XNzGEJ{bxd+$Q*340b&FEe z=%FdvQC>AGoi)$n7xPSBx;l+1B#O@XjA*uk=Cab&>*(1neZt!5>gQ*PXCtVYC62{X zr9#pn-;b%(ud%2!%HT_qW;^7=-xpH9fg!hsvw59g$AKd|!F}~Yjx?|@vCxIxS9#tx ze495>(GaPM(y3ae=#TH6n_6~hG(N)abN$_>8)0X&V>2}GFzg7+8Jv~`#8DqJroH3sl)tlLXAK)&@^|+BW|u&SlfSc@y#yh3mIxf&N!~&s zfkULgcO^uW{LD%|9T%^B$@1`Jaz!)lZ2pQ>6Y=Y9PW?DlL2lr=$`;>STT6_E{Zk_R zj0~!u5qKy`X-258gxf-W&&jUn^B4fP>h-0%e}jo-(I-PG>hg&UqHdumB3Ty4!| zer9p)arX6z20S*78|rXzT`WB1%)s3_xW2v?EGH(|4nk(X{wuC~6c?=kc#7GRH;(H| zaozYhPZ=_H(3uXW=LI$s7xuo(S9oxJ%{x2|i#gr9{7jNBGZ*-XuP^Y%nlIRR^3K!{ zJEr}f;Dc7t^9H}LN-Y~P+ zaFI9A1u;_HXC9bk*5nc}?=JG-vHCBOSpR{lvY`goH9W$zpCPf9l%3Qz;QCmaiUR2m z2vbWSjGAYQL5N3Fi*}vQLm#V%)K}C{PwS6g{PWWOeq6ib6Po@fZMGlhtr-a~g(cFnkxYb`K;FJlYaT}R;W9;Zg(v7!KRNans)EHXzJc$Cr z5Q3Y?RC^O`#-FYup`Kui} z#+o(S*9=QZW4GnKgQ(-IrGB{yPN6%C9Juc1|G*03{_BXKDUO<8shmVy#|TTk$1QNq z!>)g!gc|!vI;Q9(UF9T)3VDmw<=SD8%I`L5AL?x8j}S+o&cb?8DQwVa_oxl6M- zU2{8a$!?jJ1D7&H|D_D)aXH9zVFh`)RqE>z1PJMmrZ~~T$Z!P_Eul|0S)=RLQIv|) zyFZ#GIkYyYF^dDQ>)7-v&3PTHUScd9nQ9t1y#;-`z~;W)l?W>qt+_;$nc%M|4$5i` z$EmsSZj|P0mYGCE8;Mo@o~xTg>D=Ft4$Vb;BSK+wS>Zu+Ya#Q*619%|+prTWb8$(_ zBytJ(tn)f(3&W=c=#76Gd`M=csnG@U%w!ot>`>>LRRl4P8?me*X@W)9+Vy zn4*v#rQ=03`pu8m_4(9VgVB=S_1D%`;wXG>Gn& zsfI2i(4S9R=+2jqn~OKF%HVCeYX-1{Ct0*Rp2^!1S=rFg)=>W&GISi2=!=5w#+Fla zRQIN!J8E^uq*&GD%*_N1)Yf_eQf<5tkU%foh+qR!;*BB{SxF8(FLwxG0m=4|^YIw% z{P=1T4_q~+_e36kgra{#)U~zLC7%9}w%^Q8S&K+x-VmglJEqd}?$|&k@>RJj3sfD6 zy5CT&bzs0&lrTK-+~d;d0iLP=^vrg0fwRs3f4S=G0^c;xI6BV84WF7vl!G5p%+l1s zVJoQ8huxu}T%8qIc(2?%uzI$U?2Q~K$jc*Z$1dv3)eSYP{M-R5f*X{G(=&qp#Gf7X zMIHAoBR(IOFtxs64~VrZ;!B8=zVSeOTAl38q7xcwH^;khtt<2}tz(Rj-xzmBS81XFx3%2UY)2$1Cs7^WDKb?{~4lHtILt8I;tq%W@vaSHV;2P7Qd3z zax@UGrAH;zFzWcnEr9I1LT+G3k=PK)QjrjQ<1dmvw4GyTKuldU^_N`)+vA#sY^V?f zT)a^Yj$K4lg;Z`+aSf>&s(WB&7D*4Tvex>VXmvt;tpODgJm@}`Si^K2J+g!o9E~z3KJY?mOg1H&Cp&&OSevq4yB$M@E7XnH^ zxn5+T9ZBdPkwkzNHZ4*AKJOutlPcxd``@{`Q<3yipMp~czs_NvizF2XDXmQ(AU!{$(k`=%8wvr6v^D-jM*LX z{{I%iJ5ftsQt*Y2(OEM)0$ag8Erlrbch5C;XH$CRpOi#^g}%9yz=@V$N@jaYLPbEz zncnUQU?mwhcL?N;%U4$h1YDXtR39IB-%zR_df#9h6>{Uy`-at|R;J|QnKY}nIW<;) z;SSn5GL)ER8@ys8rGr8mc62^susQwdk=nDF4H=#Ie@>k7;;@6I!lltgtBpA!A+jso zu-Y*LJ991Jwkwm@1$~Awta9=?9xi_+jju1(yqR{-bv#;*%j76FtGJ%5JsTw~;+40} zF+tY2B;g`DeQWqLUrD>KrypX7Ri6!%i1TRm~y&aiC-Qp9+ z#y)9*fCeJ*K|U9ONpm%VZhJ1XbZACS+0R*rPxlS#f=_*P?HXM9+ zG4&q?KCZU49!lMj<(6Ac-)S8lnBeX1vI#z7uy|A6fK1kNnzI|~R~>d}eif#9JjO6| zUP!8II2Uhd=!{LAXow6qv>y}_+J&DCx(KpxdaO-I#W&_^X@p+L+oOh3AWE za098mdH!bs9;Z^rp7#Kq>Jokz=EG&9ZbE3bdcBs#)aQ|g6ECt6C#FX;EW>>ssq0ua zjo*Qo7nY$_5Pw48qB)u6PAntnwZWN*=f8~u9Rim{N(eq#pWtowFXQ@Xl54hX(9(fr zoWPm)uG$P|)<@Z&tD&|=dq_E8M)u(9=M_@-M0nbd!lTpOK~Gy0`g^L!ODNiDOs4qz zd^h^MJhDq2ZFArJilyfp$+uEFV{F4gCYlU`d-$tmaj8XU@J>$mnZ>30Y?;Qy@h{(R z9KJSJbe==joQw(895Nr&@maTJZwK0a(}_nG;E^(F%0^t6jVEu5*0gJ+#apU#NF$s( z^GVdY`vT!n&Ng2JcxXlBu`4PvmtS6%JS{sh<}V?9!HZq3=UG&6_tX;QS?X>Axvk&#s$@%cyz4$R1f#0n}lTeW%?{ag+yk?<#Hs@Km_KU1DT%1CRUTcVT= z$%m%iE4KJK_$N^z-iJa`3lr*N#IowlgtCFBXR(zO_17BxxvENL_EeR6zh3RDB1GEi zF#Up35UI>r@W5YTfD}p2WOIh)!!I^&B@zXZP)G8rVBIV|cxD@@C9@@J`K$=$@zu0p z36xfF^IY%^z;6si&w?xQmiF_iD%UVB8@5|)gFy++J_?qLk$)`I{*1Pb42Vlaext!r zHte$66<0Aza0lpGG>p;b%3?Jx=}DxgRMG%5Z0c#k=$p+V5Zy95oGL%e2d zJ?Ynmde3fy{}h=h^M> zjI^t$2tR)Vm!8Q7>a|3xTyt?sLh0EE&|iNQ3JCA=(B}o}>0r$$`zn5FX&_reX!DkN z>ZJ+fP@yx9Zep27%(^cfv#qtYKgK$XYFCwLq&f|qRV4erj-NhOFKS2@^kZyNL!DkD z)m~Vldo`J=sIT=1XOiP!eU|R!WU|8MOmfLmk~b$67e%SASh&epbLVGZcQjO3XDN=1 zt}tV5SC~{(y_yo^vKAssFf5FX(Lvl6FllufMicAVmThmScSMoZ@M+J>-WBFTLMM$I z!qribuq%{gRT0OKXpJ8$B=SrYaQdP@M@2sWeR52VA>8JwJs3Wt_=YKb557^4Z;avR zFOaH?T5~uN=e0UZ_<_{YzC*3H3?llTYSFc{hRq_vHv_u%R&6->TMfrsxj)`cwI)&b z9F7m!;dNRIx`?<)u|AOP@bjdyhCjoxv3h-7>K1SIeCY_oX zp6c~ZjrczuoC{PFXZps!x#mh{CN~m5l0dkM1QG->sEC8y5-utW2Ju>Ji(0MQDz){t z!M5JoRj3&7RskW{ZLPTNs&%_=W4Emdx-~uPpAE!zZM$v>?AF=;-h}Myof8rU%fh=frFCq4FgvS6sTUmYZo?0kD*jB9`%1}-X3>gn zQBx^<<#?H?i(^HGfn%jx#qmx_M=9D@W{8?tWQgbx4%*CAv=U(pM+Ez%qDqn~M`;bI zSI6!kVqcjh`r!T+4DVfqr2!mMtwdLlG3=ZB5$u#?1DM*pG%xXM3gb(tgVK3$~THWRKI;7z}0 zi{TAwc%HUzcpiQpi}z1c;v>s(51|OnV|G-<{B*j>Tfw={-^;avfKaAYcZ{sXg1Mw* zoyvQnTEVxh74$xEdrGp2166W9D~%7fRY@K(q9IW&$S9n54oNR}Gq7!pmHoVGfe;NI ztD;d~g<&!d5r#2k9kwzkM8hLGwA9`!Ob^vd=v@3K*E)pAg_8|Lc|rwb9;*9#cv#kD z(pZ$GO!4^_s#gIJ>6l8%iN0a*rR2oWrbLXw(bt4|iZ93!<%p?p9aXPUhOcX|z3UB+ zZ*N!c@tt-0yur~zutXoNW1YyH>Kwg7ah}c@NQ3l2bsIY(pPlCC3wEl2Jc;!c?$n)- z#X~QEn+HX_ zMag_HO`8*Rqdep$4!T8PUsG9tqOL=Yy};L=zq=I}Xrt3{>$JAFpThsyX(GuCi738T z6I_p2{;(E(T4;2OZfHv&hTC`PT~o2YY%`SG;JahhfN5bvhqYu;i_zg9US=E1gSsWB-bkz8H0L}5Yp{W#e)vw=($0S;;Y(;fc@cp)r z+As}BmVK;6&i-o=!aM8ICZa8J?&{1#`lv|*gKO?&bR@YSds?cpttqDeMoG1^*bZvD zX>8({4tu%**`AHBHnd2jSS?svkxAROWXJSMG3(dj`D5?tH$)VwvoyRn0A>BB+1xeD4&FEW(+N4VV#@Nz`)ieTpC)7j< zl;U%&--szlWoOSP#Xiz~=Koc-kDY=bytSFp#9(M{Ty+Sx49D}Z=bMS~6xFF8akA8M3@+>CN7kLgl-tH@bs3BV}R|C zk&95AZU~bf7FoTtTbscJGIp#*gFL7LXINaQPH~KP1|K5en{xzT4T`e%g{_9bPttN zb>K4F;8*B(vkQjZUAfQK!3OY$%dc_bKVhaU$B|tzmlm3bMpi3{cJ`?=u=|NleAhsK zC91msHlFL7B;9!klR&}9hzoJ&O|F@?>pPOb3^w}s9!p7R6d*1Q+P^6_a0gEaZ5A6R zeYD#=Jtob84lCu!{!Hp`NmSMfX0A9MR2l=B6q$TcD=NKC-H0cGF`}mAy6KP*o*Y8* z9|&V4_=``{CnkzW+@XQtzjJ})!)-9^7^kCFlpc<3S8R2yOsmeGl~*5I7)tu@O+GGQzn&#Oj~t5LVgI5th7C7}tyF_EpjJ1Gc} zDPa4maAdHWI- zHlpvT?6KgI+G0N#!xxj3{^zska)n{6C<|LQ?h~e}iy6GYYV=30uOaW|7^|mW*&>kBmXi2ovq6JN|ko*Z;J2JL)F*Z=bNT`nj#5<-q+cKq-AsbA@^vxGgw zy9zYi$d{b1H>p!CQ|*_|Z^-5YStJZ2|G*Q2aX@YdW@HB(ap;f(KJRUSx*oNmU*Ic2 zJ@wTz6`2D5dQ2{@sH!21mlug+RBSYFCI} zTTM;PAh)-&^Lx&1AiNIVeg0voc-CU_+tQd$9dn8|w>f=h15QZq4JE-&9)Ar-E7WXg zpACy*^jn4;7$g7s!hFAD1e5_kj#E(@7gN&upT4{uE)*6$Fr3sasZm1 zmd^$raDa&$9$+}vdr-?n76TI1eJ&>U%!OalYZ38$i?V1qhUNPc=#OIjWNx5VuPf8@*=m?QMJ@I8?ykqgQc@q(tByJyqn7vuW1k7iQ`qnK1FS%rrUOwIBZ$&lQ4`@gDjYKSQFYsA;ws;GXfX@{{<{6S8Nl z!1)eGET|yhKJoUReXvN{l7vXfD2?wD<9nnyl;WK(CN(3cH2K`D>-RK&@2tMT@z@;a8i= zu`CJtduAg4MFMl7ld%+I*K`utK7Xj=rMN{zXXr+PnA#S^76!n(?^)akncd_bc*}DD zvEc*gAf$@&Mj?8rU$`M0723@sOT}DvUJm-mh|jo9WpV6DKx^A=!zi=jLMbw}Us_uf z*L6#ga`N-_U@6R3cAR}xfBupi{a+C`_$N&IvCQak`WuOxM^Roj-{lSaE)FCYlbj{j z_LL^J&$P3)q!h(xX~1&KUYF(>JWeKfKEjP%9TrmK z(bjNPf0LUFyY7mYd-)poskd4B9}t25B?-F)?+{z z{oQXWw~fN#XTm)lLaAugj&k8%*|yR|rdy#>kFKD&&kuI0Caq24C2xyfF(;0_SwXJu z!*6I3%r`VAA`p52=zDZji{+kkcg>->A;a?c{yNrZGw008wAts1m;D=Zu+xW@6Xi%B zettRHe4Lw!+vFY*nrMvu^4H>o2u^dMk|WAVW9&1669RJ6pKA)j?h}f|?Ll5}Mug$1 zkp-=6%_XFIAa%*|Go)&m^$ixo;Q9t+^4k6iW1ARnD>PLk z|3szjtCUvBgvWk~R&pcLATFla#6474!BI2j z8AK~Ork-_(%!w4WzgHRChCSTT|a9$*SChIzmtpm)@=3qfWP(*-%M4VxA(Zn5=!%9hL+^xD*kiQnWhiTI3IS0ZkJ7YR$qjdf84zWPPlgv(l9#IDCu zGjZZ>f#EzgM@H1Os>Av7^`TF5A?l9wCs(JxRFa)F}u!vH{_oD)iD~OqEAqJx zch`&sRtTx?j^}(=UZ}RK%f$Ucr}a&WT;LYrfV$cHSy? zA6LcvYOY6!*o4S1e4R`BIS(0|!VbY_dsjicorl(owWqs220OjvGQb6I9%c54XV(6& G75Bfx{b)e| literal 22696 zcmX`T34Bvk8aDo(yJfja?!8&MFG-q0H|Wk*q+3{o0$sRJQqlr0fQle0xQ^hpT2Kc> zfrhQ2X@I0f5vWUA8Ld?rS{0YfjB(Q9(s3DEsvcByp#S6d|9&6jCh57`x#zsg^FHr; zLffk1#wXy+8!(;D1Kk($=AAWDkJ9oUj_N|7$Eqh~e&-~ZR zU!C1O{cPdOxi96M%IVArGUL!qo{9tW_bq3u zJ*71NRq^cTcn}&DZBIp4aBY6*rf+mHv8D&Fq(E>1S@9ez~~1 zsK1~x|3dEKso@-V_D`8RGpv(eO<(Hx#=hN_WbI4Yl$@OOe!`>iQ{uje@mOljI@7z- zc5$}wo4#AOh0oQFs!ypla#PqV^vl#XREwsd2l$d_Rq)dKH^0#2!EW-=ny81o%nv8@ z@YpF8Bxcv&nGWe!Gu!+7ynUfSZGS__1}`M~e3|2*;dP4dORJB`6f~z5rZ2B#Lm5i^ z(i#XUjISeeT%%PwEPy7#X)kFwPL8Tpj>m)55Ah%!lVI1ezC0ISvZ2EScjKyT+*=(B z?obfBdSfBi#hYNd@DK>(+bm?V*7nar_Wr(Eh}I&>szr6<8cI2yhQ1(o62>)FO6ee7 zq0+!YWy8h!Y7WFcsk1!8s^3 z@&IK&O=pgiJMs$sr8OV1YbYun(zLpG5FVkf{y1mbHt)90f!iM;qiCfQeUK@D#@nTU zkIRH{%|)%km26-k5lbp8i6tA3ldq3-xiGg5U%XVZ;UxKU-2mRk;mzCd8=M3_9*ei* zZ6dz3P5?-OigozXwp`e|?GbX$HvEy80&`thwJjS2J=woa52zAiRFyzK23eQ3JqDI- zZLs6^t$6e8_zjf=X+Fo9kF7#<@XiID4*Ayaczwl~`!$f^1Z zRU?owK7AXtl?a1IRD9d1Wu(RXriaSVc(+p${T#KQ_8lWTS>@Pv>Vd=L8?PMZDCw{R zjvnsI!+qWO9fnML(2qL@{_mv6kV(%YlU|1G&-*fgh}N5m&y1#%A$^lxDrk6b8Jggz zFW7RPQrZOsJJ}fe9@U(S3SEqttBmBk3B~w`grVoiwgegZC8(5)X$9(M%Q*T`%3^(# zN~9j8a&_LDI2I&`W7Z(k+yw|cY$}?|eZW;B3fNRDuw1V7bS0V)&;>e%y+F@8tUxD=!f_^rkbSHy-_{Gmt5Zj^Sw7<-$F0 z;GQ1r>MYstBGI6|e?j;3DtpPn?a#uv*iME+9>!y%_{I%9b_F{>z=Kt|v%h4+OXOhh z8rTuNta!=M&#i&0+UNT6BKXDx9v>%if|rito=#j{U9#a+BP4b0@W_%dEc=N_>q#Um19_rMj{a$^z+yi z{1{9T5ro|;TRFKmyM&V1_vtvw!AaC6N}{$=rHsPd#ef3xOQ>fuYDoj@j z8qxa~JyG`Epm{S(p}w>pP)!KjE(!!Bv3V%L`acciA&Ks!q%s@8104GdB{9WplT~59 zv<~Zs)z456CrH%2)HGkF(5gc5kW2B;P!lF<3X=GFC_A8aBHE3ZLL?khO@O*fcP|l{ zYOB(IGdGJ@sNGbmHj$l(qu({<1r_RFbeW(j^S-5%0u5?n3{CtgRjT$8%kTVlQKUT7 znk=tE`Hs0Yjf`^aOY5JH*viLJKhVM+@#@QD&i!&gZfNj9IjWNt@V#_Y3(dSFcA+@& zSzZ)=un^Iq{;6f(*Zw(Pdndz=y?uEBxqCr`w4daKbYN0K>5$}4CnoMB7ZcsX(De8W0TG{DmIANTg&`ao&DvwdnZvE}! z8qg~3wOXbYp>){9@Cy3U`V&2Ys(X68J)vy^G0J>K!sc!~Fo^rB0)`){{wmxxShArD z5?#2r2M-R?P(FZrofas=ogvpzgQLGnfIK|dGY6jN?ZrJo+)tEqa|HMHMsO$7ryZb0DDLg$?NEY4AqQ;710memgF73bs0W|R zfYrT&L(WrMQ9Ec7z5UzEWk|_01+y; zF?ybgaW#bl)z#-IF_5g9f4y1>yvR$88sJ?D$S|p%LFSVSRO0Xl#&-8O9>0!7H?H|1 zvEX6lXbKpsZ&&G!l;{$vipC~fy_c$cSZVk9$*}lmap*iNR-b2Y!E!aO4(C8!8`*c{ z6?N*78KB#tuf|Qpk1a^$sFwi#qNBbhp8qLw$)^AfQ27UyBWY&I^F3HR^J%F~4TWue zdH;nby&Jp5b;$HW(C)Pd1HsOQ$2mz8FG-pPO%0`ZeLfNLeLlY$68sAi>xfzQ1%4Oc zc_gX-#+lXkT5Z10%bcn6J&w|&1wpIgH}K^=k;7E*?otj0E@mk{-{HBc?Ox)K$hh0@ zg?n&T5C?N2_fW@(SOl`Nlw-cONkoLUGv$Gxa!`-*waVd_K|H+@enq%1h(kFg8*W00 zfiuMOY~dv?2l6?e+6xx-C`c!)kblA#jDy&qUQ;lx@p=S_RTHx}LnBftdSN|E$Y^g^ ztS_b%DjP{B)gYZLL`7Dm^If_O#+XWt7^dKwSF~#Om2fDySUzm8teB z2mA7ToGlc*19=1Xc4dDn8J44;f%UZ3%Gv{6KhpVqLH;c33$(W@hjuA#z9Z|AL^d*R z2Sv4X@B>Qn{y|svb>iT9G`}lbQN5>TezQoLB9JtLtfZxA5Fx39JdFB~y+*63i6kR3 z`kXJ22C0GdDCStu>vRT=Z)@fuuue z(0+(`Ip-j;JN>Uv=q|33U#A@26f2(o1=%B!WH252bGuASr;W&Q$JQK8W*{U16{T&R z-Uk@z;3hC0Ooam6xsLeugS9YgP$6xo7bTu}86-~yh@Q{2g@Z0vj@BBuqo1iDCaS6f zY6I&Am7~+axOF;6N2Y^Fwwi4Od6q_#t9ukC5ZuoQj4G+DWW!{-3<^gSgjw6f1ZHC< z7IE<&EteWt!zH0IP_H3hSF27@JO~?*>8bWCZ+m;7y|0~x1lp|0NN41D+n;jDw6jG7 z9h^?Th3_N^aeQ8!cs$Aw+-VId!K(=U#1?uL%?wa>DMa3aKJIp^kn?GP$=CQ!YT-GZ za+1hpyTb2K5xGNiSTX@#U)OVB>Y8pc@@wcFyOblXL`DyuXYz?K%wzJ~0-fz_4FRe> z?Xwu#Y@wQrwZs?Zcw4pTVS@T%CfR%^N*M65a6b`CZ!G%9q*z8ypnJI7zPt@6W_?yx zAgjH>6qj#R=opR&K9c;|0#8O=(G~pfXwO`mX-{+ zknZeKf~z=q2}HIF*|fpQi8Tb9zo~uPRFkD3AK#8F{0UC#5&@A5YS%fcbFxY{v?r?2 z%dDyWP9(Meg{eMB)a_`c>b@S_PTbV&9Ax)u3pG1HqwP~vK20iBcv{hHWlY}XT*+z0 zKThng>P`gvk)+LreC?moDI8~Gp0y8p?b(6sph3mtbCUW_WKsWuw9lyu0-Yg;u%2A+ zjB-p-&ktlNo$~;eLxGyaBcvae7+9@)fDE1eO{L>Wf-~_MyPwSuRP;TJq`^njwS9JM z?|(#X^Lg$Cqy1sc&w3J13@V3ll^vhy$61|`k`0}Z7zp($t-eF~>cT_5qn~iFRwY&Z zz&_p=!tH(7p7jhe(N~d?E)i{3zC@(EIONfrPJ8rZ__gWkXU`kT8YX4>H!2PTIw$5iFP~A$-ucGuKI_Ax_UASXv@Kbuf+MxidT z^ZL9>$FEbFELhXtPb>sHIGM~2WI<(>Rq41zH$$gz8!h0^si`8u;)vm49Vk@5UO|o} zcEre3fH9{6)cHwt1sE14sT!a$yAS77QRlN67!Xy$M^-lt=>DVKGHo+DU+JLh3w?S%e8|c(~^(u!!V?)_rT4y6}4#B$=Je;A0Z!DCC*IYF`PJD!&_<}hjB5Gq|RnHel z>^>%+_3?RN=JSl`2$Rn%Cr_|5tV+<&(APO(4~lWO6D}xcjA!f)`ePPdLn+krDqBzR zS^|6q7O$))5)-^3GGUeKC0_LJL2wQ+A&G5q+(M)ocV_QF#tx3e9J>&8hAm{2_JzQUTG9D+Ku>U#tIS;`@wM`tj3ib)t28_T{Y z)$-ULj69<^F+r7ySz(7XMp6+4P3K6gW!BzCp|>Faw9=8RFZC*cG|i-Hf~>NFCY`Dr zF)Izsmj>xX9av77Xmi)+Y^Bz#K%<%X6@QH8VOlvxEHc-fWDRt&DibY7_9Yr)- zsy6clv?`YteIjLI&cvXbdtC)9~41Dl_>{&-oMZ>-V%VfwCP!{EwpriL*jvWx`N=Moc%iD_1~jR zo>l}OGGI2$Jgpqf2ZjI+Rh;ci-zAN(fh%Mdsf90?s$TXW_RCv8!l8Z#pmw z3J>_&X2G^L+~aq-Th*dpcNQ|Q*pYQh>s9z?c){6A)ea}jo)`;P1!R}Qs+7;J&Z zolabI9XIrRJiTUN5RZ@YplP&$&bsX)9{LnFI6a9UhY76^!CeE`xe(XI!*e)z3ipPv zGuQ{_;5v0B8&dYaJ#`Pc6JbhlXEZLg0L#I#I8+Vd=pNYnqXBomfzMS&s^Lm>90%XP z&RICr-XAUmnDzsV3;REQ?4kEKSs{%WMB^xTI@s{8U-4lMVa*W4aIU5N+J;ucxInPz_ z*q`$&)s*eWSyd;I2~+MXUSTvKi46hwC}A>hghyj4*&(IWx*qd@MsucnXrH%3p5tc9CZk>K$~1m zv4R;a+HypJ27z^B$T@N$Zt%F<-%`FYUghZMO9Pj7ptF5lvpQM)7gy&LuL)~ zFpw$L4)oxj-p|0)S_7Z9;#o2-?8k+LSavxQB-td{dP2W2)HCr?@iAk$Uyekg1uT;! z_B1lqbIvd`xo**N^`|U}w=gcj&c#?>HcQRTLhHw`;>F{Ur(v2)X{}?Q!=Em~9YZ)c zuo28BUSf>19EhxhzTQqbUaXv@cka8f>g=#+!;U5hFbj4YWX9dY) z;m?EE5bZ>EY878dc=#{O7Iqp(cOv>Ph|qZ4L&jyDgSa8|xQ=R|7xWI`t}{psT}0MG ze?48~tK#R;lB{Dy*GH4Mm9*r&qOwIIJ7~*^`2#3l?xaj>fkIpiR@!v0d&v!6tghA4i2+>udnt`av`VKcEkgxHL% zROc4?UL+}lu`zi@{@7^4$((zM+Te-N1q_U{SQy8GxS(XiX@by&34Q_j|NC_N#Dp0L z(ig@U5F^p)B>J;Jo(qrKQ#WJ7C?1POYG&Ak8}X!X6W8-+KqAzP@p$Zf5g5l3p>8OO z4PzXL=chs8f3c7V(kP*3IDo?`bO8`ZawAGE?Ai=eJ4}|u*T6O*z6+{exSi0rkp+AR zYVcSn5_tyLI|01{`~G_>f=8~9{ zD=$SA&+Bjt;hK3o@g7j?Q*C(kEhsG6AbtSCX=FNdG34#P7-+9*@Xe64oEAD~Q2q82 zk~Y~V(lb+yWpn2`1r6iflw@o77HX*#mYU-PS9LtEhL0rFMcd|~&vdXdlY-RPw)qC` zA#qjKLVU4(g9iOUN&P=3tNh|J0#$?UD{1aR{QV>p>UZM)JS}IiSCBMH@M%qPps)Qq zO`M}hEFfe{w<$lcuzjHfs!B8YFm^1IEDKj^=t?-yN32$mfPjud%@%Df5w1}1n;2LF z?cpNE}t$L7r@TMbKN@ALwsCjnpslv0g%Lo%jaWn~Y^yL8ZMX)#QJT zr^^yil~Lk$OR?xB2OLMtUB$%&E+ipyJIhOh?-~dR^np4bU+lV^jOx?a_hVEU6l{0o z2V#4uF#_|ZxalV3hmvIfjTG*L&gy%0ISP0ZsOL{P?6{gvh!}j(4x_qCSSDIQrtA1y*>0U0u2ar90@7%9LHfyNQa3g zJaM($0soraG(sr+5vK#i@=gb}F^!0kJM465KFqSY*c%-pts0T(k@+dxx2svL5_tzkKW<;D-7E-)HaJX=(%NkI4N@&iqy|Bk&s zOei(CaySl3@sNChzb)p_1>SNZRU>xxO|kaRx?4TTRd^i5c;DqyPi$1P)bEQ6I_!Xbz|B8o7 z?c5OQPaS3K{*ktJhOTKQN24EzQe}#C#u>srJ}i!6_t1mt>R<;hs(ee`M4rYy{wgy4 zNDYm9iKG0HxY407p?=&~o)M`6i5W6k*nNa?26$7XtYBiTMMiealz2R?fXx(iCc-j! z%VC=r3nTc7__$V#F43-#1nj;dW}-JF+r*7;LHqyQ>AYk*77~sx&^Eb9f+i9JDQUj8 zyTR0E2HnO#o$5xgAuUO6n$M^C+Vdf`J>N{JCYw`ECYjaK%+zvSLL|?uol;x?XX*S_Pk%v6m%gB@heaIhjqvtR=YzuPVFH?> zk!^Ht-NM)NVz!Ts1)|XgUvN8AHdZS^PF=(*us}e=u}1dJ!nnYxJh;TvHb!ux{1g4w z*bsJ);h`%oJnUwRNawTQ@irnUglLv?AvK%})s!DZcP*uzNnqg8DO<2igv>1mi)<4k z@2T1+us~jfXeYQLZ!GLuq?>$WY$L#}bUwj&ks`}zMC3k0)-m@P-Qz#AAB^QHBZLhD%g?zz?*qXjv+!(*=_Q*FbbHyGSP-&4jVwkqi1 zbD7itdq2s!2K-9L1w>~Tqxtd1>iO|z-|1Q#+f$lh^iNN{4Zd~sw*-(xWA0`PX^;{-KU7AMd1-;ZqEdpt7MLZiT zQHYiNPcocQq80OubIQRMHJc=H8I#a!>a`KPuoo|$kxLgzc|N7}c2*j!kIyJ_?lR7F zDF)r8y(Jt{a|cxv0`*yd|GE{!*tU=H7D+i#Ox))GmGSBoRMnAY6wqgt-;P* zHg{q0KJ(jj5){eG!L4Sqe}IvMLREP;9D3A}o(F z@ooEz)DH8qU@z_rF4a=Y6Jt8>(-!KL)*Ht1PW<^`la?CgxMZ+8LkWqsqXT$Yd=sti zC?@I}IcLrtA3cvudvA<-M_&w#-e|a-P|5lg{~tC2bvcuSghV?V-Z~$hVx^9s^p@lI zYmM%w1j10zrHKx9r1a0-f7sA0Ld5rzT;H=Pt7^=TZskIG;mPi(QS_y+*B? zL|MZ_R&Gk;jV{d^JmSWq;{_0Z^6%PLBVl}?<+V)BNS{bTBv$HD|f;IS8R zvhN;kt=xc% z<<2;3gMT$s?;>>)#a))^WUA-DHo2JGwN9R!>tKr?p`|*XmcFwp^|%#i_DQXf1i)S_ z{_~@rCrMvE1yZ}0g9E0ev#-a))A8w{o>TEfLBH#FJ@p-tj#mX$39(frP|=3#NS?=7 zJR9hn5iCR2kX*6ECU+VjH8M$Ou6D~_w|frj+of;;_XtUuSNrpC2a(_X=m*OqejU*j+&_x#>^tJss zE4D30S35F-M@AbanSyPgGV}C%1-}9w3S(BrtcYcie2?SNz1p{i+$8seFI5u*XpE}K_eTI>=A=(1lX48`p^Qe|HYK1-&vsMlVBBKra1*2=fp!$QO zDp*Q|Ks|+eSHo16#IcEWR65Xa3Swg}m`<9>c7(M0rczVc)b>54R3@4Ilr>if*zu1X zK-}B3IP_4g7~WVqb;Mnl(h`=jLB>PFiOD~~u+oa%r?3zuqKF%>-=cqwNYjuXkKVxH z@ui98p{0qUyMp=e4H@4U!lRe)i{r%K)g*G0sXQJJkH^ix6GLIcj8Wx4Jb;nnzBX7J z!&zo&XiklN?E5EGuy2MndJ40-?i(MOV^%jti3M(W%CrszMrGyL$DGOcF_-AeFPn+O zBW)(eUxqAZW~)dW&1Iw~?nT;|6Q1WG(uxS(l7A-Nt@Z#K$aWH;}xIbBCDoc`0W$=i~ zP4b=L(RipHB|1Cw4=%H5)WySGu!hGjK~~d90p!U;t}pdja$_u%ld^|KbshUanNW~x znP7vmR}KaIE}~mcQi%lXke5ZJ%`H4@QPE2y*d?1(EGjl{@^_P?cX5a&u( zCHaz7icI_sIir4IkN}7A_zXl6?-U5j9Dz=3#&FPe5M< zVGyYxAB2l2*V^0f(~g{5L>}JBB@(hoVOu}UnMSC!Dr@?e))!Q4f(mA)5!X1)cuR1Q z&{O+9%PQRG^Gu)wkA`xr8u&Fq+J8AAcKUK-DBA=LEE_TJui zHD6sgNoeg(zoH4qdgWx{;sQb_>$Hwt)!&uOW1WhsuO5*O5yXP0!h*?h9r2Ub;?HH= z987{r@-#>H&7UbN9e0?T`n$2*JAh1IiZ{GJih-eVg79uA{%`a){pjs+(uv0{?2+WX zq=^j(qssC7!KS}*KS-){Fq7?h(#Gvlj{BH2LatAN`wintN6Ciy<~q|QbDeZ)Rg5O4 z%6RFXq~cK=T^dJd6H@t=owD5o#>*oylm9xtMq^bUkuzpo$D?wF)o`RNV}2{XB4lTr z(hvxZqet=3jdf6jN59JfO)NY<^c`uyJI8)dId*r`HTRsG5pu> zUXx6;wI- z{Th?!Xo|^mv4rH14u3iY%}t)JRgMl=t-;VgoaAkCRZ}M+pT6A%H-z_*>9izxuZUz& z8Uk1Dr%}J%I&?{GL(3~-^bgM5dxeY(8BE1rV5q!tNjdS7E=GTMwsmOS&ldW9sx+XR z3=4$I%8B=uoAk@7iHq+LdF|C1l71=cz7fSk6Qr<0Ijt*@xO6sM&b*wcXj7K{NIeWBK zY4fWq)JF+Tgznj+Cl>VRx9z&rBOjBfKOA0R_=IqxtpwaRhDkbFWfM%Qxtuh#i(}3k zB>zV&`whC^-AJ5Lqk9TW8&-Vs6!oJfYMGf6xY}V-A|mg$&l_Qtwy74{ya=c`Q@a_q zwBw;h+}K#onD$T6$M1i`w4Gp9QO08R+C}h!$?0_61habVW{Js4PBJ#? z)zZHAk+|<{4jAhOH-%&umP6$_)us?3ru@7WG`X%w3aFL@T`vAttswuf&02aU`a=3|{?dmn@xe`QnXsAO-xK!PCFcAnDL|$k_d>m2glc<*+u`Kpe!`uneP2HKn$ss!u1yR`>%wJ(H{?bU}n2&amd1BN^6I*CeZ%6l>b81$Nv}R{>=P zy~C-{#asUF zDU$znQfL&*O*1H^ijtyh_a?o4~zbjB$fL2x>C53`MAMo)xR(b573ELZq zUmF=!j(ucC^Q&#u2E@XDfI4BLwgD`K!bSxSSLI()=#Q69yQHWWZ@G^Yd(EwR-)24)-uY=gOYqZ#MA3Sko1UD1aYimuC z>Ncosl`R)p{a>8+qRN-q^y_lD${cRuZYAY|UY9GhUh~v!V3UkZFMxG?w02UiyD>#D z6XYB($|-_vJC(uFnp9DKm?*DTqcUgcZ(Bousk4=oJe;Sg7qk~Pi9KKFC%X#Od5I0* zAdx>5pC$WO!Uj3W<6_rL{cSi{7s38#1tcTM(>5DT1xwxEXG?YO@&*24S9ON^whX7g zIKsbYKneNi)3vwDE@IMM1Cy!c0Mp7<%fakg4i;CO&eXO*h;J*^!5@Z7a!hw^lDdC3 z_YPGnlOpG0JFo+Kpc5?q_5}9)Oif&YO)jiP#*$VF=HHXr^D{ve9?uA^?DUW+<$TN} zI-XgWrE=KJfsc?w-F8eR?mVU{^z4f)$ZaHZCU_ENztBQ}z%>tEIlcr*`yN0?TK4g{ zr3Kfwv}D4~piH#l9|c_Rxf7|D!Vb?qymKe9kh?Q=#@rn(&G@xeeENT-G(2s6LTJU^ zJF&o&<W;r4?5de*$$vGp^s+p9tMd#Y$Y?Y5?Ok1H`qY zmhEpL=<`}L5wgU|^lj8KA|)+FU0UuXuoYES0P<)oyT7H)WQ(u=mgcT7HCKsn>|Dse zF4(<~Ty+oLDdbBrw-SEB>1;?`CdB81D#;`y=O+lJd?UQOTFA^&qvexyGmBJl`RxB@ zt4aA8Z_iifF%=}oGcG2uOKC14Uws=rt!sdH=BV!}7B9w7Q(Z;%LQRN-N1dPLQqg2I zCC=12Lzq^i9Qj8D^#_=$?&EAh=fxD@W;)#CU9+U<)^tg3O#_X_Hfs36OeH|9DpRk0 zn{=WmxAD@Y)EIlG+-eh|>@>E+sC|cFqb&DJL1HoDs4!#kZB3(|M0S5eT59a^3v8OJ zt|Jw`VB-Satk``Yo8anZ@AS(&VN$x;O+kW}x?Ma6tH?(r!gGie{nkT_>o->F6i6B1 zSF8r!&0Olo-To0)O|oHcbLziPOUVUX!|Z}vT3U#(u#3~-H)K-%hQLKhffo=eUBvCC z&8@p>@!G5Lw|H73c%|nHRvN!mqno2YDD1-?Qg5}gMbz3_nlEXlu53BMo)?H^7{{KS zc;(Jy*x{_iy^C;b>o!O{d4p{)s>C1ic<0l&eg(h|W_R^CZf(Fj$FFE8Q{}^SQIXU2 zB3p#(`rp$mb>Y_LcQiCC;)M4!Q%U^H{9P0C+ut?j<}cV(^2yvs1f`Y8&)+qVwPFpK z{FVE1;zd{TD)F+fPWYa7O1*ov`KaM>a4-Kb8#u% zkIhs6gU;%f|3Rbwf$%SyH=a$7MLoBv_L;K2v=*l2x_Zv)<3-O08WZhEl6ID_gtAy| z3CA3(n(x9BtzRLr^&?e{ry1|`Jg8wmLt+c5LTT~f)?}KB1L;q{-a`DkTEi{?J{4uu z@9Qi@VHHXJ)q9?zjVE5dYuVr+Zh7XPH2p3eUwA@ett>vHpIp^{O2x@sBQC}zLb`Da zQM>Uh>Ip((CXHimXXdX)$btc z1e@5p&IG5>s`?_lQ}Z8KL5%-SlHe3?SYX);Gl=DgveZSc(zyi7|3HrB!Y(?gzKgDP zl3jHP@m5*RnIkpbV_G2-pBX+?Sk$C2*>T3vhhmGu3irIp@UkF$%j!rH^=z&B6+Qa0 zP_^ACs@~4lYWU&_1HXy7d*V8e8^g|>@-2K3c7BK(if%27yE4wxZ>b#TijD!rQ;j62_*LZxi|=(7;TuJ`iQT!3&`(!~ z44|oCx%2G(6C?QQ72KrJNsUJ8sVif6e1s$>1|jL{$C^B0B=U^fA=Ld&OCuW?>lN`o zbVk!xpBmwAHTwer{PLB+M$?VQZZclyHVOf0+A;Tt*G(3Dgd18T7HT;|h1}ZOeC_4D z>Rk%01kV(RzB2`dCw$!JxhPvkMHZuWxf$JQF0NM8rHS<0W$1K`k^MUK>V%LNCd);n06F>6tT&1C{cpXnMbL=nt@l{5Nj0*EwI8j0Tg9 zx-5xDLabeue^J?@<6)Zm0mX9DXQ+0u@T6AMe|wYAFT_|qtt}b!CmM6>CmP$e?fa&w z7GzEL|kr5i|Zv}TPpvO07ib)%)TU~U#sUVHDp)Y3nw)Cmjv4rP9-6+ zqj_c>F}iYd!Rpu}SCFl^`GSVJ*hGD*qW_8P*QOW&+1zwc zy=LR4CklU{7~4+IAIRj}8e8b|1-8Z}1b(L>!Y?KG!Sz&Z0!jpvI+0+Pr(<<*A#3Zt zcM@+VxirrV5T1@*kjg(D%OF~!`y4Vc*3E4peN&5!Z@w1N!wLf4oC}W6;RX_I_40la zCEv4^+q9MW16gKoEj156Z4uoMW|{n?d*`Rjbyq7Ve!Y1e8yGey{wctcvgtoisx=yA z<~v5CZ$2j7*sq}$Qt0mc$@3I?egB8S{+id^ca!isu;Z@U(17b>bE|Qc0_)Gc_c{Y2JAU&ECo<6=v#f z1M(YE=3t~{A$nnDDJes1)4&#jcTZ2?Ix0msTeLAV{*tOA6*_ZZ*`8Kh*?hN!EY|rC zI1dx-JDHCe^k?Ql`AVF2W1pQVUSD$J#d3Ge1;ZAl)ZK}I?E4~Bz>Xr(vyY`>A^F;)k}0zIMkri!$1 zzg=c~V@YnBuc}rL-?W;r<)J1#9-DgnR4liwlaMDvpHF3;jlI)_OHSd=r>CyLgh(mr zIT)kmb=+GwSCchfr*4!?o$Okb4)gesa^U(@F|uIp3Reh++-Xp*J^N=4Vs0lXO8RK3 zwC^}b`(B@l9)sFmLfn*e2&Na_UR(Hr&pTX>e#^9WcCMWYzkpPkrEuE|rkz#x4bF#m z;;P>c;mFxb#Z%Ar+ynO6B_wTMIosShlQM?hrKA@of$@b70x7!wee<-SD>5HQk<)W$ zdvItLp>X)8a?#AjnjRiE%!+MJ?J1iUvt1GcO{5~gK0k-+N;I|Kv5$LA(qW_U6F3dhyl&e`q zng^4~BEP|hGyhy)*S~0Qx_W#0U!yV}bQ3r*CF@?2(8?^7R|xVsszz?Mf{dGR+@wwLL-ef zy`87ouu%Jc-rB49MiXv)Jb{g0_!L=RcY~0mSNal@!c0tc@h~oOYGdd#+3Zb3GmG&^ z5ta*)x;#b<+&f)8Ug?NLREy@28iU6(gueJJ>Y8e%r?ug5s`i>H+vPH8(0i)MJ3W6( zG4GPQl$`HZIgasv=mj!FDHCm~TzsuWP1~BA6CuuHS0}YxRsFTT8GGaiZu?aQ zd)2uUZ7!g5W&RJ<9Qf2ATvt6uUD2mcOiUajivrCPSf6?W>ouihec@pp$9oqEzv;?_ z&4vlyfc3(#MVl$hpT!D^t7fo^^VL7EP)}YJdty#~ZY1(l9jG^^t6tP|sjHxp-cr(o z7h}9^T(7(Nc6fIg6}Jj)ws3y^v`*zvcLQZ#rH*W1+h?LjW{HL0tYa>kxGHXWM-lEF z$cuxBt!9agdz`l}(KV>8&PD%jq|U-JBIbtRPt#Bxhqx9|ex=UgzBJun4$r$e%{_Lc zI4(NPsEVO&?jc%D3bb}FCIWCxpKPL!2nqDzboe57hNn5wnCom)4n~f%x&w$1@KYzQ zho&Ajp;a6j9Y^0>9- zH2GD9T(HY4|Hqcgtu9xtUHBi%T0PCP^Ng+Uvgo;+GMeQYs=A(poGriVlj=otZ7YG| zt-rIj`sQf>JXlBM-Akv+^?As~h678f|1j|C3ftjU>9Of<<M*3l?%c6TFiF+*7! zOQQ+}Onh22JREO2`4Sy-GCPT3DQ-Akcw$Ai=3y1HX9a42)RR1uz$rAhYX!mMEzY@k z>8H5oEYD0QMG~*CcLe>-E4UCM!SkWp4fHcBIG&03-EMk8;Iq`EV^<6nqquR@9&Z~8OK4gfB=*N6CxS4tjYTCv)ZAi9 zYaA`L+5_?e?w{j)bWZ_4qD>Abh=BJtY;-yf@0S%>L-1x#>sk!sf+0~rz?^LJi zZ!5L&oaXQ*^XT{-(b-R17gbW~8AVQ>Pn&K~Jjdx>*PVE586In7v)1Ffg;+9}9C<%0 z2j94_ZV{Ob=Xxi#6jnzFL3Yvq0l>2u4Zu!eoz!SEtIn8sZ}w^*ycRdY)F-PK)t`Iga~ZGW{wtUwIWt&C6~j z3%Z>yTwnhNWo+J^S<%{p*|{KGPo{I5*z3va|NlLsiNBt_>a_;ETRV3W`Z@Vl+$obX zl5Y@oo`vhl^IpS^{!@UuH!LTNOZT3;x4|yc6ZQ4D?HX=)rwpjx$<`N|-_MxTaB)yV zuR%HCk)HUzT%7~f%Eqs4*$q9SQFW7HSuts^E3nef(#!*i!k6jOtSv3SCl}VYG~BF~ zb{g2}^@{I5ww#GpQ6x_6_vFc*okme=dD1DonoTWjZE^8uvs2)9qSwD0(`{reY z1DLULUV`dhsT`dW-|-pPi#$v0#et$qKW}dF^HP2BYRXK0X2Qbt|9>5v2~<;O+Q;8} zZ|=>Wdvmiu0LenwF<}uwb|tv5gG3>CgX`3~bd=V*OkJZLwOZ}eDu{>`f&$vsR;Sg7 z?WuNitMh5*tC>k~+R0398;I?7YWof3d+a$Ja!(GNyytRx-sgS(zyDty7I@#%vI@M~>6C zdgQqv>I)Ekw@0Rcw2S*a)YF%do@D&c3BAvRt`IUrYYb6L$3S61uNb%?@p+1z#ISqf ztg_9eN~spne<%e@{KirYFSPF7v$51n1ccVzyEc~E&2PBKB;i#;OecwcA}U~aDOXW~ zbMm5HuCBx+T>FATMbT{1i*QekGV9=AidqcYLyEd69=_3UKLc966fVSNxMYf2mZoM0 zx70}tYRZ?2?1;Vopc-w%4Fwm#b$6q8Bvra+Lm!NwYkhfp*tMfX(8^ zetoZJA%H3{4Iq%A!Y|4&ao$g7nH65&&N9;P>R@A_iM3xfo5~bk7m1|_u1w1Iy9fo& z2A<24tX`YJW5yghOI8AIfs zzfQ`CguYn_>I}*-_?wn8D!*#sEG`Uo7DamztPwz|+e{g}L4I1Sb$?on_hYgCp9#_O z7W^Z<;$AVWu|&4(y&8+0VxzHG7Tw3p3VX6eXcUkSYRF0z8-7=Xp2w{tauKdFJYtF= zYhX#a!{qA!DkmEz6|=Q!_wZ8eUXI|0rK*8}T1E1z#eA9N*;r(W4>rm1%f;iE^a_&)fagB+YViS zp@@BQ1Mg&u!VEJ`nJpaC!%VqiRrB zZ!ck-zZjF8qZdhK=RqCPAYE|G#rOod$$mS#Nd**3lvlkex_mzIO zH&a911U^+`3i&suHiAxbV(+?oEVf3~4MpCWJT;qh^(1Q(>_M|OGw4E7kc-&s5`qp+ zW`GrO32N>GR=5AHHNaCFoLq6Yw(k^)Hy<{VWPPIWKfRjZGQ`;TXwj8v2AA-Pb_RHQ z-D5+>dcSEkB;??Kh*F=hRbxNw)sj&w44nUe8?%G16DOnkK;jU&P7nB9CtoAYemgY< zU0%%`1?y69D(kdt!Tu8^*)A>h2OOPs+8IiEpsmopL^zOUcb&eqf;rhK(ac$(jXhbM zWHg-(6VdKTR3o1lT2sRRStE4_%!1DoABFKt8?p0bwv*nzL2E;9emzR9`n_ zSQcEnOf-P%c*8bJMwzteB{4Z(iT;ydUhw4~br?ka+!Z+=F}(L~7x4`3_3_nXOC*e> z9N{PGk|WF#iSh>ZijIi^2OLuRCi=1cN%=O1G@*SO{8POpg9rb?y7I9IZ+}Uk3H`84 z^wN6DA+J|JzZ;gdv)nfz#N$j?9UI#-o5FTZW&9<$HQ=8gqg8q{3XuAB)v3#r{?y!7 zB>ej{ETe!G9;llI(%Gq|Iu$W3iX{%37{%WR)xbF&`l<&XlPHG{f?0YglE!gs0(KX+ z*CiR5hNZu$A{tbfb2Ww&QKuiRf9iG9i1}7>q8ZN-=8Sk9HG(X_s&cr-0XygF{Rmgd zziuS*In|q2qtRUnc?bz?9QwPQT%t_*+OT#WHg6t4e0&2#phPcMvJ#UC*drfbEAs;V z5K`95ojgfCx0)_U#ZYccZ3r5R*Rrunj`+r?>JH-q^BK_HdJ;K7S%GvIqe~TNz?gLnPuL>u*ccQ4TYzGRyW{fH)CaC}`ui7-0d zMq%|BKG}xX{*JcVEYvy^>ByJY=ab{J=$4phy{{!^Q157o*(m(EL3R9B3J`d?zGMMg zir=1}&4pVp&oJ9he!Fc(TD{AiD^vB;ND;jWt+JSXrmj|qg=z2QO$ofS5zxhbmR#Q1 z8m-0($u*sPwVO9xPLf$T$8)6zY@hHM*Z3h5#NllD1Y!>OGuLK=A^!qzgCC*X8F?eF zqms2NpvCy_C(?gl#$s;iW_kbg{A)hxerC}-&|)x=EExpJFc1vX31YqDZoIBvi(ts- zbAZi&t8zdr(297mSY+_pk4`p*Mn6>G+Q~{^Rebd6(aqvj>4vcPA3IF+*l7egSj@4n zHfvA(GoGxH8vXw6621RWnzjj~$?!x1bnV0B2Rgz>3v2ZTI<)4h#UA*cFiZqvP)a0H zB*4p6Ff-U+Dn8f_E&p(ZswYAv}?D<5sIuA&2;f#9VJ-xwdk#WMFVbPrS>|x0++&J#9S&9BlTE>F2>&yJ0 zDi4`h|MC>7meG&pq+m_sUS83*Nr7834gQI1ZG!8Twl-SI+ks$yFBm$(1qZx=)?U%K z;uw+Jq7+_KD7_6Eqfa%yxY+>#NqmUgUX0(|MV{4S$BDv_-LW`J>AOZp_x*BME&Xn% zBzr#Gtuz5N{*Uo^xMND^$FY_G7lU5mV6}I6fBp5HXb5-0xYb)jn1w|!YVV{>I7@x@LW!UA zeN3wTI8KgJxPX*o9A>;vq`JNalpOLd!>Lb5YsemjaHUfLxdax~hpRNx+o`jVC z*z>Vo_HZUoavw=8oc$I;&nLx)lByZ+x@U16ZVt+)sb|PZSNBp`F2IF-bj(N}t#q7) zK21;tcvaU4c~sAi3)Esn%wEA3-IO!-V=>gQoE9s9a#YaEWXX+?s5IAhGc)@1B#M%5 z(fR&+&P<*aij|{2B885878lDEG!;iCq<@*b64Epv)n&X|hQfyn!8hoO{iFsHLqRG^5G^kUXn178it+-kjtyIPLansr z@Dp=iWr)gbjAM-hUZAWuy)0O_ssbj1J*U(O++%%O;$yGTksW~W1EjcLMBh(Q(GN0L ziO<9c-59xYXVid2n4D|(#NjFhekIM|3^i$pOK}vv9N4LjPWfUu0`cX}&fi6!Qmr)d z6~{7DE_*DJ8-q|5${+KWZ2Zj|&@q3yV-a8Ag@_Z`3fh!~u&I+v2K_$G!_nB6|Iv-pE|bK$d5irQF-5g;i+$B78h{!d`3`TEH^v2&(+4+Seh7(rp4PIXVRl- zw6zTTFh&eLt0%@L`6*5Ke@W54dzjjG51SqU?f;mq8C<|q&cj=Sdk_=egZ6??sbUn& zjPmKtu*LS}>Z6sVsTOoD9)EJ1Oj9yvfz<7@-9~AS%N5AjcXeJ-%+MDK)!A3NWC4q5 z$i4n$UH={zx>cm~e1l1brG`Q0N6o~s*U)5(?dU+*`^VD>^(3C2)j8mwA~4+vwoCDy z5|s!X{4eb$zSp8VuPI)X(f8uy^p|mw{AkaYan$uV_j$AmWUbr;q6<9HXKWstmhsXv<8o7pMrj3l3E>(GO9@L zFy!grmKgG$N6%Np_ZjjSTU3H#I1yNo)FsJ-p2LE;!OOT|$ZZv91|@aM1&?Ir%AvcW z!~y%mdZL@5ZvQC<`s2OJ)ps%zYeQgTNWsi<@Bhe4_^^KlMgI~n$!8{7YwWUZf~^x? z2OkI*ZvT^-v_3r>8H8yHdL1fNaESiyb&S>^lRlt6B(*q4I?;^#yZ9#;*F%@84qjO= z>9#Zk-r(;CK3q>ujb^^xfcEVl%DTtZwmmK{kH|s9D?oEjl&8I`jFi%w2lX%exTKx zYY*_v-R~i+eY1&o#vox|+V0RpKGe;(gzCgMArg~+2-0PMAN}flW&0>x-sc}eOPz$; zcDL{ko1ZCdp>05L}6;5gurM@ZryeWRnHAj%=#)ma=xx<={2{2IrLOwdG z#j@UW9nGhd*HkUBry3Z8EjKYe%~o9?YLa^R3VLe`F&pW^%UY1_u(IgqOj)N8ecc@O z%@tAH1WqYKy8Y}UL)3EK35GlRGfiID)j`-^588R>1h{{F+6_$oyGZqTqD49-2FpSQ z+HRod=h%4r#H;6!^c8BoUE}QiBPwZCAG@e12Ya2j@VQBC3n|5#9C}+RBWiv@l#@uj zETir74-0cT==t-_;L@R6=3Diimifqd$reU)R2wa~jKa=>&hu()(|*@z&YcEjGf@S6QLJzlONTVyh5(_7$bK zy9Z6xk(T{}yVI4v?m6h23ZlOPb_3#kYL2Lv98oAqZLwaMb0jvw5a@}2N%-@&i;kC;W0pa3d0=s zOgPUl*td#le`DBkr_9ZJ&YWRfo*|xVR&QZ=b|+OUck8rMlyi>yGXFzR)OeT0-6k>=@fxdfli`(E|K^gnUz4tGC%F}Rsd07( zTlxMwGFdE&ni_9QmNh}>iQVzHW#T`tpg02E5h({}+VH~ye9!jsJ{v#y{#NS&AaRDZ>QgV-p8dxt+o3P(v80R_J zRL*srm1Fq=_Lw&TZEQ68eGZBVf4znEPQ$5lGKSlcO51cP@gAFw{wK2t`=k+GgtU(l zP`B<9R)INGKEenS1<3`M|G`l40?Fz2sGDO9z2%Gm`FeBT<1bw($?gzC5uARB_fC0` z9@Ugrp!Gk0GF$FC{1?#&RfGJ5jh`5SuZ8gk?ckHCbn{-@Dha`5LJErZxYYx?_uNl_ z0x<8VO9gfCH`&=7S&pW*>$*&4ec1Z;Lo7s{VXX@RjXX4W1GgC$YhE{Y*=srhe zEAbP8$#ka)7a!^oE+Lt~_kF6+t1}Jb+{3zQaMr%BDBxU27joHPvOPXY>AkN|hJ)Ae RbWyZ;oe^?r>wgQ;{eNw5n&)e zO@T?9hBn3GX-R&pytZNGKv30^V)Ydw+UCYKp*QKhQEgI4ZrY~UhBDtxz9hwWa=)uj zqt(pr%$zy%pZ`CzTt!pyLkr=n&s{@afUD6v2j%2A$ddr9Z z^7Hwx%-cRUG^g?=nEjXP(W;@!frZ}5+{bu3{scXZc=Q1b!C`nt3BKZh*S7!Wl2ilx*`>qChnl(Pr}N>vCmdkRzxUBu zu=;ACH>R0d%r4PdgKfXz3_Ic@$m*X9F3D$-{>$YSKi4Ihgtr{*+D;snIrplBh$`2whN6I8_8eE=Jvww)w>ph>Sl z^AM3N`vq9eY_VBWvKsYhB*}(I5cvi0kluvP^d;=XWyJjtT*Ddx3(s|3mD**3*{Z}R zkt%jUb3YhX*Fo5vP)V27Bg3AUN_yD+&ROtU=h+&n#lloeOd-#%60;;?M@n{s>ijlr zHQ9bz@@JsQ6v6#i7d}F836ZOkjYy}}{dd&T%|?kYN3X@%C?#5$+S+c=pE5FLDr29y zj8A0<-baKElCUfVEkhY%{T0I)X#AhCTlq6?KF%zQ60}S?MWT(^-(z()V&KpMBRYjW z!#1M3VQVVG#y}gP7`+I~nExG5a5L2(0Gx*HG26(Hu9uMO2KGGA8}XUZR0#V! z$iR=W-(el!4xZyb#+u_LaHo9m00W9jj{Cr~?>K50G>II+yBTN@v8_Q5tTj%N!M#ve zWkmOa*8d6k1*>lqdHPt5UkC67Xx26eNW|I;e$GOl(mh8luyaieWJ4*UK+VmpMa}N@V+=`n;ACo znU^5YXYn>};aUFy2l5QO1UlExF^^mS#NPHQZY%0w{^pv67LGmA>qce0FM;>_zKoek z*{Mtn>wE!n^Syk96z}Ez86tNgP3=U`%X{q(TZqIs(Y=)D^#TrV@iHtMhHpI^O`TcOh4=60<=lYRp8; zNIydR;ckQ3CLUw4_cFf>q*KN#xFy3FgL@un+!n#z&dRZ~LZHiv-zK!g*#cq@Vt>r) z8N+2g`=R(@!_2TKydZAn_py=iCMd=Spu$4iz>h3(8yMmdWXt7PA8-3^~ zJF}YeL_#5Ra?+ljZgtCAM~}4gDoFe&>GVs7MjIFl=7MdF-xR8+8onv)x!6Dw3T>JW z!VY_Uob*^-UxV5a(p3plBkWd~HcbZGZbwG05_u|OnIsQy@$h#f{Djw~%RFk8P?{^U zFZ15PS0qp56{&U~NzMSxxWS_^UMvwC3u_rG%V&^BvrqA5XpflZ)t-=bP-MPW)_uJ) zOd&J_mdPmslN`KmSZ`DxrQAePip#KJ+`h5o)MraK}C&l8# z8ss(4JNPvyu#Y5i3KpG?Es~#+`Lm+y4~jR?8y) z#1zVdgB$;gS^RGcFhWfGfximbw|JpfPAE0ksPea2KnqdZ0w(&y;*^Lc5 z8YTUYZx$B?bR;O4&TYEhxa(HK{QM= z0UFeDG#v=G*=1}qe$8p!Ww1k`nnp9mS$LcVSm$&lU-%Cc8no>Y+YuKSc4$>@B?gv& zoKj)NY86(}L!p&CaK%s-F9vRTG_*2|0jW@_u&XN{9In%#zqx+BxqieRnP}ZdaEH64 znI$#-PSE??-MEL}BaDzvI&m&sg3#4`sAh@BGVYFZbaG-be}UbL)A|`SaGh={TZFj{ z`3spt7cimvwp%KJ1rz69hsX8@zNGbKbkp_MVR@Eu1Vd^%$SnTz%gz;ihydl+1=}Pr zLLyA?+Tlv5jgiC8a;kmJ(HyktP@04CpmncU9ZPQ%@m&DyR|a>iPpRe`u4!{Z4ZKhu z4&N&-7>XU@JZ&j65VTW=I9)o#`6cVP>Zmoy@lJ;~)9KK#4{9R>pLeE=G&TG*L!jjrNB&7k)Dg-xBKhNe7(>)DQb@=9ulhg-X(&HOM+NRGv! zys07R(i}@Len-#~Re2i|bht^G?P#20VKO_vh9|KTf_v1N=Nq^c%BQF9bX1N`7lR&u z3<7a0@fZ{*76IPMApHWk-hx6hJZw)+wpt>~S;SM0;$v}VodCutqlW#?y)l~2GGZRa zc-@tc=;W0UsoNjY^K8_ zbY#31H1_+i^2kbux;sc zEdTX_NA~N^`&AqVO{su_U*)+vorhTXimq{I@IW+b1_Jhmhg!FDs$3^)1MdlSCK;#^ z^xXFZcd*haXt3o7!*+k$H+Zz0UoQ2N*kbV&ZMY3~MD1LT#-bBw zFIysW*aVl(zzOHH;~u?$2I<;cG8`rXQDKEkP+nyCO6&F-m@m@rl;?thaU~L z)mt9a<|yN2w%!*VaG*p(^CbC%!M%p-5a9<)(1#wcq^hnJg%bC;=2}x&$^Q*&*b5py zT^y81-&}!f(@W8E)pbr+aRcz57HRT*V8~rXj-r{GV=fquAGuu)PnB~>b-6rWIqGXK zvqK9TLdwc5?WEs2wh0^x(q!hX#0=M@*CpPGG-uOjg~eha1|5L??g4+FH82Fl1FTX3u0mY6H=Qz5w!JLf@4!EUD*5x1LbFS%A$%)% zZ)aN8@W)cMVJ_zm*Gr5|ba;#gOXy&I5meYwv&lAa{xxCU| z^+M5ZQ()X3BElRWDia#dG>`#<5AcM48r60X{-mV+z7g?52+%1og^;u+FZd zi~F`gbyId5Y#nYOqSg0Vp4M0EtRFaA<3WWR=U3aSlOD9gjvr#Fbl*{@8gB-auDG>< zI0}(pwD?lcDmRsA$4f!zDW26^_no43wWKSjoP=3l)-8mxrR+5TSKv9cgegZ=mWJLO zD$vZ?0Lz7zSRL&go{w&|*JQWA;Zf2>S0AK}F%ROm!QI15c?LX4W2LO$gS_7hvNPc! z+Xyb@TG-*`HFGHk2YpL8!krLw{^g>8OcLi5@poA3WI+Cm|3<2hrmq*l*Q1AMZ0P?z zUREm4hOfNtTE><9wQ0;83)y2MOn*Qy-pWJk`ML(e{~e=B2m51xfVB9h-sZcQt_}a9 z$UE>$S2jyJXediBj?s6U{-}rr{7%LmEO~8OA?Qcn@wt!wY{oTcXdo6HW6cx8G&GX? z)a|F)kP0nhV>Elw&A8&g9IPL>s>9RVHJr`T>@Xd^NXIVHkt;0mc@U<>6O5R~nizr8 zi7`4cN{2^Tb*tj}LBLF<>_Dn@rJ|`T75JUtaW;!-!$+KU(q=*+lB$4cCG}E0xdOqw znT~~}=%ik58;8WRVHTv_EHenE$+0K%t586wK)=^3eYu@#OOUBw=0!=fE*IcL38WQ# zT-B}9uG$^!zEq46vjp zP{|G$4WMz2j$08C{>^En2)1KMrjC5oESdHiyFh$JczEGel- z2f*Fp0!fW*EOrM!gnytQO=oCI54Poj5vCEX66r_Y&I=r|Iu;s*9Yd;EFGD3-$EZK! z-=yl%VibrnCMr<7r`2boMzC!R8S*Sawd6&x@s+wU9e%F@0_trgvpOP_^vmGwvCgpyQzrb**D1yxYOsfOoYaoIP|PfJvb^} zYNA)zmubofLqKjOOk+i%!&y2xPAA9c@GzaoRwMmje2E!5W5*Y_o_6Z(r=2s}e{_@A z{$z&E$_6_&M9kz(`B!Fq&yFo7V8_q+W;OylnMS31+6g{eQv_wt7Xf^$g8)0p-2>Y5 zw*%h{Vg&LVKs*ieFPC2c@e^=;A(aE;FH6ZUv_4m)d9y0&Yi1es5z^(LZwr=WBh z+xzq>XTgmHP^lPo!PAQ<9iLwOr^tE z>lv0Wmyfu$!N>xAu*td0)`O(aO5Ahv4)*rL)`;~$ zkzXOP$CSO0zmkh=!L02w@y-JN2SsRH an4vB~f$N0(VF7!OU@by#HUHoH&;JF4_m5`) literal 5571 zcmXX~33wdEm3~!y%uKhW?w%eU*4WZ$BpWmuVjad9L_S%EjWGg`jE7@{z*wxYVlE%y za+cUGj@TT=x2&@@t;0Pd8)1W!$Re0wfFKQL7q{=8tbXMKJ!uW>>1{QKMw=N_E*cFw=DE3!9dy_88^KXyH> zf9P~OH)*e`HuZMpWQLycX!_@A*QcG5^W_&MByDwkX}`msv^Ch?6R#KF5^9Av_$vN& zu8MmdSK~KP0FA@1;2=CIS3Ylpmo}aI!chwQSk>xIhtlE?=F;KkCv4y@xoz`8SU6J= zj(QDm)GF~dR<@ku+*a5}kl9xZio-WV`p$byzF2V#5#F@1+8{R5D4ua(V#G=-TYAC0 zmvlK{A2_5_#7N28K!4ghYz%uX@2PE2Dw+K&U`CO{q@M{A*g%O0rk&L?v73WTpoa`@ z17~y_s2x43rznI1jYgUU+7a^yDQ*HAG^;7us^5@Q~y=q@N2G0I`< zjN-rs>0w>0`vdO~G1%x5f?}mlKqh<%TI3q!iaY_TR1XD%>>IcR$_)~k2b$w~2#gVj zX*~fol80EVD^i5Iy(Gd!oFdW_;3DBuKErp)I&~g#|AdR!OTfg%%8bL131+Jjws?61Ku6J3+NS49!Cl zGlldmXc9$m7uJP8BKQK48OJat9cI_xP(v4!(q4mJYG+bPydgU5-3j_n{RtzMutv}0 zp#;HuiO@=VOjAbHI6=(6VE6-Q{O8!2_Bjq5XPO-&s5;eQk4$41 z8D-n=xBQWv&>TxJ8R%BXK~F+8v%l>jZh_|)0B2xRo8?cC&S#J^i(Pkz!#*PttHWij zr2hq6W;2g(0@v{uux5J(oUwUuH**vZIX(|udyk{#BSS>mk9RVs!NfA7E?DC~N20qS ztI!|W4O-tFVz6Z_R2o(}BcS9LxqVI6|7(o$keOindX81U)@pwph zH#iGTRL?aaW}cqML9p{W+RMQ-w~qO4*fY)HN1$w!pd~0PTndoMyM|tXHMoQr66e}F zXbdK-gkQop?;sIVvSUr!01bi_A-&)54VdkT6-Jsh$1gcY1||GaB1XEbgpc&F*^H&! zgUtU!G?u~Xg{Jf|4?pF!=myA&9^kPmIHjNPtFVtmqP#ZL4>{o&e~>w;>>-jFUCb*P z%`d>TBk*`tms3flF=XKnJdsFkw}*qm?brcC)a=cHVOelujY@$&q0r z!6qJk1}b_@-ogz$8{cn3uKs60=lVEiaq|++Gzz(9)XMD51%w8UeG+z}{O~i7`Lr)# zBw|)9(S~(C13CFHUmy*J`LYC&I*{h+KoI6LtyWy_BVE;8LComHTBirHI!F06EChVT zoNGIaC}#aw0WS3sahXsNF&*n6$8iKunO#pSvoA<%gF>@o4783nKnpMBsyxJ4E@amx z!bZ3cp?z?(pXnwJu-MzfSA*l6|9RYyV2Hu(KpM9}a2l)(#Dt1Yv;8Wep`9(D?H*j# zW_JGp=XdXeoO}I7f<@s+Vl%&&Ny2wP4n6<{CfW#P$P_n%TRg&4=za&Z?$pRj8>Kzd}_ zq*lTf>%s-nZFc?`$|p#t7p5oJs}OCPt87_;+$k?|6+{ye9^U2QZ%8=B>yGn0YILB} zT()(d&m4K);R-+RDBnvW^Fi~^@+gF_mWYLgHIhN3Un7?`JjCatU1D0M_aR9KS>nTz z?h8vWjnI6kmSY4iaF9gV;m;&=6!PH>l$R5E1Gz4}fpk_Hu3;c6x}jVl;%PZ4%#!}f z78%@BP0DX0_$!75P(DT4tEF920n$z9k|6;rZ==k@vB+jyg+b7tV4mk`8%iK_6GA7& zoSvId=FkT={w7qhm-M7$EZS`w9Aym59}|_2<;;q3WaMAWWwNpIds)6N>>-g2woG}0 ztyuEii{Wk1#sXlQ7WsCll4GUsqpXkW#;lQHmZ`pCx*lnPBO~MpL+T(wC0I=%Ko7|4 zSvy3I7=ovMgoCp9SV+Pivvn2U90-zDvrPiM&5gBSn~WvUxGlD^D?h>2FcnmwSAbEm;OQP3mx?HYv2m~EX!?)lqACh)@>Fd-3nA2hRBx@)F z)vo8OnF4>xu&c9+z-fMhb)yk`aAwKEPu%BH|k@S|5+Q^ ziQQHtLi&D^mTBatX^9hQ_*eG2aAnJNCVvt8m{ZVLjD{1mC>E-08SDh@@D03rc$e)W zL_#!CN9(;Q8n3HtvGUnwyv}J|`LIQ%UN24fWAGrYV}s*fKI`u&IARS4*^VgSwt}J5 zDh!N(45=``QH52sFSv>aE(h`lbAYRf1XqPHAQikatCe)HDQ7@`bK^#1~!S9;@MAs2@mXBkxm1p^nU)AP!neuF+5_$>zT%%@3F5Gf&?hP zwz94GhB~(V@6%Q6+6V~jze6T!#u9q%KhYchb=SFVbkzDC=V0-f&FEF zoce2M!bko7m1&F4dzPi`3^5DGX>=G$_?EEEb8mofVu#m zRTqw1;SsnyoM73z?-(OU%e4IwB3Uu!vja9>9jL;t)d9XJvHTzQg1U3N(zPS|;l(4& z*W+Fq3m=7|i1$6vjx7N7*!BD?MbuwU>tk&1|_mWRd~%IL2!)eNE>s)uBT3n3t{KWxJ~U1`3;Ij-Uc7+0XHdL6{afhh1ym z2CxD1O|ZBRZj!ThGjitjS@pg~4C%6TJH8EDK4RXEg~IG2KCvsUHm!~r-I9})i@;oh zdLzu?sn1Wn^qx5Q}7OZ~C=C{MneQ;s63A`)qAs=P$f`jf(vA<|ze=&7d!XT8@hXs}^mvrNxCekYH1@-@;K(snh!VzWUE55Q&8 z+J^_|19B< zlBJujxy;xQ>7wuag!ccevZcy&p%z;{C$aTDX@di~8umG)6K?J$yaW?Inv1@4WlE~5 ztjuz74{FLySzi9HSi_m1;WIgv66q}#xE4JR*Qm-{x{8+r|4p_g-41T4GuxKEK(iHt z+xDDOvAGKE!>XdVelXOJ_pn0?6XA$U4F>5m2kroyj5LW^t7pD)AyW}=V6D}ejNj*Q z+w*uChh!bD zQXU5-Kjg5v9y)v0xVgd#J(0cB5Eym`iE#NmlrPkd21vh~uMi0T2r6$S{7HxQ=1E6^ zY36~;+>>3juJS+f)FpjsWoKVaeTJ7<>polt`JXHUgd2q3R~XZxHwI}_?G9D!(i+B7 zblvd1!V;_L>w7@GbPuc@UO`vCx)F++k{h9UJU~RV_uDkBx7=RUA1igCtXr?W(rP^G zLR+lCK1QW`kJ{D20HD(aR|beJ3zdl`p9fmS9l6@^JP^8b7M@=5v+T9Cq%$R-goWuV zmO}oG>=J;>a2>jVWsW6D8vJB9Lo*fu)CdjH74*b-3A)m{E4cv{I z2Xo|a`8#8;(zp0*Xo~dF=-B^TJU>rf1V30^spfM3(lljE1+A$GmVZESzng}F{E`6S z|Avv*#{RJ;k=FiAX5dbi*TzS(Gy7jt;z`mI31s+ zQ`26m|1KZOJah*_reye+Z zsjX^5JFS9SA@aup`W?o%PG))di%_eU8h>S&Izus6*;j^COz z7NPN2Wy=I|Zz@z6J^!iSsAHt3&dUxM%R%F6ZC7F<{JY(Z5o|@zvh2tgF4Xy1mli9} z&}>7HWBVu%`S==ZjP!UBT$5W6uLEa;0uE33)*NU3m+*H~PvZ$1E2?Zs19ymqyTM{NvUsd>f`5Xitz-YM+lxqh{J#vuq|o@OrPj+!h#H;vOflas2J{BHib ziCKCf_@%D3u7Xcm*}LEb9iL*5AKa=4Cq%f6J1~BMPEF9JY57J@KlBPKE8S|~C|*y4 zLH6n8uxnzH-pP{CR6U0to2MRpU;LtpUSdC%Qzn=Pq!z#&mSsAgq;nVO+!P%jr?bf- zq#qo-!HAApgR2|Q*mdKKeZKMBWtqcY&DUAE#fpv*BXU{#f6sr)imoPL4UW!RPz&s2 zdd#uTAUIZ<4f#8=0q$=lz)o`OK-;kb_yCCeA$>WBXQ1S~=OYlm0_A&$2N-^ta(b5e zo$T-}EC?)L=&lNrUZ*rEF>2hIeyv1sF;clrTmdiNlv~wXtdyWH9%WRkp52jrDZ;M> zh6|1^>~dVkU3bb$Y(GjzPl(saXGzaxPR%T5;?3fVTs@nu$BV%G66a|EM^barK-E1-Ni>FLiporu#!ePv4++&i4bWnr^zRLE+Z zTC|Q4rj$G*a&ZCwU4ahgN*^7pzaVl~a|+?SdhaGq?-kHBGT~oPm$2t|>B>{wRDsDK zScDGqs7Q0Gsbc5qj~6M6(VuVgdyQCp52tY**u`y^_&r>$w}kM|PfHJbITQ5*zJeqC1!JWSsH2E;!r(X95*wj7)m|MxoRf$YCb{Q zPG-Zi;OhEFgJ-$A1g%eem|eDm9L?2*MEyZZcJ3X!kh