68030tk/Logic/synlog/report/BUS68030_compiler_errors.txt

4 lines
160 B
Plaintext
Raw Normal View History

2015-03-28 21:03:17 +00:00
@E: CD255 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":543:65:543:65|No identifier "a2" in scope
@E|Parse errors encountered - exiting