diff --git a/Logic/68030_TK.tcl b/Logic/68030_TK.tcl index ac379f4..e79e02d 100644 --- a/Logic/68030_TK.tcl +++ b/Logic/68030_TK.tcl @@ -407498,3 +407498,1650 @@ if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 6 ########## Tcl recorder end at 10/06/16 21:34:26 ########### + +########## Tcl recorder starts at 10/06/16 21:37:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:37:44 ########### + + +########## Tcl recorder starts at 10/06/16 21:37:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:37:44 ########### + + +########## Tcl recorder starts at 10/06/16 21:39:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:39:08 ########### + + +########## Tcl recorder starts at 10/06/16 21:39:09 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:39:09 ########### + + +########## Tcl recorder starts at 10/06/16 21:40:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:40:34 ########### + + +########## Tcl recorder starts at 10/06/16 21:40:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:40:34 ########### + + +########## Tcl recorder starts at 10/06/16 21:41:18 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:41:18 ########### + + +########## Tcl recorder starts at 10/06/16 21:41:18 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:41:18 ########### + + +########## Tcl recorder starts at 10/06/16 21:42:41 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:42:41 ########### + + +########## Tcl recorder starts at 10/06/16 21:42:41 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:42:41 ########### + + +########## Tcl recorder starts at 10/06/16 21:44:14 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:44:14 ########### + + +########## Tcl recorder starts at 10/06/16 21:44:15 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:44:15 ########### + + +########## Tcl recorder starts at 10/06/16 21:46:47 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:46:47 ########### + + +########## Tcl recorder starts at 10/06/16 21:46:47 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:46:47 ########### + + +########## Tcl recorder starts at 10/06/16 21:52:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:52:03 ########### + + +########## Tcl recorder starts at 10/06/16 21:52:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 21:52:03 ########### + + +########## Tcl recorder starts at 10/06/16 22:03:42 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 22:03:42 ########### + + +########## Tcl recorder starts at 10/06/16 22:03:43 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 10/06/16 22:03:43 ########### + diff --git a/Logic/68030_tk.bl2 b/Logic/68030_tk.bl2 index fcada08..1c99faf 100644 --- a/Logic/68030_tk.bl2 +++ b/Logic/68030_tk.bl2 @@ -1,113 +1,109 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Oct 06 21:34:55 2016 +#$ DATE Thu Oct 06 22:04:11 2016 #$ MODULE 68030_tk #$ PINS 75 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 \ -# DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 SIZE_0_ \ -# CLK_000 AHIGH_30_ CLK_OSZI AHIGH_29_ CLK_DIV_OUT AHIGH_28_ CLK_EXP AHIGH_27_ FPU_CS \ -# AHIGH_26_ FPU_SENSE AHIGH_25_ DSACK1 AHIGH_24_ DTACK A_DECODE_22_ AVEC A_DECODE_21_ E \ -# A_DECODE_20_ VPA A_DECODE_19_ VMA A_DECODE_18_ RST A_DECODE_17_ RESET A_DECODE_16_ RW \ -# A_DECODE_15_ AMIGA_ADDR_ENABLE A_DECODE_14_ AMIGA_BUS_DATA_DIR A_DECODE_13_ \ -# AMIGA_BUS_ENABLE_LOW A_DECODE_12_ AMIGA_BUS_ENABLE_HIGH A_DECODE_11_ CIIN \ -# A_DECODE_10_ A_DECODE_9_ A_DECODE_8_ A_DECODE_7_ A_DECODE_6_ A_DECODE_5_ A_DECODE_4_ \ +# DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 SIZE_0_ CLK_030 \ +# AHIGH_30_ CLK_000 AHIGH_29_ CLK_OSZI AHIGH_28_ CLK_DIV_OUT AHIGH_27_ CLK_EXP AHIGH_26_ \ +# FPU_CS AHIGH_25_ FPU_SENSE AHIGH_24_ DSACK1 A_DECODE_22_ DTACK A_DECODE_21_ AVEC \ +# A_DECODE_20_ E A_DECODE_19_ VPA A_DECODE_18_ VMA A_DECODE_17_ RST A_DECODE_16_ RESET \ +# A_DECODE_15_ RW A_DECODE_14_ AMIGA_ADDR_ENABLE A_DECODE_13_ AMIGA_BUS_DATA_DIR \ +# A_DECODE_12_ AMIGA_BUS_ENABLE_LOW A_DECODE_11_ AMIGA_BUS_ENABLE_HIGH A_DECODE_10_ \ +# CIIN A_DECODE_9_ A_DECODE_8_ A_DECODE_7_ A_DECODE_6_ A_DECODE_5_ A_DECODE_4_ \ # A_DECODE_3_ A_DECODE_2_ A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ FC_0_ A_1_ -#$ NODES 601 nEXP_SPACE_i N_171_i CLK_030_H_i FPU_SENSE_i N_121_i AS_030_i N_255_0 \ -# AS_000_DMA_i un1_SM_AMIGA_0_sqmuxa_1_0 AS_000_i N_48_0 AS_000_INT_i N_125_i \ -# DSACK1_INT_i N_126_i inst_BGACK_030_INTreg clk_000_d_i_0__n vcc_n_n \ -# clk_000_d_i_3__n N_127_i un5_e clk_000_d_i_1__n N_128_i inst_VMA_INTreg \ -# cpu_est_i_2__n gnd_n_n cpu_est_i_3__n RW_c_i un1_amiga_bus_enable_low \ -# a_decode_i_16__n pos_clk_rw_000_int_5_0_n un7_as_030 a_decode_i_18__n N_129_i \ -# un1_UDS_000_INT a_decode_i_19__n un1_LDS_000_INT ahigh_i_30__n \ -# un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_31__n un10_ciin_i un1_DS_000_ENABLE_0_sqmuxa \ -# ahigh_i_28__n N_261_0 un10_ciin ahigh_i_29__n N_65_0 un21_fpu_cs ahigh_i_26__n \ -# N_134_i un21_berr ahigh_i_27__n N_153_i un6_ds_030 ahigh_i_24__n N_67_0 cpu_est_3_ \ -# ahigh_i_25__n un2_as_030_i cpu_est_0_ N_206_i N_263_i cpu_est_1_ N_207_i N_265_i \ -# cpu_est_2_ N_208_i AS_030_000_SYNC_i inst_AMIGA_BUS_ENABLE_DMA_LOW N_84_0 \ -# inst_AS_030_D0 clk_000_d_i_2__n inst_AS_030_000_SYNC N_81_i N_85_i \ -# inst_BGACK_030_INT_D un6_ds_030_i N_141_i inst_AS_000_DMA DS_000_DMA_i \ -# un1_DS_000_ENABLE_0_sqmuxa_i inst_DS_000_DMA N_147_i \ -# pos_clk_un21_bgack_030_int_i_0_i_n CYCLE_DMA_0_ N_145_i N_269_i CYCLE_DMA_1_ \ -# un7_as_030_i N_90_i inst_VPA_D RESET_OUT_i N_270_i CLK_000_D_2_ AS_030_c N_271_0 \ -# CLK_000_D_3_ N_96_0 inst_DTACK_D0 AS_000_c N_97_0 inst_RESET_OUT N_98_0 CLK_000_D_1_ \ -# RW_000_c N_282_i CLK_000_D_0_ N_284_i inst_CLK_OUT_PRE_50 \ -# pos_clk_un14_clk_000_ne_i_n inst_CLK_OUT_PRE_D UDS_000_c un5_e_0 IPL_D0_0_ N_285_i \ -# IPL_D0_1_ LDS_000_c N_291_i IPL_D0_2_ N_292_i CLK_000_D_4_ size_c_0__n N_192_i \ -# pos_clk_un6_bg_030_n N_17_i inst_AMIGA_BUS_ENABLE_DMA_HIGH size_c_1__n \ -# cpu_est_2_0_2__n pos_clk_ipl_n N_286_i SM_AMIGA_1_ ahigh_c_24__n N_288_i \ -# AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa cpu_est_2_0_1__n inst_UDS_000_INT \ -# ahigh_c_25__n N_289_i inst_DS_000_ENABLE N_290_i inst_LDS_000_INT ahigh_c_26__n \ -# pos_clk_un9_clk_000_pe_0_n SM_AMIGA_6_ N_280_i SM_AMIGA_4_ ahigh_c_27__n \ -# pos_clk_un10_sm_amiga_i_n SM_AMIGA_0_ a_c_i_0__n SIZE_DMA_0_ ahigh_c_28__n \ -# size_c_i_1__n SIZE_DMA_1_ N_27_i inst_RW_000_INT ahigh_c_29__n N_30_0 \ -# inst_RW_000_DMA N_26_i RST_DLY_0_ ahigh_c_30__n N_29_0 RST_DLY_1_ N_25_i RST_DLY_2_ \ -# ahigh_c_31__n N_28_0 inst_A0_DMA ipl_c_i_2__n pos_clk_un9_clk_000_pe_n N_51_0 \ -# inst_CLK_030_H ipl_c_i_1__n pos_clk_rw_000_int_5_n N_50_0 inst_DSACK1_INT \ -# ipl_c_i_0__n inst_AS_000_INT N_49_0 SM_AMIGA_5_ N_4_i SM_AMIGA_3_ N_44_0 SM_AMIGA_2_ \ -# N_14_i N_4 N_41_0 N_15_i N_40_0 N_9 N_16_i N_39_0 N_18_i N_37_0 N_14 N_21_i N_15 N_34_0 N_16 \ -# N_23_i N_18 N_32_0 N_21 LDS_000_INT_i N_23 un1_LDS_000_INT_0 N_25 UDS_000_INT_i N_26 \ -# un1_UDS_000_INT_0 N_27 N_96_0_1 N_96_0_2 N_96_0_3 \ -# pos_clk_un21_bgack_030_int_i_0_i_1_n N_84_0_1 a_decode_c_16__n N_84_0_2 N_240_0_1 \ -# a_decode_c_17__n pos_clk_un10_sm_amiga_i_1_n N_289_1 a_decode_c_18__n N_289_2 \ -# N_290_1 a_decode_c_19__n N_290_2 pos_clk_un14_clk_000_ne_1_n a_decode_c_20__n \ -# pos_clk_un14_clk_000_ne_2_n N_153_1 a_decode_c_21__n N_153_2 N_153_3 \ -# a_decode_c_22__n N_153_4 N_153_5 a_decode_c_23__n un10_ciin_1 un10_ciin_2 a_c_0__n \ -# un10_ciin_3 un10_ciin_4 a_c_1__n un10_ciin_5 un10_ciin_6 SM_AMIGA_i_7_ nEXP_SPACE_c \ -# un10_ciin_7 cpu_est_2_1__n un10_ciin_8 cpu_est_2_2__n BERR_c un10_ciin_9 G_107 \ -# un10_ciin_10 G_108 BG_030_c un10_ciin_11 G_109 N_260_i_1 \ -# pos_clk_un21_bgack_030_int_i_0_n BG_000DFFreg N_260_i_2 N_81 N_233_i_1 N_94 \ -# N_233_i_2 N_254 BGACK_000_c N_232_i_1 N_255 N_232_i_2 N_261 CLK_030_c N_247_1 N_65 \ -# N_77_1 N_67 N_83_1 N_269 N_88_1 N_108 CLK_OSZI_c N_142_i_1 N_135 N_146_i_1 N_136 \ -# N_234_i_1 N_145 CLK_OUT_INTreg pos_clk_un6_bg_030_1_n N_278 N_124_1 N_147 un21_berr_1 \ -# N_58 FPU_SENSE_c un21_fpu_cs_1 N_110 N_140_i_1 N_239 IPL_030DFF_0_reg N_154_i_1 N_90 \ -# N_152_i_1 N_265 IPL_030DFF_1_reg N_150_i_1 pos_clk_CYCLE_DMA_5_1_i_x2 N_148_i_1 \ -# pos_clk_un21_bgack_030_int_i_0_x2 IPL_030DFF_2_reg N_144_i_1 \ -# pos_clk_un19_bgack_030_int_n N_255_0_1 N_280 ipl_c_0__n N_258_i_1 N_263 N_259_i_1 \ -# N_247 ipl_c_1__n N_282_1 N_77 N_284_1 N_289 ipl_c_2__n N_288_1 N_291 un5_e_0_1 N_290 \ -# N_192_i_1 N_286 DTACK_c pos_clk_ipl_1_n N_288 bg_000_0_un3_n N_285 bg_000_0_un1_n N_17 \ -# bg_000_0_un0_n N_292 VPA_c amiga_bus_enable_dma_low_0_un3_n \ -# pos_clk_un14_clk_000_ne_n amiga_bus_enable_dma_low_0_un1_n N_282 \ -# amiga_bus_enable_dma_low_0_un0_n N_284 RST_c a0_dma_0_un3_n N_98 a0_dma_0_un1_n N_97 \ -# a0_dma_0_un0_n N_84 RW_c rw_000_dma_0_un3_n N_96 rw_000_dma_0_un1_n N_271 fc_c_0__n \ -# rw_000_dma_0_un0_n N_117 bgack_030_int_0_un3_n N_141 fc_c_1__n \ -# bgack_030_int_0_un1_n N_134 bgack_030_int_0_un0_n N_153 ds_000_dma_0_un3_n N_129 \ -# AMIGA_BUS_DATA_DIR_c ds_000_dma_0_un1_n N_127 ds_000_dma_0_un0_n N_128 \ -# size_dma_0_1__un3_n N_125 size_dma_0_1__un1_n N_126 size_dma_0_1__un0_n N_124 \ -# BG_030_c_i size_dma_0_0__un3_n N_121 pos_clk_un6_bg_030_i_n size_dma_0_0__un1_n \ -# N_171 pos_clk_un9_bg_030_0_n size_dma_0_0__un0_n N_120 N_24_i \ -# un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_119 N_31_0 \ -# un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_118 N_22_i \ -# un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_116 N_33_0 cpu_est_0_1__un3_n N_114 \ -# N_20_i cpu_est_0_1__un1_n N_115 N_35_0 cpu_est_0_1__un0_n N_243 N_19_i \ -# cpu_est_0_2__un3_n N_240 N_36_0 cpu_est_0_2__un1_n N_88 N_8_i cpu_est_0_2__un0_n N_89 \ -# N_42_0 cpu_est_0_3__un3_n N_82 N_3_i cpu_est_0_3__un1_n N_83 N_45_0 \ -# cpu_est_0_3__un0_n N_78 VPA_c_i ipl_030_0_0__un3_n N_79 N_52_0 ipl_030_0_0__un1_n \ -# N_91 DTACK_c_i ipl_030_0_0__un0_n N_244 N_53_0 ipl_030_0_1__un3_n N_62 \ -# ipl_030_0_1__un1_n N_64 N_249_i ipl_030_0_1__un0_n N_59 N_248_i ipl_030_0_2__un3_n \ -# N_61 N_247_i ipl_030_0_2__un1_n N_163 ipl_030_0_2__un0_n N_245 N_77_i \ -# uds_000_int_0_un3_n N_242 N_251_i uds_000_int_0_un1_n N_246 N_76_i \ -# uds_000_int_0_un0_n N_248 amiga_bus_enable_dma_high_0_un3_n N_236 N_131_i \ -# amiga_bus_enable_dma_high_0_un1_n N_249 N_130_i amiga_bus_enable_dma_high_0_un0_n \ -# N_92 N_264_i as_000_dma_0_un3_n N_251 N_170_i as_000_dma_0_un1_n N_76 \ -# pos_clk_un6_bgack_000_0_n as_000_dma_0_un0_n N_80 pos_clk_rw_000_dma_3_0_n \ -# ds_000_enable_0_un3_n pos_clk_a0_dma_3_n N_123_i ds_000_enable_0_un1_n \ -# SIZE_DMA_3_sqmuxa N_124_i ds_000_enable_0_un0_n N_87 AMIGA_BUS_DATA_DIR_c_0 \ -# lds_000_int_0_un3_n pos_clk_size_dma_6_1__n N_122_i lds_000_int_0_un1_n \ -# pos_clk_size_dma_6_0__n pos_clk_ds_000_dma_4_0_n lds_000_int_0_un0_n N_170 N_242_i \ -# as_030_000_sync_0_un3_n N_122 N_239_i as_030_000_sync_0_un1_n N_123 N_87_i \ -# as_030_000_sync_0_un0_n N_130 N_236_0 rw_000_int_0_un3_n pos_clk_ds_000_dma_4_n \ -# N_246_i rw_000_int_0_un1_n pos_clk_rw_000_dma_3_n pos_clk_size_dma_6_0_0__n \ -# rw_000_int_0_un0_n pos_clk_un6_bgack_000_n N_245_i vma_int_0_un3_n N_131 \ -# pos_clk_size_dma_6_0_1__n vma_int_0_un1_n N_3 N_91_i vma_int_0_un0_n N_8 N_210_i \ -# a_decode_15__n N_19 pos_clk_un19_bgack_030_int_i_n N_20 N_163_0 a_decode_14__n N_22 \ -# N_59_i N_24 N_61_i a_decode_13__n pos_clk_un9_bg_030_n un1_amiga_bus_enable_low_i \ -# N_62_i a_decode_12__n un21_fpu_cs_i N_64_i BGACK_030_INT_i a_decode_11__n \ -# AMIGA_BUS_ENABLE_DMA_LOW_i N_244_i N_80_i a_decode_10__n cycle_dma_i_0__n N_78_i_0 \ -# RW_000_i N_79_i a_decode_9__n rst_dly_i_0__n rst_dly_i_1__n N_82_i a_decode_8__n \ -# rst_dly_i_2__n N_83_i LDS_000_i N_55_0 a_decode_7__n UDS_000_i N_88_i sm_amiga_i_2__n \ -# N_89_i a_decode_6__n N_58_i N_240_0 sm_amiga_i_3__n N_243_0 a_decode_5__n \ -# cpu_est_i_1__n CLK_030_c_i cpu_est_i_0__n N_254_0 a_decode_4__n sm_amiga_i_1__n \ -# N_114_i N_110_i N_115_i a_decode_3__n a_i_1__n VMA_INT_i N_116_i a_decode_2__n VPA_D_i \ -# N_117_i DTACK_D0_i AS_030_D0_i N_118_i sm_amiga_i_0__n sm_amiga_i_i_7__n N_119_i \ -# sm_amiga_i_6__n sm_amiga_i_5__n N_120_i sm_amiga_i_4__n +#$ NODES 600 rst_dly_i_1__n N_41_0 sm_amiga_i_5__n a_c_i_0__n rst_dly_i_0__n \ +# size_c_i_1__n sm_amiga_i_i_7__n pos_clk_un10_sm_amiga_i_n AS_030_D0_i un1_as_000_i \ +# AS_000_INT_i un10_ciin_i a_i_1__n N_260_0 a_decode_i_16__n N_229_i \ +# inst_BGACK_030_INTreg a_decode_i_18__n N_230_i vcc_n_n a_decode_i_19__n N_298_0 \ +# inst_VMA_INTreg ahigh_i_30__n N_48_0 gnd_n_n ahigh_i_31__n N_299_i \ +# un1_amiga_bus_enable_low ahigh_i_28__n N_345_i un7_as_030 ahigh_i_29__n N_349_i \ +# un1_UDS_000_INT ahigh_i_26__n un1_DS_000_ENABLE_0_sqmuxa_i un1_LDS_000_INT \ +# ahigh_i_27__n N_180_i un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_24__n N_181_i un10_ciin \ +# ahigh_i_25__n N_326_i un21_fpu_cs N_206_i un21_berr N_207_i N_186_i un6_ds_030 N_208_i \ +# N_163_i cpu_est_2_ N_197_0 cpu_est_3_ N_79_i N_213_i cpu_est_0_ N_78_i N_214_i \ +# cpu_est_1_ un6_ds_030_i N_215_i inst_AMIGA_BUS_ENABLE_DMA_LOW N_165_i \ +# inst_AS_030_D0 N_169_i N_199_i inst_AS_030_000_SYNC un7_as_030_i N_191_0 \ +# inst_BGACK_030_INT_D AMIGA_BUS_ENABLE_DMA_LOW_i N_275_i inst_AS_000_DMA AS_030_c \ +# N_187_0 inst_DS_000_DMA LDS_000_c_i CYCLE_DMA_0_ AS_000_c UDS_000_c_i CYCLE_DMA_1_ \ +# N_184_i inst_VPA_D RW_000_c clk_000_d_i_4__n CLK_000_D_2_ N_171_i CLK_000_D_4_ \ +# AS_030_000_SYNC_i inst_DTACK_D0 UDS_000_c N_161_i inst_RESET_OUT CLK_000_D_1_ \ +# LDS_000_c N_113_0 CLK_000_D_0_ N_338_i inst_CLK_OUT_PRE_50 size_c_0__n N_339_i \ +# inst_CLK_OUT_PRE_D IPL_D0_0_ size_c_1__n N_335_i IPL_D0_1_ N_336_i IPL_D0_2_ \ +# ahigh_c_24__n CLK_000_D_3_ N_334_i CLK_000_D_5_ ahigh_c_25__n \ +# pos_clk_size_dma_6_0_1__n pos_clk_un6_bg_030_n N_333_i \ +# inst_AMIGA_BUS_ENABLE_DMA_HIGH ahigh_c_26__n pos_clk_size_dma_6_0_0__n \ +# pos_clk_ipl_n N_295_i SM_AMIGA_1_ ahigh_c_27__n N_332_i inst_UDS_000_INT \ +# inst_DS_000_ENABLE ahigh_c_28__n N_292_i inst_LDS_000_INT N_302_0 \ +# pos_clk_un9_bg_030_n ahigh_c_29__n N_300_0 SM_AMIGA_6_ N_290_i SM_AMIGA_4_ \ +# ahigh_c_30__n SM_AMIGA_0_ N_273_i SIZE_DMA_0_ ahigh_c_31__n SIZE_DMA_1_ N_327_i \ +# inst_RW_000_INT inst_RW_000_DMA N_270_i RST_DLY_0_ RST_DLY_1_ N_269_i RST_DLY_2_ \ +# inst_A0_DMA N_267_i pos_clk_a0_dma_3_n N_324_i inst_CLK_030_H \ +# pos_clk_rw_000_int_5_n un1_SM_AMIGA_0_sqmuxa_1_0 inst_DSACK1_INT N_319_i \ +# inst_AS_000_INT N_320_i SM_AMIGA_5_ SM_AMIGA_3_ RW_c_i SM_AMIGA_2_ \ +# pos_clk_rw_000_int_5_0_n N_227_i N_297_0 N_15_i N_40_0 N_16_i N_15 N_39_0 N_16 N_19_i \ +# N_19 N_36_0 N_20 N_20_i N_22 N_35_0 N_23 N_22_i N_24 N_33_0 N_23_i N_32_0 a_decode_c_16__n \ +# N_24_i N_31_0 a_decode_c_17__n BG_030_c_i pos_clk_un6_bg_030_i_n a_decode_c_18__n \ +# pos_clk_un9_bg_030_0_n N_161_i_1 a_decode_c_19__n N_161_i_2 N_161_i_3 \ +# a_decode_c_20__n N_161_i_4 N_233_i_1 a_decode_c_21__n N_233_i_2 N_180_i_1 \ +# a_decode_c_22__n N_180_i_2 pos_clk_un10_sm_amiga_i_1_n a_decode_c_23__n N_196_0_1 \ +# N_188_i_1 a_c_0__n un10_ciin_1 un10_ciin_2 a_c_1__n un10_ciin_3 un10_ciin_4 \ +# nEXP_SPACE_c un10_ciin_5 SM_AMIGA_i_7_ un10_ciin_6 pos_clk_size_dma_6_0__n BERR_c \ +# un10_ciin_7 pos_clk_size_dma_6_1__n un10_ciin_8 G_107 BG_030_c un10_ciin_9 G_108 \ +# un10_ciin_10 G_109 BG_000DFFreg un10_ciin_11 N_171_i_1 N_78 N_171_i_2 N_79 BGACK_000_c \ +# N_227_1 N_260 N_227_2 N_139 CLK_030_c N_227_3 N_141 un21_fpu_cs_1 N_165 un21_berr_1_0 \ +# N_169 N_235_i_1 N_297 CLK_OSZI_c N_235_i_2 N_256_1 N_300 N_256_2 N_302 CLK_OUT_INTreg \ +# N_232_i_1 N_113 N_232_i_2 N_305 N_219_1 N_155 FPU_SENSE_c N_219_2 N_163 N_218_1 N_166 \ +# IPL_030DFF_0_reg N_218_2 N_171 N_347_1 N_180 IPL_030DFF_1_reg N_347_2 N_184 N_136_i_1 \ +# N_191 IPL_030DFF_2_reg N_146_i_1 N_199 N_142_i_1 N_205 ipl_c_0__n N_234_i_1 N_306 \ +# N_302_0_1 N_215 ipl_c_1__n N_63_i_1 N_221 N_154_i_1 N_227 ipl_c_2__n N_152_i_1 N_230 \ +# N_148_i_1 N_319 N_144_i_1 N_320 DTACK_c N_140_i_1 N_267 pos_clk_un6_bg_030_1_n N_324 \ +# N_230_1 N_269 N_221_1 N_270 VPA_c N_215_1 N_327 N_306_1 N_273 pos_clk_ipl_1_n N_275 RST_c \ +# ipl_030_0_2__un3_n N_290 ipl_030_0_2__un1_n N_292 ipl_030_0_2__un0_n N_295 RW_c \ +# ipl_030_0_1__un3_n N_332 ipl_030_0_1__un1_n N_333 fc_c_0__n ipl_030_0_1__un0_n N_334 \ +# ipl_030_0_0__un3_n N_335 fc_c_1__n ipl_030_0_0__un1_n N_336 ipl_030_0_0__un0_n N_338 \ +# cpu_est_0_3__un3_n N_339 AMIGA_BUS_DATA_DIR_c cpu_est_0_3__un1_n N_350 \ +# cpu_est_0_3__un0_n pos_clk_CYCLE_DMA_5_1_i_x2 vma_int_0_un3_n N_161 \ +# vma_int_0_un1_n N_213 vma_int_0_un0_n N_214 VPA_c_i cpu_est_0_1__un3_n N_197 N_52_0 \ +# cpu_est_0_1__un1_n N_159 DTACK_c_i cpu_est_0_1__un0_n N_326 N_53_0 \ +# cpu_est_0_2__un3_n un21_berr_1 ipl_c_i_0__n cpu_est_0_2__un1_n N_181 N_49_0 \ +# cpu_est_0_2__un0_n pos_clk_un21_bgack_030_int_i_i_a2_i_x2 ipl_c_i_1__n \ +# ds_000_dma_0_un3_n un1_DS_000_ENABLE_0_sqmuxa N_50_0 ds_000_dma_0_un1_n N_349 \ +# ipl_c_i_2__n ds_000_dma_0_un0_n N_345 N_51_0 as_000_dma_0_un3_n N_229 N_25_i \ +# as_000_dma_0_un1_n N_14 N_28_0 as_000_dma_0_un0_n N_21 N_26_i bgack_030_int_0_un3_n \ +# N_3 N_29_0 bgack_030_int_0_un1_n N_301 N_27_i bgack_030_int_0_un0_n N_4 N_30_0 \ +# ds_000_enable_0_un3_n N_303 N_222_i ds_000_enable_0_un1_n N_8 N_223_i \ +# ds_000_enable_0_un0_n pos_clk_un6_bgack_000_n N_192_i uds_000_int_0_un3_n N_9 \ +# N_231_i uds_000_int_0_un1_n N_65 N_237_i uds_000_int_0_un0_n N_217 \ +# lds_000_int_0_un3_n N_216 N_342_i lds_000_int_0_un1_n N_248 N_341_i \ +# lds_000_int_0_un0_n N_198 un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_291 \ +# N_160_0 un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_353 N_164_i \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_256 VMA_INT_i \ +# amiga_bus_enable_dma_high_0_un3_n N_18 N_347_i amiga_bus_enable_dma_high_0_un1_n \ +# pos_clk_un9_clk_000_pe_n N_348_i amiga_bus_enable_dma_high_0_un0_n cpu_est_2_1__n \ +# N_188_i bg_000_0_un3_n cpu_est_2_2__n N_245_0 bg_000_0_un1_n N_209 N_194_0 \ +# bg_000_0_un0_n N_211 N_196_0 size_dma_0_0__un3_n N_220 size_dma_0_0__un1_n N_222 \ +# N_211_i size_dma_0_0__un0_n N_162 N_209_i size_dma_0_1__un3_n N_224 N_306_i \ +# size_dma_0_1__un1_n N_193 N_193_0 size_dma_0_1__un0_n N_225 N_190_0 \ +# as_030_000_sync_0_un3_n N_190 N_183_i as_030_000_sync_0_un1_n N_346 N_162_i \ +# as_030_000_sync_0_un0_n N_352 N_346_i rw_000_int_0_un3_n N_219 N_159_0 \ +# rw_000_int_0_un1_n N_218 N_305_i rw_000_int_0_un0_n N_183 N_210_i rw_000_dma_0_un3_n \ +# N_196 N_225_i rw_000_dma_0_un1_n N_188 N_224_i rw_000_dma_0_un0_n N_194 N_296_i \ +# a0_dma_0_un3_n N_347 N_352_i a0_dma_0_un1_n N_348 cpu_est_2_0_2__n a0_dma_0_un0_n \ +# N_160 N_220_i amiga_bus_enable_dma_low_0_un3_n N_341 N_221_i \ +# amiga_bus_enable_dma_low_0_un1_n N_342 cpu_est_2_0_1__n \ +# amiga_bus_enable_dma_low_0_un0_n N_231 N_219_i a_decode_15__n N_237 N_218_i N_223 \ +# pos_clk_un9_clk_000_pe_0_n a_decode_14__n N_27 clk_000_d_i_2__n N_26 N_157_i \ +# a_decode_13__n N_25 N_18_i un1_amiga_bus_enable_low_i N_37_0 a_decode_12__n \ +# un21_fpu_cs_i cpu_est_i_2__n N_217_i a_decode_11__n sm_amiga_i_0__n N_216_i \ +# sm_amiga_i_2__n CLK_030_c_i a_decode_10__n sm_amiga_i_1__n N_198_0 cpu_est_i_0__n \ +# N_166_i a_decode_9__n VPA_D_i N_155_i DTACK_D0_i N_303_0 a_decode_8__n AS_030_i \ +# N_291_i DSACK1_INT_i N_301_0 a_decode_7__n cpu_est_i_3__n N_256_i sm_amiga_i_3__n \ +# N_248_i a_decode_6__n cpu_est_i_1__n AMIGA_BUS_DATA_DIR_c_0 clk_000_d_i_1__n \ +# N_353_i a_decode_5__n N_350_i_0 pos_clk_un6_bgack_000_0_n rst_dly_i_2__n N_65_0 \ +# a_decode_4__n nEXP_SPACE_i N_3_i AS_000_i N_45_0 a_decode_3__n BGACK_030_INT_i N_4_i \ +# sm_amiga_i_6__n N_44_0 a_decode_2__n clk_000_d_i_0__n N_8_i RW_000_i N_42_0 \ +# CLK_030_H_i UDS_000_INT_i AS_000_DMA_i un1_UDS_000_INT_0 cycle_dma_i_0__n \ +# LDS_000_INT_i DS_000_DMA_i un1_LDS_000_INT_0 RESET_OUT_i N_21_i sm_amiga_i_4__n \ +# N_34_0 FPU_SENSE_i N_14_i .model bus68030 .inputs A_DECODE_23_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ @@ -120,177 +116,173 @@ A_DECODE_4_.BLIF A_DECODE_3_.BLIF A_DECODE_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF \ FC_0_.BLIF A_1_.BLIF SIZE_1_.BLIF AHIGH_31_.BLIF AS_030.BLIF AS_000.BLIF \ RW_000.BLIF UDS_000.BLIF LDS_000.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF \ AHIGH_30_.BLIF AHIGH_29_.BLIF AHIGH_28_.BLIF AHIGH_27_.BLIF AHIGH_26_.BLIF \ -AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF nEXP_SPACE_i.BLIF N_171_i.BLIF \ -CLK_030_H_i.BLIF FPU_SENSE_i.BLIF N_121_i.BLIF AS_030_i.BLIF N_255_0.BLIF \ -AS_000_DMA_i.BLIF un1_SM_AMIGA_0_sqmuxa_1_0.BLIF AS_000_i.BLIF N_48_0.BLIF \ -AS_000_INT_i.BLIF N_125_i.BLIF DSACK1_INT_i.BLIF N_126_i.BLIF \ -inst_BGACK_030_INTreg.BLIF clk_000_d_i_0__n.BLIF vcc_n_n.BLIF \ -clk_000_d_i_3__n.BLIF N_127_i.BLIF un5_e.BLIF clk_000_d_i_1__n.BLIF \ -N_128_i.BLIF inst_VMA_INTreg.BLIF cpu_est_i_2__n.BLIF gnd_n_n.BLIF \ -cpu_est_i_3__n.BLIF RW_c_i.BLIF un1_amiga_bus_enable_low.BLIF \ -a_decode_i_16__n.BLIF pos_clk_rw_000_int_5_0_n.BLIF un7_as_030.BLIF \ -a_decode_i_18__n.BLIF N_129_i.BLIF un1_UDS_000_INT.BLIF a_decode_i_19__n.BLIF \ -un1_LDS_000_INT.BLIF ahigh_i_30__n.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF \ -ahigh_i_31__n.BLIF un10_ciin_i.BLIF un1_DS_000_ENABLE_0_sqmuxa.BLIF \ -ahigh_i_28__n.BLIF N_261_0.BLIF un10_ciin.BLIF ahigh_i_29__n.BLIF N_65_0.BLIF \ -un21_fpu_cs.BLIF ahigh_i_26__n.BLIF N_134_i.BLIF un21_berr.BLIF \ -ahigh_i_27__n.BLIF N_153_i.BLIF un6_ds_030.BLIF ahigh_i_24__n.BLIF N_67_0.BLIF \ -cpu_est_3_.BLIF ahigh_i_25__n.BLIF un2_as_030_i.BLIF cpu_est_0_.BLIF \ -N_206_i.BLIF N_263_i.BLIF cpu_est_1_.BLIF N_207_i.BLIF N_265_i.BLIF \ -cpu_est_2_.BLIF N_208_i.BLIF AS_030_000_SYNC_i.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_84_0.BLIF inst_AS_030_D0.BLIF \ -clk_000_d_i_2__n.BLIF inst_AS_030_000_SYNC.BLIF N_81_i.BLIF N_85_i.BLIF \ -inst_BGACK_030_INT_D.BLIF un6_ds_030_i.BLIF N_141_i.BLIF inst_AS_000_DMA.BLIF \ -DS_000_DMA_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF inst_DS_000_DMA.BLIF \ -N_147_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF CYCLE_DMA_0_.BLIF \ -N_145_i.BLIF N_269_i.BLIF CYCLE_DMA_1_.BLIF un7_as_030_i.BLIF N_90_i.BLIF \ -inst_VPA_D.BLIF RESET_OUT_i.BLIF N_270_i.BLIF CLK_000_D_2_.BLIF AS_030_c.BLIF \ -N_271_0.BLIF CLK_000_D_3_.BLIF N_96_0.BLIF inst_DTACK_D0.BLIF AS_000_c.BLIF \ -N_97_0.BLIF inst_RESET_OUT.BLIF N_98_0.BLIF CLK_000_D_1_.BLIF RW_000_c.BLIF \ -N_282_i.BLIF CLK_000_D_0_.BLIF N_284_i.BLIF inst_CLK_OUT_PRE_50.BLIF \ -pos_clk_un14_clk_000_ne_i_n.BLIF inst_CLK_OUT_PRE_D.BLIF UDS_000_c.BLIF \ -un5_e_0.BLIF IPL_D0_0_.BLIF N_285_i.BLIF IPL_D0_1_.BLIF LDS_000_c.BLIF \ -N_291_i.BLIF IPL_D0_2_.BLIF N_292_i.BLIF CLK_000_D_4_.BLIF size_c_0__n.BLIF \ -N_192_i.BLIF pos_clk_un6_bg_030_n.BLIF N_17_i.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF size_c_1__n.BLIF cpu_est_2_0_2__n.BLIF \ -pos_clk_ipl_n.BLIF N_286_i.BLIF SM_AMIGA_1_.BLIF ahigh_c_24__n.BLIF \ -N_288_i.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF cpu_est_2_0_1__n.BLIF \ -inst_UDS_000_INT.BLIF ahigh_c_25__n.BLIF N_289_i.BLIF inst_DS_000_ENABLE.BLIF \ -N_290_i.BLIF inst_LDS_000_INT.BLIF ahigh_c_26__n.BLIF \ -pos_clk_un9_clk_000_pe_0_n.BLIF SM_AMIGA_6_.BLIF N_280_i.BLIF SM_AMIGA_4_.BLIF \ -ahigh_c_27__n.BLIF pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_0_.BLIF \ -a_c_i_0__n.BLIF SIZE_DMA_0_.BLIF ahigh_c_28__n.BLIF size_c_i_1__n.BLIF \ -SIZE_DMA_1_.BLIF N_27_i.BLIF inst_RW_000_INT.BLIF ahigh_c_29__n.BLIF \ -N_30_0.BLIF inst_RW_000_DMA.BLIF N_26_i.BLIF RST_DLY_0_.BLIF \ -ahigh_c_30__n.BLIF N_29_0.BLIF RST_DLY_1_.BLIF N_25_i.BLIF RST_DLY_2_.BLIF \ -ahigh_c_31__n.BLIF N_28_0.BLIF inst_A0_DMA.BLIF ipl_c_i_2__n.BLIF \ -pos_clk_un9_clk_000_pe_n.BLIF N_51_0.BLIF inst_CLK_030_H.BLIF \ -ipl_c_i_1__n.BLIF pos_clk_rw_000_int_5_n.BLIF N_50_0.BLIF inst_DSACK1_INT.BLIF \ -ipl_c_i_0__n.BLIF inst_AS_000_INT.BLIF N_49_0.BLIF SM_AMIGA_5_.BLIF N_4_i.BLIF \ -SM_AMIGA_3_.BLIF N_44_0.BLIF SM_AMIGA_2_.BLIF N_14_i.BLIF N_4.BLIF N_41_0.BLIF \ -N_15_i.BLIF N_40_0.BLIF N_9.BLIF N_16_i.BLIF N_39_0.BLIF N_18_i.BLIF \ -N_37_0.BLIF N_14.BLIF N_21_i.BLIF N_15.BLIF N_34_0.BLIF N_16.BLIF N_23_i.BLIF \ -N_18.BLIF N_32_0.BLIF N_21.BLIF LDS_000_INT_i.BLIF N_23.BLIF \ -un1_LDS_000_INT_0.BLIF N_25.BLIF UDS_000_INT_i.BLIF N_26.BLIF \ -un1_UDS_000_INT_0.BLIF N_27.BLIF N_96_0_1.BLIF N_96_0_2.BLIF N_96_0_3.BLIF \ -pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF N_84_0_1.BLIF a_decode_c_16__n.BLIF \ -N_84_0_2.BLIF N_240_0_1.BLIF a_decode_c_17__n.BLIF \ -pos_clk_un10_sm_amiga_i_1_n.BLIF N_289_1.BLIF a_decode_c_18__n.BLIF \ -N_289_2.BLIF N_290_1.BLIF a_decode_c_19__n.BLIF N_290_2.BLIF \ -pos_clk_un14_clk_000_ne_1_n.BLIF a_decode_c_20__n.BLIF \ -pos_clk_un14_clk_000_ne_2_n.BLIF N_153_1.BLIF a_decode_c_21__n.BLIF \ -N_153_2.BLIF N_153_3.BLIF a_decode_c_22__n.BLIF N_153_4.BLIF N_153_5.BLIF \ -a_decode_c_23__n.BLIF un10_ciin_1.BLIF un10_ciin_2.BLIF a_c_0__n.BLIF \ -un10_ciin_3.BLIF un10_ciin_4.BLIF a_c_1__n.BLIF un10_ciin_5.BLIF \ -un10_ciin_6.BLIF SM_AMIGA_i_7_.BLIF nEXP_SPACE_c.BLIF un10_ciin_7.BLIF \ -cpu_est_2_1__n.BLIF un10_ciin_8.BLIF cpu_est_2_2__n.BLIF BERR_c.BLIF \ -un10_ciin_9.BLIF G_107.BLIF un10_ciin_10.BLIF G_108.BLIF BG_030_c.BLIF \ -un10_ciin_11.BLIF G_109.BLIF N_260_i_1.BLIF \ -pos_clk_un21_bgack_030_int_i_0_n.BLIF BG_000DFFreg.BLIF N_260_i_2.BLIF \ -N_81.BLIF N_233_i_1.BLIF N_94.BLIF N_233_i_2.BLIF N_254.BLIF BGACK_000_c.BLIF \ -N_232_i_1.BLIF N_255.BLIF N_232_i_2.BLIF N_261.BLIF CLK_030_c.BLIF \ -N_247_1.BLIF N_65.BLIF N_77_1.BLIF N_67.BLIF N_83_1.BLIF N_269.BLIF \ -N_88_1.BLIF N_108.BLIF CLK_OSZI_c.BLIF N_142_i_1.BLIF N_135.BLIF \ -N_146_i_1.BLIF N_136.BLIF N_234_i_1.BLIF N_145.BLIF CLK_OUT_INTreg.BLIF \ -pos_clk_un6_bg_030_1_n.BLIF N_278.BLIF N_124_1.BLIF N_147.BLIF \ -un21_berr_1.BLIF N_58.BLIF FPU_SENSE_c.BLIF un21_fpu_cs_1.BLIF N_110.BLIF \ -N_140_i_1.BLIF N_239.BLIF IPL_030DFF_0_reg.BLIF N_154_i_1.BLIF N_90.BLIF \ -N_152_i_1.BLIF N_265.BLIF IPL_030DFF_1_reg.BLIF N_150_i_1.BLIF \ -pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_148_i_1.BLIF \ -pos_clk_un21_bgack_030_int_i_0_x2.BLIF IPL_030DFF_2_reg.BLIF N_144_i_1.BLIF \ -pos_clk_un19_bgack_030_int_n.BLIF N_255_0_1.BLIF N_280.BLIF ipl_c_0__n.BLIF \ -N_258_i_1.BLIF N_263.BLIF N_259_i_1.BLIF N_247.BLIF ipl_c_1__n.BLIF \ -N_282_1.BLIF N_77.BLIF N_284_1.BLIF N_289.BLIF ipl_c_2__n.BLIF N_288_1.BLIF \ -N_291.BLIF un5_e_0_1.BLIF N_290.BLIF N_192_i_1.BLIF N_286.BLIF DTACK_c.BLIF \ -pos_clk_ipl_1_n.BLIF N_288.BLIF bg_000_0_un3_n.BLIF N_285.BLIF \ -bg_000_0_un1_n.BLIF N_17.BLIF bg_000_0_un0_n.BLIF N_292.BLIF VPA_c.BLIF \ -amiga_bus_enable_dma_low_0_un3_n.BLIF pos_clk_un14_clk_000_ne_n.BLIF \ -amiga_bus_enable_dma_low_0_un1_n.BLIF N_282.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_284.BLIF RST_c.BLIF \ -a0_dma_0_un3_n.BLIF N_98.BLIF a0_dma_0_un1_n.BLIF N_97.BLIF \ -a0_dma_0_un0_n.BLIF N_84.BLIF RW_c.BLIF rw_000_dma_0_un3_n.BLIF N_96.BLIF \ -rw_000_dma_0_un1_n.BLIF N_271.BLIF fc_c_0__n.BLIF rw_000_dma_0_un0_n.BLIF \ -N_117.BLIF bgack_030_int_0_un3_n.BLIF N_141.BLIF fc_c_1__n.BLIF \ -bgack_030_int_0_un1_n.BLIF N_134.BLIF bgack_030_int_0_un0_n.BLIF N_153.BLIF \ -ds_000_dma_0_un3_n.BLIF N_129.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ -ds_000_dma_0_un1_n.BLIF N_127.BLIF ds_000_dma_0_un0_n.BLIF N_128.BLIF \ -size_dma_0_1__un3_n.BLIF N_125.BLIF size_dma_0_1__un1_n.BLIF N_126.BLIF \ -size_dma_0_1__un0_n.BLIF N_124.BLIF BG_030_c_i.BLIF size_dma_0_0__un3_n.BLIF \ -N_121.BLIF pos_clk_un6_bg_030_i_n.BLIF size_dma_0_0__un1_n.BLIF N_171.BLIF \ -pos_clk_un9_bg_030_0_n.BLIF size_dma_0_0__un0_n.BLIF N_120.BLIF N_24_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF N_119.BLIF N_31_0.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF N_118.BLIF N_22_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_116.BLIF N_33_0.BLIF \ -cpu_est_0_1__un3_n.BLIF N_114.BLIF N_20_i.BLIF cpu_est_0_1__un1_n.BLIF \ -N_115.BLIF N_35_0.BLIF cpu_est_0_1__un0_n.BLIF N_243.BLIF N_19_i.BLIF \ -cpu_est_0_2__un3_n.BLIF N_240.BLIF N_36_0.BLIF cpu_est_0_2__un1_n.BLIF \ -N_88.BLIF N_8_i.BLIF cpu_est_0_2__un0_n.BLIF N_89.BLIF N_42_0.BLIF \ -cpu_est_0_3__un3_n.BLIF N_82.BLIF N_3_i.BLIF cpu_est_0_3__un1_n.BLIF N_83.BLIF \ -N_45_0.BLIF cpu_est_0_3__un0_n.BLIF N_78.BLIF VPA_c_i.BLIF \ -ipl_030_0_0__un3_n.BLIF N_79.BLIF N_52_0.BLIF ipl_030_0_0__un1_n.BLIF \ -N_91.BLIF DTACK_c_i.BLIF ipl_030_0_0__un0_n.BLIF N_244.BLIF N_53_0.BLIF \ -ipl_030_0_1__un3_n.BLIF N_62.BLIF ipl_030_0_1__un1_n.BLIF N_64.BLIF \ -N_249_i.BLIF ipl_030_0_1__un0_n.BLIF N_59.BLIF N_248_i.BLIF \ -ipl_030_0_2__un3_n.BLIF N_61.BLIF N_247_i.BLIF ipl_030_0_2__un1_n.BLIF \ -N_163.BLIF ipl_030_0_2__un0_n.BLIF N_245.BLIF N_77_i.BLIF \ -uds_000_int_0_un3_n.BLIF N_242.BLIF N_251_i.BLIF uds_000_int_0_un1_n.BLIF \ -N_246.BLIF N_76_i.BLIF uds_000_int_0_un0_n.BLIF N_248.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF N_236.BLIF N_131_i.BLIF \ -amiga_bus_enable_dma_high_0_un1_n.BLIF N_249.BLIF N_130_i.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF N_92.BLIF N_264_i.BLIF \ -as_000_dma_0_un3_n.BLIF N_251.BLIF N_170_i.BLIF as_000_dma_0_un1_n.BLIF \ -N_76.BLIF pos_clk_un6_bgack_000_0_n.BLIF as_000_dma_0_un0_n.BLIF N_80.BLIF \ -pos_clk_rw_000_dma_3_0_n.BLIF ds_000_enable_0_un3_n.BLIF \ -pos_clk_a0_dma_3_n.BLIF N_123_i.BLIF ds_000_enable_0_un1_n.BLIF \ -SIZE_DMA_3_sqmuxa.BLIF N_124_i.BLIF ds_000_enable_0_un0_n.BLIF N_87.BLIF \ -AMIGA_BUS_DATA_DIR_c_0.BLIF lds_000_int_0_un3_n.BLIF \ -pos_clk_size_dma_6_1__n.BLIF N_122_i.BLIF lds_000_int_0_un1_n.BLIF \ -pos_clk_size_dma_6_0__n.BLIF pos_clk_ds_000_dma_4_0_n.BLIF \ -lds_000_int_0_un0_n.BLIF N_170.BLIF N_242_i.BLIF as_030_000_sync_0_un3_n.BLIF \ -N_122.BLIF N_239_i.BLIF as_030_000_sync_0_un1_n.BLIF N_123.BLIF N_87_i.BLIF \ -as_030_000_sync_0_un0_n.BLIF N_130.BLIF N_236_0.BLIF rw_000_int_0_un3_n.BLIF \ -pos_clk_ds_000_dma_4_n.BLIF N_246_i.BLIF rw_000_int_0_un1_n.BLIF \ -pos_clk_rw_000_dma_3_n.BLIF pos_clk_size_dma_6_0_0__n.BLIF \ -rw_000_int_0_un0_n.BLIF pos_clk_un6_bgack_000_n.BLIF N_245_i.BLIF \ -vma_int_0_un3_n.BLIF N_131.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ -vma_int_0_un1_n.BLIF N_3.BLIF N_91_i.BLIF vma_int_0_un0_n.BLIF N_8.BLIF \ -N_210_i.BLIF a_decode_15__n.BLIF N_19.BLIF pos_clk_un19_bgack_030_int_i_n.BLIF \ -N_20.BLIF N_163_0.BLIF a_decode_14__n.BLIF N_22.BLIF N_59_i.BLIF N_24.BLIF \ -N_61_i.BLIF a_decode_13__n.BLIF pos_clk_un9_bg_030_n.BLIF \ -un1_amiga_bus_enable_low_i.BLIF N_62_i.BLIF a_decode_12__n.BLIF \ -un21_fpu_cs_i.BLIF N_64_i.BLIF BGACK_030_INT_i.BLIF a_decode_11__n.BLIF \ -AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_244_i.BLIF N_80_i.BLIF a_decode_10__n.BLIF \ -cycle_dma_i_0__n.BLIF N_78_i_0.BLIF RW_000_i.BLIF N_79_i.BLIF \ -a_decode_9__n.BLIF rst_dly_i_0__n.BLIF rst_dly_i_1__n.BLIF N_82_i.BLIF \ -a_decode_8__n.BLIF rst_dly_i_2__n.BLIF N_83_i.BLIF LDS_000_i.BLIF N_55_0.BLIF \ -a_decode_7__n.BLIF UDS_000_i.BLIF N_88_i.BLIF sm_amiga_i_2__n.BLIF N_89_i.BLIF \ -a_decode_6__n.BLIF N_58_i.BLIF N_240_0.BLIF sm_amiga_i_3__n.BLIF N_243_0.BLIF \ -a_decode_5__n.BLIF cpu_est_i_1__n.BLIF CLK_030_c_i.BLIF cpu_est_i_0__n.BLIF \ -N_254_0.BLIF a_decode_4__n.BLIF sm_amiga_i_1__n.BLIF N_114_i.BLIF N_110_i.BLIF \ -N_115_i.BLIF a_decode_3__n.BLIF a_i_1__n.BLIF VMA_INT_i.BLIF N_116_i.BLIF \ -a_decode_2__n.BLIF VPA_D_i.BLIF N_117_i.BLIF DTACK_D0_i.BLIF AS_030_D0_i.BLIF \ -N_118_i.BLIF sm_amiga_i_0__n.BLIF sm_amiga_i_i_7__n.BLIF N_119_i.BLIF \ -sm_amiga_i_6__n.BLIF sm_amiga_i_5__n.BLIF N_120_i.BLIF sm_amiga_i_4__n.BLIF \ -AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF AHIGH_24_.PIN.BLIF \ -AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF AHIGH_27_.PIN.BLIF AHIGH_28_.PIN.BLIF \ -AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF AHIGH_31_.PIN.BLIF A_0_.PIN.BLIF \ -BERR.PIN.BLIF RW.PIN.BLIF +AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF rst_dly_i_1__n.BLIF N_41_0.BLIF \ +sm_amiga_i_5__n.BLIF a_c_i_0__n.BLIF rst_dly_i_0__n.BLIF size_c_i_1__n.BLIF \ +sm_amiga_i_i_7__n.BLIF pos_clk_un10_sm_amiga_i_n.BLIF AS_030_D0_i.BLIF \ +un1_as_000_i.BLIF AS_000_INT_i.BLIF un10_ciin_i.BLIF a_i_1__n.BLIF \ +N_260_0.BLIF a_decode_i_16__n.BLIF N_229_i.BLIF inst_BGACK_030_INTreg.BLIF \ +a_decode_i_18__n.BLIF N_230_i.BLIF vcc_n_n.BLIF a_decode_i_19__n.BLIF \ +N_298_0.BLIF inst_VMA_INTreg.BLIF ahigh_i_30__n.BLIF N_48_0.BLIF gnd_n_n.BLIF \ +ahigh_i_31__n.BLIF N_299_i.BLIF un1_amiga_bus_enable_low.BLIF \ +ahigh_i_28__n.BLIF N_345_i.BLIF un7_as_030.BLIF ahigh_i_29__n.BLIF \ +N_349_i.BLIF un1_UDS_000_INT.BLIF ahigh_i_26__n.BLIF \ +un1_DS_000_ENABLE_0_sqmuxa_i.BLIF un1_LDS_000_INT.BLIF ahigh_i_27__n.BLIF \ +N_180_i.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF ahigh_i_24__n.BLIF N_181_i.BLIF \ +un10_ciin.BLIF ahigh_i_25__n.BLIF N_326_i.BLIF un21_fpu_cs.BLIF N_206_i.BLIF \ +un21_berr.BLIF N_207_i.BLIF N_186_i.BLIF un6_ds_030.BLIF N_208_i.BLIF \ +N_163_i.BLIF cpu_est_2_.BLIF N_197_0.BLIF cpu_est_3_.BLIF N_79_i.BLIF \ +N_213_i.BLIF cpu_est_0_.BLIF N_78_i.BLIF N_214_i.BLIF cpu_est_1_.BLIF \ +un6_ds_030_i.BLIF N_215_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_165_i.BLIF \ +inst_AS_030_D0.BLIF N_169_i.BLIF N_199_i.BLIF inst_AS_030_000_SYNC.BLIF \ +un7_as_030_i.BLIF N_191_0.BLIF inst_BGACK_030_INT_D.BLIF \ +AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_275_i.BLIF inst_AS_000_DMA.BLIF \ +AS_030_c.BLIF N_187_0.BLIF inst_DS_000_DMA.BLIF LDS_000_c_i.BLIF \ +CYCLE_DMA_0_.BLIF AS_000_c.BLIF UDS_000_c_i.BLIF CYCLE_DMA_1_.BLIF \ +N_184_i.BLIF inst_VPA_D.BLIF RW_000_c.BLIF clk_000_d_i_4__n.BLIF \ +CLK_000_D_2_.BLIF N_171_i.BLIF CLK_000_D_4_.BLIF AS_030_000_SYNC_i.BLIF \ +inst_DTACK_D0.BLIF UDS_000_c.BLIF N_161_i.BLIF inst_RESET_OUT.BLIF \ +CLK_000_D_1_.BLIF LDS_000_c.BLIF N_113_0.BLIF CLK_000_D_0_.BLIF N_338_i.BLIF \ +inst_CLK_OUT_PRE_50.BLIF size_c_0__n.BLIF N_339_i.BLIF inst_CLK_OUT_PRE_D.BLIF \ +IPL_D0_0_.BLIF size_c_1__n.BLIF N_335_i.BLIF IPL_D0_1_.BLIF N_336_i.BLIF \ +IPL_D0_2_.BLIF ahigh_c_24__n.BLIF CLK_000_D_3_.BLIF N_334_i.BLIF \ +CLK_000_D_5_.BLIF ahigh_c_25__n.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ +pos_clk_un6_bg_030_n.BLIF N_333_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +ahigh_c_26__n.BLIF pos_clk_size_dma_6_0_0__n.BLIF pos_clk_ipl_n.BLIF \ +N_295_i.BLIF SM_AMIGA_1_.BLIF ahigh_c_27__n.BLIF N_332_i.BLIF \ +inst_UDS_000_INT.BLIF inst_DS_000_ENABLE.BLIF ahigh_c_28__n.BLIF N_292_i.BLIF \ +inst_LDS_000_INT.BLIF N_302_0.BLIF pos_clk_un9_bg_030_n.BLIF \ +ahigh_c_29__n.BLIF N_300_0.BLIF SM_AMIGA_6_.BLIF N_290_i.BLIF SM_AMIGA_4_.BLIF \ +ahigh_c_30__n.BLIF SM_AMIGA_0_.BLIF N_273_i.BLIF SIZE_DMA_0_.BLIF \ +ahigh_c_31__n.BLIF SIZE_DMA_1_.BLIF N_327_i.BLIF inst_RW_000_INT.BLIF \ +inst_RW_000_DMA.BLIF N_270_i.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_269_i.BLIF \ +RST_DLY_2_.BLIF inst_A0_DMA.BLIF N_267_i.BLIF pos_clk_a0_dma_3_n.BLIF \ +N_324_i.BLIF inst_CLK_030_H.BLIF pos_clk_rw_000_int_5_n.BLIF \ +un1_SM_AMIGA_0_sqmuxa_1_0.BLIF inst_DSACK1_INT.BLIF N_319_i.BLIF \ +inst_AS_000_INT.BLIF N_320_i.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF \ +RW_c_i.BLIF SM_AMIGA_2_.BLIF pos_clk_rw_000_int_5_0_n.BLIF N_227_i.BLIF \ +N_297_0.BLIF N_15_i.BLIF N_40_0.BLIF N_16_i.BLIF N_15.BLIF N_39_0.BLIF \ +N_16.BLIF N_19_i.BLIF N_19.BLIF N_36_0.BLIF N_20.BLIF N_20_i.BLIF N_22.BLIF \ +N_35_0.BLIF N_23.BLIF N_22_i.BLIF N_24.BLIF N_33_0.BLIF N_23_i.BLIF \ +N_32_0.BLIF a_decode_c_16__n.BLIF N_24_i.BLIF N_31_0.BLIF \ +a_decode_c_17__n.BLIF BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF \ +a_decode_c_18__n.BLIF pos_clk_un9_bg_030_0_n.BLIF N_161_i_1.BLIF \ +a_decode_c_19__n.BLIF N_161_i_2.BLIF N_161_i_3.BLIF a_decode_c_20__n.BLIF \ +N_161_i_4.BLIF N_233_i_1.BLIF a_decode_c_21__n.BLIF N_233_i_2.BLIF \ +N_180_i_1.BLIF a_decode_c_22__n.BLIF N_180_i_2.BLIF \ +pos_clk_un10_sm_amiga_i_1_n.BLIF a_decode_c_23__n.BLIF N_196_0_1.BLIF \ +N_188_i_1.BLIF a_c_0__n.BLIF un10_ciin_1.BLIF un10_ciin_2.BLIF a_c_1__n.BLIF \ +un10_ciin_3.BLIF un10_ciin_4.BLIF nEXP_SPACE_c.BLIF un10_ciin_5.BLIF \ +SM_AMIGA_i_7_.BLIF un10_ciin_6.BLIF pos_clk_size_dma_6_0__n.BLIF BERR_c.BLIF \ +un10_ciin_7.BLIF pos_clk_size_dma_6_1__n.BLIF un10_ciin_8.BLIF G_107.BLIF \ +BG_030_c.BLIF un10_ciin_9.BLIF G_108.BLIF un10_ciin_10.BLIF G_109.BLIF \ +BG_000DFFreg.BLIF un10_ciin_11.BLIF N_171_i_1.BLIF N_78.BLIF N_171_i_2.BLIF \ +N_79.BLIF BGACK_000_c.BLIF N_227_1.BLIF N_260.BLIF N_227_2.BLIF N_139.BLIF \ +CLK_030_c.BLIF N_227_3.BLIF N_141.BLIF un21_fpu_cs_1.BLIF N_165.BLIF \ +un21_berr_1_0.BLIF N_169.BLIF N_235_i_1.BLIF N_297.BLIF CLK_OSZI_c.BLIF \ +N_235_i_2.BLIF N_256_1.BLIF N_300.BLIF N_256_2.BLIF N_302.BLIF \ +CLK_OUT_INTreg.BLIF N_232_i_1.BLIF N_113.BLIF N_232_i_2.BLIF N_305.BLIF \ +N_219_1.BLIF N_155.BLIF FPU_SENSE_c.BLIF N_219_2.BLIF N_163.BLIF N_218_1.BLIF \ +N_166.BLIF IPL_030DFF_0_reg.BLIF N_218_2.BLIF N_171.BLIF N_347_1.BLIF \ +N_180.BLIF IPL_030DFF_1_reg.BLIF N_347_2.BLIF N_184.BLIF N_136_i_1.BLIF \ +N_191.BLIF IPL_030DFF_2_reg.BLIF N_146_i_1.BLIF N_199.BLIF N_142_i_1.BLIF \ +N_205.BLIF ipl_c_0__n.BLIF N_234_i_1.BLIF N_306.BLIF N_302_0_1.BLIF N_215.BLIF \ +ipl_c_1__n.BLIF N_63_i_1.BLIF N_221.BLIF N_154_i_1.BLIF N_227.BLIF \ +ipl_c_2__n.BLIF N_152_i_1.BLIF N_230.BLIF N_148_i_1.BLIF N_319.BLIF \ +N_144_i_1.BLIF N_320.BLIF DTACK_c.BLIF N_140_i_1.BLIF N_267.BLIF \ +pos_clk_un6_bg_030_1_n.BLIF N_324.BLIF N_230_1.BLIF N_269.BLIF N_221_1.BLIF \ +N_270.BLIF VPA_c.BLIF N_215_1.BLIF N_327.BLIF N_306_1.BLIF N_273.BLIF \ +pos_clk_ipl_1_n.BLIF N_275.BLIF RST_c.BLIF ipl_030_0_2__un3_n.BLIF N_290.BLIF \ +ipl_030_0_2__un1_n.BLIF N_292.BLIF ipl_030_0_2__un0_n.BLIF N_295.BLIF \ +RW_c.BLIF ipl_030_0_1__un3_n.BLIF N_332.BLIF ipl_030_0_1__un1_n.BLIF \ +N_333.BLIF fc_c_0__n.BLIF ipl_030_0_1__un0_n.BLIF N_334.BLIF \ +ipl_030_0_0__un3_n.BLIF N_335.BLIF fc_c_1__n.BLIF ipl_030_0_0__un1_n.BLIF \ +N_336.BLIF ipl_030_0_0__un0_n.BLIF N_338.BLIF cpu_est_0_3__un3_n.BLIF \ +N_339.BLIF AMIGA_BUS_DATA_DIR_c.BLIF cpu_est_0_3__un1_n.BLIF N_350.BLIF \ +cpu_est_0_3__un0_n.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF vma_int_0_un3_n.BLIF \ +N_161.BLIF vma_int_0_un1_n.BLIF N_213.BLIF vma_int_0_un0_n.BLIF N_214.BLIF \ +VPA_c_i.BLIF cpu_est_0_1__un3_n.BLIF N_197.BLIF N_52_0.BLIF \ +cpu_est_0_1__un1_n.BLIF N_159.BLIF DTACK_c_i.BLIF cpu_est_0_1__un0_n.BLIF \ +N_326.BLIF N_53_0.BLIF cpu_est_0_2__un3_n.BLIF un21_berr_1.BLIF \ +ipl_c_i_0__n.BLIF cpu_est_0_2__un1_n.BLIF N_181.BLIF N_49_0.BLIF \ +cpu_est_0_2__un0_n.BLIF pos_clk_un21_bgack_030_int_i_i_a2_i_x2.BLIF \ +ipl_c_i_1__n.BLIF ds_000_dma_0_un3_n.BLIF un1_DS_000_ENABLE_0_sqmuxa.BLIF \ +N_50_0.BLIF ds_000_dma_0_un1_n.BLIF N_349.BLIF ipl_c_i_2__n.BLIF \ +ds_000_dma_0_un0_n.BLIF N_345.BLIF N_51_0.BLIF as_000_dma_0_un3_n.BLIF \ +N_229.BLIF N_25_i.BLIF as_000_dma_0_un1_n.BLIF N_14.BLIF N_28_0.BLIF \ +as_000_dma_0_un0_n.BLIF N_21.BLIF N_26_i.BLIF bgack_030_int_0_un3_n.BLIF \ +N_3.BLIF N_29_0.BLIF bgack_030_int_0_un1_n.BLIF N_301.BLIF N_27_i.BLIF \ +bgack_030_int_0_un0_n.BLIF N_4.BLIF N_30_0.BLIF ds_000_enable_0_un3_n.BLIF \ +N_303.BLIF N_222_i.BLIF ds_000_enable_0_un1_n.BLIF N_8.BLIF N_223_i.BLIF \ +ds_000_enable_0_un0_n.BLIF pos_clk_un6_bgack_000_n.BLIF N_192_i.BLIF \ +uds_000_int_0_un3_n.BLIF N_9.BLIF N_231_i.BLIF uds_000_int_0_un1_n.BLIF \ +N_65.BLIF N_237_i.BLIF uds_000_int_0_un0_n.BLIF N_217.BLIF \ +lds_000_int_0_un3_n.BLIF N_216.BLIF N_342_i.BLIF lds_000_int_0_un1_n.BLIF \ +N_248.BLIF N_341_i.BLIF lds_000_int_0_un0_n.BLIF N_198.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF N_291.BLIF N_160_0.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF N_353.BLIF N_164_i.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_256.BLIF VMA_INT_i.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF N_18.BLIF N_347_i.BLIF \ +amiga_bus_enable_dma_high_0_un1_n.BLIF pos_clk_un9_clk_000_pe_n.BLIF \ +N_348_i.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF cpu_est_2_1__n.BLIF \ +N_188_i.BLIF bg_000_0_un3_n.BLIF cpu_est_2_2__n.BLIF N_245_0.BLIF \ +bg_000_0_un1_n.BLIF N_209.BLIF N_194_0.BLIF bg_000_0_un0_n.BLIF N_211.BLIF \ +N_196_0.BLIF size_dma_0_0__un3_n.BLIF N_220.BLIF size_dma_0_0__un1_n.BLIF \ +N_222.BLIF N_211_i.BLIF size_dma_0_0__un0_n.BLIF N_162.BLIF N_209_i.BLIF \ +size_dma_0_1__un3_n.BLIF N_224.BLIF N_306_i.BLIF size_dma_0_1__un1_n.BLIF \ +N_193.BLIF N_193_0.BLIF size_dma_0_1__un0_n.BLIF N_225.BLIF N_190_0.BLIF \ +as_030_000_sync_0_un3_n.BLIF N_190.BLIF N_183_i.BLIF \ +as_030_000_sync_0_un1_n.BLIF N_346.BLIF N_162_i.BLIF \ +as_030_000_sync_0_un0_n.BLIF N_352.BLIF N_346_i.BLIF rw_000_int_0_un3_n.BLIF \ +N_219.BLIF N_159_0.BLIF rw_000_int_0_un1_n.BLIF N_218.BLIF N_305_i.BLIF \ +rw_000_int_0_un0_n.BLIF N_183.BLIF N_210_i.BLIF rw_000_dma_0_un3_n.BLIF \ +N_196.BLIF N_225_i.BLIF rw_000_dma_0_un1_n.BLIF N_188.BLIF N_224_i.BLIF \ +rw_000_dma_0_un0_n.BLIF N_194.BLIF N_296_i.BLIF a0_dma_0_un3_n.BLIF N_347.BLIF \ +N_352_i.BLIF a0_dma_0_un1_n.BLIF N_348.BLIF cpu_est_2_0_2__n.BLIF \ +a0_dma_0_un0_n.BLIF N_160.BLIF N_220_i.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF N_341.BLIF N_221_i.BLIF \ +amiga_bus_enable_dma_low_0_un1_n.BLIF N_342.BLIF cpu_est_2_0_1__n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_231.BLIF N_219_i.BLIF \ +a_decode_15__n.BLIF N_237.BLIF N_218_i.BLIF N_223.BLIF \ +pos_clk_un9_clk_000_pe_0_n.BLIF a_decode_14__n.BLIF N_27.BLIF \ +clk_000_d_i_2__n.BLIF N_26.BLIF N_157_i.BLIF a_decode_13__n.BLIF N_25.BLIF \ +N_18_i.BLIF un1_amiga_bus_enable_low_i.BLIF N_37_0.BLIF a_decode_12__n.BLIF \ +un21_fpu_cs_i.BLIF cpu_est_i_2__n.BLIF N_217_i.BLIF a_decode_11__n.BLIF \ +sm_amiga_i_0__n.BLIF N_216_i.BLIF sm_amiga_i_2__n.BLIF CLK_030_c_i.BLIF \ +a_decode_10__n.BLIF sm_amiga_i_1__n.BLIF N_198_0.BLIF cpu_est_i_0__n.BLIF \ +N_166_i.BLIF a_decode_9__n.BLIF VPA_D_i.BLIF N_155_i.BLIF DTACK_D0_i.BLIF \ +N_303_0.BLIF a_decode_8__n.BLIF AS_030_i.BLIF N_291_i.BLIF DSACK1_INT_i.BLIF \ +N_301_0.BLIF a_decode_7__n.BLIF cpu_est_i_3__n.BLIF N_256_i.BLIF \ +sm_amiga_i_3__n.BLIF N_248_i.BLIF a_decode_6__n.BLIF cpu_est_i_1__n.BLIF \ +AMIGA_BUS_DATA_DIR_c_0.BLIF clk_000_d_i_1__n.BLIF N_353_i.BLIF \ +a_decode_5__n.BLIF N_350_i_0.BLIF pos_clk_un6_bgack_000_0_n.BLIF \ +rst_dly_i_2__n.BLIF N_65_0.BLIF a_decode_4__n.BLIF nEXP_SPACE_i.BLIF \ +N_3_i.BLIF AS_000_i.BLIF N_45_0.BLIF a_decode_3__n.BLIF BGACK_030_INT_i.BLIF \ +N_4_i.BLIF sm_amiga_i_6__n.BLIF N_44_0.BLIF a_decode_2__n.BLIF \ +clk_000_d_i_0__n.BLIF N_8_i.BLIF RW_000_i.BLIF N_42_0.BLIF CLK_030_H_i.BLIF \ +UDS_000_INT_i.BLIF AS_000_DMA_i.BLIF un1_UDS_000_INT_0.BLIF \ +cycle_dma_i_0__n.BLIF LDS_000_INT_i.BLIF DS_000_DMA_i.BLIF \ +un1_LDS_000_INT_0.BLIF RESET_OUT_i.BLIF N_21_i.BLIF sm_amiga_i_4__n.BLIF \ +N_34_0.BLIF FPU_SENSE_i.BLIF N_14_i.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ +RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF \ +SIZE_1_.PIN.BLIF AHIGH_24_.PIN.BLIF AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF \ +AHIGH_27_.PIN.BLIF AHIGH_28_.PIN.BLIF AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF \ +AHIGH_31_.PIN.BLIF A_0_.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 \ AVEC E VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_6_.D SM_AMIGA_6_.C \ -SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D \ -SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C \ -SM_AMIGA_0_.D SM_AMIGA_0_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_i_7_.D \ +SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C \ +SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D \ +SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C \ +cpu_est_3_.D cpu_est_3_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C \ IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C \ IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C \ -SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C CLK_000_D_1_.D CLK_000_D_1_.C CLK_000_D_2_.D \ +CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C CLK_000_D_2_.D \ CLK_000_D_2_.C CLK_000_D_3_.D CLK_000_D_3_.C CLK_000_D_4_.D CLK_000_D_4_.C \ -CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D \ -SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C \ -cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C \ +CLK_000_D_5_.D CLK_000_D_5_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D \ +CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C \ +cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C \ RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ -CLK_000_D_0_.D CLK_000_D_0_.C inst_DSACK1_INT.D inst_DSACK1_INT.C \ +inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DSACK1_INT.D inst_DSACK1_INT.C \ inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_030_D0.D inst_AS_030_D0.C \ inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D \ inst_CLK_030_H.C inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D \ @@ -302,111 +294,108 @@ inst_RW_000_DMA.D inst_RW_000_DMA.C inst_VMA_INTreg.D inst_VMA_INTreg.C \ inst_RW_000_INT.D inst_RW_000_INT.C inst_AS_030_000_SYNC.D \ inst_AS_030_000_SYNC.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_000_DMA.D \ -inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C inst_BGACK_030_INT_D.D \ -inst_BGACK_030_INT_D.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C inst_CLK_OUT_PRE_50.D \ -inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C SIZE_1_ \ -AHIGH_31_ AS_030 AS_000 RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ \ -AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ nEXP_SPACE_i \ -N_171_i CLK_030_H_i FPU_SENSE_i N_121_i AS_030_i N_255_0 AS_000_DMA_i \ -un1_SM_AMIGA_0_sqmuxa_1_0 AS_000_i N_48_0 AS_000_INT_i N_125_i DSACK1_INT_i \ -N_126_i clk_000_d_i_0__n vcc_n_n clk_000_d_i_3__n N_127_i un5_e \ -clk_000_d_i_1__n N_128_i cpu_est_i_2__n gnd_n_n cpu_est_i_3__n RW_c_i \ -un1_amiga_bus_enable_low a_decode_i_16__n pos_clk_rw_000_int_5_0_n un7_as_030 \ -a_decode_i_18__n N_129_i un1_UDS_000_INT a_decode_i_19__n un1_LDS_000_INT \ -ahigh_i_30__n un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_31__n un10_ciin_i \ -un1_DS_000_ENABLE_0_sqmuxa ahigh_i_28__n N_261_0 un10_ciin ahigh_i_29__n \ -N_65_0 un21_fpu_cs ahigh_i_26__n N_134_i un21_berr ahigh_i_27__n N_153_i \ -un6_ds_030 ahigh_i_24__n N_67_0 ahigh_i_25__n un2_as_030_i N_206_i N_263_i \ -N_207_i N_265_i N_208_i AS_030_000_SYNC_i N_84_0 clk_000_d_i_2__n N_81_i \ -N_85_i un6_ds_030_i N_141_i DS_000_DMA_i un1_DS_000_ENABLE_0_sqmuxa_i N_147_i \ -pos_clk_un21_bgack_030_int_i_0_i_n N_145_i N_269_i un7_as_030_i N_90_i \ -RESET_OUT_i N_270_i AS_030_c N_271_0 N_96_0 AS_000_c N_97_0 N_98_0 RW_000_c \ -N_282_i N_284_i pos_clk_un14_clk_000_ne_i_n UDS_000_c un5_e_0 N_285_i \ -LDS_000_c N_291_i N_292_i size_c_0__n N_192_i pos_clk_un6_bg_030_n N_17_i \ -size_c_1__n cpu_est_2_0_2__n pos_clk_ipl_n N_286_i ahigh_c_24__n N_288_i \ -AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa cpu_est_2_0_1__n ahigh_c_25__n N_289_i \ -N_290_i ahigh_c_26__n pos_clk_un9_clk_000_pe_0_n N_280_i ahigh_c_27__n \ -pos_clk_un10_sm_amiga_i_n a_c_i_0__n ahigh_c_28__n size_c_i_1__n N_27_i \ -ahigh_c_29__n N_30_0 N_26_i ahigh_c_30__n N_29_0 N_25_i ahigh_c_31__n N_28_0 \ -ipl_c_i_2__n pos_clk_un9_clk_000_pe_n N_51_0 ipl_c_i_1__n \ -pos_clk_rw_000_int_5_n N_50_0 ipl_c_i_0__n N_49_0 N_4_i N_44_0 N_14_i N_4 \ -N_41_0 N_15_i N_40_0 N_9 N_16_i N_39_0 N_18_i N_37_0 N_14 N_21_i N_15 N_34_0 \ -N_16 N_23_i N_18 N_32_0 N_21 LDS_000_INT_i N_23 un1_LDS_000_INT_0 N_25 \ -UDS_000_INT_i N_26 un1_UDS_000_INT_0 N_27 N_96_0_1 N_96_0_2 N_96_0_3 \ -pos_clk_un21_bgack_030_int_i_0_i_1_n N_84_0_1 a_decode_c_16__n N_84_0_2 \ -N_240_0_1 a_decode_c_17__n pos_clk_un10_sm_amiga_i_1_n N_289_1 \ -a_decode_c_18__n N_289_2 N_290_1 a_decode_c_19__n N_290_2 \ -pos_clk_un14_clk_000_ne_1_n a_decode_c_20__n pos_clk_un14_clk_000_ne_2_n \ -N_153_1 a_decode_c_21__n N_153_2 N_153_3 a_decode_c_22__n N_153_4 N_153_5 \ -a_decode_c_23__n un10_ciin_1 un10_ciin_2 a_c_0__n un10_ciin_3 un10_ciin_4 \ -a_c_1__n un10_ciin_5 un10_ciin_6 nEXP_SPACE_c un10_ciin_7 cpu_est_2_1__n \ -un10_ciin_8 cpu_est_2_2__n BERR_c un10_ciin_9 un10_ciin_10 BG_030_c \ -un10_ciin_11 N_260_i_1 pos_clk_un21_bgack_030_int_i_0_n N_260_i_2 N_81 \ -N_233_i_1 N_94 N_233_i_2 N_254 BGACK_000_c N_232_i_1 N_255 N_232_i_2 N_261 \ -CLK_030_c N_247_1 N_65 N_77_1 N_67 N_83_1 N_269 N_88_1 N_108 CLK_OSZI_c \ -N_142_i_1 N_135 N_146_i_1 N_136 N_234_i_1 N_145 pos_clk_un6_bg_030_1_n N_278 \ -N_124_1 N_147 un21_berr_1 N_58 FPU_SENSE_c un21_fpu_cs_1 N_110 N_140_i_1 N_239 \ -N_154_i_1 N_90 N_152_i_1 N_265 N_150_i_1 N_148_i_1 N_144_i_1 \ -pos_clk_un19_bgack_030_int_n N_255_0_1 N_280 ipl_c_0__n N_258_i_1 N_263 \ -N_259_i_1 N_247 ipl_c_1__n N_282_1 N_77 N_284_1 N_289 ipl_c_2__n N_288_1 N_291 \ -un5_e_0_1 N_290 N_192_i_1 N_286 DTACK_c pos_clk_ipl_1_n N_288 bg_000_0_un3_n \ -N_285 bg_000_0_un1_n N_17 bg_000_0_un0_n N_292 VPA_c \ -amiga_bus_enable_dma_low_0_un3_n pos_clk_un14_clk_000_ne_n \ -amiga_bus_enable_dma_low_0_un1_n N_282 amiga_bus_enable_dma_low_0_un0_n N_284 \ -RST_c a0_dma_0_un3_n N_98 a0_dma_0_un1_n N_97 a0_dma_0_un0_n N_84 RW_c \ -rw_000_dma_0_un3_n N_96 rw_000_dma_0_un1_n N_271 fc_c_0__n rw_000_dma_0_un0_n \ -N_117 bgack_030_int_0_un3_n N_141 fc_c_1__n bgack_030_int_0_un1_n N_134 \ -bgack_030_int_0_un0_n N_153 ds_000_dma_0_un3_n N_129 AMIGA_BUS_DATA_DIR_c \ -ds_000_dma_0_un1_n N_127 ds_000_dma_0_un0_n N_128 size_dma_0_1__un3_n N_125 \ -size_dma_0_1__un1_n N_126 size_dma_0_1__un0_n N_124 BG_030_c_i \ -size_dma_0_0__un3_n N_121 pos_clk_un6_bg_030_i_n size_dma_0_0__un1_n N_171 \ -pos_clk_un9_bg_030_0_n size_dma_0_0__un0_n N_120 N_24_i \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_119 N_31_0 \ -un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_118 N_22_i \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_116 N_33_0 cpu_est_0_1__un3_n \ -N_114 N_20_i cpu_est_0_1__un1_n N_115 N_35_0 cpu_est_0_1__un0_n N_243 N_19_i \ -cpu_est_0_2__un3_n N_240 N_36_0 cpu_est_0_2__un1_n N_88 N_8_i \ -cpu_est_0_2__un0_n N_89 N_42_0 cpu_est_0_3__un3_n N_82 N_3_i \ -cpu_est_0_3__un1_n N_83 N_45_0 cpu_est_0_3__un0_n N_78 VPA_c_i \ -ipl_030_0_0__un3_n N_79 N_52_0 ipl_030_0_0__un1_n N_91 DTACK_c_i \ -ipl_030_0_0__un0_n N_244 N_53_0 ipl_030_0_1__un3_n N_62 ipl_030_0_1__un1_n \ -N_64 N_249_i ipl_030_0_1__un0_n N_59 N_248_i ipl_030_0_2__un3_n N_61 N_247_i \ -ipl_030_0_2__un1_n N_163 ipl_030_0_2__un0_n N_245 N_77_i uds_000_int_0_un3_n \ -N_242 N_251_i uds_000_int_0_un1_n N_246 N_76_i uds_000_int_0_un0_n N_248 \ -amiga_bus_enable_dma_high_0_un3_n N_236 N_131_i \ -amiga_bus_enable_dma_high_0_un1_n N_249 N_130_i \ -amiga_bus_enable_dma_high_0_un0_n N_92 N_264_i as_000_dma_0_un3_n N_251 \ -N_170_i as_000_dma_0_un1_n N_76 pos_clk_un6_bgack_000_0_n as_000_dma_0_un0_n \ -N_80 pos_clk_rw_000_dma_3_0_n ds_000_enable_0_un3_n pos_clk_a0_dma_3_n N_123_i \ -ds_000_enable_0_un1_n SIZE_DMA_3_sqmuxa N_124_i ds_000_enable_0_un0_n N_87 \ -AMIGA_BUS_DATA_DIR_c_0 lds_000_int_0_un3_n pos_clk_size_dma_6_1__n N_122_i \ -lds_000_int_0_un1_n pos_clk_size_dma_6_0__n pos_clk_ds_000_dma_4_0_n \ -lds_000_int_0_un0_n N_170 N_242_i as_030_000_sync_0_un3_n N_122 N_239_i \ -as_030_000_sync_0_un1_n N_123 N_87_i as_030_000_sync_0_un0_n N_130 N_236_0 \ -rw_000_int_0_un3_n pos_clk_ds_000_dma_4_n N_246_i rw_000_int_0_un1_n \ -pos_clk_rw_000_dma_3_n pos_clk_size_dma_6_0_0__n rw_000_int_0_un0_n \ -pos_clk_un6_bgack_000_n N_245_i vma_int_0_un3_n N_131 \ -pos_clk_size_dma_6_0_1__n vma_int_0_un1_n N_3 N_91_i vma_int_0_un0_n N_8 \ -N_210_i a_decode_15__n N_19 pos_clk_un19_bgack_030_int_i_n N_20 N_163_0 \ -a_decode_14__n N_22 N_59_i N_24 N_61_i a_decode_13__n pos_clk_un9_bg_030_n \ -un1_amiga_bus_enable_low_i N_62_i a_decode_12__n un21_fpu_cs_i N_64_i \ -BGACK_030_INT_i a_decode_11__n AMIGA_BUS_ENABLE_DMA_LOW_i N_244_i N_80_i \ -a_decode_10__n cycle_dma_i_0__n N_78_i_0 RW_000_i N_79_i a_decode_9__n \ -rst_dly_i_0__n rst_dly_i_1__n N_82_i a_decode_8__n rst_dly_i_2__n N_83_i \ -LDS_000_i N_55_0 a_decode_7__n UDS_000_i N_88_i sm_amiga_i_2__n N_89_i \ -a_decode_6__n N_58_i N_240_0 sm_amiga_i_3__n N_243_0 a_decode_5__n \ -cpu_est_i_1__n CLK_030_c_i cpu_est_i_0__n N_254_0 a_decode_4__n \ -sm_amiga_i_1__n N_114_i N_110_i N_115_i a_decode_3__n a_i_1__n VMA_INT_i \ -N_116_i a_decode_2__n VPA_D_i N_117_i DTACK_D0_i AS_030_D0_i N_118_i \ -sm_amiga_i_0__n sm_amiga_i_i_7__n N_119_i sm_amiga_i_6__n sm_amiga_i_5__n \ -N_120_i sm_amiga_i_4__n AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE \ -SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE \ -AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE \ -DS_030.OE DSACK1.OE RESET.OE CIIN.OE G_107 G_108 G_109 \ -pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 +inst_AS_000_DMA.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C \ +CLK_OUT_INTreg.D CLK_OUT_INTreg.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ +inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C SIZE_1_ AHIGH_31_ AS_030 AS_000 \ +RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ \ +AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ rst_dly_i_1__n N_41_0 sm_amiga_i_5__n \ +a_c_i_0__n rst_dly_i_0__n size_c_i_1__n sm_amiga_i_i_7__n \ +pos_clk_un10_sm_amiga_i_n AS_030_D0_i un1_as_000_i AS_000_INT_i un10_ciin_i \ +a_i_1__n N_260_0 a_decode_i_16__n N_229_i a_decode_i_18__n N_230_i vcc_n_n \ +a_decode_i_19__n N_298_0 ahigh_i_30__n N_48_0 gnd_n_n ahigh_i_31__n N_299_i \ +un1_amiga_bus_enable_low ahigh_i_28__n N_345_i un7_as_030 ahigh_i_29__n \ +N_349_i un1_UDS_000_INT ahigh_i_26__n un1_DS_000_ENABLE_0_sqmuxa_i \ +un1_LDS_000_INT ahigh_i_27__n N_180_i un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_24__n \ +N_181_i un10_ciin ahigh_i_25__n N_326_i un21_fpu_cs N_206_i un21_berr N_207_i \ +N_186_i un6_ds_030 N_208_i N_163_i N_197_0 N_79_i N_213_i N_78_i N_214_i \ +un6_ds_030_i N_215_i N_165_i N_169_i N_199_i un7_as_030_i N_191_0 \ +AMIGA_BUS_ENABLE_DMA_LOW_i N_275_i AS_030_c N_187_0 LDS_000_c_i AS_000_c \ +UDS_000_c_i N_184_i RW_000_c clk_000_d_i_4__n N_171_i AS_030_000_SYNC_i \ +UDS_000_c N_161_i LDS_000_c N_113_0 N_338_i size_c_0__n N_339_i size_c_1__n \ +N_335_i N_336_i ahigh_c_24__n N_334_i ahigh_c_25__n pos_clk_size_dma_6_0_1__n \ +pos_clk_un6_bg_030_n N_333_i ahigh_c_26__n pos_clk_size_dma_6_0_0__n \ +pos_clk_ipl_n N_295_i ahigh_c_27__n N_332_i ahigh_c_28__n N_292_i N_302_0 \ +pos_clk_un9_bg_030_n ahigh_c_29__n N_300_0 N_290_i ahigh_c_30__n N_273_i \ +ahigh_c_31__n N_327_i N_270_i N_269_i N_267_i pos_clk_a0_dma_3_n N_324_i \ +pos_clk_rw_000_int_5_n un1_SM_AMIGA_0_sqmuxa_1_0 N_319_i N_320_i RW_c_i \ +pos_clk_rw_000_int_5_0_n N_227_i N_297_0 N_15_i N_40_0 N_16_i N_15 N_39_0 N_16 \ +N_19_i N_19 N_36_0 N_20 N_20_i N_22 N_35_0 N_23 N_22_i N_24 N_33_0 N_23_i \ +N_32_0 a_decode_c_16__n N_24_i N_31_0 a_decode_c_17__n BG_030_c_i \ +pos_clk_un6_bg_030_i_n a_decode_c_18__n pos_clk_un9_bg_030_0_n N_161_i_1 \ +a_decode_c_19__n N_161_i_2 N_161_i_3 a_decode_c_20__n N_161_i_4 N_233_i_1 \ +a_decode_c_21__n N_233_i_2 N_180_i_1 a_decode_c_22__n N_180_i_2 \ +pos_clk_un10_sm_amiga_i_1_n a_decode_c_23__n N_196_0_1 N_188_i_1 a_c_0__n \ +un10_ciin_1 un10_ciin_2 a_c_1__n un10_ciin_3 un10_ciin_4 nEXP_SPACE_c \ +un10_ciin_5 un10_ciin_6 pos_clk_size_dma_6_0__n BERR_c un10_ciin_7 \ +pos_clk_size_dma_6_1__n un10_ciin_8 BG_030_c un10_ciin_9 un10_ciin_10 \ +un10_ciin_11 N_171_i_1 N_78 N_171_i_2 N_79 BGACK_000_c N_227_1 N_260 N_227_2 \ +N_139 CLK_030_c N_227_3 N_141 un21_fpu_cs_1 N_165 un21_berr_1_0 N_169 \ +N_235_i_1 N_297 CLK_OSZI_c N_235_i_2 N_256_1 N_300 N_256_2 N_302 N_232_i_1 \ +N_113 N_232_i_2 N_305 N_219_1 N_155 FPU_SENSE_c N_219_2 N_163 N_218_1 N_166 \ +N_218_2 N_171 N_347_1 N_180 N_347_2 N_184 N_136_i_1 N_191 N_146_i_1 N_199 \ +N_142_i_1 N_205 ipl_c_0__n N_234_i_1 N_306 N_302_0_1 N_215 ipl_c_1__n N_63_i_1 \ +N_221 N_154_i_1 N_227 ipl_c_2__n N_152_i_1 N_230 N_148_i_1 N_319 N_144_i_1 \ +N_320 DTACK_c N_140_i_1 N_267 pos_clk_un6_bg_030_1_n N_324 N_230_1 N_269 \ +N_221_1 N_270 VPA_c N_215_1 N_327 N_306_1 N_273 pos_clk_ipl_1_n N_275 RST_c \ +ipl_030_0_2__un3_n N_290 ipl_030_0_2__un1_n N_292 ipl_030_0_2__un0_n N_295 \ +RW_c ipl_030_0_1__un3_n N_332 ipl_030_0_1__un1_n N_333 fc_c_0__n \ +ipl_030_0_1__un0_n N_334 ipl_030_0_0__un3_n N_335 fc_c_1__n ipl_030_0_0__un1_n \ +N_336 ipl_030_0_0__un0_n N_338 cpu_est_0_3__un3_n N_339 AMIGA_BUS_DATA_DIR_c \ +cpu_est_0_3__un1_n N_350 cpu_est_0_3__un0_n vma_int_0_un3_n N_161 \ +vma_int_0_un1_n N_213 vma_int_0_un0_n N_214 VPA_c_i cpu_est_0_1__un3_n N_197 \ +N_52_0 cpu_est_0_1__un1_n N_159 DTACK_c_i cpu_est_0_1__un0_n N_326 N_53_0 \ +cpu_est_0_2__un3_n un21_berr_1 ipl_c_i_0__n cpu_est_0_2__un1_n N_181 N_49_0 \ +cpu_est_0_2__un0_n ipl_c_i_1__n ds_000_dma_0_un3_n un1_DS_000_ENABLE_0_sqmuxa \ +N_50_0 ds_000_dma_0_un1_n N_349 ipl_c_i_2__n ds_000_dma_0_un0_n N_345 N_51_0 \ +as_000_dma_0_un3_n N_229 N_25_i as_000_dma_0_un1_n N_14 N_28_0 \ +as_000_dma_0_un0_n N_21 N_26_i bgack_030_int_0_un3_n N_3 N_29_0 \ +bgack_030_int_0_un1_n N_301 N_27_i bgack_030_int_0_un0_n N_4 N_30_0 \ +ds_000_enable_0_un3_n N_303 N_222_i ds_000_enable_0_un1_n N_8 N_223_i \ +ds_000_enable_0_un0_n pos_clk_un6_bgack_000_n N_192_i uds_000_int_0_un3_n N_9 \ +N_231_i uds_000_int_0_un1_n N_65 N_237_i uds_000_int_0_un0_n N_217 \ +lds_000_int_0_un3_n N_216 N_342_i lds_000_int_0_un1_n N_248 N_341_i \ +lds_000_int_0_un0_n N_198 un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_291 \ +N_160_0 un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_353 N_164_i \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_256 VMA_INT_i \ +amiga_bus_enable_dma_high_0_un3_n N_18 N_347_i \ +amiga_bus_enable_dma_high_0_un1_n pos_clk_un9_clk_000_pe_n N_348_i \ +amiga_bus_enable_dma_high_0_un0_n cpu_est_2_1__n N_188_i bg_000_0_un3_n \ +cpu_est_2_2__n N_245_0 bg_000_0_un1_n N_209 N_194_0 bg_000_0_un0_n N_211 \ +N_196_0 size_dma_0_0__un3_n N_220 size_dma_0_0__un1_n N_222 N_211_i \ +size_dma_0_0__un0_n N_162 N_209_i size_dma_0_1__un3_n N_224 N_306_i \ +size_dma_0_1__un1_n N_193 N_193_0 size_dma_0_1__un0_n N_225 N_190_0 \ +as_030_000_sync_0_un3_n N_190 N_183_i as_030_000_sync_0_un1_n N_346 N_162_i \ +as_030_000_sync_0_un0_n N_352 N_346_i rw_000_int_0_un3_n N_219 N_159_0 \ +rw_000_int_0_un1_n N_218 N_305_i rw_000_int_0_un0_n N_183 N_210_i \ +rw_000_dma_0_un3_n N_196 N_225_i rw_000_dma_0_un1_n N_188 N_224_i \ +rw_000_dma_0_un0_n N_194 N_296_i a0_dma_0_un3_n N_347 N_352_i a0_dma_0_un1_n \ +N_348 cpu_est_2_0_2__n a0_dma_0_un0_n N_160 N_220_i \ +amiga_bus_enable_dma_low_0_un3_n N_341 N_221_i \ +amiga_bus_enable_dma_low_0_un1_n N_342 cpu_est_2_0_1__n \ +amiga_bus_enable_dma_low_0_un0_n N_231 N_219_i a_decode_15__n N_237 N_218_i \ +N_223 pos_clk_un9_clk_000_pe_0_n a_decode_14__n N_27 clk_000_d_i_2__n N_26 \ +N_157_i a_decode_13__n N_25 N_18_i un1_amiga_bus_enable_low_i N_37_0 \ +a_decode_12__n un21_fpu_cs_i cpu_est_i_2__n N_217_i a_decode_11__n \ +sm_amiga_i_0__n N_216_i sm_amiga_i_2__n CLK_030_c_i a_decode_10__n \ +sm_amiga_i_1__n N_198_0 cpu_est_i_0__n N_166_i a_decode_9__n VPA_D_i N_155_i \ +DTACK_D0_i N_303_0 a_decode_8__n AS_030_i N_291_i DSACK1_INT_i N_301_0 \ +a_decode_7__n cpu_est_i_3__n N_256_i sm_amiga_i_3__n N_248_i a_decode_6__n \ +cpu_est_i_1__n AMIGA_BUS_DATA_DIR_c_0 clk_000_d_i_1__n N_353_i a_decode_5__n \ +N_350_i_0 pos_clk_un6_bgack_000_0_n rst_dly_i_2__n N_65_0 a_decode_4__n \ +nEXP_SPACE_i N_3_i AS_000_i N_45_0 a_decode_3__n BGACK_030_INT_i N_4_i \ +sm_amiga_i_6__n N_44_0 a_decode_2__n clk_000_d_i_0__n N_8_i RW_000_i N_42_0 \ +CLK_030_H_i UDS_000_INT_i AS_000_DMA_i un1_UDS_000_INT_0 cycle_dma_i_0__n \ +LDS_000_INT_i DS_000_DMA_i un1_LDS_000_INT_0 RESET_OUT_i N_21_i \ +sm_amiga_i_4__n N_34_0 FPU_SENSE_i N_14_i AS_030.OE AS_000.OE RW_000.OE \ +UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE \ +AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE \ +A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE G_107 G_108 G_109 \ +pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_i_a2_i_x2 +.names N_154_i_1.BLIF RST_c.BLIF SM_AMIGA_i_7_.D +11 1 .names N_152_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D 11 1 -.names N_150_i_1.BLIF RST_c.BLIF SM_AMIGA_5_.D +.names N_186_i.BLIF N_326_i.BLIF SM_AMIGA_5_.D 11 1 .names N_148_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D 11 1 @@ -418,6 +407,9 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 11 1 .names N_140_i_1.BLIF RST_c.BLIF SM_AMIGA_0_.D 11 1 +.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D +1- 1 +-1 1 .names N_28_0.BLIF IPL_030DFF_0_reg.D 0 1 .names N_29_0.BLIF IPL_030DFF_1_reg.D @@ -430,11 +422,9 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 0 1 .names N_51_0.BLIF IPL_D0_2_.D 0 1 -.names N_154_i_1.BLIF RST_c.BLIF SM_AMIGA_i_7_.D +.names N_235_i_1.BLIF N_235_i_2.BLIF CYCLE_DMA_0_.D 11 1 -.names N_260_i_1.BLIF N_260_i_2.BLIF CYCLE_DMA_0_.D -11 1 -.names N_259_i_1.BLIF RST_c.BLIF CYCLE_DMA_1_.D +.names N_136_i_1.BLIF N_245_0.BLIF CYCLE_DMA_1_.D 11 1 .names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 @@ -442,7 +432,7 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 .names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D 1- 1 -1 1 -.names N_59_i.BLIF N_61_i.BLIF cpu_est_0_.D +.names N_341_i.BLIF N_342_i.BLIF cpu_est_0_.D 11 1 .names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D 1- 1 @@ -450,18 +440,17 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 .names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D 1- 1 -1 1 -.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D -1- 1 --1 1 .names N_234_i_1.BLIF RST_c.BLIF RST_DLY_0_.D 11 1 .names N_233_i_1.BLIF N_233_i_2.BLIF RST_DLY_1_.D 11 1 .names N_232_i_1.BLIF N_232_i_2.BLIF RST_DLY_2_.D 11 1 -.names N_127_i.BLIF N_128_i.BLIF inst_DSACK1_INT.D +.names N_45_0.BLIF inst_DS_000_DMA.D +0 1 +.names N_231_i.BLIF N_237_i.BLIF inst_DSACK1_INT.D 11 1 -.names N_125_i.BLIF N_126_i.BLIF inst_AS_000_INT.D +.names N_319_i.BLIF N_320_i.BLIF inst_AS_000_INT.D 11 1 .names N_48_0.BLIF inst_AS_030_D0.D 0 1 @@ -469,9 +458,9 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 0 1 .names N_53_0.BLIF inst_DTACK_D0.D 0 1 -.names N_258_i_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF inst_CLK_030_H.D +.names N_63_i_1.BLIF RST_c.BLIF inst_CLK_030_H.D 11 1 -.names N_55_0.BLIF inst_RESET_OUT.D +.names N_298_0.BLIF inst_RESET_OUT.D 0 1 .names N_9.BLIF RST_c.BLIF inst_DS_000_ENABLE.D 11 1 @@ -499,360 +488,303 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 0 1 .names N_44_0.BLIF inst_AS_000_DMA.D 0 1 -.names N_45_0.BLIF inst_DS_000_DMA.D -0 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF inst_BGACK_030_INT_D.D +.names N_245_0.BLIF inst_BGACK_030_INT_D.D 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_i +.names RST_DLY_1_.BLIF rst_dly_i_1__n 0 1 -.names N_171.BLIF N_171_i -0 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names N_121.BLIF N_121_i -0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names N_255_0_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_255_0 +.names N_14_i.BLIF RST_c.BLIF N_41_0 11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n 0 1 -.names N_265.BLIF N_270_i.BLIF un1_SM_AMIGA_0_sqmuxa_1_0 -11 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names N_125.BLIF N_125_i -0 1 -.names inst_DSACK1_INT.BLIF DSACK1_INT_i -0 1 -.names N_126.BLIF N_126_i -0 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_0__n -0 1 -.names vcc_n_n - 1 -.names CLK_000_D_3_.BLIF clk_000_d_i_3__n -0 1 -.names N_127.BLIF N_127_i -0 1 -.names un5_e_0.BLIF un5_e -0 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_1__n -0 1 -.names N_128.BLIF N_128_i -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names gnd_n_n -.names cpu_est_3_.BLIF cpu_est_i_3__n -0 1 -.names RW_c.BLIF RW_c_i -0 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ -un1_amiga_bus_enable_low -11 1 -.names a_decode_c_16__n.BLIF a_decode_i_16__n -0 1 -.names N_270_i.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n -11 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un7_as_030 -11 1 -.names a_decode_c_18__n.BLIF a_decode_i_18__n -0 1 -.names N_129.BLIF N_129_i -0 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT -0 1 -.names a_decode_c_19__n.BLIF a_decode_i_19__n -0 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 -.names ahigh_c_30__n.BLIF ahigh_i_30__n -0 1 -.names un1_SM_AMIGA_0_sqmuxa_1_0.BLIF un1_SM_AMIGA_0_sqmuxa_1 -0 1 -.names ahigh_c_31__n.BLIF ahigh_i_31__n -0 1 -.names un10_ciin.BLIF un10_ciin_i -0 1 -.names un1_DS_000_ENABLE_0_sqmuxa_i.BLIF un1_DS_000_ENABLE_0_sqmuxa -0 1 -.names ahigh_c_28__n.BLIF ahigh_i_28__n -0 1 -.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_261_0 -11 1 -.names un10_ciin_10.BLIF un10_ciin_11.BLIF un10_ciin -11 1 -.names ahigh_c_29__n.BLIF ahigh_i_29__n -0 1 -.names AS_030_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF N_65_0 -11 1 -.names un21_fpu_cs_1.BLIF FPU_SENSE_i.BLIF un21_fpu_cs -11 1 -.names ahigh_c_26__n.BLIF ahigh_i_26__n -0 1 -.names N_134.BLIF N_134_i -0 1 -.names un21_berr_1.BLIF FPU_SENSE_c.BLIF un21_berr -11 1 -.names ahigh_c_27__n.BLIF ahigh_i_27__n -0 1 -.names N_153.BLIF N_153_i -0 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names ahigh_c_24__n.BLIF ahigh_i_24__n -0 1 -.names N_134_i.BLIF N_153_i.BLIF N_67_0 -11 1 -.names ahigh_c_25__n.BLIF ahigh_i_25__n -0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF un2_as_030_i -11 1 -.names G_107.BLIF N_206_i -0 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_263_i -11 1 -.names G_108.BLIF N_207_i -0 1 -.names N_263_i.BLIF SM_AMIGA_6_.BLIF N_265_i -11 1 -.names G_109.BLIF N_208_i -0 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names N_84_0_1.BLIF N_84_0_2.BLIF N_84_0 -11 1 -.names CLK_000_D_2_.BLIF clk_000_d_i_2__n -0 1 -.names N_81.BLIF N_81_i -0 1 -.names CLK_000_D_3_.BLIF clk_000_d_i_2__n.BLIF N_85_i -11 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names N_141.BLIF N_141_i -0 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names N_110_i.BLIF N_141_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i -11 1 -.names N_147.BLIF N_147_i -0 1 -.names pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF \ -pos_clk_un19_bgack_030_int_n.BLIF pos_clk_un21_bgack_030_int_i_0_i_n -11 1 -.names N_145.BLIF N_145_i -0 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_269_i -11 1 -.names un7_as_030.BLIF un7_as_030_i -0 1 -.names N_85_i.BLIF SM_AMIGA_1_.BLIF N_90_i -11 1 -.names inst_RESET_OUT.BLIF RESET_OUT_i -0 1 -.names N_117_i.BLIF SM_AMIGA_i_7_.BLIF N_270_i -11 1 -.names N_269_i.BLIF SM_AMIGA_5_.BLIF N_271_0 -11 1 -.names N_96_0_3.BLIF nEXP_SPACE_c.BLIF N_96_0 -11 1 -.names N_84_0.BLIF sm_amiga_i_i_7__n.BLIF N_97_0 -11 1 -.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_98_0 -11 1 -.names N_282.BLIF N_282_i -0 1 -.names N_284.BLIF N_284_i -0 1 -.names pos_clk_un14_clk_000_ne_n.BLIF pos_clk_un14_clk_000_ne_i_n -0 1 -.names un5_e_0_1.BLIF N_284_i.BLIF un5_e_0 -11 1 -.names N_285.BLIF N_285_i -0 1 -.names N_291.BLIF N_291_i -0 1 -.names N_292.BLIF N_292_i -0 1 -.names N_192_i_1.BLIF N_292_i.BLIF N_192_i -11 1 -.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n -11 1 -.names N_17.BLIF N_17_i -0 1 -.names N_17_i.BLIF N_285_i.BLIF cpu_est_2_0_2__n -11 1 -.names pos_clk_ipl_1_n.BLIF N_207_i.BLIF pos_clk_ipl_n -11 1 -.names N_286.BLIF N_286_i -0 1 -.names N_288.BLIF N_288_i -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa -11 1 -.names N_286_i.BLIF N_288_i.BLIF cpu_est_2_0_1__n -11 1 -.names N_289.BLIF N_289_i -0 1 -.names N_290.BLIF N_290_i -0 1 -.names N_289_i.BLIF N_290_i.BLIF pos_clk_un9_clk_000_pe_0_n -11 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_280_i -11 1 -.names pos_clk_un10_sm_amiga_i_1_n.BLIF size_c_0__n.BLIF \ -pos_clk_un10_sm_amiga_i_n -11 1 .names a_c_0__n.BLIF a_c_i_0__n 0 1 +.names RST_DLY_0_.BLIF rst_dly_i_0__n +0 1 .names size_c_1__n.BLIF size_c_i_1__n 0 1 -.names N_27.BLIF N_27_i +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n 0 1 -.names N_27_i.BLIF RST_c.BLIF N_30_0 +.names pos_clk_un10_sm_amiga_i_1_n.BLIF size_c_i_1__n.BLIF \ +pos_clk_un10_sm_amiga_i_n 11 1 -.names N_26.BLIF N_26_i +.names inst_AS_030_D0.BLIF AS_030_D0_i 0 1 -.names N_26_i.BLIF RST_c.BLIF N_29_0 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF un1_as_000_i 11 1 -.names N_25.BLIF N_25_i +.names inst_AS_000_INT.BLIF AS_000_INT_i 0 1 -.names N_25_i.BLIF RST_c.BLIF N_28_0 +.names un10_ciin.BLIF un10_ciin_i +0 1 +.names a_c_1__n.BLIF a_i_1__n +0 1 +.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_260_0 11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n +.names a_decode_c_16__n.BLIF a_decode_i_16__n 0 1 -.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n +.names N_229.BLIF N_229_i 0 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_51_0 +.names a_decode_c_18__n.BLIF a_decode_i_18__n +0 1 +.names N_230.BLIF N_230_i +0 1 +.names vcc_n_n + 1 +.names a_decode_c_19__n.BLIF a_decode_i_19__n +0 1 +.names N_229_i.BLIF N_230_i.BLIF N_298_0 11 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n +.names ahigh_c_30__n.BLIF ahigh_i_30__n +0 1 +.names AS_030_i.BLIF RST_c.BLIF N_48_0 +11 1 +.names gnd_n_n +.names ahigh_c_31__n.BLIF ahigh_i_31__n +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_299_i +11 1 +.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ +un1_amiga_bus_enable_low +11 1 +.names ahigh_c_28__n.BLIF ahigh_i_28__n +0 1 +.names N_345.BLIF N_345_i +0 1 +.names AS_000_DMA_i.BLIF AS_000_i.BLIF un7_as_030 +11 1 +.names ahigh_c_29__n.BLIF ahigh_i_29__n +0 1 +.names N_349.BLIF N_349_i +0 1 +.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT +0 1 +.names ahigh_c_26__n.BLIF ahigh_i_26__n +0 1 +.names N_181.BLIF N_349_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i +11 1 +.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT +0 1 +.names ahigh_c_27__n.BLIF ahigh_i_27__n +0 1 +.names N_180_i_1.BLIF N_180_i_2.BLIF N_180_i +11 1 +.names un1_SM_AMIGA_0_sqmuxa_1_0.BLIF un1_SM_AMIGA_0_sqmuxa_1 +0 1 +.names ahigh_c_24__n.BLIF ahigh_i_24__n +0 1 +.names N_155_i.BLIF SM_AMIGA_4_.BLIF N_181_i +11 1 +.names un10_ciin_10.BLIF un10_ciin_11.BLIF un10_ciin +11 1 +.names ahigh_c_25__n.BLIF ahigh_i_25__n +0 1 +.names N_326.BLIF N_326_i +0 1 +.names un21_fpu_cs_1.BLIF N_161_i.BLIF un21_fpu_cs +11 1 +.names G_107.BLIF N_206_i +0 1 +.names un21_berr_1_0.BLIF N_161_i.BLIF un21_berr +11 1 +.names G_108.BLIF N_207_i +0 1 +.names N_305.BLIF RST_c.BLIF N_186_i +11 1 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 +11 1 +.names G_109.BLIF N_208_i +0 1 +.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_163_i +11 1 +.names N_305_i.BLIF SM_AMIGA_5_.BLIF N_197_0 +11 1 +.names N_79.BLIF N_79_i +0 1 +.names N_213.BLIF N_213_i +0 1 +.names N_78.BLIF N_78_i +0 1 +.names N_214.BLIF N_214_i +0 1 +.names un6_ds_030.BLIF un6_ds_030_i +0 1 +.names N_215.BLIF N_215_i +0 1 +.names N_165.BLIF N_165_i +0 1 +.names N_169.BLIF N_169_i +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_199_i +11 1 +.names un7_as_030.BLIF un7_as_030_i +0 1 +.names N_171_i.BLIF sm_amiga_i_i_7__n.BLIF N_191_0 +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names N_275.BLIF N_275_i +0 1 +.names N_275_i.BLIF SM_AMIGA_i_7_.BLIF N_187_0 +11 1 +.names LDS_000_c.BLIF LDS_000_c_i +0 1 +.names UDS_000_c.BLIF UDS_000_c_i +0 1 +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_184_i +11 1 +.names CLK_000_D_4_.BLIF clk_000_d_i_4__n +0 1 +.names N_171_i_1.BLIF N_171_i_2.BLIF N_171_i +11 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names N_161_i_4.BLIF N_161_i_3.BLIF N_161_i +11 1 +.names N_199_i.BLIF RST_c.BLIF N_113_0 +11 1 +.names N_338.BLIF N_338_i +0 1 +.names N_339.BLIF N_339_i +0 1 +.names N_335.BLIF N_335_i +0 1 +.names N_336.BLIF N_336_i +0 1 +.names N_334.BLIF N_334_i +0 1 +.names N_334_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +11 1 +.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n +11 1 +.names N_333.BLIF N_333_i +0 1 +.names N_333_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names pos_clk_ipl_1_n.BLIF N_207_i.BLIF pos_clk_ipl_n +11 1 +.names N_295.BLIF N_295_i +0 1 +.names N_332.BLIF N_332_i +0 1 +.names N_292.BLIF N_292_i +0 1 +.names N_302_0_1.BLIF RW_000_i.BLIF N_302_0 +11 1 +.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n +0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_300_0 +11 1 +.names N_290.BLIF N_290_i +0 1 +.names N_273.BLIF N_273_i +0 1 +.names N_327.BLIF N_327_i +0 1 +.names N_270.BLIF N_270_i +0 1 +.names N_269.BLIF N_269_i +0 1 +.names N_267.BLIF N_267_i +0 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 +.names N_324.BLIF N_324_i 0 1 .names pos_clk_rw_000_int_5_0_n.BLIF pos_clk_rw_000_int_5_n 0 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_50_0 +.names N_166.BLIF N_187_0.BLIF un1_SM_AMIGA_0_sqmuxa_1_0 11 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n +.names N_319.BLIF N_319_i 0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_49_0 +.names N_320.BLIF N_320_i +0 1 +.names RW_c.BLIF RW_c_i +0 1 +.names N_187_0.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n 11 1 -.names N_4.BLIF N_4_i +.names N_227.BLIF N_227_i 0 1 -.names N_4_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names N_14.BLIF N_14_i -0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_4 -1- 1 --1 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 +.names AS_030_i.BLIF N_227_i.BLIF N_297_0 11 1 .names N_15.BLIF N_15_i 0 1 .names N_15_i.BLIF RST_c.BLIF N_40_0 11 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_9 -1- 1 --1 1 .names N_16.BLIF N_16_i 0 1 -.names N_16_i.BLIF RST_c.BLIF N_39_0 -11 1 -.names N_18.BLIF N_18_i -0 1 -.names N_18_i.BLIF RST_c.BLIF N_37_0 -11 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 -1- 1 --1 1 -.names N_21.BLIF N_21_i -0 1 .names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_15 1- 1 -1 1 -.names N_21_i.BLIF RST_c.BLIF N_34_0 +.names N_16_i.BLIF RST_c.BLIF N_39_0 11 1 .names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_16 1- 1 -1 1 -.names N_23.BLIF N_23_i +.names N_19.BLIF N_19_i 0 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 1- 1 -1 1 -.names N_23_i.BLIF RST_c.BLIF N_32_0 +.names N_19_i.BLIF RST_c.BLIF N_36_0 11 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_21 +.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 1- 1 -1 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i +.names N_20.BLIF N_20_i 0 1 +.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 +1- 1 +-1 1 +.names N_20_i.BLIF RST_c.BLIF N_35_0 +11 1 .names amiga_bus_enable_dma_high_0_un1_n.BLIF \ amiga_bus_enable_dma_high_0_un0_n.BLIF N_23 1- 1 -1 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 -11 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_25 -1- 1 --1 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i +.names N_22.BLIF N_22_i 0 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_26 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_24 1- 1 -1 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +.names N_22_i.BLIF RST_c.BLIF N_33_0 11 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_27 -1- 1 --1 1 -.names inst_BGACK_030_INT_D.BLIF inst_BGACK_030_INTreg.BLIF N_96_0_1 +.names N_23.BLIF N_23_i +0 1 +.names N_23_i.BLIF RST_c.BLIF N_32_0 11 1 -.names AS_030_D0_i.BLIF sm_amiga_i_i_7__n.BLIF N_96_0_2 +.names N_24.BLIF N_24_i +0 1 +.names N_24_i.BLIF RST_c.BLIF N_31_0 11 1 -.names N_96_0_1.BLIF N_96_0_2.BLIF N_96_0_3 +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n +0 1 +.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un9_bg_030_0_n 11 1 -.names pos_clk_un21_bgack_030_int_i_0_x2.BLIF N_264_i.BLIF \ -pos_clk_un21_bgack_030_int_i_0_i_1_n +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_161_i_1 11 1 -.names CLK_000_D_4_.BLIF clk_000_d_i_3__n.BLIF N_84_0_1 +.names a_decode_c_17__n.BLIF a_decode_i_16__n.BLIF N_161_i_2 11 1 -.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF N_84_0_2 +.names a_decode_i_18__n.BLIF a_decode_i_19__n.BLIF N_161_i_3 11 1 -.names BERR_c.BLIF N_88_i.BLIF N_240_0_1 +.names N_161_i_1.BLIF N_161_i_2.BLIF N_161_i_4 11 1 -.names size_c_i_1__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n +.names N_213_i.BLIF N_214_i.BLIF N_233_i_1 11 1 -.names N_263_i.BLIF N_291.BLIF N_289_1 +.names N_215_i.BLIF RST_c.BLIF N_233_i_2 11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_289_2 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_180_i_1 11 1 -.names N_269_i.BLIF N_280_i.BLIF N_290_1 +.names N_345_i.BLIF pos_clk_un21_bgack_030_int_i_i_a2_i_x2.BLIF N_180_i_2 11 1 -.names N_291.BLIF VPA_D_i.BLIF N_290_2 +.names size_c_0__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n 11 1 -.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF pos_clk_un14_clk_000_ne_1_n +.names N_188.BLIF N_305_i.BLIF N_196_0_1 11 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF pos_clk_un14_clk_000_ne_2_n -11 1 -.names AS_030_i.BLIF a_decode_c_17__n.BLIF N_153_1 -11 1 -.names a_decode_i_16__n.BLIF a_decode_i_18__n.BLIF N_153_2 -11 1 -.names fc_c_1__n.BLIF a_decode_i_19__n.BLIF N_153_3 -11 1 -.names N_153_1.BLIF N_153_2.BLIF N_153_4 -11 1 -.names N_153_3.BLIF fc_c_0__n.BLIF N_153_5 +.names BERR_c.BLIF N_347_i.BLIF N_188_i_1 11 1 .names ahigh_i_24__n.BLIF ahigh_i_25__n.BLIF un10_ciin_1 11 1 @@ -866,656 +798,699 @@ pos_clk_un21_bgack_030_int_i_0_i_1_n 11 1 .names a_decode_c_20__n.BLIF a_decode_c_21__n.BLIF un10_ciin_6 11 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n +0 1 .names un10_ciin_1.BLIF un10_ciin_2.BLIF un10_ciin_7 11 1 -.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n 0 1 .names un10_ciin_3.BLIF un10_ciin_4.BLIF un10_ciin_8 11 1 -.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n -0 1 .names un10_ciin_5.BLIF un10_ciin_6.BLIF un10_ciin_9 11 1 .names un10_ciin_7.BLIF un10_ciin_8.BLIF un10_ciin_10 11 1 .names un10_ciin_9.BLIF a_decode_c_22__n.BLIF un10_ciin_11 11 1 -.names N_130_i.BLIF N_131_i.BLIF N_260_i_1 +.names AS_030_000_SYNC_i.BLIF CLK_000_D_5_.BLIF N_171_i_1 11 1 -.names pos_clk_un21_bgack_030_int_i_0_i_n.BLIF \ -pos_clk_un21_bgack_030_int_i_0_n +.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_78 +11 1 +.names clk_000_d_i_4__n.BLIF nEXP_SPACE_c.BLIF N_171_i_2 +11 1 +.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_79 +11 1 +.names AS_030_D0_i.BLIF N_161.BLIF N_227_1 +11 1 +.names N_260_0.BLIF N_260 0 1 -.names N_264_i.BLIF RST_c.BLIF N_260_i_2 +.names N_199_i.BLIF sm_amiga_i_i_7__n.BLIF N_227_2 11 1 -.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_81 +.names N_141.BLIF nEXP_SPACE_i.BLIF N_139 11 1 -.names N_76_i.BLIF N_77_i.BLIF N_233_i_1 +.names N_227_1.BLIF N_227_2.BLIF N_227_3 11 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_94 +.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_141 11 1 -.names N_251_i.BLIF RST_c.BLIF N_233_i_2 +.names un21_berr_1.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 11 1 -.names N_254_0.BLIF N_254 +.names AS_030_i.BLIF DSACK1_INT_i.BLIF N_165 +11 1 +.names un21_berr_1.BLIF FPU_SENSE_c.BLIF un21_berr_1_0 +11 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF N_169 +11 1 +.names AS_000_i.BLIF N_216_i.BLIF N_235_i_1 +11 1 +.names N_297_0.BLIF N_297 0 1 -.names N_247_i.BLIF N_248_i.BLIF N_232_i_1 +.names N_217_i.BLIF N_245_0.BLIF N_235_i_2 11 1 -.names N_255_0.BLIF N_255 -0 1 -.names N_249_i.BLIF RST_c.BLIF N_232_i_2 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_256_1 11 1 -.names N_261_0.BLIF N_261 +.names N_300_0.BLIF N_300 0 1 -.names N_210_i.BLIF N_239.BLIF N_247_1 +.names RW_000_c.BLIF nEXP_SPACE_i.BLIF N_256_2 11 1 -.names N_65_0.BLIF N_65 +.names N_302_0.BLIF N_302 0 1 -.names N_210_i.BLIF rst_dly_i_0__n.BLIF N_77_1 +.names N_209_i.BLIF N_211_i.BLIF N_232_i_1 11 1 -.names N_67_0.BLIF N_67 +.names N_113_0.BLIF N_113 0 1 -.names N_91.BLIF N_269_i.BLIF N_83_1 +.names N_306_i.BLIF RST_c.BLIF N_232_i_2 11 1 -.names N_269_i.BLIF N_269 +.names N_305_i.BLIF N_305 0 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_88_1 +.names N_305_i.BLIF N_352.BLIF N_219_1 +11 1 +.names N_155_i.BLIF N_155 +0 1 +.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_219_2 +11 1 +.names N_163_i.BLIF N_163 +0 1 +.names N_155_i.BLIF N_164_i.BLIF N_218_1 +11 1 +.names N_166_i.BLIF N_166 +0 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_218_2 +11 1 +.names N_171_i.BLIF N_171 +0 1 +.names N_160_0.BLIF N_164_i.BLIF N_347_1 +11 1 +.names N_180_i.BLIF N_180 +0 1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF N_347_2 +11 1 +.names N_184_i.BLIF N_184 +0 1 +.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_136_i_1 +11 1 +.names N_191_0.BLIF N_191 +0 1 +.names N_338_i.BLIF N_339_i.BLIF N_146_i_1 +11 1 +.names N_199_i.BLIF N_199 +0 1 +.names N_335_i.BLIF N_336_i.BLIF N_142_i_1 11 1 .names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_108 +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_205 1- 1 -1 1 -.names N_62_i.BLIF N_64_i.BLIF N_142_i_1 +.names N_295_i.BLIF N_332_i.BLIF N_234_i_1 11 1 -.names N_278.BLIF nEXP_SPACE_i.BLIF N_135 +.names N_306_1.BLIF rst_dly_i_2__n.BLIF N_306 11 1 -.names N_58_i.BLIF N_244_i.BLIF N_146_i_1 +.names N_180_i.BLIF N_292_i.BLIF N_302_0_1 11 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF N_136 +.names N_215_1.BLIF rst_dly_i_1__n.BLIF N_215 11 1 -.names N_78_i_0.BLIF N_79_i.BLIF N_234_i_1 +.names N_180_i.BLIF N_290_i.BLIF N_63_i_1 11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF N_145 +.names N_221_1.BLIF cpu_est_i_3__n.BLIF N_221 +11 1 +.names N_273_i.BLIF N_275_i.BLIF N_154_i_1 +11 1 +.names N_227_3.BLIF nEXP_SPACE_c.BLIF N_227 +11 1 +.names N_166.BLIF N_327_i.BLIF N_152_i_1 +11 1 +.names N_230_1.BLIF RST_c.BLIF N_230 +11 1 +.names N_155.BLIF N_270_i.BLIF N_148_i_1 +11 1 +.names N_169.BLIF RST_c.BLIF N_319 +11 1 +.names N_155.BLIF N_269_i.BLIF N_144_i_1 +11 1 +.names N_166_i.BLIF RST_c.BLIF N_320 +11 1 +.names N_267_i.BLIF N_324_i.BLIF N_140_i_1 +11 1 +.names N_183.BLIF sm_amiga_i_0__n.BLIF N_267 11 1 .names nEXP_SPACE_c.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n 11 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_278 +.names N_155_i.BLIF sm_amiga_i_1__n.BLIF N_324 11 1 -.names N_264_i.BLIF RW_000_c.BLIF N_124_1 +.names N_305_i.BLIF N_350.BLIF N_230_1 11 1 -.names AS_030_i.BLIF DSACK1_INT_i.BLIF N_147 +.names N_196.BLIF sm_amiga_i_2__n.BLIF N_269 11 1 -.names N_153.BLIF BGACK_000_c.BLIF un21_berr_1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_221_1 11 1 -.names N_240.BLIF N_269_i.BLIF N_58 +.names N_197.BLIF sm_amiga_i_4__n.BLIF N_270 11 1 -.names N_153.BLIF BGACK_000_c.BLIF un21_fpu_cs_1 +.names N_210_i.BLIF rst_dly_i_0__n.BLIF N_215_1 11 1 -.names N_263_i.BLIF SM_AMIGA_4_.BLIF N_110 +.names N_191.BLIF sm_amiga_i_6__n.BLIF N_327 11 1 -.names N_114_i.BLIF N_115_i.BLIF N_140_i_1 +.names N_163.BLIF N_210_i.BLIF N_306_1 11 1 -.names N_239_i.BLIF N_239 -0 1 -.names N_116_i.BLIF N_117_i.BLIF N_154_i_1 -11 1 -.names N_90_i.BLIF N_90 -0 1 -.names N_118_i.BLIF N_265.BLIF N_152_i_1 -11 1 -.names N_265_i.BLIF N_265 -0 1 -.names N_119_i.BLIF N_269.BLIF N_150_i_1 -11 1 -.names N_120_i.BLIF N_263.BLIF N_148_i_1 -11 1 -.names N_171_i.BLIF N_263.BLIF N_144_i_1 -11 1 -.names pos_clk_un19_bgack_030_int_i_n.BLIF pos_clk_un19_bgack_030_int_n -0 1 -.names N_121_i.BLIF RW_000_i.BLIF N_255_0_1 -11 1 -.names N_280_i.BLIF N_280 -0 1 -.names N_129_i.BLIF RST_c.BLIF N_258_i_1 -11 1 -.names N_263_i.BLIF N_263 -0 1 -.names pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_264_i.BLIF N_259_i_1 -11 1 -.names N_247_1.BLIF rst_dly_i_2__n.BLIF N_247 -11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_282_1 -11 1 -.names N_77_1.BLIF rst_dly_i_1__n.BLIF N_77 -11 1 -.names N_292.BLIF cpu_est_3_.BLIF N_284_1 -11 1 -.names N_289_1.BLIF N_289_2.BLIF N_289 -11 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_288_1 -11 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_291 -11 1 -.names pos_clk_un14_clk_000_ne_i_n.BLIF N_282_i.BLIF un5_e_0_1 -11 1 -.names N_290_1.BLIF N_290_2.BLIF N_290 -11 1 -.names N_285_i.BLIF N_291_i.BLIF N_192_i_1 -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_286 +.names N_171.BLIF sm_amiga_i_i_7__n.BLIF N_273 11 1 .names N_208_i.BLIF N_206_i.BLIF pos_clk_ipl_1_n 11 1 -.names N_288_1.BLIF cpu_est_i_3__n.BLIF N_288 +.names N_155_i.BLIF SM_AMIGA_0_.BLIF N_275 11 1 -.names pos_clk_un9_bg_030_n.BLIF bg_000_0_un3_n +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n 0 1 -.names N_280.BLIF cpu_est_2_.BLIF N_285 +.names CLK_030_H_i.BLIF N_198.BLIF N_290 11 1 -.names BG_030_c.BLIF pos_clk_un9_bg_030_n.BLIF bg_000_0_un1_n +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n 11 1 -.names N_280_i.BLIF cpu_est_i_2__n.BLIF N_17 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_292 11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n 11 1 -.names cpu_est_0_.BLIF cpu_est_i_2__n.BLIF N_292 -11 1 -.names N_94.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names pos_clk_un14_clk_000_ne_1_n.BLIF pos_clk_un14_clk_000_ne_2_n.BLIF \ -pos_clk_un14_clk_000_ne_n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_94.BLIF \ -amiga_bus_enable_dma_low_0_un1_n -11 1 -.names N_282_1.BLIF cpu_est_i_3__n.BLIF N_282 -11 1 -.names N_80_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n -11 1 -.names N_284_1.BLIF cpu_est_i_1__n.BLIF N_284 -11 1 -.names N_94.BLIF a0_dma_0_un3_n -0 1 -.names N_98_0.BLIF N_98 -0 1 -.names inst_A0_DMA.BLIF N_94.BLIF a0_dma_0_un1_n -11 1 -.names N_97_0.BLIF N_97 -0 1 -.names pos_clk_a0_dma_3_n.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names N_84_0.BLIF N_84 -0 1 -.names N_94.BLIF rw_000_dma_0_un3_n -0 1 -.names N_96_0.BLIF N_96 -0 1 -.names inst_RW_000_DMA.BLIF N_94.BLIF rw_000_dma_0_un1_n -11 1 -.names N_271_0.BLIF N_271 -0 1 -.names pos_clk_rw_000_dma_3_n.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names N_263_i.BLIF SM_AMIGA_0_.BLIF N_117 -11 1 -.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names N_265_i.BLIF RW_c.BLIF N_141 -11 1 -.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names AS_030_i.BLIF N_96.BLIF N_134 -11 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ -bgack_030_int_0_un0_n -11 1 -.names N_153_4.BLIF N_153_5.BLIF N_153 -11 1 -.names N_255.BLIF ds_000_dma_0_un3_n -0 1 -.names CLK_030_H_i.BLIF N_98.BLIF N_129 -11 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_255.BLIF ds_000_dma_0_un1_n -11 1 -.names N_147.BLIF RST_c.BLIF N_127 -11 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names N_90_i.BLIF RST_c.BLIF N_128 -11 1 -.names SIZE_DMA_3_sqmuxa.BLIF size_dma_0_1__un3_n -0 1 -.names N_145.BLIF RST_c.BLIF N_125 -11 1 -.names SIZE_DMA_1_.BLIF SIZE_DMA_3_sqmuxa.BLIF size_dma_0_1__un1_n -11 1 -.names N_265_i.BLIF RST_c.BLIF N_126 -11 1 -.names pos_clk_size_dma_6_1__n.BLIF size_dma_0_1__un3_n.BLIF \ -size_dma_0_1__un0_n -11 1 -.names N_124_1.BLIF nEXP_SPACE_i.BLIF N_124 -11 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names SIZE_DMA_3_sqmuxa.BLIF size_dma_0_0__un3_n -0 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_121 -11 1 -.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n -0 1 -.names SIZE_DMA_0_.BLIF SIZE_DMA_3_sqmuxa.BLIF size_dma_0_0__un1_n -11 1 -.names N_163.BLIF sm_amiga_i_2__n.BLIF N_171 -11 1 -.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un9_bg_030_0_n -11 1 -.names pos_clk_size_dma_6_0__n.BLIF size_dma_0_0__un3_n.BLIF \ -size_dma_0_0__un0_n -11 1 -.names N_271.BLIF sm_amiga_i_4__n.BLIF N_120 -11 1 -.names N_24.BLIF N_24_i -0 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n -0 1 -.names N_265.BLIF sm_amiga_i_5__n.BLIF N_119 -11 1 -.names N_24_i.BLIF RST_c.BLIF N_31_0 -11 1 -.names inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un1_n -11 1 -.names N_97.BLIF sm_amiga_i_6__n.BLIF N_118 -11 1 -.names N_22.BLIF N_22_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n -11 1 -.names N_84.BLIF sm_amiga_i_i_7__n.BLIF N_116 -11 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names N_269.BLIF cpu_est_0_1__un3_n -0 1 -.names N_90.BLIF sm_amiga_i_0__n.BLIF N_114 -11 1 -.names N_20.BLIF N_20_i -0 1 -.names cpu_est_1_.BLIF N_269.BLIF cpu_est_0_1__un1_n -11 1 -.names N_263_i.BLIF sm_amiga_i_1__n.BLIF N_115 -11 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names N_243_0.BLIF N_243 -0 1 -.names N_19.BLIF N_19_i -0 1 -.names N_269.BLIF cpu_est_0_2__un3_n -0 1 -.names N_240_0.BLIF N_240 -0 1 -.names N_19_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names cpu_est_2_.BLIF N_269.BLIF cpu_est_0_2__un1_n -11 1 -.names N_88_1.BLIF pos_clk_un14_clk_000_ne_n.BLIF N_88 -11 1 -.names N_8.BLIF N_8_i -0 1 -.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_89 -11 1 -.names N_8_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names N_269.BLIF cpu_est_0_3__un3_n -0 1 -.names inst_RESET_OUT.BLIF RST_c.BLIF N_82 -11 1 -.names N_3.BLIF N_3_i -0 1 -.names cpu_est_3_.BLIF N_269.BLIF cpu_est_0_3__un1_n -11 1 -.names N_83_1.BLIF RST_c.BLIF N_83 -11 1 -.names N_3_i.BLIF RST_c.BLIF N_45_0 -11 1 -.names N_192_i.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n -11 1 -.names N_236.BLIF RST_DLY_0_.BLIF N_78 -11 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n -0 1 -.names N_92.BLIF rst_dly_i_0__n.BLIF N_79 -11 1 -.names RST_c.BLIF VPA_c_i.BLIF N_52_0 -11 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n -11 1 -.names N_239_i.BLIF RST_DLY_2_.BLIF N_91 -11 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names N_110_i.BLIF sm_amiga_i_3__n.BLIF N_244 -11 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_53_0 +.names N_159.BLIF RST_DLY_0_.BLIF N_295 11 1 .names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n 0 1 -.names N_243.BLIF sm_amiga_i_1__n.BLIF N_62 +.names N_186_i.BLIF rst_dly_i_0__n.BLIF N_332 11 1 .names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n 11 1 -.names N_85_i.BLIF sm_amiga_i_2__n.BLIF N_64 +.names BGACK_030_INT_i.BLIF N_184.BLIF N_333 11 1 -.names N_249.BLIF N_249_i -0 1 .names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n 11 1 -.names N_269.BLIF cpu_est_i_0__n.BLIF N_59 +.names BGACK_030_INT_i.BLIF N_184_i.BLIF N_334 11 1 -.names N_248.BLIF N_248_i +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n 0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +.names N_194.BLIF sm_amiga_i_1__n.BLIF N_335 +11 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names N_157_i.BLIF sm_amiga_i_2__n.BLIF N_336 +11 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names N_188.BLIF N_305_i.BLIF N_338 +11 1 +.names N_305.BLIF cpu_est_0_3__un3_n 0 1 -.names N_269_i.BLIF cpu_est_0_.BLIF N_61 +.names N_181.BLIF sm_amiga_i_3__n.BLIF N_339 11 1 -.names N_247.BLIF N_247_i +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c 0 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n +.names cpu_est_3_.BLIF N_305.BLIF cpu_est_0_3__un1_n 11 1 -.names N_163_0.BLIF N_163 +.names N_163_i.BLIF RST_DLY_2_.BLIF N_350 +11 1 +.names N_192_i.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n 0 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names BGACK_030_INT_i.BLIF N_242_i.BLIF N_245 -11 1 -.names N_77.BLIF N_77_i +.names N_161_i.BLIF N_161 0 1 -.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n +.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n +11 1 +.names N_159.BLIF N_163_i.BLIF N_213 +11 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names N_186_i.BLIF rst_dly_i_1__n.BLIF N_214 +11 1 +.names VPA_c.BLIF VPA_c_i 0 1 -.names N_242_i.BLIF N_242 +.names N_305.BLIF cpu_est_0_1__un3_n 0 1 -.names N_251.BLIF N_251_i +.names N_197_0.BLIF N_197 0 1 -.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n +.names RST_c.BLIF VPA_c_i.BLIF N_52_0 11 1 -.names BGACK_030_INT_i.BLIF N_242.BLIF N_246 +.names cpu_est_1_.BLIF N_305.BLIF cpu_est_0_1__un1_n 11 1 -.names N_76.BLIF N_76_i +.names N_159_0.BLIF N_159 0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names N_91.BLIF N_236.BLIF N_248 -11 1 -.names N_94.BLIF amiga_bus_enable_dma_high_0_un3_n +.names DTACK_c.BLIF DTACK_c_i 0 1 -.names N_236_0.BLIF N_236 +.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +11 1 +.names N_166.BLIF sm_amiga_i_5__n.BLIF N_326 +11 1 +.names DTACK_c_i.BLIF RST_c.BLIF N_53_0 +11 1 +.names N_305.BLIF cpu_est_0_2__un3_n 0 1 -.names N_131.BLIF N_131_i +.names AS_030_i.BLIF BGACK_000_c.BLIF un21_berr_1 +11 1 +.names ipl_c_0__n.BLIF ipl_c_i_0__n 0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_94.BLIF \ -amiga_bus_enable_dma_high_0_un1_n +.names cpu_est_2_.BLIF N_305.BLIF cpu_est_0_2__un1_n 11 1 -.names N_269.BLIF rst_dly_i_2__n.BLIF N_249 -11 1 -.names N_130.BLIF N_130_i +.names N_181_i.BLIF N_181 0 1 -.names N_81_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n +.names ipl_c_i_0__n.BLIF RST_c.BLIF N_49_0 11 1 -.names N_269.BLIF RST_c.BLIF N_92 +.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n 11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_264_i -11 1 -.names N_254.BLIF as_000_dma_0_un3_n +.names ipl_c_1__n.BLIF ipl_c_i_1__n 0 1 -.names N_236.BLIF N_239_i.BLIF N_251 -11 1 -.names N_170.BLIF N_170_i +.names N_302.BLIF ds_000_dma_0_un3_n 0 1 -.names pos_clk_un21_bgack_030_int_i_0_n.BLIF N_254.BLIF as_000_dma_0_un1_n +.names un1_DS_000_ENABLE_0_sqmuxa_i.BLIF un1_DS_000_ENABLE_0_sqmuxa +0 1 +.names ipl_c_i_1__n.BLIF RST_c.BLIF N_50_0 11 1 -.names N_92.BLIF rst_dly_i_1__n.BLIF N_76 +.names N_301.BLIF N_302.BLIF ds_000_dma_0_un1_n 11 1 -.names BGACK_000_c.BLIF N_170_i.BLIF pos_clk_un6_bgack_000_0_n +.names N_166_i.BLIF RW_c.BLIF N_349 +11 1 +.names ipl_c_2__n.BLIF ipl_c_i_2__n +0 1 +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_345 +11 1 +.names ipl_c_i_2__n.BLIF RST_c.BLIF N_51_0 +11 1 +.names N_303.BLIF as_000_dma_0_un3_n +0 1 +.names inst_RESET_OUT.BLIF RST_c.BLIF N_229 +11 1 +.names N_25.BLIF N_25_i +0 1 +.names N_180.BLIF N_303.BLIF as_000_dma_0_un1_n +11 1 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 +1- 1 +-1 1 +.names N_25_i.BLIF RST_c.BLIF N_28_0 11 1 .names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n 11 1 -.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_80 -11 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF pos_clk_rw_000_dma_3_0_n -11 1 -.names N_65.BLIF ds_000_enable_0_un3_n +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_21 +1- 1 +-1 1 +.names N_26.BLIF N_26_i 0 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names N_123.BLIF N_123_i +.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n 0 1 -.names un1_DS_000_ENABLE_0_sqmuxa.BLIF N_65.BLIF ds_000_enable_0_un1_n -11 1 -.names N_94.BLIF RST_c.BLIF SIZE_DMA_3_sqmuxa -11 1 -.names N_124.BLIF N_124_i -0 1 -.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ -ds_000_enable_0_un0_n -11 1 -.names N_210_i.BLIF N_269_i.BLIF N_87 -11 1 -.names N_123_i.BLIF N_124_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n -0 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names N_122.BLIF N_122_i -0 1 -.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n -11 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names N_122_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF \ -pos_clk_ds_000_dma_4_0_n -11 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names AS_000_c.BLIF N_263_i.BLIF N_170 -11 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF N_242_i -11 1 -.names N_67.BLIF as_030_000_sync_0_un3_n -0 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_122 -11 1 -.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_239_i -11 1 -.names inst_AS_030_000_SYNC.BLIF N_67.BLIF as_030_000_sync_0_un1_n -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_123 -11 1 -.names N_87.BLIF N_87_i -0 1 -.names AS_030_c.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n -11 1 -.names cycle_dma_i_0__n.BLIF N_263.BLIF N_130 -11 1 -.names N_87_i.BLIF RST_c.BLIF N_236_0 -11 1 -.names un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un3_n -0 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n -0 1 -.names N_246.BLIF N_246_i -0 1 -.names pos_clk_rw_000_int_5_n.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF \ -rw_000_int_0_un1_n -11 1 -.names pos_clk_rw_000_dma_3_0_n.BLIF pos_clk_rw_000_dma_3_n -0 1 -.names N_246_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n -0 1 -.names N_245.BLIF N_245_i -0 1 -.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names CYCLE_DMA_0_.BLIF N_263_i.BLIF N_131 -11 1 -.names N_245_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 .names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 1- 1 -1 1 -.names N_91.BLIF N_91_i +.names N_26_i.BLIF RST_c.BLIF N_29_0 +11 1 +.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n +11 1 +.names N_301_0.BLIF N_301 0 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +.names N_27.BLIF N_27_i +0 1 +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ +bgack_030_int_0_un0_n +11 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_4 +1- 1 +-1 1 +.names N_27_i.BLIF RST_c.BLIF N_30_0 +11 1 +.names N_65.BLIF ds_000_enable_0_un3_n +0 1 +.names N_303_0.BLIF N_303 +0 1 +.names N_222.BLIF N_222_i +0 1 +.names un1_DS_000_ENABLE_0_sqmuxa.BLIF N_65.BLIF ds_000_enable_0_un1_n 11 1 .names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_8 1- 1 -1 1 -.names N_91_i.BLIF RST_c.BLIF N_210_i -11 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un19_bgack_030_int_i_n -11 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names N_58.BLIF SM_AMIGA_3_.BLIF N_163_0 -11 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 -1- 1 --1 1 -.names N_59.BLIF N_59_i +.names N_223.BLIF N_223_i 0 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_24 +.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ +ds_000_enable_0_un0_n +11 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names N_222_i.BLIF N_223_i.BLIF N_192_i +11 1 +.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n +0 1 +.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_9 1- 1 -1 1 -.names N_61.BLIF N_61_i +.names N_231.BLIF N_231_i 0 1 -.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n +.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n +11 1 +.names N_65_0.BLIF N_65 +0 1 +.names N_237.BLIF N_237_i +0 1 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names CYCLE_DMA_0_.BLIF N_155_i.BLIF N_217 +11 1 +.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n +0 1 +.names cycle_dma_i_0__n.BLIF N_155.BLIF N_216 +11 1 +.names N_342.BLIF N_342_i +0 1 +.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n +11 1 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_248 +11 1 +.names N_341.BLIF N_341_i +0 1 +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names N_198_0.BLIF N_198 +0 1 +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n +0 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_291 +11 1 +.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_160_0 +11 1 +.names inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INTreg.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n +11 1 +.names AS_000_c.BLIF N_155_i.BLIF N_353 +11 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_164_i +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n +11 1 +.names N_256_1.BLIF N_256_2.BLIF N_256 +11 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names N_199.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 +1- 1 +-1 1 +.names N_347.BLIF N_347_i +0 1 +.names N_79_i.BLIF N_199.BLIF amiga_bus_enable_dma_high_0_un1_n +11 1 +.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n +0 1 +.names N_348.BLIF N_348_i +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n +0 1 +.names N_188_i_1.BLIF N_348_i.BLIF N_188_i +11 1 +.names pos_clk_un9_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n +0 1 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_245_0 +11 1 +.names BG_030_c.BLIF pos_clk_un9_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names N_159.BLIF N_350.BLIF N_209 +11 1 +.names N_155_i.BLIF SM_AMIGA_2_.BLIF N_194_0 +11 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names N_305.BLIF rst_dly_i_2__n.BLIF N_211 +11 1 +.names N_196_0_1.BLIF SM_AMIGA_3_.BLIF N_196_0 +11 1 +.names N_113.BLIF size_dma_0_0__un3_n +0 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_220 +11 1 +.names pos_clk_size_dma_6_0__n.BLIF N_113.BLIF size_dma_0_0__un1_n +11 1 +.names N_162.BLIF cpu_est_2_.BLIF N_222 +11 1 +.names N_211.BLIF N_211_i +0 1 +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n +11 1 +.names N_162_i.BLIF N_162 +0 1 +.names N_209.BLIF N_209_i +0 1 +.names N_113.BLIF size_dma_0_1__un3_n +0 1 +.names N_193.BLIF cpu_est_i_2__n.BLIF N_224 +11 1 +.names N_306.BLIF N_306_i +0 1 +.names pos_clk_size_dma_6_1__n.BLIF N_113.BLIF size_dma_0_1__un1_n +11 1 +.names N_193_0.BLIF N_193 +0 1 +.names cpu_est_3_.BLIF cpu_est_i_1__n.BLIF N_193_0 +11 1 +.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n +11 1 +.names N_190.BLIF cpu_est_2_.BLIF N_225 +11 1 +.names cpu_est_1_.BLIF cpu_est_i_3__n.BLIF N_190_0 +11 1 +.names N_297.BLIF as_030_000_sync_0_un3_n +0 1 +.names N_190_0.BLIF N_190 +0 1 +.names N_157_i.BLIF SM_AMIGA_1_.BLIF N_183_i +11 1 +.names AS_030_c.BLIF N_297.BLIF as_030_000_sync_0_un1_n +11 1 +.names N_305_i.BLIF N_350_i_0.BLIF N_346 +11 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_162_i +11 1 +.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF \ +as_030_000_sync_0_un0_n +11 1 +.names N_162_i.BLIF cpu_est_i_2__n.BLIF N_352 +11 1 +.names N_346.BLIF N_346_i +0 1 +.names un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un3_n +0 1 +.names N_219_1.BLIF N_219_2.BLIF N_219 +11 1 +.names N_346_i.BLIF RST_c.BLIF N_159_0 +11 1 +.names pos_clk_rw_000_int_5_n.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF \ +rw_000_int_0_un1_n +11 1 +.names N_218_1.BLIF N_218_2.BLIF N_218 +11 1 +.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_305_i +11 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names N_183_i.BLIF N_183 +0 1 +.names N_350_i_0.BLIF RST_c.BLIF N_210_i +11 1 +.names N_199.BLIF rw_000_dma_0_un3_n +0 1 +.names N_196_0.BLIF N_196 +0 1 +.names N_225.BLIF N_225_i +0 1 +.names N_300.BLIF N_199.BLIF rw_000_dma_0_un1_n +11 1 +.names N_188_i.BLIF N_188 +0 1 +.names N_224.BLIF N_224_i +0 1 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names N_194_0.BLIF N_194 +0 1 +.names N_224_i.BLIF N_225_i.BLIF N_296_i +11 1 +.names N_199.BLIF a0_dma_0_un3_n +0 1 +.names N_347_1.BLIF N_347_2.BLIF N_347 +11 1 +.names N_352.BLIF N_352_i +0 1 +.names pos_clk_a0_dma_3_n.BLIF N_199.BLIF a0_dma_0_un1_n +11 1 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_348 +11 1 +.names N_222_i.BLIF N_352_i.BLIF cpu_est_2_0_2__n +11 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names N_160_0.BLIF N_160 +0 1 +.names N_220.BLIF N_220_i +0 1 +.names N_199.BLIF amiga_bus_enable_dma_low_0_un3_n +0 1 +.names N_305.BLIF cpu_est_i_0__n.BLIF N_341 +11 1 +.names N_221.BLIF N_221_i +0 1 +.names N_78_i.BLIF N_199.BLIF amiga_bus_enable_dma_low_0_un1_n +11 1 +.names N_305_i.BLIF cpu_est_0_.BLIF N_342 +11 1 +.names N_220_i.BLIF N_221_i.BLIF cpu_est_2_0_1__n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +11 1 +.names N_183_i.BLIF RST_c.BLIF N_231 +11 1 +.names N_219.BLIF N_219_i +0 1 +.names N_165.BLIF RST_c.BLIF N_237 +11 1 +.names N_218.BLIF N_218_i +0 1 +.names N_160.BLIF cpu_est_i_2__n.BLIF N_223 +11 1 +.names N_218_i.BLIF N_219_i.BLIF pos_clk_un9_clk_000_pe_0_n +11 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_27 +1- 1 +-1 1 +.names CLK_000_D_2_.BLIF clk_000_d_i_2__n +0 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_26 +1- 1 +-1 1 +.names CLK_000_D_3_.BLIF clk_000_d_i_2__n.BLIF N_157_i +11 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_25 +1- 1 +-1 1 +.names N_18.BLIF N_18_i 0 1 .names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i 0 1 -.names N_62.BLIF N_62_i -0 1 +.names N_18_i.BLIF RST_c.BLIF N_37_0 +11 1 .names un21_fpu_cs.BLIF un21_fpu_cs_i 0 1 -.names N_64.BLIF N_64_i +.names cpu_est_2_.BLIF cpu_est_i_2__n 0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names N_244.BLIF N_244_i -0 1 -.names N_80.BLIF N_80_i -0 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n -0 1 -.names N_78.BLIF N_78_i_0 -0 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_79.BLIF N_79_i -0 1 -.names RST_DLY_0_.BLIF rst_dly_i_0__n -0 1 -.names RST_DLY_1_.BLIF rst_dly_i_1__n -0 1 -.names N_82.BLIF N_82_i -0 1 -.names RST_DLY_2_.BLIF rst_dly_i_2__n -0 1 -.names N_83.BLIF N_83_i -0 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names N_82_i.BLIF N_83_i.BLIF N_55_0 -11 1 -.names UDS_000_c.BLIF UDS_000_i -0 1 -.names N_88.BLIF N_88_i -0 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names N_89.BLIF N_89_i -0 1 -.names N_58.BLIF N_58_i -0 1 -.names N_240_0_1.BLIF N_89_i.BLIF N_240_0 -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names N_263_i.BLIF SM_AMIGA_2_.BLIF N_243_0 -11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names CLK_030_c.BLIF CLK_030_c_i -0 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names CLK_030_c_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_254_0 -11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names N_114.BLIF N_114_i -0 1 -.names N_110.BLIF N_110_i -0 1 -.names N_115.BLIF N_115_i -0 1 -.names a_c_1__n.BLIF a_i_1__n -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names N_116.BLIF N_116_i -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names N_117.BLIF N_117_i -0 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names N_118.BLIF N_118_i +.names N_217.BLIF N_217_i 0 1 .names SM_AMIGA_0_.BLIF sm_amiga_i_0__n 0 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +.names N_216.BLIF N_216_i 0 1 -.names N_119.BLIF N_119_i +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names CLK_030_c.BLIF CLK_030_c_i +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_198_0 +11 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names N_155_i.BLIF SM_AMIGA_6_.BLIF N_166_i +11 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_155_i +11 1 +.names inst_DTACK_D0.BLIF DTACK_D0_i +0 1 +.names CLK_030_c_i.BLIF N_180_i.BLIF N_303_0 +11 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names N_291.BLIF N_291_i +0 1 +.names inst_DSACK1_INT.BLIF DSACK1_INT_i +0 1 +.names N_180_i.BLIF N_291_i.BLIF N_301_0 +11 1 +.names cpu_est_3_.BLIF cpu_est_i_3__n +0 1 +.names N_256.BLIF N_256_i +0 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names N_248.BLIF N_248_i +0 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names N_248_i.BLIF N_256_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names CLK_000_D_1_.BLIF clk_000_d_i_1__n +0 1 +.names N_353.BLIF N_353_i +0 1 +.names N_350.BLIF N_350_i_0 +0 1 +.names BGACK_000_c.BLIF N_353_i.BLIF pos_clk_un6_bgack_000_0_n +11 1 +.names RST_DLY_2_.BLIF rst_dly_i_2__n +0 1 +.names AS_030_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF N_65_0 +11 1 +.names nEXP_SPACE_c.BLIF nEXP_SPACE_i +0 1 +.names N_3.BLIF N_3_i +0 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names N_3_i.BLIF RST_c.BLIF N_45_0 +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names N_4.BLIF N_4_i 0 1 .names SM_AMIGA_6_.BLIF sm_amiga_i_6__n 0 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +.names N_4_i.BLIF RST_c.BLIF N_44_0 +11 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_0__n 0 1 -.names N_120.BLIF N_120_i +.names N_8.BLIF N_8_i +0 1 +.names RW_000_c.BLIF RW_000_i +0 1 +.names N_8_i.BLIF RST_c.BLIF N_42_0 +11 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +11 1 +.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n +0 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 +11 1 +.names inst_RESET_OUT.BLIF RESET_OUT_i +0 1 +.names N_21.BLIF N_21_i 0 1 .names SM_AMIGA_4_.BLIF sm_amiga_i_4__n 0 1 +.names N_21_i.BLIF RST_c.BLIF N_34_0 +11 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names N_14.BLIF N_14_i +0 1 .names IPL_D0_0_.BLIF ipl_c_0__n.BLIF G_107 01 1 10 1 @@ -1531,12 +1506,13 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 10 1 11 0 00 0 -.names CYCLE_DMA_1_.BLIF N_131.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 +.names CYCLE_DMA_1_.BLIF N_217.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 01 1 10 1 11 0 00 0 -.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF pos_clk_un21_bgack_030_int_i_0_x2 +.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF \ +pos_clk_un21_bgack_030_int_i_i_a2_i_x2 01 1 10 1 11 0 @@ -1562,13 +1538,13 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names un21_fpu_cs_i.BLIF FPU_CS 1 1 0 0 -.names N_147_i.BLIF DSACK1 +.names N_165_i.BLIF DSACK1 1 1 0 0 .names vcc_n_n.BLIF AVEC 1 1 0 0 -.names un5_e.BLIF E +.names N_296_i.BLIF E 1 1 0 0 .names inst_VMA_INTreg.BLIF VMA @@ -1586,7 +1562,7 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_108.BLIF AMIGA_BUS_ENABLE_HIGH +.names N_205.BLIF AMIGA_BUS_ENABLE_HIGH 1 1 0 0 .names un10_ciin.BLIF CIIN @@ -1598,6 +1574,9 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF SM_AMIGA_6_.C 1 1 0 0 @@ -1619,6 +1598,9 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF SM_AMIGA_0_.C 1 1 0 0 +.names CLK_OSZI_c.BLIF cpu_est_3_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C 1 1 0 0 @@ -1637,7 +1619,10 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF IPL_D0_2_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C +.names CLK_000.BLIF CLK_000_D_0_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_D_0_.C 1 1 0 0 .names CLK_000_D_0_.BLIF CLK_000_D_1_.D @@ -1664,6 +1649,12 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF CLK_000_D_4_.C 1 1 0 0 +.names CLK_000_D_4_.BLIF CLK_000_D_5_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_D_5_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C 1 1 0 0 @@ -1685,9 +1676,6 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF cpu_est_2_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF cpu_est_3_.C -1 1 -0 0 .names CLK_OSZI_c.BLIF RST_DLY_0_.C 1 1 0 0 @@ -1697,10 +1685,7 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF RST_DLY_2_.C 1 1 0 0 -.names CLK_000.BLIF CLK_000_D_0_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_D_0_.C +.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_DSACK1_INT.C @@ -1763,9 +1748,6 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF inst_AS_000_DMA.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -1793,7 +1775,7 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names un7_as_030_i.BLIF AS_030 1 1 0 0 -.names N_145_i.BLIF AS_000 +.names N_169_i.BLIF AS_000 1 1 0 0 .names inst_RW_000_INT.BLIF RW_000 @@ -2003,61 +1985,61 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names A_DECODE_2_.BLIF a_decode_2__n 1 1 0 0 -.names N_135.BLIF AS_030.OE +.names N_139.BLIF AS_030.OE 1 1 0 0 -.names N_136.BLIF AS_000.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 0 0 -.names N_136.BLIF RW_000.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 0 0 -.names N_136.BLIF UDS_000.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 0 0 -.names N_136.BLIF LDS_000.OE +.names un1_as_000_i.BLIF LDS_000.OE 1 1 0 0 -.names un2_as_030_i.BLIF SIZE_0_.OE +.names N_299_i.BLIF SIZE_0_.OE 1 1 0 0 -.names un2_as_030_i.BLIF SIZE_1_.OE +.names N_299_i.BLIF SIZE_1_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_24_.OE +.names N_139.BLIF AHIGH_24_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_25_.OE +.names N_139.BLIF AHIGH_25_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_26_.OE +.names N_139.BLIF AHIGH_26_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_27_.OE +.names N_139.BLIF AHIGH_27_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_28_.OE +.names N_139.BLIF AHIGH_28_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_29_.OE +.names N_139.BLIF AHIGH_29_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_30_.OE +.names N_139.BLIF AHIGH_30_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_31_.OE +.names N_139.BLIF AHIGH_31_.OE 1 1 0 0 -.names N_135.BLIF A_0_.OE +.names N_139.BLIF A_0_.OE 1 1 0 0 .names un21_berr.BLIF BERR.OE 1 1 0 0 -.names N_278.BLIF RW.OE +.names N_141.BLIF RW.OE 1 1 0 0 -.names N_135.BLIF DS_030.OE +.names N_139.BLIF DS_030.OE 1 1 0 0 .names nEXP_SPACE_c.BLIF DSACK1.OE @@ -2066,7 +2048,7 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names RESET_OUT_i.BLIF RESET.OE 1 1 0 0 -.names N_261.BLIF CIIN.OE +.names N_260.BLIF CIIN.OE 1 1 0 0 .end diff --git a/Logic/68030_tk.bl3 b/Logic/68030_tk.bl3 index 7bbbb3b..8ac2210 100644 --- a/Logic/68030_tk.bl3 +++ b/Logic/68030_tk.bl3 @@ -1,65 +1,66 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Oct 06 21:34:55 2016 +#$ DATE Thu Oct 06 22:04:11 2016 #$ MODULE 68030_tk #$ PINS 61 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 \ -# DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 SIZE_0_ \ -# CLK_000 AHIGH_30_ CLK_OSZI AHIGH_29_ CLK_DIV_OUT AHIGH_28_ CLK_EXP AHIGH_27_ FPU_CS \ -# AHIGH_26_ FPU_SENSE AHIGH_25_ DSACK1 AHIGH_24_ DTACK A_DECODE_22_ AVEC A_DECODE_21_ E \ -# A_DECODE_20_ VPA A_DECODE_19_ VMA A_DECODE_18_ RST A_DECODE_17_ RESET A_DECODE_16_ RW \ +# DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 SIZE_0_ CLK_030 \ +# AHIGH_30_ CLK_000 AHIGH_29_ CLK_OSZI AHIGH_28_ CLK_DIV_OUT AHIGH_27_ CLK_EXP AHIGH_26_ \ +# FPU_CS AHIGH_25_ FPU_SENSE AHIGH_24_ DSACK1 A_DECODE_22_ DTACK A_DECODE_21_ AVEC \ +# A_DECODE_20_ E A_DECODE_19_ VPA A_DECODE_18_ VMA A_DECODE_17_ RST A_DECODE_16_ RESET RW \ # AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH \ # CIIN A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ FC_0_ A_1_ -#$ NODES 55 inst_BGACK_030_INTreg inst_VMA_INTreg cpu_est_3_ cpu_est_0_ cpu_est_1_ \ -# cpu_est_2_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC \ +#$ NODES 56 inst_BGACK_030_INTreg inst_VMA_INTreg cpu_est_2_ cpu_est_3_ cpu_est_0_ \ +# cpu_est_1_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC \ # inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ \ -# inst_VPA_D CLK_000_D_2_ CLK_000_D_3_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ \ +# inst_VPA_D CLK_000_D_2_ CLK_000_D_4_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ \ # CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ \ -# CLK_000_D_4_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_1_ inst_UDS_000_INT \ -# inst_DS_000_ENABLE inst_LDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_0_ SIZE_DMA_0_ \ -# SIZE_DMA_1_ inst_RW_000_INT inst_RW_000_DMA RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ \ -# inst_A0_DMA inst_CLK_030_H inst_DSACK1_INT inst_AS_000_INT SM_AMIGA_5_ SM_AMIGA_3_ \ -# SM_AMIGA_2_ SM_AMIGA_i_7_ BG_000DFFreg CLK_OUT_INTreg IPL_030DFF_0_reg \ -# IPL_030DFF_1_reg IPL_030DFF_2_reg +# CLK_000_D_3_ CLK_000_D_5_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_1_ \ +# inst_UDS_000_INT inst_DS_000_ENABLE inst_LDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ \ +# SM_AMIGA_0_ SIZE_DMA_0_ SIZE_DMA_1_ inst_RW_000_INT inst_RW_000_DMA RST_DLY_0_ \ +# RST_DLY_1_ RST_DLY_2_ inst_A0_DMA inst_CLK_030_H inst_DSACK1_INT inst_AS_000_INT \ +# SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ BG_000DFFreg CLK_OUT_INTreg \ +# IPL_030DFF_0_reg IPL_030DFF_1_reg IPL_030DFF_2_reg .model bus68030 .inputs A_DECODE_23_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ DTACK.BLIF VPA.BLIF RST.BLIF A_DECODE_22_.BLIF A_DECODE_21_.BLIF \ A_DECODE_20_.BLIF A_DECODE_19_.BLIF A_DECODE_18_.BLIF A_DECODE_17_.BLIF \ A_DECODE_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF A_1_.BLIF \ -inst_BGACK_030_INTreg.BLIF inst_VMA_INTreg.BLIF cpu_est_3_.BLIF \ -cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ +inst_BGACK_030_INTreg.BLIF inst_VMA_INTreg.BLIF cpu_est_2_.BLIF \ +cpu_est_3_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF inst_AS_030_D0.BLIF \ inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INT_D.BLIF inst_AS_000_DMA.BLIF \ inst_DS_000_DMA.BLIF CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF inst_VPA_D.BLIF \ -CLK_000_D_2_.BLIF CLK_000_D_3_.BLIF inst_DTACK_D0.BLIF inst_RESET_OUT.BLIF \ +CLK_000_D_2_.BLIF CLK_000_D_4_.BLIF inst_DTACK_D0.BLIF inst_RESET_OUT.BLIF \ CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF inst_CLK_OUT_PRE_50.BLIF \ inst_CLK_OUT_PRE_D.BLIF IPL_D0_0_.BLIF IPL_D0_1_.BLIF IPL_D0_2_.BLIF \ -CLK_000_D_4_.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF SM_AMIGA_1_.BLIF \ -inst_UDS_000_INT.BLIF inst_DS_000_ENABLE.BLIF inst_LDS_000_INT.BLIF \ -SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_0_.BLIF SIZE_DMA_0_.BLIF \ -SIZE_DMA_1_.BLIF inst_RW_000_INT.BLIF inst_RW_000_DMA.BLIF RST_DLY_0_.BLIF \ -RST_DLY_1_.BLIF RST_DLY_2_.BLIF inst_A0_DMA.BLIF inst_CLK_030_H.BLIF \ -inst_DSACK1_INT.BLIF inst_AS_000_INT.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF \ -SM_AMIGA_2_.BLIF SM_AMIGA_i_7_.BLIF BG_000DFFreg.BLIF CLK_OUT_INTreg.BLIF \ -IPL_030DFF_0_reg.BLIF IPL_030DFF_1_reg.BLIF IPL_030DFF_2_reg.BLIF \ -AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF AHIGH_24_.PIN.BLIF \ -AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF AHIGH_27_.PIN.BLIF AHIGH_28_.PIN.BLIF \ -AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF AHIGH_31_.PIN.BLIF A_0_.PIN.BLIF \ -BERR.PIN.BLIF RW.PIN.BLIF +CLK_000_D_3_.BLIF CLK_000_D_5_.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +SM_AMIGA_1_.BLIF inst_UDS_000_INT.BLIF inst_DS_000_ENABLE.BLIF \ +inst_LDS_000_INT.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_0_.BLIF \ +SIZE_DMA_0_.BLIF SIZE_DMA_1_.BLIF inst_RW_000_INT.BLIF inst_RW_000_DMA.BLIF \ +RST_DLY_0_.BLIF RST_DLY_1_.BLIF RST_DLY_2_.BLIF inst_A0_DMA.BLIF \ +inst_CLK_030_H.BLIF inst_DSACK1_INT.BLIF inst_AS_000_INT.BLIF SM_AMIGA_5_.BLIF \ +SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_i_7_.BLIF BG_000DFFreg.BLIF \ +CLK_OUT_INTreg.BLIF IPL_030DFF_0_reg.BLIF IPL_030DFF_1_reg.BLIF \ +IPL_030DFF_2_reg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ +UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ +AHIGH_24_.PIN.BLIF AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF AHIGH_27_.PIN.BLIF \ +AHIGH_28_.PIN.BLIF AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF AHIGH_31_.PIN.BLIF \ +A_0_.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 \ AVEC E VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_6_.D SM_AMIGA_6_.C \ -SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.C \ -SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D \ -SM_AMIGA_0_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D \ -IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D \ -IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C SM_AMIGA_i_7_.C \ -CLK_000_D_1_.D CLK_000_D_1_.C CLK_000_D_2_.D CLK_000_D_2_.C CLK_000_D_3_.D \ -CLK_000_D_3_.C CLK_000_D_4_.D CLK_000_D_4_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_i_7_.C SM_AMIGA_6_.D \ +SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C \ +SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C \ +SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_3_.D cpu_est_3_.C IPL_030DFF_0_reg.D \ +IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D \ +IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ +IPL_D0_2_.C CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C \ +CLK_000_D_2_.D CLK_000_D_2_.C CLK_000_D_3_.D CLK_000_D_3_.C CLK_000_D_4_.D \ +CLK_000_D_4_.C CLK_000_D_5_.D CLK_000_D_5_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C \ CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D \ SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.C \ -cpu_est_3_.D cpu_est_3_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.D \ -RST_DLY_2_.C CLK_000_D_0_.D CLK_000_D_0_.C inst_DSACK1_INT.D inst_DSACK1_INT.C \ +RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ +inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DSACK1_INT.D inst_DSACK1_INT.C \ inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_030_D0.D inst_AS_030_D0.C \ inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.C \ inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ @@ -70,20 +71,19 @@ inst_A0_DMA.D inst_A0_DMA.C inst_RW_000_DMA.D inst_RW_000_DMA.C \ inst_VMA_INTreg.D inst_VMA_INTreg.C inst_RW_000_INT.D inst_RW_000_INT.C \ inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_LDS_000_INT.D \ inst_LDS_000_INT.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ -inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C \ -inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C CLK_OUT_INTreg.D \ -CLK_OUT_INTreg.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ -inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C SIZE_1_ AHIGH_31_ AS_030 AS_000 \ -RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ \ -AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ AS_030.OE AS_000.OE RW_000.OE UDS_000.OE \ -LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE \ -AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE \ -BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE cpu_est_2_.D.X1 \ -cpu_est_2_.D.X2 RST_DLY_1_.D.X1 RST_DLY_1_.D.X2 inst_CLK_030_H.D.X1 \ -inst_CLK_030_H.D.X2 SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 SM_AMIGA_i_7_.D.X1 \ -SM_AMIGA_i_7_.D.X2 -.names nEXP_SPACE.BLIF RST.BLIF inst_AS_030_000_SYNC.BLIF CLK_000_D_3_.BLIF \ -CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF CLK_000_D_4_.BLIF SM_AMIGA_6_.BLIF \ +inst_AS_000_DMA.D inst_AS_000_DMA.C inst_BGACK_030_INT_D.D \ +inst_BGACK_030_INT_D.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C inst_CLK_OUT_PRE_50.D \ +inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C SIZE_1_ \ +AHIGH_31_ AS_030 AS_000 RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ \ +AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ AS_030.OE \ +AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE \ +AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE \ +AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE \ +cpu_est_2_.D.X1 cpu_est_2_.D.X2 RST_DLY_1_.D.X1 RST_DLY_1_.D.X2 \ +inst_CLK_030_H.D.X1 inst_CLK_030_H.D.X2 SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 \ +SM_AMIGA_i_7_.D.X1 SM_AMIGA_i_7_.D.X2 +.names nEXP_SPACE.BLIF RST.BLIF inst_AS_030_000_SYNC.BLIF CLK_000_D_4_.BLIF \ +CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF CLK_000_D_5_.BLIF SM_AMIGA_6_.BLIF \ SM_AMIGA_i_7_.BLIF SM_AMIGA_6_.D 1100--100 1 -1---0-1- 1 @@ -115,11 +115,11 @@ SM_AMIGA_5_.BLIF SM_AMIGA_4_.D -0-0- 0 0---- 0 ---00 0 -.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_3_.BLIF cpu_est_0_.BLIF \ -cpu_est_1_.BLIF cpu_est_2_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF \ +.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ +cpu_est_0_.BLIF cpu_est_1_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF \ CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF \ BERR.PIN.BLIF SM_AMIGA_2_.D -1010000-101-- 1 +1001000-101-- 1 1-----10101-- 1 1-------101-0 1 1--------0-1- 1 @@ -128,35 +128,47 @@ BERR.PIN.BLIF SM_AMIGA_2_.D --------01--- 0 -----10----01 0 ----1-0----01 0 ----1--0----01 0 ---0---0----01 0 +---0--0----01 0 +--1---0----01 0 -1----0----01 0 ----------00- 0 ---------1-0- 0 --------0--0- 0 0------------ 0 -.names RST.BLIF CLK_000_D_2_.BLIF CLK_000_D_3_.BLIF CLK_000_D_1_.BLIF \ -CLK_000_D_0_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_1_.D -1--01-1 1 -1-0--1- 1 +.names RST.BLIF CLK_000_D_2_.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF \ +CLK_000_D_3_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_2_.BLIF SM_AMIGA_1_.D +1-01--1 1 +1---01- 1 11---1- 1 1----11 1 --01---0 0 -----00- 0 ----1-0- 0 +-0--1-0 0 +---0-0- 0 +--1--0- 0 0------ 0 -----00 0 -.names RST.BLIF CLK_000_D_2_.BLIF CLK_000_D_3_.BLIF CLK_000_D_1_.BLIF \ -CLK_000_D_0_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_0_.D -101--1- 1 -1---0-1 1 -1--1--1 1 +.names RST.BLIF CLK_000_D_2_.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF \ +CLK_000_D_3_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_0_.D +10--11- 1 +1--0--1 1 +1-1---1 1 1----11 1 ----010- 0 +--01-0- 0 0------ 0 ---0---0 0 +----0-0 0 -1----0 0 -----00 0 +.names cpu_est_2_.BLIF cpu_est_3_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF \ +CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF cpu_est_3_.D +1-1110 1 +010--- 1 +-1--0- 1 +-1---1 1 +--1010 0 +-00--- 0 +1-0-10 0 +0-1-10 0 +-0--0- 0 +-0---1 0 .names IPL_2_.BLIF RST.BLIF IPL_1_.BLIF IPL_0_.BLIF IPL_D0_0_.BLIF \ IPL_D0_1_.BLIF IPL_D0_2_.BLIF IPL_030DFF_0_reg.BLIF IPL_030DFF_0_reg.D 0-01100- 1 @@ -298,18 +310,6 @@ CLK_000_D_0_.BLIF cpu_est_1_.D --00- 0 -00-- 0 --0-1 0 -.names cpu_est_3_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF cpu_est_3_.D --11110 1 -10-0-- 1 -1---0- 1 -1----1 1 -0--0-- 0 --10-10 0 --1-010 0 --0-110 0 -0---0- 0 -0----1 0 .names RST.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF RST_DLY_0_.BLIF \ RST_DLY_1_.BLIF RST_DLY_2_.BLIF RST_DLY_0_.D 1--111 1 @@ -330,6 +330,35 @@ RST_DLY_1_.BLIF RST_DLY_2_.BLIF RST_DLY_2_.D ---0-0 0 --1--0 0 -0---0 0 +.names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ +inst_DS_000_DMA.BLIF CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF inst_CLK_030_H.BLIF \ +AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ +inst_DS_000_DMA.D +1--1---1-0-- 1 +----1--0-0-- 1 +0---1----0-- 1 +-----00----- 1 +-----11----- 1 +--------1--- 1 +--1--------- 1 +-0---------- 1 +----------11 1 +1100-1010-0- 0 +1100-0110-0- 0 +1100-1010--0 0 +1100-0110--0 0 +-10-01000-0- 0 +-10-00100-0- 0 +010-010-0-0- 0 +010-001-0-0- 0 +-10-01000--0 0 +-10-00100--0 0 +010-010-0--0 0 +010-001-0--0 0 +-10--10-010- 0 +-10--01-010- 0 +-10--10-01-0 0 +-10--01-01-0 0 .names RST.BLIF CLK_000_D_2_.BLIF CLK_000_D_3_.BLIF SM_AMIGA_1_.BLIF \ inst_DSACK1_INT.BLIF AS_030.PIN.BLIF inst_DSACK1_INT.D ---01- 1 @@ -391,19 +420,19 @@ inst_DS_000_ENABLE.D 0------- 0 .names nEXP_SPACE.BLIF BG_030.BLIF RST.BLIF inst_AS_030_D0.BLIF \ CLK_000_D_0_.BLIF BG_000DFFreg.BLIF BG_000DFFreg.D ---0--- 1 --1---- 1 ----01 1 ---0-1 1 0----1 1 +--0--- 1 +-1---- 1 10111- 0 -01--0 0 .names RST.BLIF A_1_.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D ---10- 1 --10-- 1 -0---- 1 --1-1 1 +-10-- 1 +--10- 1 +0---- 1 1-110 0 100-- 0 .names RST.BLIF A_1_.BLIF inst_BGACK_030_INTreg.BLIF \ @@ -438,31 +467,31 @@ inst_RW_000_DMA.BLIF RW_000.PIN.BLIF inst_RW_000_DMA.D -0--1 1 1110- 0 10--0 0 -.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_3_.BLIF cpu_est_0_.BLIF \ -cpu_est_1_.BLIF cpu_est_2_.BLIF inst_VPA_D.BLIF CLK_000_D_1_.BLIF \ +.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ +cpu_est_0_.BLIF cpu_est_1_.BLIF inst_VPA_D.BLIF CLK_000_D_1_.BLIF \ CLK_000_D_0_.BLIF inst_VMA_INTreg.D --0000-01 1 -1----1-- 1 --1------1 1 --1-----0- 1 --1---1--- 1 --1--0---- 1 --1-0----- 1 +-1-1----- 1 -11------ 1 0-------- 1 -1-0110010 0 -10---1--- 0 +-1-----0- 1 +-1---0--- 1 +-1--0---- 1 +-1------1 1 +1-0011010 0 +10-1----- 0 101------ 0 10-----1- 0 +10---1--- 0 10--1---- 0 -10-1----- 0 10------0 0 .names RST.BLIF CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF SM_AMIGA_6_.BLIF \ SM_AMIGA_0_.BLIF inst_RW_000_INT.BLIF SM_AMIGA_i_7_.BLIF RW.PIN.BLIF \ inst_RW_000_INT.D ----0-1-- 1 -01-1--- 1 -011---1 1 +---0-1-- 1 --0--1-- 1 -1---1-- 1 ------0- 1 @@ -526,35 +555,6 @@ LDS_000.PIN.BLIF inst_AS_000_DMA.D 110-100-0 0 -100010-0 0 110-010-0 0 -.names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -inst_DS_000_DMA.BLIF CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF inst_CLK_030_H.BLIF \ -AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ -inst_DS_000_DMA.D -1--1---1-0-- 1 -----1--0-0-- 1 -0---1----0-- 1 ------00----- 1 ------11----- 1 ---------1--- 1 ---1--------- 1 --0---------- 1 -----------11 1 -1100-1010-0- 0 -1100-0110-0- 0 -1100-1010--0 0 -1100-0110--0 0 --10-01000-0- 0 --10-00100-0- 0 -010-010-0-0- 0 -010-001-0-0- 0 --10-01000--0 0 --10-00100--0 0 -010-010-0--0 0 -010-001-0--0 0 --10--10-010- 0 --10--01-010- 0 --10--10-01-0 0 --10--01-01-0 0 .names RST.BLIF inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D 0- 1 -1 1 @@ -600,11 +600,11 @@ AS_030.PIN.BLIF FPU_CS 00 0 .names AVEC 1 -.names cpu_est_3_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF E -100 1 -011 1 -0-0 0 --01 0 +.names cpu_est_2_.BLIF cpu_est_3_.BLIF cpu_est_1_.BLIF E +010 1 +101 1 +-00 0 +0-1 0 11- 0 .names inst_VMA_INTreg.BLIF VMA 1 1 @@ -656,6 +656,9 @@ AHIGH_31_.PIN.BLIF CIIN .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI.BLIF SM_AMIGA_i_7_.C +1 1 +0 0 .names CLK_OSZI.BLIF SM_AMIGA_6_.C 1 1 0 0 @@ -677,6 +680,9 @@ AHIGH_31_.PIN.BLIF CIIN .names CLK_OSZI.BLIF SM_AMIGA_0_.C 1 1 0 0 +.names CLK_OSZI.BLIF cpu_est_3_.C +1 1 +0 0 .names CLK_OSZI.BLIF IPL_030DFF_0_reg.C 1 1 0 0 @@ -695,7 +701,10 @@ AHIGH_31_.PIN.BLIF CIIN .names CLK_OSZI.BLIF IPL_D0_2_.C 1 1 0 0 -.names CLK_OSZI.BLIF SM_AMIGA_i_7_.C +.names CLK_000.BLIF CLK_000_D_0_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_D_0_.C 1 1 0 0 .names CLK_000_D_0_.BLIF CLK_000_D_1_.D @@ -722,6 +731,12 @@ AHIGH_31_.PIN.BLIF CIIN .names CLK_OSZI.BLIF CLK_000_D_4_.C 1 1 0 0 +.names CLK_000_D_4_.BLIF CLK_000_D_5_.D +1 1 +0 0 +.names CLK_OSZI.BLIF CLK_000_D_5_.C +1 1 +0 0 .names CLK_OSZI.BLIF CYCLE_DMA_0_.C 1 1 0 0 @@ -743,9 +758,6 @@ AHIGH_31_.PIN.BLIF CIIN .names CLK_OSZI.BLIF cpu_est_2_.C 1 1 0 0 -.names CLK_OSZI.BLIF cpu_est_3_.C -1 1 -0 0 .names CLK_OSZI.BLIF RST_DLY_0_.C 1 1 0 0 @@ -755,10 +767,7 @@ AHIGH_31_.PIN.BLIF CIIN .names CLK_OSZI.BLIF RST_DLY_2_.C 1 1 0 0 -.names CLK_000.BLIF CLK_000_D_0_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_D_0_.C +.names CLK_OSZI.BLIF inst_DS_000_DMA.C 1 1 0 0 .names CLK_OSZI.BLIF inst_DSACK1_INT.C @@ -821,9 +830,6 @@ AHIGH_31_.PIN.BLIF CIIN .names CLK_OSZI.BLIF inst_AS_000_DMA.C 1 1 0 0 -.names CLK_OSZI.BLIF inst_DS_000_DMA.C -1 1 -0 0 .names CLK_OSZI.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -1025,11 +1031,11 @@ AHIGH_30_.PIN.BLIF AHIGH_31_.PIN.BLIF CIIN.OE .names cpu_est_2_.BLIF cpu_est_2_.D.X1 1 1 0 0 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF CLK_000_D_1_.BLIF \ +.names cpu_est_2_.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF CLK_000_D_1_.BLIF \ CLK_000_D_0_.BLIF cpu_est_2_.D.X2 -11-10 1 -0---- 0 +-1110 1 -0--- 0 +--0-- 0 ---0- 0 ----1 0 .names RST_DLY_1_.BLIF RST_DLY_1_.D.X1 @@ -1075,13 +1081,13 @@ UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_CLK_030_H.D.X2 11 1 0- 0 -0 0 -.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_3_.BLIF cpu_est_0_.BLIF \ -cpu_est_1_.BLIF cpu_est_2_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF \ +.names RST.BLIF inst_VMA_INTreg.BLIF cpu_est_2_.BLIF cpu_est_3_.BLIF \ +cpu_est_0_.BLIF cpu_est_1_.BLIF inst_VPA_D.BLIF inst_DTACK_D0.BLIF \ CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF \ BERR.PIN.BLIF SM_AMIGA_3_.D.X2 1-------0110- 1 1-------10-10 1 -1010000-10-1- 1 +1001000-10-1- 1 1-----1010-1- 1 0------------ 0 --------11--- 0 @@ -1090,8 +1096,8 @@ BERR.PIN.BLIF SM_AMIGA_3_.D.X2 --------0--1- 0 --------1--0- 0 -1----0-1---1 0 ---0---0-1---1 0 ----1--0-1---1 0 +--1---0-1---1 0 +---0--0-1---1 0 ----1-0-1---1 0 -----10-1---1 0 ------111---1 0 @@ -1099,8 +1105,8 @@ BERR.PIN.BLIF SM_AMIGA_3_.D.X2 11 1 0- 0 -0 0 -.names nEXP_SPACE.BLIF RST.BLIF inst_AS_030_000_SYNC.BLIF CLK_000_D_3_.BLIF \ -CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF CLK_000_D_4_.BLIF SM_AMIGA_0_.BLIF \ +.names nEXP_SPACE.BLIF RST.BLIF inst_AS_030_000_SYNC.BLIF CLK_000_D_4_.BLIF \ +CLK_000_D_1_.BLIF CLK_000_D_0_.BLIF CLK_000_D_5_.BLIF SM_AMIGA_0_.BLIF \ SM_AMIGA_i_7_.BLIF SM_AMIGA_i_7_.D.X2 11001-1-0 1 1100-01-0 1 diff --git a/Logic/68030_tk.crf b/Logic/68030_tk.crf index a882976..7898436 100644 --- a/Logic/68030_tk.crf +++ b/Logic/68030_tk.crf @@ -1,7 +1,7 @@ // Signal Name Cross Reference File // ispLEVER Classic 2.0.00.17.20.15 -// Design '68030_tk' created Thu Oct 06 21:34:55 2016 +// Design '68030_tk' created Thu Oct 06 22:04:11 2016 // LEGEND: '>' Functional Block Port Separator diff --git a/Logic/68030_tk.eq3 b/Logic/68030_tk.eq3 index 23c47af..16ed26f 100644 --- a/Logic/68030_tk.eq3 +++ b/Logic/68030_tk.eq3 @@ -2,7 +2,7 @@ Copyright(C), 1992-2015, Lattice Semiconductor Corp. All Rights Reserved. -Design bus68030 created Thu Oct 06 21:34:55 2016 +Design bus68030 created Thu Oct 06 22:04:11 2016 P-Terms Fan-in Fan-out Type Name (attributes) @@ -25,21 +25,21 @@ Design bus68030 created Thu Oct 06 21:34:55 2016 1 3 1 Pin AHIGH_30_.OE 0 0 1 Pin AHIGH_29_ 1 3 1 Pin AHIGH_29_.OE - 1 1 1 Pin CLK_DIV_OUT.D - 1 1 1 Pin CLK_DIV_OUT.C 0 0 1 Pin AHIGH_28_ 1 3 1 Pin AHIGH_28_.OE + 1 1 1 Pin CLK_DIV_OUT.D + 1 1 1 Pin CLK_DIV_OUT.C 0 0 1 Pin AHIGH_27_ 1 3 1 Pin AHIGH_27_.OE - 1 9 1 Pin FPU_CS- 0 0 1 Pin AHIGH_26_ 1 3 1 Pin AHIGH_26_.OE + 1 9 1 Pin FPU_CS- 0 0 1 Pin AHIGH_25_ 1 3 1 Pin AHIGH_25_.OE - 1 2 1 Pin DSACK1- - 1 1 1 Pin DSACK1.OE 0 0 1 Pin AHIGH_24_ 1 3 1 Pin AHIGH_24_.OE + 1 2 1 Pin DSACK1- + 1 1 1 Pin DSACK1.OE 1 0 1 Pin AVEC 2 3 1 Pin E 0 0 1 Pin RESET @@ -79,15 +79,15 @@ Design bus68030 created Thu Oct 06 21:34:55 2016 1 1 1 Pin IPL_030_1_.C 10 8 1 Pin IPL_030_0_.D- 1 1 1 Pin IPL_030_0_.C + 1 1 1 NodeX1 cpu_est_2_.D.X1 + 1 4 1 NodeX2 cpu_est_2_.D.X2 + 1 1 1 Node cpu_est_2_.C 4 6 1 Node cpu_est_3_.D 1 1 1 Node cpu_est_3_.C 3 3 1 Node cpu_est_0_.D 1 1 1 Node cpu_est_0_.C 4 5 1 Node cpu_est_1_.D 1 1 1 Node cpu_est_1_.C - 1 4 1 NodeX1 cpu_est_2_.D.X1 - 1 1 1 NodeX2 cpu_est_2_.D.X2 - 1 1 1 Node cpu_est_2_.C 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.D- 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.C 1 2 1 Node inst_AS_030_D0.D- @@ -108,8 +108,8 @@ Design bus68030 created Thu Oct 06 21:34:55 2016 1 1 1 Node inst_VPA_D.C 1 1 1 Node CLK_000_D_2_.D 1 1 1 Node CLK_000_D_2_.C - 1 1 1 Node CLK_000_D_3_.D - 1 1 1 Node CLK_000_D_3_.C + 1 1 1 Node CLK_000_D_4_.D + 1 1 1 Node CLK_000_D_4_.C 1 2 1 Node inst_DTACK_D0.D- 1 1 1 Node inst_DTACK_D0.C 2 7 1 Node inst_RESET_OUT.D @@ -128,8 +128,10 @@ Design bus68030 created Thu Oct 06 21:34:55 2016 1 1 1 Node IPL_D0_1_.C 1 2 1 Node IPL_D0_2_.D- 1 1 1 Node IPL_D0_2_.C - 1 1 1 Node CLK_000_D_4_.D - 1 1 1 Node CLK_000_D_4_.C + 1 1 1 Node CLK_000_D_3_.D + 1 1 1 Node CLK_000_D_3_.C + 1 1 1 Node CLK_000_D_5_.D + 1 1 1 Node CLK_000_D_5_.C 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.C 4 7 1 Node SM_AMIGA_1_.D @@ -170,9 +172,9 @@ Design bus68030 created Thu Oct 06 21:34:55 2016 1 1 1 Node SM_AMIGA_i_7_.C 2 14 1 Node CIIN_0 ========= - 274 P-Term Total: 274 + 276 P-Term Total: 276 Total Pins: 61 - Total Nodes: 44 + Total Nodes: 45 Average P-Term/Output: 2 @@ -214,40 +216,40 @@ AHIGH_29_ = (0); AHIGH_29_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); -CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); - -CLK_DIV_OUT.C = (CLK_OSZI); - AHIGH_28_ = (0); AHIGH_28_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); +CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); + +CLK_DIV_OUT.C = (CLK_OSZI); + AHIGH_27_ = (0); AHIGH_27_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); -!FPU_CS = (FC_1_ & BGACK_000 & !FPU_SENSE & !A_DECODE_19_ & !A_DECODE_18_ & A_DECODE_17_ & !A_DECODE_16_ & FC_0_ & !AS_030.PIN); - AHIGH_26_ = (0); AHIGH_26_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); +!FPU_CS = (FC_1_ & BGACK_000 & !FPU_SENSE & !A_DECODE_19_ & !A_DECODE_18_ & A_DECODE_17_ & !A_DECODE_16_ & FC_0_ & !AS_030.PIN); + AHIGH_25_ = (0); AHIGH_25_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); -!DSACK1 = (!inst_DSACK1_INT.Q & !AS_030.PIN); - -DSACK1.OE = (nEXP_SPACE); - AHIGH_24_ = (0); AHIGH_24_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); +!DSACK1 = (!inst_DSACK1_INT.Q & !AS_030.PIN); + +DSACK1.OE = (nEXP_SPACE); + AVEC = (1); -E = (!cpu_est_3_.Q & cpu_est_1_.Q & cpu_est_2_.Q - # cpu_est_3_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q); +E = (cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_1_.Q + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_1_.Q); RESET = (0); @@ -321,8 +323,8 @@ CLK_EXP.D = (inst_CLK_OUT_PRE_D.Q); CLK_EXP.C = (CLK_OSZI); VMA.T = (!RST & !VMA.Q - # !VMA.Q & !cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q - # RST & VMA.Q & !cpu_est_3_.Q & cpu_est_0_.Q & cpu_est_1_.Q & !cpu_est_2_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); + # !VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q + # RST & VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_0_.Q & cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); VMA.C = (CLK_OSZI); @@ -367,10 +369,16 @@ IPL_030_1_.C = (CLK_OSZI); IPL_030_0_.C = (CLK_OSZI); +cpu_est_2_.D.X1 = (cpu_est_2_.Q); + +cpu_est_2_.D.X2 = (cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); + +cpu_est_2_.C = (CLK_OSZI); + cpu_est_3_.D = (cpu_est_3_.Q & !CLK_000_D_1_.Q # cpu_est_3_.Q & CLK_000_D_0_.Q - # cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_2_.Q - # cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q + # cpu_est_2_.Q & cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); cpu_est_3_.C = (CLK_OSZI); @@ -387,12 +395,6 @@ cpu_est_1_.D = (!cpu_est_0_.Q & cpu_est_1_.Q cpu_est_1_.C = (CLK_OSZI); -cpu_est_2_.D.X1 = (cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); - -cpu_est_2_.D.X2 = (cpu_est_2_.Q); - -cpu_est_2_.C = (CLK_OSZI); - !inst_AMIGA_BUS_ENABLE_DMA_LOW.D = (RST & A_1_ & !BGACK_030.Q # RST & BGACK_030.Q & !inst_AMIGA_BUS_ENABLE_DMA_LOW.Q & inst_BGACK_030_INT_D.Q); @@ -459,9 +461,9 @@ CLK_000_D_2_.D = (CLK_000_D_1_.Q); CLK_000_D_2_.C = (CLK_OSZI); -CLK_000_D_3_.D = (CLK_000_D_2_.Q); +CLK_000_D_4_.D = (CLK_000_D_3_.Q); -CLK_000_D_3_.C = (CLK_OSZI); +CLK_000_D_4_.C = (CLK_OSZI); !inst_DTACK_D0.D = (!DTACK & RST); @@ -500,9 +502,13 @@ IPL_D0_1_.C = (CLK_OSZI); IPL_D0_2_.C = (CLK_OSZI); -CLK_000_D_4_.D = (CLK_000_D_3_.Q); +CLK_000_D_3_.D = (CLK_000_D_2_.Q); -CLK_000_D_4_.C = (CLK_OSZI); +CLK_000_D_3_.C = (CLK_OSZI); + +CLK_000_D_5_.D = (CLK_000_D_4_.Q); + +CLK_000_D_5_.C = (CLK_OSZI); !inst_AMIGA_BUS_ENABLE_DMA_HIGH.D = (RST & !A_1_ & !BGACK_030.Q # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q); @@ -535,7 +541,7 @@ inst_LDS_000_INT.C = (CLK_OSZI); SM_AMIGA_6_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_6_.Q # RST & !CLK_000_D_0_.Q & SM_AMIGA_6_.Q - # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_3_.Q & CLK_000_D_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_i_7_.Q); + # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_4_.Q & CLK_000_D_5_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_i_7_.Q); SM_AMIGA_6_.C = (CLK_OSZI); @@ -602,7 +608,7 @@ SM_AMIGA_3_.T = (!RST & SM_AMIGA_3_.Q # CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN # inst_VPA_D.Q & !inst_DTACK_D0.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q - # !VMA.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); + # !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); SM_AMIGA_3_.C = (CLK_OSZI); @@ -610,15 +616,15 @@ SM_AMIGA_2_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_2_.Q # RST & !CLK_000_D_0_.Q & SM_AMIGA_2_.Q # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q - # RST & !VMA.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); + # RST & !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); SM_AMIGA_2_.C = (CLK_OSZI); SM_AMIGA_i_7_.T.X1 = (!RST & SM_AMIGA_i_7_.Q # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_0_.Q & SM_AMIGA_i_7_.Q - # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_3_.Q & CLK_000_D_4_.Q & !SM_AMIGA_i_7_.Q); + # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_4_.Q & CLK_000_D_5_.Q & !SM_AMIGA_i_7_.Q); -SM_AMIGA_i_7_.T.X2 = (nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_3_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & CLK_000_D_4_.Q & SM_AMIGA_0_.Q & !SM_AMIGA_i_7_.Q); +SM_AMIGA_i_7_.T.X2 = (nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_4_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & CLK_000_D_5_.Q & SM_AMIGA_0_.Q & !SM_AMIGA_i_7_.Q); SM_AMIGA_i_7_.C = (CLK_OSZI); diff --git a/Logic/68030_tk.fti b/Logic/68030_tk.fti index b3f4edc..01d4be2 100644 --- a/Logic/68030_tk.fti +++ b/Logic/68030_tk.fti @@ -36,17 +36,18 @@ DATA LOCATION BG_030:C_*_21 // INP DATA LOCATION CIIN:E_12_47 // OUT DATA LOCATION CIIN_0:E_5 // NOD DATA LOCATION CLK_000:*_*_11 // INP -DATA LOCATION CLK_000_D_0_:B_13 // NOD +DATA LOCATION CLK_000_D_0_:D_9 // NOD DATA LOCATION CLK_000_D_1_:H_5 // NOD DATA LOCATION CLK_000_D_2_:H_2 // NOD -DATA LOCATION CLK_000_D_3_:D_9 // NOD -DATA LOCATION CLK_000_D_4_:D_10 // NOD +DATA LOCATION CLK_000_D_3_:A_13 // NOD +DATA LOCATION CLK_000_D_4_:A_2 // NOD +DATA LOCATION CLK_000_D_5_:G_10 // NOD DATA LOCATION CLK_030:*_*_64 // INP DATA LOCATION CLK_DIV_OUT:G_1_65 // OUT DATA LOCATION CLK_EXP:B_1_10 // OUT DATA LOCATION CLK_OSZI:*_*_61 // Cin -DATA LOCATION CYCLE_DMA_0_:A_1 // NOD -DATA LOCATION CYCLE_DMA_1_:G_10 // NOD +DATA LOCATION CYCLE_DMA_0_:D_10 // NOD +DATA LOCATION CYCLE_DMA_1_:D_6 // NOD DATA LOCATION DSACK1:H_9_81 // OUT DATA LOCATION DS_030:A_0_98 // OUT DATA LOCATION DTACK:D_*_30 // INP @@ -61,7 +62,7 @@ DATA LOCATION IPL_030_2_:B_4_9 // IO {RN_IPL_030_2_} DATA LOCATION IPL_0_:G_*_67 // INP DATA LOCATION IPL_1_:F_*_56 // INP DATA LOCATION IPL_2_:G_*_68 // INP -DATA LOCATION IPL_D0_0_:D_14 // NOD +DATA LOCATION IPL_D0_0_:A_14 // NOD DATA LOCATION IPL_D0_1_:B_14 // NOD DATA LOCATION IPL_D0_2_:G_14 // NOD DATA LOCATION LDS_000:D_12_31 // IO @@ -78,46 +79,46 @@ DATA LOCATION RN_SIZE_0_:G_12 // NOD {SIZE_0_} DATA LOCATION RN_SIZE_1_:H_12 // NOD {SIZE_1_} DATA LOCATION RN_VMA:D_0 // NOD {VMA} DATA LOCATION RST:*_*_86 // INP -DATA LOCATION RST_DLY_0_:C_9 // NOD -DATA LOCATION RST_DLY_1_:A_13 // NOD -DATA LOCATION RST_DLY_2_:A_9 // NOD +DATA LOCATION RST_DLY_0_:G_9 // NOD +DATA LOCATION RST_DLY_1_:B_10 // NOD +DATA LOCATION RST_DLY_2_:G_13 // NOD DATA LOCATION RW:G_0_71 // IO {RN_RW} DATA LOCATION RW_000:H_0_80 // IO {RN_RW_000} DATA LOCATION SIZE_0_:G_12_70 // IO {RN_SIZE_0_} DATA LOCATION SIZE_1_:H_12_79 // IO {RN_SIZE_1_} -DATA LOCATION SM_AMIGA_0_:A_12 // NOD -DATA LOCATION SM_AMIGA_1_:C_13 // NOD -DATA LOCATION SM_AMIGA_2_:C_6 // NOD -DATA LOCATION SM_AMIGA_3_:C_10 // NOD -DATA LOCATION SM_AMIGA_4_:C_2 // NOD -DATA LOCATION SM_AMIGA_5_:D_6 // NOD +DATA LOCATION SM_AMIGA_0_:A_8 // NOD +DATA LOCATION SM_AMIGA_1_:A_5 // NOD +DATA LOCATION SM_AMIGA_2_:A_6 // NOD +DATA LOCATION SM_AMIGA_3_:A_10 // NOD +DATA LOCATION SM_AMIGA_4_:A_9 // NOD +DATA LOCATION SM_AMIGA_5_:B_13 // NOD DATA LOCATION SM_AMIGA_6_:F_0 // NOD DATA LOCATION SM_AMIGA_i_7_:F_8 // NOD DATA LOCATION UDS_000:D_8_32 // IO DATA LOCATION VMA:D_0_35 // IO {RN_VMA} DATA LOCATION VPA:*_*_36 // INP -DATA LOCATION cpu_est_0_:G_9 // NOD -DATA LOCATION cpu_est_1_:G_5 // NOD +DATA LOCATION cpu_est_0_:D_14 // NOD +DATA LOCATION cpu_est_1_:D_13 // NOD DATA LOCATION cpu_est_2_:D_2 // NOD -DATA LOCATION cpu_est_3_:D_13 // NOD -DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_HIGH:A_2 // NOD -DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_LOW:A_6 // NOD -DATA LOCATION inst_AS_000_DMA:G_2 // NOD -DATA LOCATION inst_AS_000_INT:F_1 // NOD +DATA LOCATION cpu_est_3_:A_12 // NOD +DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_HIGH:G_2 // NOD +DATA LOCATION inst_AMIGA_BUS_ENABLE_DMA_LOW:G_6 // NOD +DATA LOCATION inst_AS_000_DMA:C_13 // NOD +DATA LOCATION inst_AS_000_INT:C_6 // NOD DATA LOCATION inst_AS_030_000_SYNC:F_4 // NOD -DATA LOCATION inst_AS_030_D0:H_6 // NOD -DATA LOCATION inst_BGACK_030_INT_D:H_13 // NOD -DATA LOCATION inst_CLK_030_H:G_6 // NOD +DATA LOCATION inst_AS_030_D0:H_13 // NOD +DATA LOCATION inst_BGACK_030_INT_D:A_1 // NOD +DATA LOCATION inst_CLK_030_H:C_14 // NOD DATA LOCATION inst_CLK_OUT_PRE_50:E_9 // NOD DATA LOCATION inst_CLK_OUT_PRE_D:E_8 // NOD -DATA LOCATION inst_DSACK1_INT:A_5 // NOD -DATA LOCATION inst_DS_000_DMA:G_13 // NOD -DATA LOCATION inst_DS_000_ENABLE:F_12 // NOD -DATA LOCATION inst_DTACK_D0:C_14 // NOD -DATA LOCATION inst_LDS_000_INT:B_6 // NOD -DATA LOCATION inst_RESET_OUT:A_8 // NOD -DATA LOCATION inst_UDS_000_INT:B_10 // NOD -DATA LOCATION inst_VPA_D:A_10 // NOD +DATA LOCATION inst_DSACK1_INT:F_12 // NOD +DATA LOCATION inst_DS_000_DMA:C_9 // NOD +DATA LOCATION inst_DS_000_ENABLE:B_6 // NOD +DATA LOCATION inst_DTACK_D0:H_6 // NOD +DATA LOCATION inst_LDS_000_INT:C_2 // NOD +DATA LOCATION inst_RESET_OUT:G_5 // NOD +DATA LOCATION inst_UDS_000_INT:F_1 // NOD +DATA LOCATION inst_VPA_D:C_10 // NOD DATA LOCATION nEXP_SPACE:*_*_14 // INP DATA IO_DIR AHIGH_24_:BI DATA IO_DIR AHIGH_25_:BI @@ -207,54 +208,54 @@ DATA SLEW BG_030:1 DATA PW_LEVEL BGACK_000:1 DATA SLEW BGACK_000:1 DATA SLEW CLK_030:1 -DATA SLEW CLK_000:1 DATA PW_LEVEL AHIGH_30_:1 DATA SLEW AHIGH_30_:0 -DATA SLEW CLK_OSZI:1 +DATA SLEW CLK_000:1 DATA PW_LEVEL AHIGH_29_:1 DATA SLEW AHIGH_29_:0 -DATA PW_LEVEL CLK_DIV_OUT:1 -DATA SLEW CLK_DIV_OUT:0 +DATA SLEW CLK_OSZI:1 DATA PW_LEVEL AHIGH_28_:1 DATA SLEW AHIGH_28_:0 +DATA PW_LEVEL CLK_DIV_OUT:1 +DATA SLEW CLK_DIV_OUT:0 DATA PW_LEVEL AHIGH_27_:1 DATA SLEW AHIGH_27_:0 -DATA PW_LEVEL FPU_CS:1 -DATA SLEW FPU_CS:0 DATA PW_LEVEL AHIGH_26_:1 DATA SLEW AHIGH_26_:0 -DATA PW_LEVEL FPU_SENSE:1 -DATA SLEW FPU_SENSE:1 +DATA PW_LEVEL FPU_CS:1 +DATA SLEW FPU_CS:0 DATA PW_LEVEL AHIGH_25_:1 DATA SLEW AHIGH_25_:0 -DATA PW_LEVEL DSACK1:1 -DATA SLEW DSACK1:0 +DATA PW_LEVEL FPU_SENSE:1 +DATA SLEW FPU_SENSE:1 DATA PW_LEVEL AHIGH_24_:1 DATA SLEW AHIGH_24_:0 -DATA PW_LEVEL DTACK:1 -DATA SLEW DTACK:1 +DATA PW_LEVEL DSACK1:1 +DATA SLEW DSACK1:0 DATA PW_LEVEL A_DECODE_22_:1 DATA SLEW A_DECODE_22_:1 -DATA PW_LEVEL AVEC:1 -DATA SLEW AVEC:0 +DATA PW_LEVEL DTACK:1 +DATA SLEW DTACK:1 DATA PW_LEVEL A_DECODE_21_:1 DATA SLEW A_DECODE_21_:1 -DATA PW_LEVEL E:1 -DATA SLEW E:0 +DATA PW_LEVEL AVEC:1 +DATA SLEW AVEC:0 DATA PW_LEVEL A_DECODE_20_:1 DATA SLEW A_DECODE_20_:1 -DATA SLEW VPA:1 +DATA PW_LEVEL E:1 +DATA SLEW E:0 DATA PW_LEVEL A_DECODE_19_:1 DATA SLEW A_DECODE_19_:1 +DATA SLEW VPA:1 DATA PW_LEVEL A_DECODE_18_:1 DATA SLEW A_DECODE_18_:1 -DATA SLEW RST:1 DATA PW_LEVEL A_DECODE_17_:1 DATA SLEW A_DECODE_17_:1 -DATA PW_LEVEL RESET:1 -DATA SLEW RESET:0 +DATA SLEW RST:1 DATA PW_LEVEL A_DECODE_16_:1 DATA SLEW A_DECODE_16_:1 +DATA PW_LEVEL RESET:1 +DATA SLEW RESET:0 DATA PW_LEVEL AMIGA_ADDR_ENABLE:1 DATA SLEW AMIGA_ADDR_ENABLE:0 DATA PW_LEVEL AMIGA_BUS_DATA_DIR:1 @@ -297,14 +298,14 @@ DATA PW_LEVEL IPL_030_1_:1 DATA SLEW IPL_030_1_:0 DATA PW_LEVEL IPL_030_0_:1 DATA SLEW IPL_030_0_:0 +DATA PW_LEVEL cpu_est_2_:1 +DATA SLEW cpu_est_2_:1 DATA PW_LEVEL cpu_est_3_:1 DATA SLEW cpu_est_3_:1 DATA PW_LEVEL cpu_est_0_:1 DATA SLEW cpu_est_0_:1 DATA PW_LEVEL cpu_est_1_:1 DATA SLEW cpu_est_1_:1 -DATA PW_LEVEL cpu_est_2_:1 -DATA SLEW cpu_est_2_:1 DATA PW_LEVEL inst_AMIGA_BUS_ENABLE_DMA_LOW:1 DATA SLEW inst_AMIGA_BUS_ENABLE_DMA_LOW:1 DATA PW_LEVEL inst_AS_030_D0:1 @@ -325,8 +326,8 @@ DATA PW_LEVEL inst_VPA_D:1 DATA SLEW inst_VPA_D:1 DATA PW_LEVEL CLK_000_D_2_:1 DATA SLEW CLK_000_D_2_:1 -DATA PW_LEVEL CLK_000_D_3_:1 -DATA SLEW CLK_000_D_3_:1 +DATA PW_LEVEL CLK_000_D_4_:1 +DATA SLEW CLK_000_D_4_:1 DATA PW_LEVEL inst_DTACK_D0:1 DATA SLEW inst_DTACK_D0:1 DATA PW_LEVEL inst_RESET_OUT:1 @@ -345,8 +346,10 @@ DATA PW_LEVEL IPL_D0_1_:1 DATA SLEW IPL_D0_1_:1 DATA PW_LEVEL IPL_D0_2_:1 DATA SLEW IPL_D0_2_:1 -DATA PW_LEVEL CLK_000_D_4_:1 -DATA SLEW CLK_000_D_4_:1 +DATA PW_LEVEL CLK_000_D_3_:1 +DATA SLEW CLK_000_D_3_:1 +DATA PW_LEVEL CLK_000_D_5_:1 +DATA SLEW CLK_000_D_5_:1 DATA PW_LEVEL inst_AMIGA_BUS_ENABLE_DMA_HIGH:1 DATA SLEW inst_AMIGA_BUS_ENABLE_DMA_HIGH:1 DATA PW_LEVEL SM_AMIGA_1_:1 diff --git a/Logic/68030_tk.grp b/Logic/68030_tk.grp index 063576b..eb06fec 100644 --- a/Logic/68030_tk.grp +++ b/Logic/68030_tk.grp @@ -1,23 +1,23 @@ -GROUP MACH_SEG_A DS_030 AVEC SM_AMIGA_0_ inst_RESET_OUT inst_DSACK1_INT - RST_DLY_1_ RST_DLY_2_ CYCLE_DMA_0_ inst_AMIGA_BUS_ENABLE_DMA_LOW - inst_AMIGA_BUS_ENABLE_DMA_HIGH inst_VPA_D +GROUP MACH_SEG_A DS_030 AVEC SM_AMIGA_2_ SM_AMIGA_3_ SM_AMIGA_1_ SM_AMIGA_0_ + cpu_est_3_ SM_AMIGA_4_ IPL_D0_0_ inst_BGACK_030_INT_D CLK_000_D_4_ + CLK_000_D_3_ GROUP MACH_SEG_B IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ IPL_030_2_ - RN_IPL_030_2_ AHIGH_31_ AHIGH_30_ AHIGH_29_ CLK_EXP RESET inst_LDS_000_INT - inst_UDS_000_INT IPL_D0_1_ CLK_000_D_0_ + RN_IPL_030_2_ AHIGH_31_ AHIGH_30_ AHIGH_29_ CLK_EXP RESET inst_DS_000_ENABLE + RST_DLY_1_ SM_AMIGA_5_ IPL_D0_1_ GROUP MACH_SEG_C AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ AMIGA_BUS_ENABLE_LOW - SM_AMIGA_2_ SM_AMIGA_3_ SM_AMIGA_1_ RST_DLY_0_ SM_AMIGA_4_ inst_DTACK_D0 - + inst_DS_000_DMA inst_CLK_030_H inst_AS_000_DMA inst_LDS_000_INT inst_AS_000_INT + inst_VPA_D GROUP MACH_SEG_D VMA RN_VMA BG_000 RN_BG_000 LDS_000 UDS_000 AMIGA_BUS_ENABLE_HIGH - AMIGA_ADDR_ENABLE cpu_est_3_ cpu_est_2_ SM_AMIGA_5_ IPL_D0_0_ CLK_000_D_3_ - CLK_000_D_4_ + AMIGA_ADDR_ENABLE CYCLE_DMA_1_ CYCLE_DMA_0_ cpu_est_2_ cpu_est_1_ + cpu_est_0_ CLK_000_D_0_ GROUP MACH_SEG_E CIIN BERR AMIGA_BUS_DATA_DIR AS_000 CIIN_0 inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_D -GROUP MACH_SEG_F inst_AS_030_000_SYNC SM_AMIGA_i_7_ SM_AMIGA_6_ inst_DS_000_ENABLE - inst_AS_000_INT +GROUP MACH_SEG_F inst_AS_030_000_SYNC SM_AMIGA_i_7_ SM_AMIGA_6_ inst_DSACK1_INT + inst_UDS_000_INT GROUP MACH_SEG_G SIZE_0_ RN_SIZE_0_ A_0_ RN_A_0_ RW RN_RW E CLK_DIV_OUT - inst_DS_000_DMA inst_CLK_030_H inst_AS_000_DMA CYCLE_DMA_1_ cpu_est_1_ - cpu_est_0_ IPL_D0_2_ + inst_RESET_OUT RST_DLY_0_ RST_DLY_2_ inst_AMIGA_BUS_ENABLE_DMA_LOW + inst_AMIGA_BUS_ENABLE_DMA_HIGH IPL_D0_2_ CLK_000_D_5_ GROUP MACH_SEG_H RW_000 RN_RW_000 FPU_CS SIZE_1_ RN_SIZE_1_ BGACK_030 RN_BGACK_030 - AS_030 DSACK1 inst_AS_030_D0 inst_BGACK_030_INT_D CLK_000_D_2_ CLK_000_D_1_ + AS_030 DSACK1 inst_DTACK_D0 inst_AS_030_D0 CLK_000_D_2_ CLK_000_D_1_ \ No newline at end of file diff --git a/Logic/68030_tk.ipr b/Logic/68030_tk.ipr index 9a7d65c..a05006a 100644 --- a/Logic/68030_tk.ipr +++ b/Logic/68030_tk.ipr @@ -1 +1 @@ -5861<2358=g \ No newline at end of file +2407<23z>bW P \ No newline at end of file diff --git a/Logic/68030_tk.jed b/Logic/68030_tk.jed index 4140a07..9912c4e 100644 --- a/Logic/68030_tk.jed +++ b/Logic/68030_tk.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Thu Oct 06 21:35:00 2016 +DATE: Thu Oct 06 22:04:16 2016 ABEL mach447a * @@ -34,17 +34,17 @@ NOTE Table of pin names and numbers* NOTE PINS AHIGH_31_:4 A_DECODE_23_:85 IPL_2_:68 FC_1_:58* NOTE PINS AS_030:82 AS_000:42 DS_030:98 UDS_000:32 LDS_000:31* NOTE PINS nEXP_SPACE:14 BERR:41 BG_030:21 BGACK_000:28 CLK_030:64* -NOTE PINS CLK_000:11 AHIGH_30_:5 CLK_OSZI:61 AHIGH_29_:6* -NOTE PINS CLK_DIV_OUT:65 AHIGH_28_:15 AHIGH_27_:16 FPU_CS:78* -NOTE PINS AHIGH_26_:17 FPU_SENSE:91 AHIGH_25_:18 DSACK1:81* -NOTE PINS AHIGH_24_:19 DTACK:30 A_DECODE_22_:84 AVEC:92 A_DECODE_21_:94* -NOTE PINS E:66 A_DECODE_20_:93 VPA:36 A_DECODE_19_:97 A_DECODE_18_:95* -NOTE PINS RST:86 A_DECODE_17_:59 RESET:3 A_DECODE_16_:96* -NOTE PINS AMIGA_ADDR_ENABLE:33 AMIGA_BUS_DATA_DIR:48 AMIGA_BUS_ENABLE_LOW:20* -NOTE PINS AMIGA_BUS_ENABLE_HIGH:34 CIIN:47 IPL_1_:56 IPL_0_:67* -NOTE PINS FC_0_:57 A_1_:60 SIZE_1_:79 IPL_030_2_:9 RW_000:80* -NOTE PINS BG_000:29 BGACK_030:83 SIZE_0_:70 CLK_EXP:10 VMA:35* -NOTE PINS RW:71 A_0_:69 IPL_030_1_:7 IPL_030_0_:8 * +NOTE PINS AHIGH_30_:5 CLK_000:11 AHIGH_29_:6 CLK_OSZI:61* +NOTE PINS AHIGH_28_:15 CLK_DIV_OUT:65 AHIGH_27_:16 AHIGH_26_:17* +NOTE PINS FPU_CS:78 AHIGH_25_:18 FPU_SENSE:91 AHIGH_24_:19* +NOTE PINS DSACK1:81 A_DECODE_22_:84 DTACK:30 A_DECODE_21_:94* +NOTE PINS AVEC:92 A_DECODE_20_:93 E:66 A_DECODE_19_:97 VPA:36* +NOTE PINS A_DECODE_18_:95 A_DECODE_17_:59 RST:86 A_DECODE_16_:96* +NOTE PINS RESET:3 AMIGA_ADDR_ENABLE:33 AMIGA_BUS_DATA_DIR:48* +NOTE PINS AMIGA_BUS_ENABLE_LOW:20 AMIGA_BUS_ENABLE_HIGH:34* +NOTE PINS CIIN:47 IPL_1_:56 IPL_0_:67 FC_0_:57 A_1_:60 SIZE_1_:79* +NOTE PINS IPL_030_2_:9 RW_000:80 BG_000:29 BGACK_030:83 SIZE_0_:70* +NOTE PINS CLK_EXP:10 VMA:35 RW:71 A_0_:69 IPL_030_1_:7 IPL_030_0_:8* NOTE Table of node names and numbers* NOTE NODES RN_AHIGH_31_:143 RN_AS_030:281 RN_AS_000:203 * NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_BERR:197 RN_AHIGH_30_:125 * @@ -53,51 +53,52 @@ NOTE NODES RN_AHIGH_26_:155 RN_AHIGH_25_:167 RN_AHIGH_24_:161 * NOTE NODES RN_SIZE_1_:287 RN_IPL_030_2_:131 RN_RW_000:269 * NOTE NODES RN_BG_000:175 RN_BGACK_030:275 RN_SIZE_0_:263 * NOTE NODES RN_VMA:173 RN_RW:245 RN_A_0_:257 RN_IPL_030_1_:139 * -NOTE NODES RN_IPL_030_0_:133 cpu_est_3_:193 cpu_est_0_:259 * -NOTE NODES cpu_est_1_:253 cpu_est_2_:176 inst_AMIGA_BUS_ENABLE_DMA_LOW:110 * -NOTE NODES inst_AS_030_D0:278 inst_AS_030_000_SYNC:227 inst_BGACK_030_INT_D:289 * -NOTE NODES inst_AS_000_DMA:248 inst_DS_000_DMA:265 CYCLE_DMA_0_:103 * -NOTE NODES CYCLE_DMA_1_:260 inst_VPA_D:116 CLK_000_D_2_:272 * -NOTE NODES CLK_000_D_3_:187 inst_DTACK_D0:170 inst_RESET_OUT:113 * -NOTE NODES CLK_000_D_1_:277 CLK_000_D_0_:145 inst_CLK_OUT_PRE_50:211 * -NOTE NODES inst_CLK_OUT_PRE_D:209 IPL_D0_0_:194 IPL_D0_1_:146 * -NOTE NODES IPL_D0_2_:266 CLK_000_D_4_:188 inst_AMIGA_BUS_ENABLE_DMA_HIGH:104 * -NOTE NODES SM_AMIGA_1_:169 inst_UDS_000_INT:140 inst_DS_000_ENABLE:239 * -NOTE NODES inst_LDS_000_INT:134 SM_AMIGA_6_:221 SM_AMIGA_4_:152 * -NOTE NODES SM_AMIGA_0_:119 RST_DLY_0_:163 RST_DLY_1_:121 * -NOTE NODES RST_DLY_2_:115 inst_CLK_030_H:254 inst_DSACK1_INT:109 * -NOTE NODES inst_AS_000_INT:223 SM_AMIGA_5_:182 SM_AMIGA_3_:164 * -NOTE NODES SM_AMIGA_2_:158 SM_AMIGA_i_7_:233 CIIN_0:205 * +NOTE NODES RN_IPL_030_0_:133 cpu_est_2_:176 cpu_est_3_:119 * +NOTE NODES cpu_est_0_:194 cpu_est_1_:193 inst_AMIGA_BUS_ENABLE_DMA_LOW:254 * +NOTE NODES inst_AS_030_D0:289 inst_AS_030_000_SYNC:227 inst_BGACK_030_INT_D:103 * +NOTE NODES inst_AS_000_DMA:169 inst_DS_000_DMA:163 CYCLE_DMA_0_:188 * +NOTE NODES CYCLE_DMA_1_:182 inst_VPA_D:164 CLK_000_D_2_:272 * +NOTE NODES CLK_000_D_4_:104 inst_DTACK_D0:278 inst_RESET_OUT:253 * +NOTE NODES CLK_000_D_1_:277 CLK_000_D_0_:187 inst_CLK_OUT_PRE_50:211 * +NOTE NODES inst_CLK_OUT_PRE_D:209 IPL_D0_0_:122 IPL_D0_1_:146 * +NOTE NODES IPL_D0_2_:266 CLK_000_D_3_:121 CLK_000_D_5_:260 * +NOTE NODES inst_AMIGA_BUS_ENABLE_DMA_HIGH:248 SM_AMIGA_1_:109 * +NOTE NODES inst_UDS_000_INT:223 inst_DS_000_ENABLE:134 inst_LDS_000_INT:152 * +NOTE NODES SM_AMIGA_6_:221 SM_AMIGA_4_:115 SM_AMIGA_0_:113 * +NOTE NODES RST_DLY_0_:259 RST_DLY_1_:140 RST_DLY_2_:265 * +NOTE NODES inst_CLK_030_H:170 inst_DSACK1_INT:239 inst_AS_000_INT:158 * +NOTE NODES SM_AMIGA_5_:145 SM_AMIGA_3_:116 SM_AMIGA_2_:110 * +NOTE NODES SM_AMIGA_i_7_:233 CIIN_0:205 * NOTE BLOCK 0 * L000000 - 111111111111111111110111111111111111111111111111111011111111011111 - 111111011101111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111110111111111 + 111111011101111101111111111111111111111111111111111111111111111111 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111110111111111111111111111111111111111111111111 - 011111111111111111111111111111111111111011111111011111111111111111 - 111111111111110111111111111111011111011111111111111111111101111111 - 111101111111111111101111111111111111111110111111111111101111111111 - 111111111011111111111111010111111011111111100111111111111111111111* + 101111111111111111111111111111111111111111111011111111111111111111 + 111111111111111111111111111111111101111111111111111111111111111111 + 111111111111111111011111111101111111111111111111110111111101111111 + 111111111111111111110111011111111111110111111111111111111111011111 + 111101111111111011111111111011111111111110111111111111111111111111 + 111011111011111111111111111111110111011111101111111110111111111111* L000594 000000000000000000000000000000000000000000000000000000000000000000* -L000660 111111111111111111111111111111111011111111111111111011111111111111* +L000660 111111101111111111111111111111111111111111111111111110111111111111* L000726 000000000000000000000000000000000000000000000000000000000000000000* L000792 000000000000000000000000000000000000000000000000000000000000000000* L000858 000000000000000000000000000000000000000000000000000000000000000000* L000924 000000000000000000000000000000000000000000000000000000000000000000* -L000990 111111111111111111111111011111111011111110011111111111011111111111* -L001056 111111111111111111110111101111111011111110011111111111101111111111* -L001122 111111111111111111111011011111111011111110011111111111111111111111* +L000990 111111111111111111111111111111111111111110011111111111111111111111* +L001056 000000000000000000000000000000000000000000000000000000000000000000* +L001122 000000000000000000000000000000000000000000000000000000000000000000* L001188 000000000000000000000000000000000000000000000000000000000000000000* L001254 000000000000000000000000000000000000000000000000000000000000000000* L001320 111111111111111111111111111111111111111111111111111111111111111111* -L001386 111111111111111111111110111111111111111110011111111111111111111111* -L001452 111111111111111111111111111111111111110101011011111111111111111111* -L001518 000000000000000000000000000000000000000000000000000000000000000000* -L001584 000000000000000000000000000000000000000000000000000000000000000000* -L001650 000000000000000000000000000000000000000000000000000000000000000000* +L001386 111111111111111111111111111111111111111111111111110111111111111111* +L001452 111111111111111111111111111111111111111111111111111111111111111111* +L001518 111111111111111111111111111111111111111111111111111111111111111111* +L001584 111111111111111111111111111111111111111111111111111111111111111111* +L001650 111111111111111111111111111111111111111111111111111111111111111111* L001716 111111111111111111111111111111111111111111111111111111111111111111* L001782 111111111111111111111111111111111111111111111111111111111111111111* L001848 111111111111111111111111111111111111111111111111111111111111111111* @@ -110,18 +111,18 @@ L002178 111111111111111111111111111111111111111111111111111111111111111111* L002244 111111111111111111111111111111111111111111111111111111111111111111* L002310 111111111111111111111111111111111111111111111111111111111111111111* L002376 111111111111111111111111111111111111111111111111111111111111111111* -L002442 111111111011110111111111111111111111111111011111111111111111011111* -L002508 111110111111111111101111111111111111111111011111111111111111111111* -L002574 000000000000000000000000000000000000000000000000000000000000000000* -L002640 000000000000000000000000000000000000000000000000000000000000000000* +L002442 111101110111111111111111111111111111111111011111111111111111111111* +L002508 111101111111111111111111111111111111111111011111111011111111111111* +L002574 111111111111111111110111011011111111111111011111111111111111111111* +L002640 111101111111111111110111111111111111111111011111111111111111111111* L002706 000000000000000000000000000000000000000000000000000000000000000000* L002772 000000000000000000000000000000000000000000000000000000000000000000* -L002838 111111111111111111111101111111111111111110011111111111111111111111* -L002904 111111111111111111111111111111101111110101011111111111111111111111* -L002970 000000000000000000000000000000000000000000000000000000000000000000* -L003036 000000000000000000000000000000000000000000000000000000000000000000* -L003102 000000000000000000000000000000000000000000000000000000000000000000* +L002838 111111111111111110011111100101111010101111011111111111111110111111* +L002904 111111111111111001111111100101111111111111011111111111111111111111* +L002970 111111111111111111110111110111111111111111011111111111111111111111* +L003036 111111111111111111110111101111111111111111011111111111111111111111* +L003102 111011111111111111111111100101111111111111011111111111111111111111* L003168 111111111111111111111111111111111111111111111111111111111111111111* L003234 111111111111111111111111111111111111111111111111111111111111111111* L003300 111111111111111111111111111111111111111111111111111111111111111111* @@ -129,23 +130,23 @@ L003366 111111111111111111111111111111111111111111111111111111111111111111* L003432 111111111111111111111111111111111111111111111111111111111111111111* L003498 000000000000000000000000000000000000000000000000000000000000000000* -L003564 111111111111111111111111111111111111011111011111111111111111111111* -L003630 011111011111111111111011111111111111111111011111111111011101111111* -L003696 000000000000000000000000000000000000000000000000000000000000000000* -L003762 000000000000000000000000000000000000000000000000000000000000000000* +L003564 111101111011111111111111111111111111111111011111110111111111111111* +L003630 111111111111111111111111110111111111111111011111111111111111011111* +L003696 111111111111111111111111101111111111111111011111111111111111011111* +L003762 111101111111111111111111111111111111111111011111111111111111011111* L003828 000000000000000000000000000000000000000000000000000000000000000000* -L003894 011111011111111111111011111111111111111111011111111111011111111111* -L003960 111111111111111111111111111111111111111111011111111111111101111111* -L004026 000000000000000000000000000000000000000000000000000000000000000000* +L003894 111111111111111111111111110111111111110111011111111111111111111111* +L003960 111111111111111111111111101111111111110111011111111111111111111111* +L004026 111111111111111111111111100111111111111111011111111111110111111111* L004092 000000000000000000000000000000000000000000000000000000000000000000* L004158 000000000000000000000000000000000000000000000000000000000000000000* L004224 000000000000000000000000000000000000000000000000000000000000000000* -L004290 111111111111111111111111111011111111111111011111111111111111111111* -L004356 111111111111111111111111111111111111111111111111111111111111111111* -L004422 111111111111111111111111111111111111111111111111111111111111111111* -L004488 111111111111111111111111111111111111111111111111111111111111111111* -L004554 111111111111111111111111111111111111111111111111111111111111111111* +L004290 111111111111111111111111111101111111111111101111111111111111111111* +L004356 111111111111111110011111100101111010101111111111111111111110111111* +L004422 111111111111111001111111100101111111111111111111111111111111111111* +L004488 111111111111111111111111011010111111110111011111111111111111111111* +L004554 111011111111111111111111100101111111111111111111111111111111111111* L004620 111111111111111111111111111111111111111111111111111111111111111111* L004686 111111111111111111111111111111111111111111111111111111111111111111* L004752 111111111111111111111111111111111111111111111111111111111111111111* @@ -153,19 +154,19 @@ L004818 111111111111111111111111111111111111111111111111111111111111111111* L004884 111111111111111111111111111111111111111111111111111111111111111111* L004950 000000000000000000000000000000000000000000000000000000000000000000* -L005016 111111111011110111111111111111111111111111011111111111111111011111* -L005082 111111111111111111111111111111111111111111011111011111011111111111* -L005148 111111111111111111111011111111111111111111011111011111111111111111* -L005214 111111111111111111111111111111111111111111011111011111111111011111* +L005016 111111111111111111011111111111111010111111111111111111111111111111* +L005082 111111111111111111011111111011111111111111111111111111111111111111* +L005148 111111111111111111011111011111111111111111111111111111111111111111* +L005214 111111111111111111111111100111110101111111111111111111111101111111* L005280 000000000000000000000000000000000000000000000000000000000000000000* -L005346 011111111111111111111111111111111111111111011111111111111111111111* -L005412 111111011111111111111011111111111111111111011111111111011110111111* -L005478 101111011111111111111011111111111111111111011111111111011111111111* -L005544 000000000000000000000000000000000000000000000000000000000000000000* -L005610 000000000000000000000000000000000000000000000000000000000000000000* +L005346 111111110111111111111111111111111111111111111111111111111111111111* +L005412 111111111111111111111111111111111111111111111111111111111111111111* +L005478 111111111111111111111111111111111111111111111111111111111111111111* +L005544 111111111111111111111111111111111111111111111111111111111111111111* +L005610 111111111111111111111111111111111111111111111111111111111111111111* L005676 - 111111111110111111111111111111111111011110111111111111111111111111* -L005742 111111111111111111111111111111111111111111111111111111111111111111* + 111111111110111111111111111111111111111110110111111111111111111111* +L005742 101111111111111111111111111111111111111111011111111111111111111111* L005808 111111111111111111111111111111111111111111111111111111111111111111* L005874 111111111111111111111111111111111111111111111111111111111111111111* L005940 111111111111111111111111111111111111111111111111111111111111111111* @@ -180,32 +181,32 @@ L006402 000000000000000000000000000000000000000000000000000000000000000000* L006534 0010* L006538 01100011111000* -L006552 10100110010011* -L006566 11100110010101* +L006552 01100110010011* +L006566 00010110010101* L006580 11101011111111* L006594 00110011111000* -L006608 11100110010010* -L006622 11100110010001* +L006608 10100110010010* +L006622 10100110010001* L006636 11101011110011* L006650 10100110010000* L006664 10100110010011* -L006678 01010110010001* +L006678 10100111010001* L006692 11100011110011* L006706 10100110010000* -L006720 00100110010010* -L006734 11011011110101* -L006748 11111111111111* +L006720 00000110010010* +L006734 01010110010101* +L006748 11101011111111* NOTE BLOCK 1 * L006762 - 111111011111111111011110111111111111111111111111111111111111111111 - 111101111101111111111111111110110111111111111111111111111111111111 - 111111111011111111111111111111101111111111101101111111110111111111 - 101111111111111111111111111111111111111111111111111111011111111111 - 111111111111111111110111111111111111111111111111111011111111111111 - 111111111111101111111111111111111111111111111111111111111111111111 - 111111111111111110111111111111111111011111111111111111111111111111 - 111111111111111111111111111111111111111110111111111111111111111111 - 111111111111111111111111111111111111111111111111101111111111111111* + 111111111111111111100111111111111111111101111111111110111111111111 + 111101111101011110111111101111111111111111111111111111111111111111 + 111111111011111111111101111111111111111111111111111111110111111011 + 101111111111111111111111111111111111111111111011111111011111111111 + 111111011111111111111111111111111111111111111111111011111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111110111111111111111111011110111111111111111111111111111 + 111111111111111111111111111011111111111111111110111111111111111110 + 111111111111111111111111111111111111111111101111111111111111111111* L007356 111111111111111111111111111111111111111111111111111111111111111111* L007422 000000000000000000000000000000000000000000000000000000000000000000* @@ -213,7 +214,7 @@ L007488 000000000000000000000000000000000000000000000000000000000000000000* L007554 000000000000000000000000000000000000000000000000000000000000000000* L007620 000000000000000000000000000000000000000000000000000000000000000000* L007686 000000000000000000000000000000000000000000000000000000000000000000* -L007752 111111111111111101111111111111111111111111111111111111111111111111* +L007752 111111111111111111111111111111110111111111111111111111111111111111* L007818 000000000000000000000000000000000000000000000000000000000000000000* L007884 000000000000000000000000000000000000000000000000000000000000000000* L007950 000000000000000000000000000000000000000000000000000000000000000000* @@ -225,74 +226,74 @@ L008214 111111111111111111111111111111111111111111111111111111111111111111* L008280 111111111111111111111111111111111111111111111111111111111111111111* L008346 111111111111111111111111111111111111111111111111111111111111111111* L008412 111111111111111111111111111111111111111111111111111111111111111111* -L008478 011111111011111111010110111111111111111111011111011111111111111111* -L008544 101111111011111111011010111111111111111111011111011111111111111111* -L008610 011111111011111111100110111111111111111111101111011111111111111111* -L008676 101111111011111111101010111111111111111111101111011111111111111111* -L008742 111111111011111111111111111111111111111111111111011111101111111111* +L008478 011111011011111111111111111111111111111101011111111110111111110111* +L008544 101111101011111111111111111111111111111101011111111110111111110111* +L008610 011111011011111111111111111111111111111110011111111110111111111011* +L008676 101111101011111111111111111111111111111110011111111110111111111011* +L008742 111111111011111111111111111111111111111111011111111111101111111111* L008808 111111111111111111111111111111111111111111111111111111111111111111* -L008874 101111111111111111110111111111111111111111111111011111101111111111* -L008940 011111111111111111111011111111111111111111111111011111101111111111* -L009006 111111111111111111011111111111111111111111101111011111101111111111* -L009072 111111111111111111101111111111111111111111011111011111101111111111* -L009138 111111111111111111111110111111111111111111111111011111101111111111* -L009204 101111111011111111011010111111111111111111011111011111111111111111* -L009270 101111111011111111101010111111111111111111101111011111111111111111* -L009336 101111110111111111101001111111111111111111101111011111111111111111* -L009402 101111110111111111011001111111111111111111011111011111111111111111* -L009468 101111111111111111111111111111111111111111111111011111111011111111* +L008874 101111011111111111111111111111111111111111011111111111101111111111* +L008940 011111101111111111111111111111111111111111011111111111101111111111* +L009006 111111111111111111111111111111111111111101011111111111101111111011* +L009072 111111111111111111111111111111111111111110011111111111101111110111* +L009138 111111111111111111111111111111111111111111011111111110101111111111* +L009204 101111101011111111111111111111111111111101011111111110111111110111* +L009270 101111101011111111111111111111111111111110011111111110111111111011* +L009336 101111100111111111111111111111111111111110011111111101111111111011* +L009402 101111100111111111111111111111111111111101011111111101111111110111* +L009468 101111111111111111111111111111111111111111011111111111111011111111* L009534 111111111111111111111111111111111111111111111111111111111111111111* -L009600 111111111111111111111011111111111111111111111111011111111011111111* -L009666 111111111111111111011111111111111111111111101111011111111011111111* -L009732 111111111111111111101111111111111111111111011111011111111011111111* -L009798 111111111011111111111101111111111111111111111111011111111011111111* -L009864 111111110111111111111110111111111111111111111111011111111011111111* +L009600 111111101111111111111111111111111111111111011111111111111011111111* +L009666 111111111111111111111111111111111111111101011111111111111011111011* +L009732 111111111111111111111111111111111111111110011111111111111011110111* +L009798 111111111011111111111111111111111111111111011111111101111011111111* +L009864 111111110111111111111111111111111111111111011111111110111011111111* L009930 111111111111111111111111111111111111111111111111111111111111111111* L009996 111111111111111111111111111111111111111111111111111111111111111111* L010062 111111111111111111111111111111111111111111111111111111111111111111* L010128 111111111111111111111111111111111111111111111111111111111111111111* L010194 111111111111111111111111111111111111111111111111111111111111111111* L010260 - 111111111110111111111111111111111111011110111111111111111111111111* + 111111111110111111111111111111111111111111110110111111111111111111* L010326 000000000000000000000000000000000000000000000000000000000000000000* -L010392 111111111111111111111111111111111111111111111111101111111111111111* -L010458 111111111111111111111111111111111111111111111101111011111111111111* -L010524 111111111111101111111111111101101111111111111111110111111111111111* +L010392 111111111111110111111111111011111111110111011111111111111111111111* +L010458 111111111111111111111101111111111111111111011111111111111111111110* +L010524 111111111111110101111111111011111111111111011111110111111111111111* L010590 000000000000000000000000000000000000000000000000000000000000000000* -L010656 011111111011111111100110111111111111111111101111011111111111111111* -L010722 101111111011111111101010111111111111111111101111011111111111111111* -L010788 011111110111111111100101111111111111111111101111011111111111111111* -L010854 101111110111111111101001111111111111111111101111011111111111111111* -L010920 111111111111111111111111111111111011111111101111011111111111111111* +L010656 011111011011111111111111111111111111111110011111111110111111111011* +L010722 101111101011111111111111111111111111111110011111111110111111111011* +L010788 011111010111111111111111111111111111111110011111111101111111111011* +L010854 101111100111111111111111111111111111111110011111111101111111111011* +L010920 111111111111101111111111111111111111111111011111111111111111111011* L010986 - 111111111110111111111111111111111111011110111111111111111111111111* -L011052 101111111111111111110111111111111011111111111111011111111111111111* -L011118 011111111111111111111011111111111011111111111111011111111111111111* -L011184 111111111111111111101111111111111011111111111111011111111111111111* -L011250 111111111011111111111101111111111011111111111111011111111111111111* -L011316 111111110111111111111110111111111011111111111111011111111111111111* -L011382 111111111111111111111111111111111111111111111111111111111111111111* -L011448 111111111111111111111111111111111111111111111111111111111111111111* -L011514 111111111111111111111111111111111111111111111111111111111111111111* -L011580 111111111111111111111111111111111111111111111111111111111111111111* -L011646 111111111111111111111111111111111111111111111111111111111111111111* + 111111111110111111111111111111111111111111110110111111111111111111* +L011052 111101111111111111111111111111111111111111011111111111111111111111* +L011118 101111011111101111111111111111111111111111011111111111111111111111* +L011184 011111101111101111111111111111111111111111011111111111111111111111* +L011250 111111111111101111111111111111111111111110011111111111111111111111* +L011316 111111111011101111111111111111111111111111011111111101111111111111* +L011382 111111110111101111111111111111111111111111011111111110111111111111* +L011448 000000000000000000000000000000000000000000000000000000000000000000* +L011514 000000000000000000000000000000000000000000000000000000000000000000* +L011580 000000000000000000000000000000000000000000000000000000000000000000* +L011646 000000000000000000000000000000000000000000000000000000000000000000* L011712 - 111111111110111111111111111111111111011110111111111111111111111111* + 111111111110111111111111111111111111111111110110111111111111111111* L011778 000000000000000000000000000000000000000000000000000000000000000000* -L011844 111110111111111111111111111111111111111111111111011011111111111111* -L011910 111111111111111111111111111111101111111111111111010111111111111111* +L011844 111111111111111011101111010111111111111111011111111111111111111111* +L011910 111110111111111011111111010111111111111111011111111111111111111111* L011976 000000000000000000000000000000000000000000000000000000000000000000* L012042 000000000000000000000000000000000000000000000000000000000000000000* -L012108 111111011111111111111111111111111111111111111111111111111111111111* -L012174 111111111111111111111111111111111111111111111111111111111111111111* -L012240 111111111111111111111111111111111111111111111111111111111111111111* -L012306 111111111111111111111111111111111111111111111111111111111111111111* -L012372 111111111111111111111111111111111111111111111111111111111111111111* +L012108 111111111111110111111111111011111111111111011111110111111111111111* +L012174 111111111111111111110111111011111111111111011111111111111111111111* +L012240 111111111111110111110111111111111111111111011111111111111111111111* +L012306 000000000000000000000000000000000000000000000000000000000000000000* +L012372 000000000000000000000000000000000000000000000000000000000000000000* L012438 - 111111111111111111111111111111111111101111111111111111111111111111* -L012504 111111111111111111111111111111111111111111101111011111111111111111* + 111111111111111111111111111111111111111111111011111111111111111111* +L012504 111111111111111111111111111111111111111111011111111111111111111011* L012570 111111111111111111111111111111111111111111111111111111111111111111* L012636 111111111111111111111111111111111111111111111111111111111111111111* L012702 111111111111111111111111111111111111111111111111111111111111111111* @@ -316,40 +317,40 @@ L013384 10110110011100* L013398 11101011111110* L013412 00000011110000* L013426 11100110010011* -L013440 11110110010111* -L013454 11101011110011* +L013440 00110110010111* +L013454 11001011110011* L013468 00001111110000* -L013482 00000110010010* +L013482 10100110010010* L013496 01010110010011* L013510 11100011111111* NOTE BLOCK 2 * L013524 - 111111111111111111110101111111111111011111111111111111111111111111 - 111111011101111111111111101111111111111111111111111101111111111111 - 111111111111111111011111111111111111111111111111111111111111111111 + 111111111011110111111101111111111111111111111111111111111111111111 + 111111011101111111111111111110111111111111111111111111111111111111 + 111111111111111111011111111111101111111111111110111111111111111111 111111111111111111111111111111111111111111110111111111111111111110 - 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111101111111111111111111111111011111110111111111111111 - 111101111111110111111111111101011111111111111111111111111111011111 - 111111110111111111111111111011111111111110111111111111111111111111 - 100111111111111111111111111111110110111111111111111111111011111111* + 111111111111111111111111111111111111111111111111111011111111111111 + 111101111111101111111111111111111111111111111111111111111111111111 + 111111111111111111111111011111111111111111101111011111111111111111 + 111111111111111101111111111011110111111010111111111111111111111111 + 101111111111111111110111111111111111111111111111111110111111111111* L014118 - 111111111110111111111111111111111111111110111111111111111111011111* + 111111111110111111111111111111111111111110111111111111111111111101* L014184 000000000000000000000000000000000000000000000000000000000000000000* L014250 000000000000000000000000000000000000000000000000000000000000000000* L014316 000000000000000000000000000000000000000000000000000000000000000000* L014382 000000000000000000000000000000000000000000000000000000000000000000* L014448 000000000000000000000000000000000000000000000000000000000000000000* -L014514 111111111111111111111111111111101111111110111111111111111111111111* +L014514 111111111111111111111111111111111111111110111110111111111111111111* L014580 000000000000000000000000000000000000000000000000000000000000000000* L014646 000000000000000000000000000000000000000000000000000000000000000000* L014712 000000000000000000000000000000000000000000000000000000000000000000* L014778 000000000000000000000000000000000000000000000000000000000000000000* L014844 - 111111111110111111111111111111111111111110111111111111111111011111* -L014910 011111111111111111111111110111111111111111110111111111111111111111* -L014976 011111111111111111111011111111111111111111110111111111111111111111* -L015042 011111110111111111111011110111111111111111111111111111111111111111* + 111111111110111111111111111111111111111110111111111111111111111101* +L014910 101111111111111111111111111111111111111111111111111111111111111111* +L014976 111111111111111111111111111111111111111111110111111011111111111111* +L015042 111111111111101111111111111101101111111111111111110111111111111111* L015108 000000000000000000000000000000000000000000000000000000000000000000* L015174 000000000000000000000000000000000000000000000000000000000000000000* L015240 111111111111111111111111111111111111111111111111111111111111111111* @@ -358,7 +359,7 @@ L015372 111111111111111111111111111111111111111111111111111111111111111111* L015438 111111111111111111111111111111111111111111111111111111111111111111* L015504 111111111111111111111111111111111111111111111111111111111111111111* L015570 - 111111111110111111111111111111111111111110111111111111111111011111* + 111111111110111111111111111111111111111110111111111111111111111101* L015636 000000000000000000000000000000000000000000000000000000000000000000* L015702 111111111111111111111111111111111111111111111111111111111111111111* L015768 111111111111111111111111111111111111111111111111111111111111111111* @@ -370,36 +371,36 @@ L016098 111111111111111111111111111111111111111111111111111111111111111111* L016164 111111111111111111111111111111111111111111111111111111111111111111* L016230 111111111111111111111111111111111111111111111111111111111111111111* L016296 - 111111111110111111111111111111111111111110111111111111111111011111* -L016362 011011111111111110111011100111111011111111011111111101111111111110* -L016428 011111111111111101111011110111111111101111111111111101111111111111* -L016494 011111111111111111011111110111111111111111111111111111111111111111* -L016560 011111111111111111011011111111111111111111111111111111111111111111* -L016626 011111111111111111111011110111111110111111111111111101111111111111* + 111111111110111111111111111111111111111110111111111111111111111101* +L016362 011111111111111111111111011011111111111111111111110111111111111111* +L016428 011111111111111111101111111111111111111011111111111111111111111111* +L016494 000000000000000000000000000000000000000000000000000000000000000000* +L016560 000000000000000000000000000000000000000000000000000000000000000000* +L016626 000000000000000000000000000000000000000000000000000000000000000000* L016692 111111111111111111111111111111111111111111111111111111111111111111* L016758 111111111111111111111111111111111111111111111111111111111111111111* L016824 111111111111111111111111111111111111111111111111111111111111111111* L016890 111111111111111111111111111111111111111111111111111111111111111111* L016956 111111111111111111111111111111111111111111111111111111111111111111* L017022 - 111111111110111111111111111111111111111110111111111111111111011111* + 111111111110111111111111111111111111111110111111111111111111111101* L017088 000000000000000000000000000000000000000000000000000000000000000000* L017154 111111111111111111111111111111111111111111111111111111111111111111* L017220 111111111111111111111111111111111111111111111111111111111111111111* L017286 111111111111111111111111111111111111111111111111111111111111111111* L017352 111111111111111111111111111111111111111111111111111111111111111111* -L017418 011111011111111111111111111011111111111111111111111111111111111111* -L017484 011111011111111111110111111111111111111111111111111111111111111111* -L017550 011111101111111111111011110111111111111111111111111111111111111111* -L017616 011101011111111111111111111111111111111111111111110111111111111111* -L017682 000000000000000000000000000000000000000000000000000000000000000000* +L017418 101111111111111111111111111111111111111111111111111111111111111111* +L017484 111111111111111111111111111111111111111101111111111111111111111111* +L017550 111101111111111111111111111111110111111111111111111111111111111111* +L017616 111110111111111111111111111111111011111111111111111111111111111111* +L017682 111111111111111111111111111111111111111111111111111101111111111111* L017748 111111111111111111111111111111111111111111111111111111111111111111* -L017814 101111111111111111111111111111111111111111111111111101111111111111* -L017880 111011111111111110111011100111111011111111011111111101111111111110* -L017946 111111111111111101111011110111111111101111111111111101111111111111* -L018012 011111111111111111110111111011111111111111110111111110111111111111* -L018078 111111111111111111111011110111111110111111111111111101111111111111* +L017814 011111111111111111111011111111111111111111111111111111111111111111* +L017880 111111111111111101111111111111111111111111111111011111111111111111* +L017946 111111011011111111111111111111111111111111101111111111111111111111* +L018012 111111110111110111111101111111111111111111101111111111111111111111* +L018078 111111011111111011111111111111111111111111101111111111111111111111* L018144 111111111111111111111111111111111111111111111111111111111111111111* L018210 111111111111111111111111111111111111111111111111111111111111111111* L018276 111111111111111111111111111111111111111111111111111111111111111111* @@ -408,27 +409,27 @@ L018408 111111111111111111111111111111111111111111111111111111111111111111* L018474 000000000000000000000000000000000000000000000000000000000000000000* L018540 000000000000000000000000000000000000000000000000000000000000000000* -L018606 111111111111111111111111111111111111111111111111111111111111111111* -L018672 111111111111111111111111111111111111111111111111111111111111111111* -L018738 111111111111111111111111111111111111111111111111111111111111111111* -L018804 111111111111111111111111111111111111111111111111111111111111111111* -L018870 011111111111111111111101111111111111111111111111111111110111111111* -L018936 011111111111111011111101111111111111111111111111111111111111111111* -L019002 011111111111111111010111111011111111111111111111111111111111111111* -L019068 011111111111111111011101111111111111111111111111111111111111111111* +L018606 101111111111111111111111111111111111111111111111111111111111111111* +L018672 111111111111111111111111111111111111111101111111111111111111111111* +L018738 111111111011111111111101111111111111111111111111111111111111111111* +L018804 111101111111111111111111111111110111111111111111111111111111111111* +L018870 111110111111111111111111111111111011111111111111111111111111111111* +L018936 111111111111111111111111111111111111111111111111111101111111111111* +L019002 111111111111111101111111111111111111111111111111011111111111111111* +L019068 000000000000000000000000000000000000000000000000000000000000000000* L019134 000000000000000000000000000000000000000000000000000000000000000000* L019200 000000000000000000000000000000000000000000000000000000000000000000* -L019266 011111111111111111111111111110111111111111111111111111111111111111* -L019332 111111111111111111111111111111111111111111111111111111111111111111* -L019398 111111111111111111111111111111111111111111111111111111111111111111* -L019464 111111111111111111111111111111111111111111111111111111111111111111* -L019530 111111111111111111111111111111111111111111111111111111111111111111* -L019596 111111111111111111111111111111111111111111111111111111111111111111* -L019662 111111111111111111111111111111111111111111111111111111111111111111* -L019728 111111111111111111111111111111111111111111111111111111111111111111* -L019794 111111111111111111111111111111111111111111111111111111111111111111* -L019860 111111111111111111111111111111111111111111111111111111111111111111* +L019266 011110111011111110111110111111110111111110111111111110111111111111* +L019332 011101111011111110111110111111111011111110111111111110111111111111* +L019398 011110111111110110111111111111110111111110111111111110111111111111* +L019464 011101111111110110111111111111111011111110111111111110111111111111* +L019530 011110111011111111111110111111110111111110111111101110111111111111* +L019596 011101111011111111111110111111111011111110111111101110111111111111* +L019662 011110111111110111111111111111110111111110111111101110111111111111* +L019728 011101111111110111111111111111111011111110111111101110111111111111* +L019794 000000000000000000000000000000000000000000000000000000000000000000* +L019860 000000000000000000000000000000000000000000000000000000000000000000* L019926 000000000000000000000000000000000000000000000000000000000000000000 000000000000000000000000000000000000000000000000000000000000000000* @@ -439,43 +440,43 @@ L020090 10100110011100* L020104 11101111110010* L020118 00111011110000* L020132 00000011110011* -L020146 10100110010110* +L020146 11100110010110* L020160 11100011110010* L020174 00111111110001* L020188 10100110010011* -L020202 10100111011110* +L020202 01110110011110* L020216 11100011111111* -L020230 00111011111001* +L020230 00011011111001* L020244 10100110010011* -L020258 01010110010000* -L020272 11101111111111* +L020258 10100110010000* +L020272 11111111111111* NOTE BLOCK 3 * L020286 - 111011111111111111111111111111111111111111111111111111110111111111 - 111111110101111111111111011111111111111111111111111111101111111111 + 111111011111111111111111111111111111111111111111111111111111111111 + 111111110101111101111111111111111111111111111111111111111111111111 111111111111111111111101111111111111111111111111111111111111111111 - 101111111111111111111011111111111111111111111111111111111111111110 - 111111111111111111111111111111111111111111111111111111111111111011 - 111111111111111101111111111111111111111111111111111111111101111111 - 111111111111111111111111111111111111111111111111110111111111011111 - 111111111111111011111111111011110111111110111111111111111111111111 - 111101011111111111111111111110111111011111100111111111111111111111* + 111111111111111111111111111110111111111111110110111111111111111110 + 111111111111111111111011111111111101111111111111111111111111111111 + 110111111111111011011111111111111111111101111111111111111111111111 + 111111111111111111111111011111111111111111111111111111111111111111 + 101111111111111111111111111011110111111111111111111111111111111111 + 111101111111111111111111111111111111011111101111111110110111111111* L020880 111111111111111111111111111111111111111111111111111111111111111111* L020946 111111111111111111111111111111111111101111101111111111111111111111* -L021012 111111101111111111111111111011111111101111111111111111100110111110* -L021078 111111101111111110111111110111111111011111011111111111011010111101* +L021012 111011111111111111101111011011111110101111111111111111111011111111* +L021078 110111111111111110101111100111111101011111011111111111111011111111* L021144 000000000000000000000000000000000000000000000000000000000000000000* L021210 000000000000000000000000000000000000000000000000000000000000000000* -L021276 111111111001110111111111111111111111111111011111111111110111111111* +L021276 111111111001110111111111011111111111111111011111111111111111111111* L021342 111110111011111111111111111111111111111111011111111111111111111111* L021408 000000000000000000000000000000000000000000000000000000000000000000* L021474 000000000000000000000000000000000000000000000000000000000000000000* L021540 000000000000000000000000000000000000000000000000000000000000000000* L021606 111111111111111111111111111111111111111111111111111111111111111111* -L021672 111111011111111111111111111111111111111111111111111111111111111111* -L021738 111111111111111111111111110111111111111111111111111111011011111101* +L021672 110111111111111111111111100111111101111111111111111111111111111111* +L021738 111111111111111111111111111111111111111111111111111111110111111111* L021804 000000000000000000000000000000000000000000000000000000000000000000* L021870 000000000000000000000000000000000000000000000000000000000000000000* L021936 000000000000000000000000000000000000000000000000000000000000000000* @@ -486,8 +487,8 @@ L022200 111111111111111111111111111111111111111111111111111111111111111111* L022266 111111111111111111111111111111111111111111111111111111111111111111* L022332 111111111111111111111111111111111111111111111111111111111111111111* -L022398 111111111111111111110111111111111111111101111111111111111111111111* -L022464 111111111111111111111111111111111111111110110111111111111111111111* +L022398 011111111111111111111111111101111111111111111111111111111111111111* +L022464 101111111111111111111111111111111111111111111101111111111111111111* L022530 000000000000000000000000000000000000000000000000000000000000000000* L022596 000000000000000000000000000000000000000000000000000000000000000000* L022662 000000000000000000000000000000000000000000000000000000000000000000* @@ -497,11 +498,11 @@ L022860 111111111111111111111111111111111111111111111111111111111111111111* L022926 111111111111111111111111111111111111111111111111111111111111111111* L022992 111111111111111111111111111111111111111111111111111111111111111111* L023058 - 111111111111111111111111111111111111111101111111111111111111011111* -L023124 111111111111111111111111111011111111111111011111111111110111110111* -L023190 111111111111111111111111111011110111111111011111111111111111111111* -L023256 111111111111111111111111111111110111111111011111111111110111111111* -L023322 000000000000000000000000000000000000000000000000000000000000000000* + 011111111111111111111111111111111111111111111111111111111111111101* +L023124 101111111111111111111111111111110111111110011111111110111111111111* +L023190 101111111111111111111111110111110111111111011111111110111111111111* +L023256 101111111111111111111111011011111011111101011111111110111111111111* +L023322 101111111111111111111111101111110111111111011111111110111111111111* L023388 000000000000000000000000000000000000000000000000000000000000000000* L023454 111111111111111111111111111111111111111111111111111111111111111111* L023520 111111111111111111111111111111111111111111111111111111111111111111* @@ -509,24 +510,24 @@ L023586 111111111111111111111111111111111111111111111111111111111111111111* L023652 111111111111111111111111111111111111111111111111111111111111111111* L023718 111111111111111111111111111111111111111111111111111111111111111111* L023784 - 111111111111111111111111111111111111111101111111111111111111011111* -L023850 110111111111111111111111101111111111111111111111111111111111111111* + 011111111111111111111111111111111111111111111111111111111111111101* +L023850 111111111111111111111001111111111111111111111111111111111111111111* L023916 111111111111111111111111111111111111111111111111111111111111111111* L023982 111111111111111111111111111111111111111111111111111111111111111111* L024048 111111111111111111111111111111111111111111111111111111111111111111* L024114 111111111111111111111111111111111111111111111111111111111111111111* -L024180 111111111111111111111111111101111111111111111111111111111111111111* +L024180 111111011111111111111111111111111111111111111111111111111111111111* L024246 111111111111111111111111111111111111111111111111111111111111111111* L024312 111111111111111111111111111111111111111111111111111111111111111111* L024378 111111111111111111111111111111111111111111111111111111111111111111* L024444 111111111111111111111111111111111111111111111111111111111111111111* L024510 000000000000000000000000000000000000000000000000000000000000000000* -L024576 111111111111111111111111111111111111111111111111110111111111111111* -L024642 111111111111111111111111111111111111111111111111111111111111111111* -L024708 111111111111111111111111111111111111111111111111111111111111111111* -L024774 111111111111111111111111111111111111111111111111111111111111111111* -L024840 111111111111111111111111111111111111111111111111111111111111111111* +L024576 101111111111111111111111110111111111111101011111111110111111111111* +L024642 101111111111111111111111011011111111111110011111111110111111111111* +L024708 101111111111111111111111101111111111111101011111111110111111111111* +L024774 000000000000000000000000000000000000000000000000000000000000000000* +L024840 000000000000000000000000000000000000000000000000000000000000000000* L024906 111111111111111111111111111111111111111111111111111111111111111111* L024972 111111111111111111111111111111111111111111111111111111111111111111* L025038 111111111111111111111111111111111111111111111111111111111111111111* @@ -534,23 +535,23 @@ L025104 111111111111111111111111111111111111111111111111111111111111111111* L025170 111111111111111111111111111111111111111111111111111111111111111111* L025236 111111111111111111111111111111111111111111111111111111111111111111* -L025302 110111111111111111111110111111111111111111111111111111111111111111* +L025302 111111111111111111111101111111111111111111111011111111111111111111* L025368 111111111111111111111111111111111111111111111111111111111111111111* L025434 111111111111111111111111111111111111111111111111111111111111111111* L025500 111111111111111111111111111111111111111111111111111111111111111111* L025566 111111111111111111111111111111111111111111111111111111111111111111* -L025632 111111101111111111111111111111111111111111111111111111101101111111* -L025698 111111111111111111111111111011111111111111111111111111111101111111* -L025764 111111111111111111111111111111111111111111111111111111110101111111* -L025830 111111011111111111111111110111111111111111111111111111011011111101* +L025632 110111111111111111111111111111111110111111111111111111111111111111* +L025698 110111111111111111111111111011111111111111111111111111111111111111* +L025764 110111111111111111111111011111111111111111111111111111111111111111* +L025830 111011111111111111101111100111111101111111111111111111111111111111* L025896 000000000000000000000000000000000000000000000000000000000000000000* L025962 000000000000000000000000000000000000000000000000000000000000000000* -L026028 101111111111111111111111111111111111111111011111111111111111111111* -L026094 111111111111111111111111111111111111111111111111111111111111111111* -L026160 111111111111111111111111111111111111111111111111111111111111111111* -L026226 111111111111111111111111111111111111111111111111111111111111111111* -L026292 111111111111111111111111111111111111111111111111111111111111111111* +L026028 111111111111111111111111111011111101111111111111111111111111111111* +L026094 111111111111111111111111011111111101111111111111111111111111111111* +L026160 111111111111111111111111100111111110111111111111111111111111111111* +L026226 000000000000000000000000000000000000000000000000000000000000000000* +L026292 000000000000000000000000000000000000000000000000000000000000000000* L026358 111111111111111111111111111111111111111111111111111111111111111111* L026424 111111111111111111111111111111111111111111111111111111111111111111* L026490 111111111111111111111111111111111111111111111111111111111111111111* @@ -570,37 +571,37 @@ L026908 10100110010100* L026922 11101011110011* L026936 01110011110010* L026950 00000110010010* -L026964 00010110010001* +L026964 10100110010001* L026978 11101011110011* L026992 01111111111010* L027006 10100110011110* -L027020 01010110010001* +L027020 10100110010001* L027034 11100011110011* NOTE BLOCK 4 * L027048 111111111111111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111011111110111111111110111111111111111 - 111111111111111111111111110111111111111101110111101111111111111111 - 111011111111111111011111111111111111111111011111111111101111111111 - 111111111111111111111011111101111111111111111111111111111111111111 - 111111110111111111111111011111111111111111111111111111111111111111 - 111110111111011111111111111111111101111111111111111111111011011111 - 101111011101111011111111111111111111111111111111111110111111111110 - 111111111111111101111110111111111011101111111111111111111111111111* + 111111111111111111111111111111011111111111111111110111110111111111 + 111111011101111111111111111111111111011111011111101111111111111111 + 111011111111111111011101111011111111111111111011111111111111111111 + 111111111111111111111111111111111111111111111111111111011111111111 + 111111110111111011111111011111111111111111111111111111111111111111 + 111110111111101111111111111111111101111111111111111101111111111111 + 101111111111111111111111111110111111111011111111111111111101110111 + 111111111111111101111111111111111011111110111111111111111111111110* L027642 - 110111110111101101111111101111111110111111111111011111011111111110* + 110111110111111101111111100111111110111011111111011110111111111111* L027708 000000000000000000000000000000000000000000000000000000000000000000* L027774 000000000000000000000000000000000000000000000000000000000000000000* L027840 000000000000000000000000000000000000000000000000000000000000000000* L027906 000000000000000000000000000000000000000000000000000000000000000000* L027972 000000000000000000000000000000000000000000000000000000000000000000* -L028038 101111111111111111111111111111101011111111111111111111110111111111* -L028104 011111111111111111111111111111111111111111111111111111111011111111* +L028038 101111111111011111111111111111101011111111111111111111111111111111* +L028104 011111111111101111111111111111111111111111111111111111111111111111* L028170 000000000000000000000000000000000000000000000000000000000000000000* L028236 000000000000000000000000000000000000000000000000000000000000000000* L028302 000000000000000000000000000000000000000000000000000000000000000000* L028368 - 011111111111111111111111111111111111111111111111111111111111011111* + 011111111111111111111111111111111111111111110111111111111111111111* L028434 111111111111111111111111111111111111111111111111111111111111111111* L028500 111111111111111111111111111111111111111111111111111111111111111111* L028566 111111111111111111111111111111111111111111111111111111111111111111* @@ -613,13 +614,13 @@ L028962 111111111111111111111111111111111111111111111111111111111111111111* L029028 111111111111111111111111111111111111111111111111111111111111111111* L029094 000000000000000000000000000000000000000000000000000000000000000000* -L029160 111111111111111111111011111111111111111111111111111111111111111110* +L029160 111111111111111111111111111111111111101011111111111111111111111111* L029226 111111111111111111111111111111111111111111111111111111111111111111* L029292 111111111111111111111111111111111111111111111111111111111111111111* L029358 111111111111111111111111111111111111111111111111111111111111111111* L029424 111111111111111111111111111111111111111111111111111111111111111111* L029490 111111111111111111111111111111011111111111111111111111111111111111* -L029556 111111011101111011101101111010111111011010101011111011111111111111* +L029556 111111101110111011101110111111111111111101101111111011101001110101* L029622 000000000000000000000000000000000000000000000000000000000000000000* L029688 000000000000000000000000000000000000000000000000000000000000000000* L029754 000000000000000000000000000000000000000000000000000000000000000000* @@ -660,8 +661,8 @@ L031800 111111111111111111111111111111111111111111111111111111111111111111* L031866 111111111111111111111111111111111111111111111111111111111111111111* L031932 111111111111111111111111111111111111111111111111111111111111111111* L031998 - 111111111111111111111111111111111111111111111111111101111111111111* -L032064 111111011101111011101101111010111111011010101011111011111111111111* + 111111111111111111111111111101111111111111111111111111111111111111* +L032064 111111101110111011101110111111111111111101101111111011101001110101* L032130 111111111111111111111111111111111111111111111111111111111111111111* L032196 111111111111111111111111111111111111111111111111111111111111111111* L032262 111111111111111111111111111111111111111111111111111111111111111111* @@ -705,24 +706,24 @@ L033782 11110111111100* L033796 11111111111111* NOTE BLOCK 5 * L033810 - 111011111111111111111111111111111111111111011111111111111111111111 - 111111111101111110111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111111110111111101111111111111111 - 111111111111111111111111101010111111111111110111111111111111111111 + 111011111111111111111111111111111111111111111111111111111111111111 + 111111111101111111111111111111111111111111111011111111111111111111 + 111110111111111111111111111111101110111111111111111111111111111111 + 111111111111111110111111111111111111111110111111111111111110111111 111111111111111111111011111111111111111111111111111111111111111011 - 111101111111011111011111111111111111111011111111111111111111111111 - 111111111111111111111101111111111101111111111111110111111111111111 - 111111111011111011111111111111111111111111111110111111111111111110 - 101111111111111111111111111111111111111111111111111111111111111111* + 111111111111011111111111111111111111111011111111111111110111111111 + 111111010111110111111101111111111111111111111111111111111111111111 + 101111111111111111101111111011111111011111111111111111111111111111 + 111111111111111111111111011110111111111111101111110111111111111111* L034404 000000000000000000000000000000000000000000000000000000000000000000* -L034470 011111110111111111111111111111111111111111111111111111111111110111* -L034536 011111111111111111111111111111111111111111101111111111111111110111* -L034602 011101111101111111111111111110111111111110111111111011111111111011* +L034470 111111111111111111111111110111111111111111011111111111111111110111* +L034536 111111111111111011111111111111111111111111011111111111111111110111* +L034602 111110111101111111111111111111111111111111010111111011111110111011* L034668 000000000000000000000000000000000000000000000000000000000000000000* L034734 000000000000000000000000000000000000000000000000000000000000000000* -L034800 011111111011111111111111111111111111111111011111111111111111110111* -L034866 011111111111111111111011111111111111111111111111111111111111111110* +L034800 111111111111111111111011111111111111111111011111111111111111111011* +L034866 111111111111111111111111111111101111111111011111111111111111110111* L034932 000000000000000000000000000000000000000000000000000000000000000000* L034998 000000000000000000000000000000000000000000000000000000000000000000* L035064 000000000000000000000000000000000000000000000000000000000000000000* @@ -740,13 +741,13 @@ L035724 111111111111111111111111111111111111111111111111111111111111111111* L035790 111111111111111111111111111111111111111111111111111111111111111111* L035856 000000000000000000000000000000000000000000000000000000000000000000* -L035922 011111111111111111111111111110111111111111111111111111111111111110* -L035988 011111111101111011111111101111111111110110111101111111111111111110* -L036054 011111111101011011111111111111111111110110111101111111111111111110* -L036120 011111111101111011111111111111111101110110111101111111111111111110* -L036186 011111111101111011111111111011111111110110111101111111111111111110* -L036252 011111111101111011111101111111111111110110111101111111111111111110* -L036318 011111111101111011111111111111111111110110111101101111111111111110* +L035922 111111111111111111101111111111111111111111011111111111111110111111* +L035988 011110111101111111101111011111111111111010011111111111111111111111* +L036054 011110111101011111101111011111111111111011011111111111111111111111* +L036120 011110110101111111101111011111111111111011011111111111111111111111* +L036186 011110111101111110101111011111111111111011011111111111111111111111* +L036252 011110111101111111101101011111111111111011011111111111111111111111* +L036318 011110111101111111101111011111111110111011011111111111111111111111* L036384 000000000000000000000000000000000000000000000000000000000000000000* L036450 000000000000000000000000000000000000000000000000000000000000000000* L036516 000000000000000000000000000000000000000000000000000000000000000000* @@ -764,10 +765,10 @@ L037176 111111111111111111111111111111111111111111111111111111111111111111* L037242 111111111111111111111111111111111111111111111111111111111111111111* L037308 000000000000000000000000000000000000000000000000000000000000000000* -L037374 011101111001111111011111111110111111111110011111111011111111111111* -L037440 101111111111111111111111111111111111111101111111111111111111111111* -L037506 011111111011111111011111111111111111111101011111111111111111111111* -L037572 011101111101111111111111111110111111111110111111111011111111111111* +L037374 111110011101110111111111111011111111111111010111111011111110111111* +L037440 111101111111111111111111111111111111111111101111111111111111111111* +L037506 111101011111110111111111111011111111111111011111111111111111111111* +L037572 111110111101111111111111111111111111111111010111111011111110111111* L037638 000000000000000000000000000000000000000000000000000000000000000000* L037704 111111111111111111111111111111111111111111111111111111111111111111* L037770 111111111111111111111111111111111111111111111111111111111111111111* @@ -788,9 +789,9 @@ L038628 111111111111111111111111111111111111111111111111111111111111111111* L038694 111111111111111111111111111111111111111111111111111111111111111111* L038760 000000000000000000000000000000000000000000000000000000000000000000* -L038826 011111111011111111111111111111111111111111010111111111111111111111* -L038892 010111111111111111111111111111111111111111111111111111111111111110* -L038958 011111111011111101111111111111111111111111011111111111111111110111* +L038826 111111111111111111111111111110111111011111011111111111110111111111* +L038892 111011111111111111101111111111111111111111011111111111111111111111* +L038958 000000000000000000000000000000000000000000000000000000000000000000* L039024 000000000000000000000000000000000000000000000000000000000000000000* L039090 000000000000000000000000000000000000000000000000000000000000000000* L039156 111111111111111111111111111111111111111111111111111111111111111111* @@ -826,38 +827,38 @@ L040460 00100111011110* L040474 11001011110010* L040488 11111111111111* L040502 11110011111111* -L040516 10100110011110* +L040516 11100110011110* L040530 11001011111111* L040544 11110111111110* L040558 11111111111110* NOTE BLOCK 6 * L040572 - 111111111011111011111111101111111111111111011111111111111111111111 - 111111111101111111111111111111111111111111111111111111101011111111 - 111111111111111111111011111111111111111111111010111111111111111111 + 111111111111111011101111111111111111111111111111111111111111111111 + 111101111101111111111111101111111111111111111111111111111111111111 + 111111111011111111111011111111111111111111111110111111111111111111 111111111111111111111111111111111111111111111111111111111111111010 - 111111111111111111111111111111111110111111111111111111111111111111 - 110111111111111111111111111111111111111011111111111111111111111111 - 111111111111101111111111111111111011011111111111011111111111111111 + 111111111111111111111110111111111110111111111111111111111111111111 + 110111111111111111111111111111011111111111111111111111111111111111 + 111111111111101111111111111111111011111111111111010111111111111111 111111111111111101111111111011111111111110111111111111111111111111 - 101110011111111111011111111111111111111111111111111111111111111111* + 101111011111111111111111111111111111111111010111111111111111111111* L041166 111111111111111111111111111111111111111111111111111111111111111111* -L041232 011111111111111111111111111111111110110101111111111111111111111111* +L041232 011111111111111111111111111111111110111101011111111111111111111111* L041298 011111111111101111111111111111111111111110111111111111111111111111* L041364 000000000000000000000000000000000000000000000000000000000000000000* L041430 000000000000000000000000000000000000000000000000000000000000000000* L041496 000000000000000000000000000000000000000000000000000000000000000000* L041562 111111111111111111111111111111110111111111111111111111111111111111* -L041628 101111111111111111111111111111111111111111111111111111111111111111* -L041694 111111111111111111111111111111111111111101111111111111111111111111* -L041760 111111111011111111111111111111111111111111111111111111111111110111* -L041826 111111111111111111011111111111111111111111111111111111110111111111* +L041628 000000000000000000000000000000000000000000000000000000000000000000* +L041694 000000000000000000000000000000000000000000000000000000000000000000* +L041760 000000000000000000000000000000000000000000000000000000000000000000* +L041826 000000000000000000000000000000000000000000000000000000000000000000* L041892 111111111111111111111111111111111111111111111111111111111111111111* -L041958 111111111111111111101111111111111111111111111111111111111011111111* -L042024 111101111111111111111111111111111111111111111111111111111111111111* -L042090 111111111111111101111111111111111111111111111111011111111111111111* +L041958 011111111111111111111110111111111111111110111111111111111111111111* +L042024 011111111111111111111111111111111111111101011111111111111111111011* +L042090 000000000000000000000000000000000000000000000000000000000000000000* L042156 000000000000000000000000000000000000000000000000000000000000000000* L042222 000000000000000000000000000000000000000000000000000000000000000000* L042288 111111111111111111111111111111111111111111111111111111111111111111* @@ -867,71 +868,71 @@ L042486 111111111111111111111111111111111111111111111111111111111111111111* L042552 111111111111111111111111111111111111111111111111111111111111111111* L042618 000000000000000000000000000000000000000000000000000000000000000000* -L042684 111011011111111111111111111111111111111111111111111111111111111101* -L042750 110111101111111111111111111111111111111111111111111111111111111110* +L042684 110111011111111111111111111111101111111111111111111111111111111111* +L042750 111011101111111111111111111111011111111111111111111111111111111111* L042816 000000000000000000000000000000000000000000000000000000000000000000* L042882 000000000000000000000000000000000000000000000000000000000000000000* L042948 000000000000000000000000000000000000000000000000000000000000000000* -L043014 111111111111111111111111111111111111111111111111111111101111111101* -L043080 111111111111111111111111111011111111111111111111111111111111111101* -L043146 111111111111111111111111111111111111111111011111111111111111111101* -L043212 111011111111111111111111110111111111111111101111111111011111111110* +L043014 011111111111111111111111111111111111111111111111111111111111111101* +L043080 011101111111111111011111010111111111111111111111111011111111111111* +L043146 000000000000000000000000000000000000000000000000000000000000000000* +L043212 000000000000000000000000000000000000000000000000000000000000000000* L043278 000000000000000000000000000000000000000000000000000000000000000000* L043344 000000000000000000000000000000000000000000000000000000000000000000* -L043410 011110111011111110101111111111111111111110111111111111110111111011* -L043476 011110111011111110011111111111111111111110111111111111111011111011* -L043542 011110111111111110101111111111111111111110111101111111110111111111* -L043608 011110111111111110011111111111111111111110111101111111111011111111* -L043674 011110111011111111101111111111111111111110111111101111110111111011* -L043740 011110111011111111011111111111111111111110111111101111111011111011* -L043806 011110111111111111101111111111111111111110111101101111110111111111* -L043872 011110111111111111011111111111111111111110111101101111111011111111* -L043938 000000000000000000000000000000000000000000000000000000000000000000* -L044004 000000000000000000000000000000000000000000000000000000000000000000* +L043410 011111111111111111111101111111111111111110111111111111111111111111* +L043476 011111111111111111111111111111111111111101011110111111111111111111* +L043542 000000000000000000000000000000000000000000000000000000000000000000* +L043608 000000000000000000000000000000000000000000000000000000000000000000* +L043674 000000000000000000000000000000000000000000000000000000000000000000* +L043740 111111111111111111111111111111111111111111111111111111111111111111* +L043806 111111111111111111111111111111111111111111111111111111111111111111* +L043872 111111111111111111111111111111111111111111111111111111111111111111* +L043938 111111111111111111111111111111111111111111111111111111111111111111* +L044004 111111111111111111111111111111111111111111111111111111111111111111* L044070 - 111111111110111111111111111111111111011110111111111111111111111111* + 111111111110111111111111111111111111111110111111111111111111111101* L044136 101111111111111111111111111111111111111111111111111111111111111111* -L044202 111111111111111111110111111111111111110101111111111111111111111111* +L044202 111111111111111111110111111111111111111101011111111111111111111111* L044268 111111111111111101111111111111111111111110111111111111111111111111* L044334 000000000000000000000000000000000000000000000000000000000000000000* L044400 000000000000000000000000000000000000000000000000000000000000000000* -L044466 111111111111111111111111111011111111111111111111111111011111111111* -L044532 111111111111111111111111111111111111111111011111111111011111111111* -L044598 111111111111111111111111110111111111111111101111111111101111111111* -L044664 000000000000000000000000000000000000000000000000000000000000000000* +L044466 011111111111111111111111011011111111111111111111111111111111111111* +L044532 011111111111111111111111011111111111111111111111110111111111111111* +L044598 011111111111111111111111100111111111111111111111111011111111111111* +L044664 011101111111111111011111011111111111111111111111111111111111111111* L044730 000000000000000000000000000000000000000000000000000000000000000000* L044796 111111111110111111111111111111111111111110111111111111111111111111* -L044862 011110111111111111101111111111111111111110111111111111110111111111* -L044928 011110111111111111111111110111111111111110111111111111110111111111* -L044994 011110111111111111011111111011111111111110011111111111111011111111* -L045060 011110111111111111111111111111111111111110101111111111110111111111* -L045126 000000000000000000000000000000000000000000000000000000000000000000* +L044862 111111111111111111111111111111111111111111110111111111111111111111* +L044928 111111111111111111111111111111111111111111111111111111111111111111* +L044994 111111111111111111111111111111111111111111111111111111111111111111* +L045060 111111111111111111111111111111111111111111111111111111111111111111* +L045126 111111111111111111111111111111111111111111111111111111111111111111* L045192 111111111111111111111111111111111111111111111111111111111111111111* L045258 111111111111111111111111111111111111111111111111111111111111111111* L045324 111111111111111111111111111111111111111111111111111111111111111111* L045390 111111111111111111111111111111111111111111111111111111111111111111* L045456 111111111111111111111111111111111111111111111111111111111111111111* L045522 - 111111111111111111111111111111111111011110111111111111111111111111* -L045588 011111111111111111111111111111111111111001111111111111111111111111* + 111111111111111111111111111111111111111110111111111111111111111101* +L045588 011111111111111111111111111111111111111101101111111111111111111111* L045654 011111111111111011111111111111111111111101111111111111111111111111* L045720 011111111111111110111111111111111111111110111111101111111111111111* L045786 000000000000000000000000000000000000000000000000000000000000000000* L045852 000000000000000000000000000000000000000000000000000000000000000000* -L045918 101111111111111111111111111111111111111111111111111111111111111111* -L045984 111111111111111111111111111111111111111101111111111111111111111111* -L046050 111111111111111111011111111111111111111111111111111111110111111111* -L046116 111111111111111111101111111111111111111111111111111111111011111111* -L046182 111101111111111111111111111111111111111111111111111111111111111111* +L045918 011101111111111111111111010111111111111111111111111011111111111111* +L045984 011111111111111111011111111111111111111111111111111111111111111111* +L046050 000000000000000000000000000000000000000000000000000000000000000000* +L046116 000000000000000000000000000000000000000000000000000000000000000000* +L046182 000000000000000000000000000000000000000000000000000000000000000000* L046248 000000000000000000000000000000000000000000000000000000000000000000* -L046314 011111111111111111111111111111111111111111111011111111111111111111* -L046380 111111111111111101111111111111111111111111111111011111111111111111* -L046446 111111111011101111111111011111111111111111111111111111111111111111* -L046512 111111110111101111111111111111111111111111111101111111111111110111* -L046578 111111111111101111111111011111111111111111111110111111111111111111* +L046314 011111111011111111111111111111111111111111111111111111111111111111* +L046380 111111111111111111111111111111111111111111111111111111111111111111* +L046446 111111111111111111111111111111111111111111111111111111111111111111* +L046512 111111111111111111111111111111111111111111111111111111111111111111* +L046578 111111111111111111111111111111111111111111111111111111111111111111* L046644 111111111111111111111111111111111111111111111111111111111111111111* L046710 111111111111111111111111111111111111111111111111111111111111111111* L046776 111111111111111111111111111111111111111111111111111111111111111111* @@ -942,40 +943,40 @@ L046974 000000000000000000000000000000000000000000000000000000000000000000* L047106 0010* L047110 11100110011000* -L047124 00010110011110* -L047138 10100110010100* +L047124 00100110011110* +L047138 11100110010100* L047152 11100011111111* L047166 10101111111001* L047180 10100110010011* -L047194 10100110010000* -L047208 11110011110011* +L047194 11100110010000* +L047208 11100011110011* L047222 10100110010000* L047236 10100110010010* -L047250 10100110010100* +L047250 00010110010100* L047264 11101111110011* L047278 11100110010011* L047292 10100110010011* -L047306 01110110010000* +L047306 01010110010000* L047320 11100011111111* NOTE BLOCK 7 * L047334 - 111111111111111111110111111111111111111111111111111111111111111111 - 111111111101111110111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111110111110111111111111111111111111 - 111011111111111111111111111011111111111111111111111111111111111011 + 111111111111111111111111111111111111111111111111111111111111011011 + 111111111101111111111110111111111111111111111111111111111111111111 + 111110111111111111111111111111111110111111111111111111111111111111 + 111011111111111111111111111011111111111111111011111111111111111111 111111111111111111111111111111111111111111111111111011111111111111 - 111111111111011011111111111111011111011111111111111111111110111111 - 111111010111111111111101111111111111111111111111011111111111111111 - 101101111111111111101111011111111111111111111111111111101111111111 - 111111111111111111111111111111111011110111101110111111111111111111* + 111111111111111111111111011111101111011111111111111111111111111111 + 111111010111010111111111111101111111111111111111011111111111111111 + 111111111111111101101111111111111111111110111111111111101111111111 + 101111111111111111111111111111111011110111011110111111111111111111* L047928 000000000000000000000000000000000000000000000000000000000000000000* -L047994 111111111111111111111111111111111111111101011110111111011111111111* -L048060 111111111111111111111011111111111111111101011110111111111111111111* -L048126 111111111111111111111111111111101111111101011110111011111111111111* -L048192 111111111111111110110111111111101111111101011111110111101111111111* +L047994 011101111111111111111111111111111111111111111110111111011111111111* +L048060 011101111111111011111111111111111111111111111110111111111111111111* +L048126 011101101111111111111111111111111111111111111110111011111111111111* +L048192 011101101111110111111110111111111111111111111111110111101111111111* L048258 000000000000000000000000000000000000000000000000000000000000000000* -L048324 110111111011101111101110110111111101011011111111111111111111111111* +L048324 110111111011101111101111100111111101011011111111111111111111111111* L048390 000000000000000000000000000000000000000000000000000000000000000000* L048456 000000000000000000000000000000000000000000000000000000000000000000* L048522 000000000000000000000000000000000000000000000000000000000000000000* @@ -994,19 +995,19 @@ L049248 111111111111111111111111111111111111111111111111111111111111111111* L049314 111111111111111111111111111111111111111111111111111111111111111111* L049380 111111111111111111111111111111111111111111111111111111111111111111* -L049446 111111111111111111111111111111111111111111101111111111111111111111* -L049512 011111111111111111111111111111111111011111111111111111111111111111* -L049578 111111111111111111110111111111110111011111111111111111101111111111* +L049446 101111111111111111111111111111111111111111111111111111111111111111* +L049512 111111111111111111111111111111111111011101111111111111111111111111* +L049578 111111111111110111111111111111110111011111111111111111101111111111* L049644 000000000000000000000000000000000000000000000000000000000000000000* L049710 000000000000000000000000000000000000000000000000000000000000000000* -L049776 111111111111111111110111111111111111111111111111111111111111111111* +L049776 111111111111110111111111111111111111111111111111111111111111111111* L049842 111111111111111111111111111111111111111111111111111111111111111111* L049908 111111111111111111111111111111111111111111111111111111111111111111* L049974 111111111111111111111111111111111111111111111111111111111111111111* L050040 111111111111111111111111111111111111111111111111111111111111111111* L050106 - 101111011110111111111111111111111111111111111111111111111111111111* -L050172 111111111111111111101111111111111111111111011111111111111111111111* + 111111111110111111111111111111111111111110110111111111111111111111* +L050172 011111111111111111111111111110111111111111111111111111111111111111* L050238 111111111111111111111111111111111111111111111111111111111111111111* L050304 111111111111111111111111111111111111111111111111111111111111111111* L050370 111111111111111111111111111111111111111111111111111111111111111111* @@ -1018,18 +1019,18 @@ L050700 111111111111111111111111111111111111111111111111111111111111111111* L050766 111111111111111111111111111111111111111111111111111111111111111111* L050832 111111111101111111111111111111111111111111111111111111111111111111* -L050898 111111111111111111111111111111111011111111111111111111111111111011* +L050898 111111111111111111111111111111111011111111111111111111111111101111* L050964 111111111111111111111111111111111111111111111111111111111111111111* L051030 111111111111111111111111111111111111111111111111111111111111111111* L051096 111111111111111111111111111111111111111111111111111111111111111111* L051162 111111111111111111111111111111111111111111111111111111111111111111* -L051228 111110111111111111101111111111111111111111111111111111111111111111* +L051228 111111111111111111101111111111111111111111111111111111111111111011* L051294 111111111111111111111111111111111111111111111111111111111111111111* L051360 111111111111111111111111111111111111111111111111111111111111111111* L051426 111111111111111111111111111111111111111111111111111111111111111111* L051492 111111111111111111111111111111111111111111111111111111111111111111* L051558 - 011111011111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111101110111111111111111111111* L051624 111111111111111111111111111111111111111111111111111111111111111111* L051690 111111111111111111111111111111111111111111111111111111111111111111* L051756 111111111111111111111111111111111111111111111111111111111111111111* @@ -1041,13 +1042,13 @@ L052086 111111111111111111111111111111111111111111111111111111111111111111* L052152 111111111111111111111111111111111111111111111111111111111111111111* L052218 111111111111111111111111111111111111111111111111111111111111111111* L052284 - 101111111110111111111111111111111111111111111111111111111111111111* -L052350 111111111111111111111111111111111111111111101111111111111111111111* -L052416 011111111111110111111111111111111111111111111111111111111101111111* -L052482 101111111111111111111111101111111111111111111111101111111111111111* + 111111111110111111111111111111111111111110111111111111111111111111* +L052350 101111111111111111111111111111111111111111111111111111111111111111* +L052416 111111111111111111111111111111011111111101011111111111111111111111* +L052482 111111111111111110111111111111111111111110111111101111111111111111* L052548 000000000000000000000000000000000000000000000000000000000000000000* L052614 000000000000000000000000000000000000000000000000000000000000000000* -L052680 101111111111111111111111111111111111111111011111111111111111111111* +L052680 011111111111111111101111111111111111111111111111111111111111111111* L052746 111111111111111111111111111111111111111111111111111111111111111111* L052812 111111111111111111111111111111111111111111111111111111111111111111* L052878 111111111111111111111111111111111111111111111111111111111111111111* @@ -1103,6 +1104,6 @@ E1 00000000 1 * -C3764* +CC62C* U00000000000000000000000000000000* -96E3 +9AF2 diff --git a/Logic/68030_tk.lco b/Logic/68030_tk.lco index 56356b5..206387f 100644 --- a/Logic/68030_tk.lco +++ b/Logic/68030_tk.lco @@ -17,7 +17,7 @@ Parent = m4a5.lci; SDS_File = m4a5.sds; Design = 68030_tk.tt4; DATE = 10/6/16; -TIME = 21:35:00; +TIME = 22:04:16; Source_Format = Pure_VHDL; Type = TT2; Pre_Fit_Time = 1; @@ -90,32 +90,32 @@ BERR = pin,41,-,E,-; BG_030 = pin,21,-,C,-; BGACK_000 = pin,28,-,D,-; CLK_030 = pin,64,-,-,-; -CLK_000 = pin,11,-,-,-; AHIGH_30_ = pin,5,-,B,-; -CLK_OSZI = pin,61,-,-,-; +CLK_000 = pin,11,-,-,-; AHIGH_29_ = pin,6,-,B,-; -CLK_DIV_OUT = pin,65,-,G,-; +CLK_OSZI = pin,61,-,-,-; AHIGH_28_ = pin,15,-,C,-; +CLK_DIV_OUT = pin,65,-,G,-; AHIGH_27_ = pin,16,-,C,-; -FPU_CS = pin,78,-,H,-; AHIGH_26_ = pin,17,-,C,-; -FPU_SENSE = pin,91,-,A,-; +FPU_CS = pin,78,-,H,-; AHIGH_25_ = pin,18,-,C,-; -DSACK1 = pin,81,-,H,-; +FPU_SENSE = pin,91,-,A,-; AHIGH_24_ = pin,19,-,C,-; -DTACK = pin,30,-,D,-; +DSACK1 = pin,81,-,H,-; A_DECODE_22_ = pin,84,-,H,-; -AVEC = pin,92,-,A,-; +DTACK = pin,30,-,D,-; A_DECODE_21_ = pin,94,-,A,-; -E = pin,66,-,G,-; +AVEC = pin,92,-,A,-; A_DECODE_20_ = pin,93,-,A,-; -VPA = pin,36,-,-,-; +E = pin,66,-,G,-; A_DECODE_19_ = pin,97,-,A,-; +VPA = pin,36,-,-,-; A_DECODE_18_ = pin,95,-,A,-; -RST = pin,86,-,-,-; A_DECODE_17_ = pin,59,-,F,-; -RESET = pin,3,-,B,-; +RST = pin,86,-,-,-; A_DECODE_16_ = pin,96,-,A,-; +RESET = pin,3,-,B,-; AMIGA_ADDR_ENABLE = pin,33,-,D,-; AMIGA_BUS_DATA_DIR = pin,48,-,E,-; AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-; @@ -137,48 +137,49 @@ RW = pin,71,-,G,-; A_0_ = pin,69,-,G,-; IPL_030_1_ = pin,7,-,B,-; IPL_030_0_ = pin,8,-,B,-; -cpu_est_3_ = node,-,-,D,13; -cpu_est_0_ = node,-,-,G,9; -cpu_est_1_ = node,-,-,G,5; cpu_est_2_ = node,-,-,D,2; -inst_AMIGA_BUS_ENABLE_DMA_LOW = node,-,-,A,6; -inst_AS_030_D0 = node,-,-,H,6; +cpu_est_3_ = node,-,-,A,12; +cpu_est_0_ = node,-,-,D,14; +cpu_est_1_ = node,-,-,D,13; +inst_AMIGA_BUS_ENABLE_DMA_LOW = node,-,-,G,6; +inst_AS_030_D0 = node,-,-,H,13; inst_AS_030_000_SYNC = node,-,-,F,4; -inst_BGACK_030_INT_D = node,-,-,H,13; -inst_AS_000_DMA = node,-,-,G,2; -inst_DS_000_DMA = node,-,-,G,13; -CYCLE_DMA_0_ = node,-,-,A,1; -CYCLE_DMA_1_ = node,-,-,G,10; -inst_VPA_D = node,-,-,A,10; +inst_BGACK_030_INT_D = node,-,-,A,1; +inst_AS_000_DMA = node,-,-,C,13; +inst_DS_000_DMA = node,-,-,C,9; +CYCLE_DMA_0_ = node,-,-,D,10; +CYCLE_DMA_1_ = node,-,-,D,6; +inst_VPA_D = node,-,-,C,10; CLK_000_D_2_ = node,-,-,H,2; -CLK_000_D_3_ = node,-,-,D,9; -inst_DTACK_D0 = node,-,-,C,14; -inst_RESET_OUT = node,-,-,A,8; +CLK_000_D_4_ = node,-,-,A,2; +inst_DTACK_D0 = node,-,-,H,6; +inst_RESET_OUT = node,-,-,G,5; CLK_000_D_1_ = node,-,-,H,5; -CLK_000_D_0_ = node,-,-,B,13; +CLK_000_D_0_ = node,-,-,D,9; inst_CLK_OUT_PRE_50 = node,-,-,E,9; inst_CLK_OUT_PRE_D = node,-,-,E,8; -IPL_D0_0_ = node,-,-,D,14; +IPL_D0_0_ = node,-,-,A,14; IPL_D0_1_ = node,-,-,B,14; IPL_D0_2_ = node,-,-,G,14; -CLK_000_D_4_ = node,-,-,D,10; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = node,-,-,A,2; -SM_AMIGA_1_ = node,-,-,C,13; -inst_UDS_000_INT = node,-,-,B,10; -inst_DS_000_ENABLE = node,-,-,F,12; -inst_LDS_000_INT = node,-,-,B,6; +CLK_000_D_3_ = node,-,-,A,13; +CLK_000_D_5_ = node,-,-,G,10; +inst_AMIGA_BUS_ENABLE_DMA_HIGH = node,-,-,G,2; +SM_AMIGA_1_ = node,-,-,A,5; +inst_UDS_000_INT = node,-,-,F,1; +inst_DS_000_ENABLE = node,-,-,B,6; +inst_LDS_000_INT = node,-,-,C,2; SM_AMIGA_6_ = node,-,-,F,0; -SM_AMIGA_4_ = node,-,-,C,2; -SM_AMIGA_0_ = node,-,-,A,12; -RST_DLY_0_ = node,-,-,C,9; -RST_DLY_1_ = node,-,-,A,13; -RST_DLY_2_ = node,-,-,A,9; -inst_CLK_030_H = node,-,-,G,6; -inst_DSACK1_INT = node,-,-,A,5; -inst_AS_000_INT = node,-,-,F,1; -SM_AMIGA_5_ = node,-,-,D,6; -SM_AMIGA_3_ = node,-,-,C,10; -SM_AMIGA_2_ = node,-,-,C,6; +SM_AMIGA_4_ = node,-,-,A,9; +SM_AMIGA_0_ = node,-,-,A,8; +RST_DLY_0_ = node,-,-,G,9; +RST_DLY_1_ = node,-,-,B,10; +RST_DLY_2_ = node,-,-,G,13; +inst_CLK_030_H = node,-,-,C,14; +inst_DSACK1_INT = node,-,-,F,12; +inst_AS_000_INT = node,-,-,C,6; +SM_AMIGA_5_ = node,-,-,B,13; +SM_AMIGA_3_ = node,-,-,A,10; +SM_AMIGA_2_ = node,-,-,A,6; SM_AMIGA_i_7_ = node,-,-,F,8; CIIN_0 = node,-,-,E,5; diff --git a/Logic/68030_tk.out b/Logic/68030_tk.out index 99880f4..ac9259f 100644 --- a/Logic/68030_tk.out +++ b/Logic/68030_tk.out @@ -3267,4 +3267,1114 @@ 35 VPA 1 -1 -1 1 0 35 -1 29 DTACK 1 -1 -1 1 2 29 -1 20 BG_030 1 -1 -1 1 3 20 -1 - 10 CLK_000 1 -1 -1 1 1 10 -1 \ No newline at end of file + 10 CLK_000 1 -1 -1 1 1 10 -1 +117 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 5 1 2 4 5 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 5 0 2 3 4 7 41 -1 1 0 21 + 79 RW_000 5 340 7 3 2 4 6 79 -1 4 0 21 + 31 UDS_000 5 -1 3 3 2 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 2 6 7 30 -1 1 0 21 + 68 A_0_ 5 346 6 2 2 5 68 -1 3 0 21 + 70 RW 5 345 6 2 1 7 70 -1 2 0 21 + 78 SIZE_1_ 5 338 7 1 2 78 -1 3 0 21 + 69 SIZE_0_ 5 343 6 1 2 69 -1 3 0 21 + 40 BERR 5 -1 4 1 0 40 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 339 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 348 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 347 1 0 6 -1 10 0 21 + 82 BGACK_030 5 342 7 0 82 -1 3 0 21 + 34 VMA 5 344 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 341 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 342 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 309 inst_RESET_OUT 3 -1 6 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 311 CLK_000_D_0_ 3 -1 3 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 310 CLK_000_D_1_ 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 324 SM_AMIGA_6_ 3 -1 5 4 1 2 5 7 -1 -1 3 0 21 + 326 SM_AMIGA_0_ 3 -1 0 3 0 5 7 -1 -1 4 0 21 + 296 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 + 294 cpu_est_3_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 300 inst_BGACK_030_INT_D 3 -1 0 3 5 6 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 3 3 4 5 -1 -1 1 0 21 + 293 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 1 1 21 + 302 inst_DS_000_DMA 3 -1 2 2 0 2 -1 -1 9 0 21 + 301 inst_AS_000_DMA 3 -1 2 2 2 7 -1 -1 7 0 21 + 299 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 7 0 21 + 327 RST_DLY_0_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 320 SM_AMIGA_1_ 3 -1 0 2 0 5 -1 -1 4 0 21 + 304 CYCLE_DMA_1_ 3 -1 3 2 2 3 -1 -1 4 0 21 + 344 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 336 SM_AMIGA_i_7_ 3 -1 5 2 5 7 -1 -1 3 1 21 + 333 SM_AMIGA_5_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 325 SM_AMIGA_4_ 3 -1 0 2 0 1 -1 -1 3 0 21 + 323 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 322 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 CYCLE_DMA_0_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 295 cpu_est_0_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 332 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 331 inst_DSACK1_INT 3 -1 5 2 5 7 -1 -1 2 0 21 + 329 RST_DLY_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 328 RST_DLY_1_ 3 -1 1 2 1 6 -1 -1 2 1 21 + 321 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 319 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 317 CLK_000_D_3_ 3 -1 0 2 0 5 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 307 CLK_000_D_4_ 3 -1 0 2 5 6 -1 -1 1 0 21 + 306 CLK_000_D_2_ 3 -1 7 2 0 5 -1 -1 1 0 21 + 305 inst_VPA_D 3 -1 2 2 0 3 -1 -1 1 0 21 + 348 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 347 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 339 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 330 inst_CLK_030_H 3 -1 2 1 2 -1 -1 8 0 21 + 335 SM_AMIGA_2_ 3 -1 0 1 0 -1 -1 5 0 21 + 334 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 5 0 21 + 340 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 346 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 343 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 338 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 345 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 341 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 337 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 318 CLK_000_D_5_ 3 -1 6 1 5 -1 -1 1 0 21 + 316 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 315 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 314 IPL_D0_0_ 3 -1 0 1 1 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 308 inst_DTACK_D0 3 -1 7 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 + 66 IPL_0_ 1 -1 -1 2 0 1 66 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 2 63 -1 + 59 A_1_ 1 -1 -1 1 6 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 2 35 -1 + 29 DTACK 1 -1 -1 1 7 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 +116 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 4 0 4 5 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 4 0 4 6 7 41 -1 1 0 21 + 79 RW_000 5 339 7 2 4 6 79 -1 4 0 21 + 70 RW 5 344 6 2 5 7 70 -1 2 0 21 + 31 UDS_000 5 -1 3 2 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 6 7 30 -1 1 0 21 + 78 SIZE_1_ 5 337 7 1 1 78 -1 3 0 21 + 69 SIZE_0_ 5 342 6 1 1 69 -1 3 0 21 + 68 A_0_ 5 345 6 1 1 68 -1 3 0 21 + 40 BERR 5 -1 4 1 2 40 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 338 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 347 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 346 1 0 6 -1 10 0 21 + 82 BGACK_030 5 341 7 0 82 -1 3 0 21 + 34 VMA 5 343 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 340 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 341 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 309 inst_RESET_OUT 3 -1 0 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 311 CLK_000_D_0_ 3 -1 1 6 0 2 3 5 6 7 -1 -1 1 0 21 + 310 CLK_000_D_1_ 3 -1 7 6 0 2 3 5 6 7 -1 -1 1 0 21 + 323 SM_AMIGA_6_ 3 -1 5 4 1 3 5 7 -1 -1 3 0 21 + 307 CLK_000_D_3_ 3 -1 3 4 0 2 3 5 -1 -1 1 0 21 + 300 inst_BGACK_030_INT_D 3 -1 7 4 0 5 6 7 -1 -1 1 0 21 + 325 SM_AMIGA_0_ 3 -1 0 3 0 5 7 -1 -1 4 0 21 + 295 cpu_est_1_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 + 293 cpu_est_3_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 294 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 3 0 21 + 306 CLK_000_D_2_ 3 -1 7 3 0 2 3 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 3 3 4 5 -1 -1 1 0 21 + 296 cpu_est_2_ 3 -1 3 3 2 3 6 -1 -1 1 1 21 + 302 inst_DS_000_DMA 3 -1 6 2 0 6 -1 -1 9 0 21 + 301 inst_AS_000_DMA 3 -1 6 2 6 7 -1 -1 7 0 21 + 299 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 7 0 21 + 326 RST_DLY_0_ 3 -1 2 2 0 2 -1 -1 4 0 21 + 319 SM_AMIGA_1_ 3 -1 2 2 0 2 -1 -1 4 0 21 + 343 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 335 SM_AMIGA_i_7_ 3 -1 5 2 5 7 -1 -1 3 1 21 + 332 SM_AMIGA_5_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 324 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 3 0 21 + 322 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 3 0 21 + 321 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 303 CYCLE_DMA_0_ 3 -1 0 2 0 6 -1 -1 3 0 21 + 331 inst_AS_000_INT 3 -1 5 2 4 5 -1 -1 2 0 21 + 330 inst_DSACK1_INT 3 -1 0 2 0 7 -1 -1 2 0 21 + 328 RST_DLY_2_ 3 -1 0 2 0 2 -1 -1 2 0 21 + 327 RST_DLY_1_ 3 -1 0 2 0 2 -1 -1 2 1 21 + 320 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 318 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 305 inst_VPA_D 3 -1 0 2 2 3 -1 -1 1 0 21 + 347 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 346 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 338 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 329 inst_CLK_030_H 3 -1 6 1 6 -1 -1 8 0 21 + 334 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 5 0 21 + 333 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 + 339 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 304 CYCLE_DMA_1_ 3 -1 6 1 6 -1 -1 4 0 21 + 345 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 342 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 337 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 344 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 340 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 336 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 317 CLK_000_D_4_ 3 -1 3 1 5 -1 -1 1 0 21 + 316 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 315 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 314 IPL_D0_0_ 3 -1 3 1 1 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 308 inst_DTACK_D0 3 -1 2 1 2 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 3 66 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 6 63 -1 + 59 A_1_ 1 -1 -1 1 0 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 0 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 +118 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 6 0 1 2 4 5 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 4 0 1 4 7 41 -1 1 0 21 + 79 RW_000 5 341 7 3 0 4 6 79 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 68 A_0_ 5 347 6 2 1 2 68 -1 3 0 21 + 70 RW 5 346 6 2 1 7 70 -1 2 0 21 + 78 SIZE_1_ 5 339 7 1 2 78 -1 3 0 21 + 69 SIZE_0_ 5 344 6 1 2 69 -1 3 0 21 + 40 BERR 5 -1 4 1 3 40 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 340 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 349 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 348 1 0 6 -1 10 0 21 + 82 BGACK_030 5 343 7 0 82 -1 3 0 21 + 34 VMA 5 345 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 342 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 343 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 309 inst_RESET_OUT 3 -1 5 8 0 1 2 3 4 5 6 7 -1 -1 2 0 21 + 311 CLK_000_D_0_ 3 -1 6 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 310 CLK_000_D_1_ 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 325 SM_AMIGA_6_ 3 -1 5 5 0 1 2 5 7 -1 -1 3 0 21 + 300 inst_BGACK_030_INT_D 3 -1 4 4 0 5 6 7 -1 -1 1 0 21 + 328 RST_DLY_0_ 3 -1 7 3 2 5 7 -1 -1 4 0 21 + 327 SM_AMIGA_0_ 3 -1 6 3 5 6 7 -1 -1 4 0 21 + 326 SM_AMIGA_4_ 3 -1 2 3 1 2 3 -1 -1 3 0 21 + 330 RST_DLY_2_ 3 -1 2 3 2 5 7 -1 -1 2 0 21 + 329 RST_DLY_1_ 3 -1 7 3 2 5 7 -1 -1 2 1 21 + 298 inst_AS_030_D0 3 -1 4 3 3 4 5 -1 -1 1 0 21 + 301 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 299 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 7 0 21 + 336 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 5 0 21 + 321 SM_AMIGA_1_ 3 -1 2 2 2 6 -1 -1 4 0 21 + 296 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 294 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 337 SM_AMIGA_i_7_ 3 -1 5 2 5 7 -1 -1 3 1 21 + 334 SM_AMIGA_5_ 3 -1 0 2 0 2 -1 -1 3 0 21 + 324 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 323 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 CYCLE_DMA_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 333 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 + 332 inst_DSACK1_INT 3 -1 2 2 2 7 -1 -1 2 0 21 + 322 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 320 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 + 317 CLK_000_D_3_ 3 -1 6 2 2 6 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_50 3 -1 3 2 3 5 -1 -1 1 0 21 + 307 CLK_000_D_5_ 3 -1 6 2 5 6 -1 -1 1 0 21 + 306 CLK_000_D_2_ 3 -1 7 2 2 6 -1 -1 1 0 21 + 293 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 1 1 21 + 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 340 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 302 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 331 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 335 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 5 0 21 + 341 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 304 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 4 0 21 + 347 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 345 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 344 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 339 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 295 cpu_est_0_ 3 -1 3 1 3 -1 -1 3 0 21 + 346 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 342 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 338 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 319 CLK_000_D_6_ 3 -1 6 1 5 -1 -1 1 0 21 + 318 CLK_000_D_4_ 3 -1 6 1 6 -1 -1 1 0 21 + 316 IPL_D0_2_ 3 -1 0 1 1 -1 -1 1 0 21 + 315 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 314 IPL_D0_0_ 3 -1 0 1 1 -1 -1 1 0 21 + 308 inst_DTACK_D0 3 -1 2 1 3 -1 -1 1 0 21 + 305 inst_VPA_D 3 -1 6 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 0 1 67 -1 + 66 IPL_0_ 1 -1 -1 2 0 1 66 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 0 63 -1 + 59 A_1_ 1 -1 -1 1 0 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 +118 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 5 0 2 4 5 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 4 0 4 6 7 41 -1 1 0 21 + 79 RW_000 5 341 7 2 4 6 79 -1 4 0 21 + 68 A_0_ 5 347 6 2 0 2 68 -1 3 0 21 + 70 RW 5 346 6 2 2 7 70 -1 2 0 21 + 31 UDS_000 5 -1 3 2 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 2 6 7 30 -1 1 0 21 + 78 SIZE_1_ 5 339 7 1 0 78 -1 3 0 21 + 69 SIZE_0_ 5 344 6 1 0 69 -1 3 0 21 + 40 BERR 5 -1 4 1 3 40 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 340 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 349 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 348 1 0 6 -1 10 0 21 + 82 BGACK_030 5 343 7 0 82 -1 3 0 21 + 34 VMA 5 345 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 342 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 343 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 308 inst_RESET_OUT 3 -1 3 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 310 CLK_000_D_0_ 3 -1 0 6 0 1 2 3 5 7 -1 -1 1 0 21 + 309 CLK_000_D_1_ 3 -1 7 6 0 1 2 3 5 7 -1 -1 1 0 21 + 319 inst_BGACK_030_INT_D 3 -1 4 4 1 5 6 7 -1 -1 1 0 21 + 299 inst_AS_030_000_SYNC 3 -1 5 3 0 3 5 -1 -1 7 0 21 + 328 RST_DLY_0_ 3 -1 7 3 1 3 7 -1 -1 4 0 21 + 327 SM_AMIGA_0_ 3 -1 2 3 0 2 7 -1 -1 4 0 21 + 295 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 337 SM_AMIGA_i_7_ 3 -1 0 3 0 5 7 -1 -1 3 1 21 + 326 SM_AMIGA_4_ 3 -1 5 3 2 3 5 -1 -1 3 0 21 + 325 SM_AMIGA_6_ 3 -1 0 3 0 2 7 -1 -1 3 0 21 + 330 RST_DLY_2_ 3 -1 7 3 1 3 7 -1 -1 2 0 21 + 329 RST_DLY_1_ 3 -1 1 3 1 3 7 -1 -1 2 1 21 + 296 cpu_est_2_ 3 -1 5 3 3 5 6 -1 -1 1 1 21 + 301 inst_DS_000_DMA 3 -1 6 2 0 6 -1 -1 9 0 21 + 300 inst_AS_000_DMA 3 -1 6 2 6 7 -1 -1 7 0 21 + 336 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 5 0 21 + 303 CYCLE_DMA_1_ 3 -1 0 2 0 6 -1 -1 4 0 21 + 293 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 334 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 3 0 21 + 324 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 3 0 21 + 323 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 302 CYCLE_DMA_0_ 3 -1 0 2 0 6 -1 -1 3 0 21 + 294 cpu_est_0_ 3 -1 3 2 3 5 -1 -1 3 0 21 + 333 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 + 332 inst_DSACK1_INT 3 -1 2 2 2 7 -1 -1 2 0 21 + 322 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 + 320 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 1 2 1 3 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 1 2 1 2 -1 -1 2 0 21 + 316 CLK_000_D_3_ 3 -1 6 2 2 6 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 + 311 inst_CLK_OUT_PRE_50 3 -1 0 2 0 5 -1 -1 1 0 21 + 306 CLK_000_D_5_ 3 -1 6 2 0 5 -1 -1 1 0 21 + 305 CLK_000_D_2_ 3 -1 7 2 2 6 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 4 2 3 4 -1 -1 1 0 21 + 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 340 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 331 inst_CLK_030_H 3 -1 6 1 6 -1 -1 8 0 21 + 335 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 5 0 21 + 341 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 321 SM_AMIGA_1_ 3 -1 2 1 2 -1 -1 4 0 21 + 347 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 345 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 344 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 339 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 346 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 342 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 338 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 318 CLK_000_D_6_ 3 -1 5 1 0 -1 -1 1 0 21 + 317 CLK_000_D_4_ 3 -1 6 1 6 -1 -1 1 0 21 + 315 IPL_D0_2_ 3 -1 0 1 1 -1 -1 1 0 21 + 314 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 313 IPL_D0_0_ 3 -1 3 1 1 -1 -1 1 0 21 + 307 inst_DTACK_D0 3 -1 1 1 3 -1 -1 1 0 21 + 304 inst_VPA_D 3 -1 2 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 0 1 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 3 66 -1 + 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 6 63 -1 + 59 A_1_ 1 -1 -1 1 1 59 -1 + 35 VPA 1 -1 -1 1 2 35 -1 + 29 DTACK 1 -1 -1 1 1 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 0 10 -1 +118 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 5 1 2 4 5 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 4 0 4 5 7 41 -1 1 0 21 + 31 UDS_000 5 -1 3 4 0 5 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 4 0 5 6 7 30 -1 1 0 21 + 79 RW_000 5 341 7 3 4 5 6 79 -1 4 0 21 + 68 A_0_ 5 347 6 2 2 5 68 -1 3 0 21 + 70 RW 5 346 6 2 5 7 70 -1 2 0 21 + 78 SIZE_1_ 5 339 7 1 2 78 -1 3 0 21 + 69 SIZE_0_ 5 343 6 1 2 69 -1 3 0 21 + 40 BERR 5 -1 4 1 0 40 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 340 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 349 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 348 1 0 6 -1 10 0 21 + 82 BGACK_030 5 344 7 0 82 -1 3 0 21 + 34 VMA 5 345 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 342 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 344 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 309 inst_RESET_OUT 3 -1 6 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 311 CLK_000_D_0_ 3 -1 3 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 310 CLK_000_D_1_ 3 -1 2 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 325 SM_AMIGA_6_ 3 -1 2 4 1 2 5 7 -1 -1 3 0 21 + 300 inst_BGACK_030_INT_D 3 -1 4 4 2 3 6 7 -1 -1 1 0 21 + 301 inst_AS_000_DMA 3 -1 0 3 0 5 7 -1 -1 7 0 21 + 327 SM_AMIGA_0_ 3 -1 1 3 1 2 7 -1 -1 4 0 21 + 296 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 + 294 cpu_est_3_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 295 cpu_est_0_ 3 -1 6 3 0 3 6 -1 -1 3 0 21 + 293 cpu_est_2_ 3 -1 0 3 0 3 6 -1 -1 1 1 21 + 302 inst_DS_000_DMA 3 -1 5 2 0 5 -1 -1 9 0 21 + 331 inst_CLK_030_H 3 -1 0 2 0 5 -1 -1 8 0 21 + 299 inst_AS_030_000_SYNC 3 -1 2 2 2 3 -1 -1 7 0 21 + 336 SM_AMIGA_2_ 3 -1 0 2 0 1 -1 -1 5 0 21 + 304 CYCLE_DMA_1_ 3 -1 0 2 0 5 -1 -1 4 0 21 + 345 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 337 SM_AMIGA_i_7_ 3 -1 2 2 2 7 -1 -1 3 1 21 + 334 SM_AMIGA_5_ 3 -1 7 2 0 7 -1 -1 3 0 21 + 326 SM_AMIGA_4_ 3 -1 0 2 0 5 -1 -1 3 0 21 + 324 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 323 inst_DS_000_ENABLE 3 -1 5 2 3 5 -1 -1 3 0 21 + 303 CYCLE_DMA_0_ 3 -1 0 2 0 5 -1 -1 3 0 21 + 333 inst_AS_000_INT 3 -1 1 2 1 4 -1 -1 2 0 21 + 332 inst_DSACK1_INT 3 -1 1 2 1 7 -1 -1 2 0 21 + 322 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 317 CLK_000_D_3_ 3 -1 7 2 1 3 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 3 2 1 6 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_50 3 -1 6 2 3 6 -1 -1 1 0 21 + 307 CLK_000_D_5_ 3 -1 5 2 2 3 -1 -1 1 0 21 + 306 CLK_000_D_2_ 3 -1 7 2 1 7 -1 -1 1 0 21 + 305 inst_VPA_D 3 -1 5 2 0 3 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 4 2 3 4 -1 -1 1 0 21 + 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 340 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 335 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 5 0 21 + 341 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 328 RST_DLY_0_ 3 -1 6 1 6 -1 -1 4 0 21 + 321 SM_AMIGA_1_ 3 -1 1 1 1 -1 -1 4 0 21 + 347 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 343 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 339 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 346 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 342 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 338 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 330 RST_DLY_2_ 3 -1 6 1 6 -1 -1 2 0 21 + 329 RST_DLY_1_ 3 -1 6 1 6 -1 -1 2 1 21 + 320 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 3 1 3 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 2 1 2 -1 -1 2 0 21 + 319 CLK_000_D_6_ 3 -1 3 1 2 -1 -1 1 0 21 + 318 CLK_000_D_4_ 3 -1 3 1 5 -1 -1 1 0 21 + 316 IPL_D0_2_ 3 -1 0 1 1 -1 -1 1 0 21 + 315 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 314 IPL_D0_0_ 3 -1 3 1 1 -1 -1 1 0 21 + 308 inst_DTACK_D0 3 -1 7 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 1 2 3 4 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 2 5 63 -1 + 58 A_DECODE_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 3 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 3 2 4 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 0 1 67 -1 + 66 IPL_0_ 1 -1 -1 2 1 3 66 -1 + 59 A_1_ 1 -1 -1 2 2 3 59 -1 + 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 29 DTACK 1 -1 -1 1 7 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 +118 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 6 0 1 2 4 5 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 4 0 1 4 7 41 -1 1 0 21 + 79 RW_000 5 341 7 3 0 4 6 79 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 68 A_0_ 5 347 6 2 1 2 68 -1 3 0 21 + 70 RW 5 346 6 2 1 7 70 -1 2 0 21 + 78 SIZE_1_ 5 339 7 1 2 78 -1 3 0 21 + 69 SIZE_0_ 5 344 6 1 2 69 -1 3 0 21 + 40 BERR 5 -1 4 1 3 40 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 340 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 349 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 348 1 0 6 -1 10 0 21 + 82 BGACK_030 5 343 7 0 82 -1 3 0 21 + 34 VMA 5 345 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 342 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 343 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 309 inst_RESET_OUT 3 -1 5 8 0 1 2 3 4 5 6 7 -1 -1 2 0 21 + 311 CLK_000_D_0_ 3 -1 6 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 310 CLK_000_D_1_ 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 325 SM_AMIGA_6_ 3 -1 5 5 0 1 2 5 7 -1 -1 3 0 21 + 300 inst_BGACK_030_INT_D 3 -1 4 4 0 5 6 7 -1 -1 1 0 21 + 328 RST_DLY_0_ 3 -1 7 3 2 5 7 -1 -1 4 0 21 + 327 SM_AMIGA_0_ 3 -1 6 3 5 6 7 -1 -1 4 0 21 + 326 SM_AMIGA_4_ 3 -1 2 3 1 2 3 -1 -1 3 0 21 + 330 RST_DLY_2_ 3 -1 2 3 2 5 7 -1 -1 2 0 21 + 329 RST_DLY_1_ 3 -1 7 3 2 5 7 -1 -1 2 1 21 + 298 inst_AS_030_D0 3 -1 4 3 3 4 5 -1 -1 1 0 21 + 301 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 299 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 7 0 21 + 336 SM_AMIGA_2_ 3 -1 3 2 2 3 -1 -1 5 0 21 + 321 SM_AMIGA_1_ 3 -1 2 2 2 6 -1 -1 4 0 21 + 296 cpu_est_1_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 294 cpu_est_3_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 337 SM_AMIGA_i_7_ 3 -1 5 2 5 7 -1 -1 3 1 21 + 334 SM_AMIGA_5_ 3 -1 0 2 0 2 -1 -1 3 0 21 + 324 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 323 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 CYCLE_DMA_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 333 inst_AS_000_INT 3 -1 0 2 0 4 -1 -1 2 0 21 + 332 inst_DSACK1_INT 3 -1 2 2 2 7 -1 -1 2 0 21 + 322 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 320 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 0 2 0 3 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 0 2 0 2 -1 -1 2 0 21 + 317 CLK_000_D_3_ 3 -1 6 2 2 6 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_50 3 -1 3 2 3 5 -1 -1 1 0 21 + 307 CLK_000_D_5_ 3 -1 6 2 5 6 -1 -1 1 0 21 + 306 CLK_000_D_2_ 3 -1 7 2 2 6 -1 -1 1 0 21 + 293 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 1 1 21 + 349 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 348 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 340 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 302 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 331 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 335 SM_AMIGA_3_ 3 -1 3 1 3 -1 -1 5 0 21 + 341 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 304 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 4 0 21 + 347 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 345 RN_VMA 3 34 3 1 3 34 -1 3 0 21 + 344 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 339 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 295 cpu_est_0_ 3 -1 3 1 3 -1 -1 3 0 21 + 346 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 342 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 338 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 319 CLK_000_D_6_ 3 -1 6 1 5 -1 -1 1 0 21 + 318 CLK_000_D_4_ 3 -1 6 1 6 -1 -1 1 0 21 + 316 IPL_D0_2_ 3 -1 0 1 1 -1 -1 1 0 21 + 315 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 314 IPL_D0_0_ 3 -1 0 1 1 -1 -1 1 0 21 + 308 inst_DTACK_D0 3 -1 2 1 3 -1 -1 1 0 21 + 305 inst_VPA_D 3 -1 6 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 0 1 67 -1 + 66 IPL_0_ 1 -1 -1 2 0 1 66 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 0 63 -1 + 59 A_1_ 1 -1 -1 1 0 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 29 DTACK 1 -1 -1 1 2 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 +117 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 5 1 2 4 5 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 5 0 2 3 4 7 41 -1 1 0 21 + 79 RW_000 5 340 7 3 2 4 6 79 -1 4 0 21 + 31 UDS_000 5 -1 3 3 2 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 2 6 7 30 -1 1 0 21 + 68 A_0_ 5 346 6 2 2 5 68 -1 3 0 21 + 70 RW 5 345 6 2 1 7 70 -1 2 0 21 + 78 SIZE_1_ 5 338 7 1 2 78 -1 3 0 21 + 69 SIZE_0_ 5 343 6 1 2 69 -1 3 0 21 + 40 BERR 5 -1 4 1 0 40 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 339 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 348 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 347 1 0 6 -1 10 0 21 + 82 BGACK_030 5 342 7 0 82 -1 3 0 21 + 34 VMA 5 344 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 341 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 342 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 309 inst_RESET_OUT 3 -1 6 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 311 CLK_000_D_0_ 3 -1 3 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 310 CLK_000_D_1_ 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 324 SM_AMIGA_6_ 3 -1 5 4 1 2 5 7 -1 -1 3 0 21 + 326 SM_AMIGA_0_ 3 -1 0 3 0 5 7 -1 -1 4 0 21 + 296 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 + 294 cpu_est_3_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 300 inst_BGACK_030_INT_D 3 -1 0 3 5 6 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 3 3 4 5 -1 -1 1 0 21 + 293 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 1 1 21 + 302 inst_DS_000_DMA 3 -1 2 2 0 2 -1 -1 9 0 21 + 301 inst_AS_000_DMA 3 -1 2 2 2 7 -1 -1 7 0 21 + 299 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 7 0 21 + 327 RST_DLY_0_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 320 SM_AMIGA_1_ 3 -1 0 2 0 5 -1 -1 4 0 21 + 304 CYCLE_DMA_1_ 3 -1 3 2 2 3 -1 -1 4 0 21 + 344 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 336 SM_AMIGA_i_7_ 3 -1 5 2 5 7 -1 -1 3 1 21 + 333 SM_AMIGA_5_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 325 SM_AMIGA_4_ 3 -1 0 2 0 1 -1 -1 3 0 21 + 323 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 322 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 CYCLE_DMA_0_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 295 cpu_est_0_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 332 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 331 inst_DSACK1_INT 3 -1 5 2 5 7 -1 -1 2 0 21 + 329 RST_DLY_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 328 RST_DLY_1_ 3 -1 1 2 1 6 -1 -1 2 1 21 + 321 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 319 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 317 CLK_000_D_3_ 3 -1 0 2 0 5 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 307 CLK_000_D_4_ 3 -1 0 2 5 6 -1 -1 1 0 21 + 306 CLK_000_D_2_ 3 -1 7 2 0 5 -1 -1 1 0 21 + 305 inst_VPA_D 3 -1 2 2 0 3 -1 -1 1 0 21 + 348 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 347 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 339 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 330 inst_CLK_030_H 3 -1 2 1 2 -1 -1 8 0 21 + 335 SM_AMIGA_2_ 3 -1 0 1 0 -1 -1 5 0 21 + 334 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 5 0 21 + 340 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 346 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 343 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 338 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 345 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 341 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 337 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 318 CLK_000_D_5_ 3 -1 6 1 5 -1 -1 1 0 21 + 316 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 315 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 314 IPL_D0_0_ 3 -1 0 1 1 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 308 inst_DTACK_D0 3 -1 7 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 + 66 IPL_0_ 1 -1 -1 2 0 1 66 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 2 63 -1 + 59 A_1_ 1 -1 -1 1 6 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 2 35 -1 + 29 DTACK 1 -1 -1 1 7 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 +117 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 5 0 2 4 5 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 4 0 1 4 7 41 -1 1 0 21 + 79 RW_000 5 340 7 3 0 4 6 79 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 68 A_0_ 5 346 6 2 1 5 68 -1 3 0 21 + 70 RW 5 345 6 2 2 7 70 -1 2 0 21 + 78 SIZE_1_ 5 338 7 1 5 78 -1 3 0 21 + 69 SIZE_0_ 5 341 6 1 5 69 -1 3 0 21 + 40 BERR 5 -1 4 1 2 40 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 339 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 348 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 347 1 0 6 -1 10 0 21 + 82 BGACK_030 5 343 7 0 82 -1 3 0 21 + 34 VMA 5 344 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 342 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 343 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 309 inst_RESET_OUT 3 -1 3 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 310 CLK_000_D_0_ 3 -1 3 6 0 1 2 3 6 7 -1 -1 1 0 21 + 306 CLK_000_D_1_ 3 -1 7 6 0 1 2 3 6 7 -1 -1 1 0 21 + 324 SM_AMIGA_6_ 3 -1 1 5 0 1 2 5 7 -1 -1 3 0 21 + 299 inst_AS_030_000_SYNC 3 -1 5 3 1 3 5 -1 -1 7 0 21 + 296 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 4 0 21 + 294 cpu_est_3_ 3 -1 6 3 2 3 6 -1 -1 4 0 21 + 336 SM_AMIGA_i_7_ 3 -1 1 3 1 5 7 -1 -1 3 1 21 + 326 SM_AMIGA_0_ 3 -1 0 3 0 1 7 -1 -1 3 0 21 + 295 cpu_est_0_ 3 -1 6 3 2 3 6 -1 -1 3 0 21 + 300 inst_BGACK_030_INT_D 3 -1 4 3 5 6 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 4 3 3 4 5 -1 -1 1 0 21 + 293 cpu_est_2_ 3 -1 6 3 2 3 6 -1 -1 1 1 21 + 301 inst_AS_000_DMA 3 -1 0 2 0 7 -1 -1 7 0 21 + 344 RN_VMA 3 34 3 2 2 3 34 -1 3 0 21 + 333 SM_AMIGA_5_ 3 -1 0 2 0 6 -1 -1 3 0 21 + 325 SM_AMIGA_4_ 3 -1 6 2 2 6 -1 -1 3 0 21 + 323 inst_LDS_000_INT 3 -1 5 2 3 5 -1 -1 3 0 21 + 322 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 320 SM_AMIGA_1_ 3 -1 2 2 0 2 -1 -1 3 0 21 + 303 CYCLE_DMA_0_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 332 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 331 inst_DSACK1_INT 3 -1 0 2 0 7 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 319 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 5 2 3 5 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 5 2 2 5 -1 -1 2 0 21 + 316 CLK_000_D_2_ 3 -1 7 2 0 2 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_D 3 -1 0 2 1 6 -1 -1 1 0 21 + 311 inst_CLK_OUT_PRE_50 3 -1 7 2 0 7 -1 -1 1 0 21 + 307 CLK_000_D_4_ 3 -1 0 2 1 7 -1 -1 1 0 21 + 305 inst_VPA_D 3 -1 6 2 2 3 -1 -1 1 0 21 + 348 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 347 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 339 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 302 inst_DS_000_DMA 3 -1 0 1 0 -1 -1 9 0 21 + 330 inst_CLK_030_H 3 -1 0 1 0 -1 -1 8 0 21 + 335 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 5 0 21 + 334 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 5 0 21 + 340 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 327 RST_DLY_0_ 3 -1 3 1 3 -1 -1 4 0 21 + 304 CYCLE_DMA_1_ 3 -1 0 1 0 -1 -1 4 0 21 + 346 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 341 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 338 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 345 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 342 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 337 N_262 3 -1 4 1 4 -1 -1 2 0 21 + 329 RST_DLY_2_ 3 -1 3 1 3 -1 -1 2 0 21 + 328 RST_DLY_1_ 3 -1 3 1 3 -1 -1 2 1 21 + 318 CLK_000_D_5_ 3 -1 7 1 1 -1 -1 1 0 21 + 317 CLK_000_D_3_ 3 -1 2 1 0 -1 -1 1 0 21 + 315 IPL_D0_2_ 3 -1 5 1 1 -1 -1 1 0 21 + 314 IPL_D0_1_ 3 -1 6 1 1 -1 -1 1 0 21 + 313 IPL_D0_0_ 3 -1 0 1 1 -1 -1 1 0 21 + 308 inst_DTACK_D0 3 -1 6 1 2 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 5 67 -1 + 66 IPL_0_ 1 -1 -1 2 0 1 66 -1 + 55 IPL_1_ 1 -1 -1 2 1 6 55 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 0 63 -1 + 59 A_1_ 1 -1 -1 1 5 59 -1 + 35 VPA 1 -1 -1 1 6 35 -1 + 29 DTACK 1 -1 -1 1 6 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 +117 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 -1 7 5 1 2 4 5 7 81 -1 1 0 21 + 41 AS_000 5 -1 4 5 0 2 3 4 7 41 -1 1 0 21 + 79 RW_000 5 340 7 3 2 4 6 79 -1 4 0 21 + 31 UDS_000 5 -1 3 3 2 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 2 6 7 30 -1 1 0 21 + 68 A_0_ 5 346 6 2 2 5 68 -1 3 0 21 + 70 RW 5 345 6 2 1 7 70 -1 2 0 21 + 78 SIZE_1_ 5 338 7 1 2 78 -1 3 0 21 + 69 SIZE_0_ 5 343 6 1 2 69 -1 3 0 21 + 40 BERR 5 -1 4 1 0 40 -1 1 0 21 + 18 AHIGH_24_ 5 -1 2 1 4 18 -1 1 0 21 + 17 AHIGH_25_ 5 -1 2 1 4 17 -1 1 0 21 + 16 AHIGH_26_ 5 -1 2 1 4 16 -1 1 0 21 + 15 AHIGH_27_ 5 -1 2 1 4 15 -1 1 0 21 + 14 AHIGH_28_ 5 -1 2 1 4 14 -1 1 0 21 + 5 AHIGH_29_ 5 -1 1 1 4 5 -1 1 0 21 + 4 AHIGH_30_ 5 -1 1 1 4 4 -1 1 0 21 + 3 AHIGH_31_ 5 -1 1 1 4 3 -1 1 0 21 + 8 IPL_030_2_ 5 339 1 0 8 -1 10 0 21 + 7 IPL_030_0_ 5 348 1 0 7 -1 10 0 21 + 6 IPL_030_1_ 5 347 1 0 6 -1 10 0 21 + 82 BGACK_030 5 342 7 0 82 -1 3 0 21 + 34 VMA 5 344 3 0 34 -1 3 0 21 + 65 E 0 6 0 65 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 33 AMIGA_BUS_ENABLE_HIGH 0 3 0 33 -1 2 0 21 + 28 BG_000 5 341 3 0 28 -1 2 0 21 + 97 DS_030 0 0 0 97 -1 1 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 80 DSACK1 0 7 0 80 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 32 AMIGA_ADDR_ENABLE 0 3 0 32 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 342 RN_BGACK_030 3 82 7 8 0 1 2 3 4 5 6 7 82 -1 3 0 21 + 309 inst_RESET_OUT 3 -1 6 7 0 1 2 3 4 6 7 -1 -1 2 0 21 + 311 CLK_000_D_0_ 3 -1 3 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 310 CLK_000_D_1_ 3 -1 7 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 324 SM_AMIGA_6_ 3 -1 5 4 1 2 5 7 -1 -1 3 0 21 + 326 SM_AMIGA_0_ 3 -1 0 3 0 5 7 -1 -1 4 0 21 + 296 cpu_est_1_ 3 -1 3 3 0 3 6 -1 -1 4 0 21 + 294 cpu_est_3_ 3 -1 0 3 0 3 6 -1 -1 4 0 21 + 300 inst_BGACK_030_INT_D 3 -1 0 3 5 6 7 -1 -1 1 0 21 + 298 inst_AS_030_D0 3 -1 7 3 3 4 5 -1 -1 1 0 21 + 293 cpu_est_2_ 3 -1 3 3 0 3 6 -1 -1 1 1 21 + 302 inst_DS_000_DMA 3 -1 2 2 0 2 -1 -1 9 0 21 + 301 inst_AS_000_DMA 3 -1 2 2 2 7 -1 -1 7 0 21 + 299 inst_AS_030_000_SYNC 3 -1 5 2 3 5 -1 -1 7 0 21 + 327 RST_DLY_0_ 3 -1 6 2 1 6 -1 -1 4 0 21 + 320 SM_AMIGA_1_ 3 -1 0 2 0 5 -1 -1 4 0 21 + 304 CYCLE_DMA_1_ 3 -1 3 2 2 3 -1 -1 4 0 21 + 344 RN_VMA 3 34 3 2 0 3 34 -1 3 0 21 + 336 SM_AMIGA_i_7_ 3 -1 5 2 5 7 -1 -1 3 1 21 + 333 SM_AMIGA_5_ 3 -1 1 2 0 1 -1 -1 3 0 21 + 325 SM_AMIGA_4_ 3 -1 0 2 0 1 -1 -1 3 0 21 + 323 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 3 0 21 + 322 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 + 303 CYCLE_DMA_0_ 3 -1 3 2 2 3 -1 -1 3 0 21 + 295 cpu_est_0_ 3 -1 3 2 0 3 -1 -1 3 0 21 + 332 inst_AS_000_INT 3 -1 2 2 2 4 -1 -1 2 0 21 + 331 inst_DSACK1_INT 3 -1 5 2 5 7 -1 -1 2 0 21 + 329 RST_DLY_2_ 3 -1 6 2 1 6 -1 -1 2 0 21 + 328 RST_DLY_1_ 3 -1 1 2 1 6 -1 -1 2 1 21 + 321 inst_UDS_000_INT 3 -1 5 2 3 5 -1 -1 2 0 21 + 319 inst_AMIGA_BUS_ENABLE_DMA_HIGH 3 -1 6 2 3 6 -1 -1 2 0 21 + 297 inst_AMIGA_BUS_ENABLE_DMA_LOW 3 -1 6 2 2 6 -1 -1 2 0 21 + 317 CLK_000_D_3_ 3 -1 0 2 0 5 -1 -1 1 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 307 CLK_000_D_4_ 3 -1 0 2 5 6 -1 -1 1 0 21 + 306 CLK_000_D_2_ 3 -1 7 2 0 5 -1 -1 1 0 21 + 305 inst_VPA_D 3 -1 2 2 0 3 -1 -1 1 0 21 + 348 RN_IPL_030_0_ 3 7 1 1 1 7 -1 10 0 21 + 347 RN_IPL_030_1_ 3 6 1 1 1 6 -1 10 0 21 + 339 RN_IPL_030_2_ 3 8 1 1 1 8 -1 10 0 21 + 330 inst_CLK_030_H 3 -1 2 1 2 -1 -1 8 0 21 + 335 SM_AMIGA_2_ 3 -1 0 1 0 -1 -1 5 0 21 + 334 SM_AMIGA_3_ 3 -1 0 1 0 -1 -1 5 0 21 + 340 RN_RW_000 3 79 7 1 7 79 -1 4 0 21 + 346 RN_A_0_ 3 68 6 1 6 68 -1 3 0 21 + 343 RN_SIZE_0_ 3 69 6 1 6 69 -1 3 0 21 + 338 RN_SIZE_1_ 3 78 7 1 7 78 -1 3 0 21 + 345 RN_RW 3 70 6 1 6 70 -1 2 0 21 + 341 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 337 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 318 CLK_000_D_5_ 3 -1 6 1 5 -1 -1 1 0 21 + 316 IPL_D0_2_ 3 -1 6 1 1 -1 -1 1 0 21 + 315 IPL_D0_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 314 IPL_D0_0_ 3 -1 0 1 1 -1 -1 1 0 21 + 312 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 308 inst_DTACK_D0 3 -1 7 1 0 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 13 nEXP_SPACE 1 -1 -1 8 0 1 2 3 4 5 6 7 13 -1 + 85 RST 1 -1 -1 7 0 1 2 3 5 6 7 85 -1 + 96 A_DECODE_19_ 1 -1 -1 3 4 5 7 96 -1 + 95 A_DECODE_16_ 1 -1 -1 3 4 5 7 95 -1 + 94 A_DECODE_18_ 1 -1 -1 3 4 5 7 94 -1 + 58 A_DECODE_17_ 1 -1 -1 3 4 5 7 58 -1 + 57 FC_1_ 1 -1 -1 3 4 5 7 57 -1 + 56 FC_0_ 1 -1 -1 3 4 5 7 56 -1 + 90 FPU_SENSE 1 -1 -1 2 4 7 90 -1 + 67 IPL_2_ 1 -1 -1 2 1 6 67 -1 + 66 IPL_0_ 1 -1 -1 2 0 1 66 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_DECODE_21_ 1 -1 -1 1 4 93 -1 + 92 A_DECODE_20_ 1 -1 -1 1 4 92 -1 + 84 A_DECODE_23_ 1 -1 -1 1 4 84 -1 + 83 A_DECODE_22_ 1 -1 -1 1 4 83 -1 + 63 CLK_030 1 -1 -1 1 2 63 -1 + 59 A_1_ 1 -1 -1 1 6 59 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 2 35 -1 + 29 DTACK 1 -1 -1 1 7 29 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 10 CLK_000 1 -1 -1 1 3 10 -1 \ No newline at end of file diff --git a/Logic/68030_tk.plc b/Logic/68030_tk.plc index 298c090..54dc384 100644 --- a/Logic/68030_tk.plc +++ b/Logic/68030_tk.plc @@ -8,7 +8,7 @@ ; Source file 68030_tk.tt4 ; FITTER-generated Placements. ; DEVICE mach447a -; DATE Thu Oct 06 21:35:00 2016 +; DATE Thu Oct 06 22:04:16 2016 Pin 4 AHIGH_31_ Comb ; S6=1 S9=1 Pair 143 @@ -25,32 +25,32 @@ Pin 41 BERR Comb ; S6=1 S9=1 Pair 197 Pin 21 BG_030 Pin 28 BGACK_000 Pin 64 CLK_030 -Pin 11 CLK_000 Pin 5 AHIGH_30_ Comb ; S6=1 S9=1 Pair 125 -Pin 61 CLK_OSZI +Pin 11 CLK_000 Pin 6 AHIGH_29_ Comb ; S6=1 S9=1 Pair 137 -Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 247 +Pin 61 CLK_OSZI Pin 15 AHIGH_28_ Comb ; S6=1 S9=1 Pair 149 +Pin 65 CLK_DIV_OUT Reg ; S6=1 S9=1 Pair 247 Pin 16 AHIGH_27_ Comb ; S6=1 S9=1 Pair 157 -Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 271 Pin 17 AHIGH_26_ Comb ; S6=1 S9=1 Pair 155 -Pin 91 FPU_SENSE +Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 271 Pin 18 AHIGH_25_ Comb ; S6=1 S9=1 Pair 167 -Pin 81 DSACK1 Comb ; S6=1 S9=1 Pair 283 +Pin 91 FPU_SENSE Pin 19 AHIGH_24_ Comb ; S6=1 S9=1 Pair 161 -Pin 30 DTACK +Pin 81 DSACK1 Comb ; S6=1 S9=1 Pair 283 Pin 84 A_DECODE_22_ -Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 +Pin 30 DTACK Pin 94 A_DECODE_21_ -Pin 66 E Comb ; S6=1 S9=1 Pair 251 +Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 Pin 93 A_DECODE_20_ -Pin 36 VPA +Pin 66 E Comb ; S6=1 S9=1 Pair 251 Pin 97 A_DECODE_19_ +Pin 36 VPA Pin 95 A_DECODE_18_ -Pin 86 RST Pin 59 A_DECODE_17_ -Pin 3 RESET Comb ; S6=1 S9=1 Pair 128 +Pin 86 RST Pin 96 A_DECODE_16_ +Pin 3 RESET Comb ; S6=1 S9=1 Pair 128 Pin 33 AMIGA_ADDR_ENABLE Comb ; S6=1 S9=1 Pair 181 Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 199 Pin 20 AMIGA_BUS_ENABLE_LOW Comb ; S6=1 S9=1 Pair 151 @@ -96,48 +96,49 @@ Node 245 RN_RW Reg ; S6=1 S9=1 Node 257 RN_A_0_ Reg ; S6=1 S9=1 Node 139 RN_IPL_030_1_ Reg ; S6=1 S9=1 Node 133 RN_IPL_030_0_ Reg ; S6=1 S9=1 -Node 193 cpu_est_3_ Reg ; S6=1 S9=1 -Node 259 cpu_est_0_ Reg ; S6=1 S9=1 -Node 253 cpu_est_1_ Reg ; S6=1 S9=1 Node 176 cpu_est_2_ Reg ; S6=1 S9=1 -Node 110 inst_AMIGA_BUS_ENABLE_DMA_LOW Reg ; S6=1 S9=1 -Node 278 inst_AS_030_D0 Reg ; S6=1 S9=1 +Node 119 cpu_est_3_ Reg ; S6=1 S9=1 +Node 194 cpu_est_0_ Reg ; S6=1 S9=1 +Node 193 cpu_est_1_ Reg ; S6=1 S9=1 +Node 254 inst_AMIGA_BUS_ENABLE_DMA_LOW Reg ; S6=1 S9=1 +Node 289 inst_AS_030_D0 Reg ; S6=1 S9=1 Node 227 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 -Node 289 inst_BGACK_030_INT_D Reg ; S6=1 S9=1 -Node 248 inst_AS_000_DMA Reg ; S6=1 S9=1 -Node 265 inst_DS_000_DMA Reg ; S6=1 S9=1 -Node 103 CYCLE_DMA_0_ Reg ; S6=1 S9=1 -Node 260 CYCLE_DMA_1_ Reg ; S6=1 S9=1 -Node 116 inst_VPA_D Reg ; S6=1 S9=1 +Node 103 inst_BGACK_030_INT_D Reg ; S6=1 S9=1 +Node 169 inst_AS_000_DMA Reg ; S6=1 S9=1 +Node 163 inst_DS_000_DMA Reg ; S6=1 S9=1 +Node 188 CYCLE_DMA_0_ Reg ; S6=1 S9=1 +Node 182 CYCLE_DMA_1_ Reg ; S6=1 S9=1 +Node 164 inst_VPA_D Reg ; S6=1 S9=1 Node 272 CLK_000_D_2_ Reg ; S6=1 S9=1 -Node 187 CLK_000_D_3_ Reg ; S6=1 S9=1 -Node 170 inst_DTACK_D0 Reg ; S6=1 S9=1 -Node 113 inst_RESET_OUT Reg ; S6=1 S9=1 +Node 104 CLK_000_D_4_ Reg ; S6=1 S9=1 +Node 278 inst_DTACK_D0 Reg ; S6=1 S9=1 +Node 253 inst_RESET_OUT Reg ; S6=1 S9=1 Node 277 CLK_000_D_1_ Reg ; S6=1 S9=1 -Node 145 CLK_000_D_0_ Reg ; S6=1 S9=1 +Node 187 CLK_000_D_0_ Reg ; S6=1 S9=1 Node 211 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1 Node 209 inst_CLK_OUT_PRE_D Reg ; S6=1 S9=1 -Node 194 IPL_D0_0_ Reg ; S6=1 S9=1 +Node 122 IPL_D0_0_ Reg ; S6=1 S9=1 Node 146 IPL_D0_1_ Reg ; S6=1 S9=1 Node 266 IPL_D0_2_ Reg ; S6=1 S9=1 -Node 188 CLK_000_D_4_ Reg ; S6=1 S9=1 -Node 104 inst_AMIGA_BUS_ENABLE_DMA_HIGH Reg ; S6=1 S9=1 -Node 169 SM_AMIGA_1_ Reg ; S6=1 S9=1 -Node 140 inst_UDS_000_INT Reg ; S6=1 S9=1 -Node 239 inst_DS_000_ENABLE Reg ; S6=1 S9=1 -Node 134 inst_LDS_000_INT Reg ; S6=1 S9=1 +Node 121 CLK_000_D_3_ Reg ; S6=1 S9=1 +Node 260 CLK_000_D_5_ Reg ; S6=1 S9=1 +Node 248 inst_AMIGA_BUS_ENABLE_DMA_HIGH Reg ; S6=1 S9=1 +Node 109 SM_AMIGA_1_ Reg ; S6=1 S9=1 +Node 223 inst_UDS_000_INT Reg ; S6=1 S9=1 +Node 134 inst_DS_000_ENABLE Reg ; S6=1 S9=1 +Node 152 inst_LDS_000_INT Reg ; S6=1 S9=1 Node 221 SM_AMIGA_6_ Reg ; S6=1 S9=1 -Node 152 SM_AMIGA_4_ Reg ; S6=1 S9=1 -Node 119 SM_AMIGA_0_ Reg ; S6=1 S9=1 -Node 163 RST_DLY_0_ Reg ; S6=1 S9=1 -Node 121 RST_DLY_1_ Reg ; S6=1 S9=1 -Node 115 RST_DLY_2_ Reg ; S6=1 S9=1 -Node 254 inst_CLK_030_H Reg ; S6=1 S9=1 -Node 109 inst_DSACK1_INT Reg ; S6=1 S9=1 -Node 223 inst_AS_000_INT Reg ; S6=1 S9=1 -Node 182 SM_AMIGA_5_ Reg ; S6=1 S9=1 -Node 164 SM_AMIGA_3_ Reg ; S6=1 S9=1 -Node 158 SM_AMIGA_2_ Reg ; S6=1 S9=1 +Node 115 SM_AMIGA_4_ Reg ; S6=1 S9=1 +Node 113 SM_AMIGA_0_ Reg ; S6=1 S9=1 +Node 259 RST_DLY_0_ Reg ; S6=1 S9=1 +Node 140 RST_DLY_1_ Reg ; S6=1 S9=1 +Node 265 RST_DLY_2_ Reg ; S6=1 S9=1 +Node 170 inst_CLK_030_H Reg ; S6=1 S9=1 +Node 239 inst_DSACK1_INT Reg ; S6=1 S9=1 +Node 158 inst_AS_000_INT Reg ; S6=1 S9=1 +Node 145 SM_AMIGA_5_ Reg ; S6=1 S9=1 +Node 116 SM_AMIGA_3_ Reg ; S6=1 S9=1 +Node 110 SM_AMIGA_2_ Reg ; S6=1 S9=1 Node 233 SM_AMIGA_i_7_ Reg ; S6=1 S9=1 Node 205 CIIN_0 Comb ; S6=1 S9=1 ; Unused Pins & Nodes diff --git a/Logic/68030_tk.prd b/Logic/68030_tk.prd index 48159d7..0482085 100644 --- a/Logic/68030_tk.prd +++ b/Logic/68030_tk.prd @@ -5,8 +5,8 @@ |--------------------------------------------| -Start: Thu Oct 06 21:35:00 2016 -End : Thu Oct 06 21:35:00 2016 $$$ Elapsed time: 00:00:00 +Start: Thu Oct 06 22:04:16 2016 +End : Thu Oct 06 22:04:16 2016 $$$ Elapsed time: 00:00:00 =========================================================================== Part [E:/ispLEVER_Classic2_0/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] @@ -21,16 +21,16 @@ Part [E:/ispLEVER_Classic2_0/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] | | +- Signals to Place | | +----- Logic Array Inputs | | | +- Placed | | | +- Array Inputs Used _|____|____|____|_______________|____|_____________|___|________________ - 0 | 16 | 11 | 11 => 100% | 8 | 8 => 100% | 33 | 23 => 69% - 1 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 21 => 63% - 2 | 16 | 12 | 12 => 100% | 8 | 7 => 87% | 33 | 26 => 78% - 3 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 25 => 75% + 0 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 25 => 75% + 1 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 25 => 75% + 2 | 16 | 12 | 12 => 100% | 8 | 7 => 87% | 33 | 25 => 75% + 3 | 16 | 12 | 12 => 100% | 8 | 8 => 100% | 33 | 24 => 72% 4 | 16 | 7 | 7 => 100% | 8 | 4 => 50% | 33 | 30 => 90% - 5 | 16 | 5 | 5 => 100% | 8 | 5 => 62% | 33 | 24 => 72% - 6 | 16 | 12 | 12 => 100% | 8 | 7 => 87% | 33 | 26 => 78% - 7 | 16 | 10 | 10 => 100% | 8 | 8 => 100% | 33 | 27 => 81% + 5 | 16 | 5 | 5 => 100% | 8 | 5 => 62% | 33 | 26 => 78% + 6 | 16 | 12 | 12 => 100% | 8 | 7 => 87% | 33 | 25 => 75% + 7 | 16 | 10 | 10 => 100% | 8 | 8 => 100% | 33 | 28 => 84% ---|----|----|------------|-------|------------|-----|------------------ - | Avg number of array inputs in used blocks : 25.25 => 76% + | Avg number of array inputs in used blocks : 26.00 => 78% * Input/Clock Signal count: 24 -> placed: 24 = 100% @@ -41,13 +41,13 @@ _|____|____|____|_______________|____|_____________|___|________________ Clock Only Pins : 0 0 => 0% Clock/Input Pins : 4 4 => 100% Logic Blocks : 8 8 => 100% - Macrocells : 128 81 => 63% - PT Clusters : 128 51 => 39% - - Single PT Clusters : 128 37 => 28% + Macrocells : 128 82 => 64% + PT Clusters : 128 52 => 40% + - Single PT Clusters : 128 38 => 29% Input Registers : 0 * Routing Completion: 100% -* Attempts: Place [ 116] Route [ 0] +* Attempts: Place [ 117] Route [ 0] =========================================================================== Signal Fanout Table =========================================================================== @@ -69,12 +69,12 @@ ___|__|__|____|____________________________________________________________ 10| 4|OUT| 48|=> ....|....| AMIGA_BUS_DATA_DIR 11| 3|OUT| 34|=> ....|....| AMIGA_BUS_ENABLE_HIGH 12| 2|OUT| 20|=> ....|....| AMIGA_BUS_ENABLE_LOW - 13| 4| IO| 42|=> 0...|4.67| AS_000 - 14| 7| IO| 82|=> 0...|45.7| AS_030 + 13| 4| IO| 42|=> 0.23|4..7| AS_000 + 14| 7| IO| 82|=> .12.|45.7| AS_030 15| 0|OUT| 92|=> ....|....| AVEC - 16| 6| IO| 69|=> .1..|....| A_0_ + 16| 6| IO| 69|=> ..2.|.5..| A_0_ |=> Paired w/: RN_A_0_ - 17| 5|INP| 60|=> 0...|....| A_1_ + 17| 5|INP| 60|=> ....|..6.| A_1_ 18| 0|INP| 96|=> ....|45.7| A_DECODE_16_ 19| 5|INP| 59|=> ....|45.7| A_DECODE_17_ 20| 0|INP| 95|=> ....|45.7| A_DECODE_18_ @@ -83,7 +83,7 @@ ___|__|__|____|____________________________________________________________ 23| 0|INP| 94|=> ....|4...| A_DECODE_21_ 24| 7|INP| 84|=> ....|4...| A_DECODE_22_ 25| 7|INP| 85|=> ....|4...| A_DECODE_23_ - 26| 4| IO| 41|=> ..2.|....| BERR + 26| 4| IO| 41|=> 0...|....| BERR 27| 3|INP| 28|=> ....|4..7| BGACK_000 28| 7| IO| 83|=> ....|....| BGACK_030 |=> Paired w/: RN_BGACK_030 @@ -92,109 +92,110 @@ ___|__|__|____|____________________________________________________________ 30| 2|INP| 21|=> ...3|....| BG_030 31| 4|OUT| 47|=> ....|....| CIIN 32| 4|NOD| . |=> ....|4...| CIIN_0 - 33| +|INP| 11|=> .1..|....| CLK_000 - 34| 1|NOD| . |=> 0.23|.567| CLK_000_D_0_ - 35| 7|NOD| . |=> 0.23|.567| CLK_000_D_1_ - 36| 7|NOD| . |=> 0.23|....| CLK_000_D_2_ - 37| 3|NOD| . |=> 0.23|.5..| CLK_000_D_3_ - 38| 3|NOD| . |=> ....|.5..| CLK_000_D_4_ - 39| +|INP| 64|=> ....|..6.| CLK_030 - 40| 6|OUT| 65|=> ....|....| CLK_DIV_OUT - 41| 1|OUT| 10|=> ....|....| CLK_EXP - 42| +|Cin| 61|=> ....|....| CLK_OSZI - 43| 0|NOD| . |=> 0...|..6.| CYCLE_DMA_0_ - 44| 6|NOD| . |=> ....|..6.| CYCLE_DMA_1_ - 45| 7|OUT| 81|=> ....|....| DSACK1 - 46| 0|OUT| 98|=> ....|....| DS_030 - 47| 3|INP| 30|=> ..2.|....| DTACK - 48| 6|OUT| 66|=> ....|....| E - 49| 5|INP| 57|=> ....|45.7| FC_0_ - 50| 5|INP| 58|=> ....|45.7| FC_1_ - 51| 7|OUT| 78|=> ....|....| FPU_CS - 52| 0|INP| 91|=> ....|4..7| FPU_SENSE - 53| 1| IO| 8|=> ....|....| IPL_030_0_ + 33| +|INP| 11|=> ...3|....| CLK_000 + 34| 3|NOD| . |=> 0123|.567| CLK_000_D_0_ + 35| 7|NOD| . |=> 0123|.567| CLK_000_D_1_ + 36| 7|NOD| . |=> 0...|.5..| CLK_000_D_2_ + 37| 0|NOD| . |=> 0...|.5..| CLK_000_D_3_ + 38| 0|NOD| . |=> ....|.56.| CLK_000_D_4_ + 39| 6|NOD| . |=> ....|.5..| CLK_000_D_5_ + 40| +|INP| 64|=> ..2.|....| CLK_030 + 41| 6|OUT| 65|=> ....|....| CLK_DIV_OUT + 42| 1|OUT| 10|=> ....|....| CLK_EXP + 43| +|Cin| 61|=> ....|....| CLK_OSZI + 44| 3|NOD| . |=> ..23|....| CYCLE_DMA_0_ + 45| 3|NOD| . |=> ..23|....| CYCLE_DMA_1_ + 46| 7|OUT| 81|=> ....|....| DSACK1 + 47| 0|OUT| 98|=> ....|....| DS_030 + 48| 3|INP| 30|=> ....|...7| DTACK + 49| 6|OUT| 66|=> ....|....| E + 50| 5|INP| 57|=> ....|45.7| FC_0_ + 51| 5|INP| 58|=> ....|45.7| FC_1_ + 52| 7|OUT| 78|=> ....|....| FPU_CS + 53| 0|INP| 91|=> ....|4..7| FPU_SENSE + 54| 1| IO| 8|=> ....|....| IPL_030_0_ |=> Paired w/: RN_IPL_030_0_ - 54| 1| IO| 7|=> ....|....| IPL_030_1_ + 55| 1| IO| 7|=> ....|....| IPL_030_1_ |=> Paired w/: RN_IPL_030_1_ - 55| 1| IO| 9|=> ....|....| IPL_030_2_ + 56| 1| IO| 9|=> ....|....| IPL_030_2_ |=> Paired w/: RN_IPL_030_2_ - 56| 6|INP| 67|=> .1.3|....| IPL_0_ - 57| 5|INP| 56|=> .1..|....| IPL_1_ - 58| 6|INP| 68|=> .1..|..6.| IPL_2_ - 59| 3|NOD| . |=> .1..|....| IPL_D0_0_ - 60| 1|NOD| . |=> .1..|....| IPL_D0_1_ - 61| 6|NOD| . |=> .1..|....| IPL_D0_2_ - 62| 3| IO| 31|=> ....|..67| LDS_000 - 63| 1|OUT| 3|=> ....|....| RESET - 64| 6|NOD| . |=> ....|..6.| RN_A_0_ + 57| 6|INP| 67|=> 01..|....| IPL_0_ + 58| 5|INP| 56|=> .1..|....| IPL_1_ + 59| 6|INP| 68|=> .1..|..6.| IPL_2_ + 60| 0|NOD| . |=> .1..|....| IPL_D0_0_ + 61| 1|NOD| . |=> .1..|....| IPL_D0_1_ + 62| 6|NOD| . |=> .1..|....| IPL_D0_2_ + 63| 3| IO| 31|=> ..2.|..67| LDS_000 + 64| 1|OUT| 3|=> ....|....| RESET + 65| 6|NOD| . |=> ....|..6.| RN_A_0_ |=> Paired w/: A_0_ - 65| 7|NOD| . |=> 0123|4567| RN_BGACK_030 + 66| 7|NOD| . |=> 0123|4567| RN_BGACK_030 |=> Paired w/: BGACK_030 - 66| 3|NOD| . |=> ...3|....| RN_BG_000 + 67| 3|NOD| . |=> ...3|....| RN_BG_000 |=> Paired w/: BG_000 - 67| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ + 68| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ |=> Paired w/: IPL_030_0_ - 68| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ + 69| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ |=> Paired w/: IPL_030_1_ - 69| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ + 70| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ |=> Paired w/: IPL_030_2_ - 70| 6|NOD| . |=> ....|..6.| RN_RW + 71| 6|NOD| . |=> ....|..6.| RN_RW |=> Paired w/: RW - 71| 7|NOD| . |=> ....|...7| RN_RW_000 + 72| 7|NOD| . |=> ....|...7| RN_RW_000 |=> Paired w/: RW_000 - 72| 6|NOD| . |=> ....|..6.| RN_SIZE_0_ + 73| 6|NOD| . |=> ....|..6.| RN_SIZE_0_ |=> Paired w/: SIZE_0_ - 73| 7|NOD| . |=> ....|...7| RN_SIZE_1_ + 74| 7|NOD| . |=> ....|...7| RN_SIZE_1_ |=> Paired w/: SIZE_1_ - 74| 3|NOD| . |=> ..23|....| RN_VMA + 75| 3|NOD| . |=> 0..3|....| RN_VMA |=> Paired w/: VMA - 75| +|INP| 86|=> 0123|.567| RST - 76| 2|NOD| . |=> 0.2.|....| RST_DLY_0_ - 77| 0|NOD| . |=> 0.2.|....| RST_DLY_1_ - 78| 0|NOD| . |=> 0.2.|....| RST_DLY_2_ - 79| 6| IO| 71|=> ....|.5.7| RW + 76| +|INP| 86|=> 0123|.567| RST + 77| 6|NOD| . |=> .1..|..6.| RST_DLY_0_ + 78| 1|NOD| . |=> .1..|..6.| RST_DLY_1_ + 79| 6|NOD| . |=> .1..|..6.| RST_DLY_2_ + 80| 6| IO| 71|=> .1..|...7| RW |=> Paired w/: RN_RW - 80| 7| IO| 80|=> ....|4.6.| RW_000 + 81| 7| IO| 80|=> ..2.|4.6.| RW_000 |=> Paired w/: RN_RW_000 - 81| 6| IO| 70|=> .1..|....| SIZE_0_ + 82| 6| IO| 70|=> ..2.|....| SIZE_0_ |=> Paired w/: RN_SIZE_0_ - 82| 7| IO| 79|=> .1..|....| SIZE_1_ + 83| 7| IO| 79|=> ..2.|....| SIZE_1_ |=> Paired w/: RN_SIZE_1_ - 83| 0|NOD| . |=> 0...|.5.7| SM_AMIGA_0_ - 84| 2|NOD| . |=> 0.2.|....| SM_AMIGA_1_ - 85| 2|NOD| . |=> ..2.|....| SM_AMIGA_2_ - 86| 2|NOD| . |=> ..2.|....| SM_AMIGA_3_ - 87| 2|NOD| . |=> ..2.|.5..| SM_AMIGA_4_ - 88| 3|NOD| . |=> ..23|....| SM_AMIGA_5_ - 89| 5|NOD| . |=> .1.3|.5.7| SM_AMIGA_6_ - 90| 5|NOD| . |=> ....|.5.7| SM_AMIGA_i_7_ - 91| 3| IO| 32|=> ....|..67| UDS_000 - 92| 3| IO| 35|=> ....|....| VMA + 84| 0|NOD| . |=> 0...|.5.7| SM_AMIGA_0_ + 85| 0|NOD| . |=> 0...|.5..| SM_AMIGA_1_ + 86| 0|NOD| . |=> 0...|....| SM_AMIGA_2_ + 87| 0|NOD| . |=> 0...|....| SM_AMIGA_3_ + 88| 0|NOD| . |=> 01..|....| SM_AMIGA_4_ + 89| 1|NOD| . |=> 01..|....| SM_AMIGA_5_ + 90| 5|NOD| . |=> .12.|.5.7| SM_AMIGA_6_ + 91| 5|NOD| . |=> ....|.5.7| SM_AMIGA_i_7_ + 92| 3| IO| 32|=> ..2.|..67| UDS_000 + 93| 3| IO| 35|=> ....|....| VMA |=> Paired w/: RN_VMA - 93| +|INP| 36|=> 0...|....| VPA - 94| 6|NOD| . |=> ..23|..6.| cpu_est_0_ - 95| 6|NOD| . |=> ..23|..6.| cpu_est_1_ - 96| 3|NOD| . |=> ..23|..6.| cpu_est_2_ - 97| 3|NOD| . |=> ..23|..6.| cpu_est_3_ - 98| 0|NOD| . |=> 0..3|....| inst_AMIGA_BUS_ENABLE_DMA_HIGH - 99| 0|NOD| . |=> 0.2.|....| inst_AMIGA_BUS_ENABLE_DMA_LOW - 100| 6|NOD| . |=> ....|..67| inst_AS_000_DMA - 101| 5|NOD| . |=> ....|45..| inst_AS_000_INT - 102| 5|NOD| . |=> ...3|.5..| inst_AS_030_000_SYNC - 103| 7|NOD| . |=> ...3|45..| inst_AS_030_D0 - 104| 7|NOD| . |=> 0...|.567| inst_BGACK_030_INT_D - 105| 6|NOD| . |=> ....|..6.| inst_CLK_030_H - 106| 4|NOD| . |=> ....|4...| inst_CLK_OUT_PRE_50 - 107| 4|NOD| . |=> .1..|..6.| inst_CLK_OUT_PRE_D - 108| 0|NOD| . |=> 0...|...7| inst_DSACK1_INT - 109| 6|NOD| . |=> 0...|..6.| inst_DS_000_DMA - 110| 5|NOD| . |=> ...3|.5..| inst_DS_000_ENABLE - 111| 2|NOD| . |=> ..2.|....| inst_DTACK_D0 - 112| 1|NOD| . |=> .1.3|....| inst_LDS_000_INT - 113| 0|NOD| . |=> 0123|4.67| inst_RESET_OUT - 114| 1|NOD| . |=> .1.3|....| inst_UDS_000_INT - 115| 0|NOD| . |=> ..23|....| inst_VPA_D - 116| +|INP| 14|=> 0123|4567| nEXP_SPACE + 94| +|INP| 36|=> ..2.|....| VPA + 95| 3|NOD| . |=> 0..3|....| cpu_est_0_ + 96| 3|NOD| . |=> 0..3|..6.| cpu_est_1_ + 97| 3|NOD| . |=> 0..3|..6.| cpu_est_2_ + 98| 0|NOD| . |=> 0..3|..6.| cpu_est_3_ + 99| 6|NOD| . |=> ...3|..6.| inst_AMIGA_BUS_ENABLE_DMA_HIGH + 100| 6|NOD| . |=> ..2.|..6.| inst_AMIGA_BUS_ENABLE_DMA_LOW + 101| 2|NOD| . |=> ..2.|...7| inst_AS_000_DMA + 102| 2|NOD| . |=> ..2.|4...| inst_AS_000_INT + 103| 5|NOD| . |=> ...3|.5..| inst_AS_030_000_SYNC + 104| 7|NOD| . |=> ...3|45..| inst_AS_030_D0 + 105| 0|NOD| . |=> ....|.567| inst_BGACK_030_INT_D + 106| 2|NOD| . |=> ..2.|....| inst_CLK_030_H + 107| 4|NOD| . |=> ....|4...| inst_CLK_OUT_PRE_50 + 108| 4|NOD| . |=> .1..|..6.| inst_CLK_OUT_PRE_D + 109| 5|NOD| . |=> ....|.5.7| inst_DSACK1_INT + 110| 2|NOD| . |=> 0.2.|....| inst_DS_000_DMA + 111| 1|NOD| . |=> .1.3|....| inst_DS_000_ENABLE + 112| 7|NOD| . |=> 0...|....| inst_DTACK_D0 + 113| 2|NOD| . |=> ..23|....| inst_LDS_000_INT + 114| 6|NOD| . |=> 0123|4.67| inst_RESET_OUT + 115| 5|NOD| . |=> ...3|.5..| inst_UDS_000_INT + 116| 2|NOD| . |=> 0..3|....| inst_VPA_D + 117| +|INP| 14|=> 0123|4567| nEXP_SPACE --------------------------------------------------------------------------- =========================================================================== < E:/ispLEVER_Classic2_0/ispcpld/dat/mach4a/mach447a Device Pin Assignments > @@ -315,20 +316,20 @@ ____|_____|_________|______________________________________________________ | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| DS_030|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1| CYCLE_DMA_0_|NOD| | S | 3 | 4 to [ 1]| 1 XOR free - 2|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 | 4 to [ 2]| 1 XOR free + 1|inst_BGACK_030_INT_D|NOD| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig + 2| CLK_000_D_4_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 free | 1 XOR free 4| AVEC|OUT| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5|inst_DSACK1_INT|NOD| | S | 2 | 4 to [ 5]| 1 XOR free - 6|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 | 4 to [ 6]| 1 XOR free + 5| SM_AMIGA_1_|NOD| | S | 4 | 4 to [ 5]| 1 XOR free + 6| SM_AMIGA_2_|NOD| | S | 5 | 4 to [ 6]| 1 XOR to [ 6] as logic PT 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_RESET_OUT|NOD| | S | 2 | 4 to [ 8]| 1 XOR free - 9| RST_DLY_2_|NOD| | S | 2 | 4 to [ 9]| 1 XOR free -10| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig + 8| SM_AMIGA_0_|NOD| | S | 4 | 4 to [ 8]| 1 XOR free + 9| SM_AMIGA_4_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free +10| SM_AMIGA_3_|NOD| | S | 5 | 4 to [10]| 1 XOR to [10] as logic PT 11| | ? | | S | | 4 free | 1 XOR free -12| SM_AMIGA_0_|NOD| | S | 4 | 4 to [12]| 1 XOR free -13| RST_DLY_1_|NOD| | S | 2 :+: 1| 4 to [13]| 1 XOR to [13] -14| | ? | | S | | 4 free | 1 XOR free +12| cpu_est_3_|NOD| | S | 4 | 4 to [12]| 1 XOR free +13| CLK_000_D_3_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +14| IPL_D0_0_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -341,22 +342,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| DS_030|OUT| | S | 1 |=> can support up to [ 5] logic PT(s) - 1| CYCLE_DMA_0_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) - 2|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 9] logic PT(s) + 0| DS_030|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) + 1|inst_BGACK_030_INT_D|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) + 2| CLK_000_D_4_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) + 3| | ? | | S | |=> can support up to [ 13] logic PT(s) 4| AVEC|OUT| | S | 1 |=> can support up to [ 10] logic PT(s) - 5|inst_DSACK1_INT|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) - 6|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) + 5| SM_AMIGA_1_|NOD| | S | 4 |=> can support up to [ 14] logic PT(s) + 6| SM_AMIGA_2_|NOD| | S | 5 |=> can support up to [ 10] logic PT(s) 7| | ? | | S | |=> can support up to [ 5] logic PT(s) - 8|inst_RESET_OUT|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) - 9| RST_DLY_2_|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) -10| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) + 8| SM_AMIGA_0_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) + 9| SM_AMIGA_4_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) +10| SM_AMIGA_3_|NOD| | S | 5 |=> can support up to [ 10] logic PT(s) 11| | ? | | S | |=> can support up to [ 9] logic PT(s) -12| SM_AMIGA_0_|NOD| | S | 4 |=> can support up to [ 15] logic PT(s) -13| RST_DLY_1_|NOD| | S | 2 :+: 1|=> can support up to [ 14] logic PT(s) -14| | ? | | S | |=> can support up to [ 10] logic PT(s) -15| | ? | | S | |=> can support up to [ 10] logic PT(s) +12| cpu_est_3_|NOD| | S | 4 |=> can support up to [ 18] logic PT(s) +13| CLK_000_D_3_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) +14| IPL_D0_0_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) +15| | ? | | S | |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 0] > Node-Pin Assignments @@ -367,20 +368,20 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0| DS_030|OUT| | => | 5 6 ( 7) 0 | 96 97 ( 98) 91 - 1| CYCLE_DMA_0_|NOD| | => | 5 6 7 0 | 96 97 98 91 - 2|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | => | 6 7 0 1 | 97 98 91 92 + 1|inst_BGACK_030_INT_D|NOD| | => | 5 6 7 0 | 96 97 98 91 + 2| CLK_000_D_4_|NOD| | => | 6 7 0 1 | 97 98 91 92 3| | | | => | 6 7 0 1 | 97 98 91 92 4| AVEC|OUT| | => | 7 0 ( 1) 2 | 98 91 ( 92) 93 - 5|inst_DSACK1_INT|NOD| | => | 7 0 1 2 | 98 91 92 93 - 6|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | => | 0 1 2 3 | 91 92 93 94 + 5| SM_AMIGA_1_|NOD| | => | 7 0 1 2 | 98 91 92 93 + 6| SM_AMIGA_2_|NOD| | => | 0 1 2 3 | 91 92 93 94 7| | | | => | 0 1 2 3 | 91 92 93 94 - 8|inst_RESET_OUT|NOD| | => | 1 2 3 4 | 92 93 94 95 - 9| RST_DLY_2_|NOD| | => | 1 2 3 4 | 92 93 94 95 -10| inst_VPA_D|NOD| | => | 2 3 4 5 | 93 94 95 96 + 8| SM_AMIGA_0_|NOD| | => | 1 2 3 4 | 92 93 94 95 + 9| SM_AMIGA_4_|NOD| | => | 1 2 3 4 | 92 93 94 95 +10| SM_AMIGA_3_|NOD| | => | 2 3 4 5 | 93 94 95 96 11| | | | => | 2 3 4 5 | 93 94 95 96 -12| SM_AMIGA_0_|NOD| | => | 3 4 5 6 | 94 95 96 97 -13| RST_DLY_1_|NOD| | => | 3 4 5 6 | 94 95 96 97 -14| | | | => | 4 5 6 7 | 95 96 97 98 +12| cpu_est_3_|NOD| | => | 3 4 5 6 | 94 95 96 97 +13| CLK_000_D_3_|NOD| | => | 3 4 5 6 | 94 95 96 97 +14| IPL_D0_0_|NOD| | => | 4 5 6 7 | 95 96 97 98 15| | | | => | 4 5 6 7 | 95 96 97 98 --------------------------------------------------------------------------- =========================================================================== @@ -431,41 +432,41 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 91|INP FPU_SENSE|*|*] [RegIn 0 |102| -| | ] [MCell 0 |101|OUT DS_030| | ] - [MCell 1 |103|NOD CYCLE_DMA_0_| |*] + [MCell 1 |103|NOD inst_BGACK_030_INT_D| |*] 1 [IOpin 1 | 92|OUT AVEC|*| ] [RegIn 1 |105| -| | ] - [MCell 2 |104|NOD inst_AMIGA_BUS_ENABLE_DMA_HIGH| |*] + [MCell 2 |104|NOD CLK_000_D_4_| |*] [MCell 3 |106| -| | ] 2 [IOpin 2 | 93|INP A_DECODE_20_|*|*] [RegIn 2 |108| -| | ] [MCell 4 |107|OUT AVEC| | ] - [MCell 5 |109|NOD inst_DSACK1_INT| |*] + [MCell 5 |109|NOD SM_AMIGA_1_| |*] 3 [IOpin 3 | 94|INP A_DECODE_21_|*|*] [RegIn 3 |111| -| | ] - [MCell 6 |110|NOD inst_AMIGA_BUS_ENABLE_DMA_LOW| |*] + [MCell 6 |110|NOD SM_AMIGA_2_| |*] [MCell 7 |112| -| | ] 4 [IOpin 4 | 95|INP A_DECODE_18_|*|*] [RegIn 4 |114| -| | ] - [MCell 8 |113|NOD inst_RESET_OUT| |*] - [MCell 9 |115|NOD RST_DLY_2_| |*] + [MCell 8 |113|NOD SM_AMIGA_0_| |*] + [MCell 9 |115|NOD SM_AMIGA_4_| |*] 5 [IOpin 5 | 96|INP A_DECODE_16_|*|*] [RegIn 5 |117| -| | ] - [MCell 10 |116|NOD inst_VPA_D| |*] + [MCell 10 |116|NOD SM_AMIGA_3_| |*] [MCell 11 |118| -| | ] 6 [IOpin 6 | 97|INP A_DECODE_19_|*|*] [RegIn 6 |120| -| | ] - [MCell 12 |119|NOD SM_AMIGA_0_| |*] - [MCell 13 |121|NOD RST_DLY_1_| |*] + [MCell 12 |119|NOD cpu_est_3_| |*] + [MCell 13 |121|NOD CLK_000_D_3_| |*] 7 [IOpin 7 | 98|OUT DS_030|*| ] [RegIn 7 |123| -| | ] - [MCell 14 |122| -| | ] + [MCell 14 |122|NOD IPL_D0_0_| |*] [MCell 15 |124| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -474,37 +475,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Mcel 0 13 ( 121)| RST_DLY_1_ -Mux01| ... | ... -Mux02| Mcel 0 5 ( 109)| inst_DSACK1_INT -Mux03| Mcel 2 9 ( 163)| RST_DLY_0_ +Mux00| IOPin 6 2 ( 67)| IPL_0_ +Mux01| IOPin 4 0 ( 41)| BERR +Mux02| Mcel 0 5 ( 109)| SM_AMIGA_1_ +Mux03| Mcel 2 9 ( 163)| inst_DS_000_DMA Mux04| Mcel 7 2 ( 272)| CLK_000_D_2_ Mux05| Input Pin ( 14)| nEXP_SPACE Mux06| ... | ... -Mux07| Mcel 3 9 ( 187)| CLK_000_D_3_ -Mux08| ... | ... -Mux09| IOPin 7 3 ( 82)| AS_030 -Mux10| Mcel 1 13 ( 145)| CLK_000_D_0_ -Mux11| IOPin 5 0 ( 60)| A_1_ -Mux12| Mcel 0 1 ( 103)| CYCLE_DMA_0_ -Mux13| Input Pin ( 36)| VPA -Mux14| ... | ... -Mux15| Mcel 0 6 ( 110)| inst_AMIGA_BUS_ENABLE_DMA_LOW -Mux16| IOPin 4 1 ( 42)| AS_000 -Mux17| ... | ... -Mux18| Mcel 0 8 ( 113)| inst_RESET_OUT -Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D +Mux07| Mcel 7 6 ( 278)| inst_DTACK_D0 +Mux08| Mcel 2 10 ( 164)| inst_VPA_D +Mux09| Mcel 0 12 ( 119)| cpu_est_3_ +Mux10| Mcel 0 6 ( 110)| SM_AMIGA_2_ +Mux11| ... | ... +Mux12| Mcel 3 9 ( 187)| CLK_000_D_0_ +Mux13| Mcel 7 5 ( 277)| CLK_000_D_1_ +Mux14| Mcel 0 10 ( 116)| SM_AMIGA_3_ +Mux15| ... | ... +Mux16| Mcel 3 2 ( 176)| cpu_est_2_ +Mux17| Mcel 3 14 ( 194)| cpu_est_0_ +Mux18| Mcel 3 0 ( 173)| RN_VMA +Mux19| Mcel 0 9 ( 115)| SM_AMIGA_4_ Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 Mux21| Input Pin ( 86)| RST -Mux22| Mcel 0 2 ( 104)| inst_AMIGA_BUS_ENABLE_DMA_HIGH +Mux22| Mcel 6 5 ( 253)| inst_RESET_OUT Mux23| ... | ... -Mux24| Mcel 0 12 ( 119)| SM_AMIGA_0_ -Mux25| Mcel 6 13 ( 265)| inst_DS_000_DMA -Mux26| ... | ... -Mux27| Mcel 7 5 ( 277)| CLK_000_D_1_ -Mux28| ... | ... -Mux29| Mcel 0 9 ( 115)| RST_DLY_2_ -Mux30| Mcel 2 13 ( 169)| SM_AMIGA_1_ +Mux24| ... | ... +Mux25| Mcel 0 13 ( 121)| CLK_000_D_3_ +Mux26| IOPin 4 1 ( 42)| AS_000 +Mux27| ... | ... +Mux28| Mcel 1 13 ( 145)| SM_AMIGA_5_ +Mux29| Mcel 3 13 ( 193)| cpu_est_1_ +Mux30| Mcel 0 8 ( 113)| SM_AMIGA_0_ Mux31| ... | ... Mux32| ... | ... --------------------------------------------------------------------------- @@ -524,14 +525,14 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 3| | ? | | S | | 4 to [ 4]| 1 XOR to [ 4] as logic PT 4| IPL_030_2_| IO| | S |10 | 4 to [ 4]| 1 XOR to [ 4] as logic PT 5| IPL_030_0_| IO| | S |10 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6|inst_LDS_000_INT|NOD| | S | 3 | 4 to [ 5]| 1 XOR to [ 5] as logic PT + 6|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [ 5]| 1 XOR to [ 5] as logic PT 7| | ? | | S | | 4 free | 1 XOR free 8| AHIGH_29_| IO| | S | 1 | 4 to [ 6]| 1 XOR to [ 8] for 1 PT sig 9| IPL_030_1_| IO| | S |10 | 4 to [ 9]| 1 XOR to [ 9] as logic PT -10|inst_UDS_000_INT|NOD| | S | 2 | 4 to [ 9]| 1 XOR to [ 9] as logic PT -11| | ? | | S | | 4 free | 1 XOR free +10| RST_DLY_1_|NOD| | S | 2 :+: 1| 4 to [ 9]| 1 XOR to [10] +11| | ? | | S | | 4 to [ 9]| 1 XOR free 12| AHIGH_31_| IO| | S | 1 | 4 to [10]| 1 XOR to [12] for 1 PT sig -13| CLK_000_D_0_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +13| SM_AMIGA_5_|NOD| | S | 3 | 4 to [13]| 1 XOR free 14| IPL_D0_1_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -551,15 +552,15 @@ _|_________________|__|__|___|_____|_______________________________________ 3| | ? | | S | |=> can support up to [ 4] logic PT(s) 4| IPL_030_2_| IO| | S |10 |=> can support up to [ 10] logic PT(s) 5| IPL_030_0_| IO| | S |10 |=> can support up to [ 15] logic PT(s) - 6|inst_LDS_000_INT|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) + 6|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 9] logic PT(s) 7| | ? | | S | |=> can support up to [ 5] logic PT(s) 8| AHIGH_29_| IO| | S | 1 |=> can support up to [ 6] logic PT(s) - 9| IPL_030_1_| IO| | S |10 |=> can support up to [ 15] logic PT(s) -10|inst_UDS_000_INT|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) -11| | ? | | S | |=> can support up to [ 9] logic PT(s) -12| AHIGH_31_| IO| | S | 1 |=> can support up to [ 14] logic PT(s) -13| CLK_000_D_0_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -14| IPL_D0_1_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 9| IPL_030_1_| IO| | S |10 |=> can support up to [ 14] logic PT(s) +10| RST_DLY_1_|NOD| | S | 2 :+: 1|=> can support up to [ 4] logic PT(s) +11| | ? | | S | |=> can support up to [ 1] logic PT(s) +12| AHIGH_31_| IO| | S | 1 |=> can support up to [ 5] logic PT(s) +13| SM_AMIGA_5_|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) +14| IPL_D0_1_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) 15| | ? | | S | |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== @@ -576,14 +577,14 @@ _|_________________|__|_____|____________________|________________________ 3| | | | => | 6 7 0 1 | 4 3 10 9 4| IPL_030_2_| IO| | => | 7 0 ( 1) 2 | 3 10 ( 9) 8 5| IPL_030_0_| IO| | => | 7 0 1 ( 2)| 3 10 9 ( 8) - 6|inst_LDS_000_INT|NOD| | => | 0 1 2 3 | 10 9 8 7 + 6|inst_DS_000_ENABLE|NOD| | => | 0 1 2 3 | 10 9 8 7 7| | | | => | 0 1 2 3 | 10 9 8 7 8| AHIGH_29_| IO| | => | 1 2 3 ( 4)| 9 8 7 ( 6) 9| IPL_030_1_| IO| | => | 1 2 ( 3) 4 | 9 8 ( 7) 6 -10|inst_UDS_000_INT|NOD| | => | 2 3 4 5 | 8 7 6 5 +10| RST_DLY_1_|NOD| | => | 2 3 4 5 | 8 7 6 5 11| | | | => | 2 3 4 5 | 8 7 6 5 12| AHIGH_31_| IO| | => | 3 4 5 ( 6)| 7 6 5 ( 4) -13| CLK_000_D_0_|NOD| | => | 3 4 5 6 | 7 6 5 4 +13| SM_AMIGA_5_|NOD| | => | 3 4 5 6 | 7 6 5 4 14| IPL_D0_1_|NOD| | => | 4 5 6 7 | 6 5 4 3 15| | | | => | 4 5 6 7 | 6 5 4 3 --------------------------------------------------------------------------- @@ -652,7 +653,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 7| IO IPL_030_1_|*| ] paired w/[ RN_IPL_030_1_] [RegIn 3 |135| -| | ] - [MCell 6 |134|NOD inst_LDS_000_INT| |*] + [MCell 6 |134|NOD inst_DS_000_ENABLE| |*] [MCell 7 |136| -| | ] 4 [IOpin 4 | 6| IO AHIGH_29_|*|*] @@ -662,13 +663,13 @@ IMX No. | +---- Block IO Pin or Macrocell Number 5 [IOpin 5 | 5| IO AHIGH_30_|*|*] [RegIn 5 |141| -| | ] - [MCell 10 |140|NOD inst_UDS_000_INT| |*] + [MCell 10 |140|NOD RST_DLY_1_| |*] [MCell 11 |142| -| | ] 6 [IOpin 6 | 4| IO AHIGH_31_|*|*] [RegIn 6 |144| -| | ] [MCell 12 |143| IO AHIGH_31_| | ] - [MCell 13 |145|NOD CLK_000_D_0_| |*] + [MCell 13 |145|NOD SM_AMIGA_5_| |*] 7 [IOpin 7 | 3|OUT RESET|*| ] [RegIn 7 |147| -| | ] @@ -683,37 +684,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number --|--|--------------------|--------------------------------------------------- Mux00| IOPin 6 2 ( 67)| IPL_0_ Mux01| ... | ... -Mux02| Mcel 1 10 ( 140)| inst_UDS_000_INT -Mux03| Input Pin ( 11)| CLK_000 +Mux02| Mcel 1 10 ( 140)| RST_DLY_1_ +Mux03| Mcel 0 14 ( 122)| IPL_D0_0_ Mux04| IOPin 6 3 ( 68)| IPL_2_ Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| IOPin 7 6 ( 79)| SIZE_1_ -Mux07| ... | ... -Mux08| Mcel 4 8 ( 209)| inst_CLK_OUT_PRE_D -Mux09| Mcel 1 14 ( 146)| IPL_D0_1_ -Mux10| Mcel 3 14 ( 194)| IPL_D0_0_ -Mux11| Mcel 6 14 ( 266)| IPL_D0_2_ -Mux12| ... | ... -Mux13| ... | ... -Mux14| IOPin 6 5 ( 70)| SIZE_0_ -Mux15| IOPin 6 4 ( 69)| A_0_ -Mux16| Mcel 1 9 ( 139)| RN_IPL_030_1_ +Mux06| Mcel 1 9 ( 139)| RN_IPL_030_1_ +Mux07| Mcel 3 9 ( 187)| CLK_000_D_0_ +Mux08| IOPin 6 6 ( 71)| RW +Mux09| Mcel 6 13 ( 265)| RST_DLY_2_ +Mux10| Mcel 1 13 ( 145)| SM_AMIGA_5_ +Mux11| Mcel 1 6 ( 134)| inst_DS_000_ENABLE +Mux12| Mcel 6 9 ( 259)| RST_DLY_0_ +Mux13| Mcel 7 5 ( 277)| CLK_000_D_1_ +Mux14| ... | ... +Mux15| ... | ... +Mux16| Mcel 4 8 ( 209)| inst_CLK_OUT_PRE_D Mux17| ... | ... -Mux18| Mcel 0 8 ( 113)| inst_RESET_OUT -Mux19| ... | ... -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| IOPin 5 4 ( 56)| IPL_1_ -Mux22| ... | ... -Mux23| Mcel 1 6 ( 134)| inst_LDS_000_INT -Mux24| Input Pin ( 86)| RST +Mux18| ... | ... +Mux19| Mcel 0 9 ( 115)| SM_AMIGA_4_ +Mux20| Mcel 1 14 ( 146)| IPL_D0_1_ +Mux21| Input Pin ( 86)| RST +Mux22| Mcel 6 5 ( 253)| inst_RESET_OUT +Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux24| ... | ... Mux25| Mcel 5 0 ( 221)| SM_AMIGA_6_ -Mux26| ... | ... +Mux26| Mcel 6 14 ( 266)| IPL_D0_2_ Mux27| Mcel 1 4 ( 131)| RN_IPL_030_2_ Mux28| Mcel 1 5 ( 133)| RN_IPL_030_0_ Mux29| ... | ... Mux30| ... | ... -Mux31| ... | ... -Mux32| ... | ... +Mux31| IOPin 5 4 ( 56)| IPL_1_ +Mux32| IOPin 7 3 ( 82)| AS_030 --------------------------------------------------------------------------- =========================================================================== < Block [ 2] > Macrocell (MCell) Cluster Assignments @@ -727,20 +728,20 @@ Mux32| ... | ... _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| AHIGH_28_| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig 1|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2| SM_AMIGA_4_|NOD| | S | 3 | 4 to [ 2]| 1 XOR free + 2|inst_LDS_000_INT|NOD| | S | 3 | 4 to [ 2]| 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free 4| AHIGH_26_| IO| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig 5| AHIGH_27_| IO| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6| SM_AMIGA_2_|NOD| | S | 5 | 4 to [ 6]| 1 XOR to [ 6] as logic PT + 6|inst_AS_000_INT|NOD| | S | 2 | 4 to [ 6]| 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free 8| AHIGH_24_| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9| RST_DLY_0_|NOD| | S | 4 | 4 to [ 9]| 1 XOR free -10| SM_AMIGA_3_|NOD| | S | 5 | 4 to [10]| 1 XOR to [10] as logic PT + 9|inst_DS_000_DMA|NOD| | S | 9 | 4 to [ 9]| 1 XOR to [ 9] as logic PT +10| inst_VPA_D|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [10] for 1 PT sig 11| | ? | | S | | 4 free | 1 XOR free -12| AHIGH_25_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| SM_AMIGA_1_|NOD| | S | 4 | 4 to [13]| 1 XOR free -14| inst_DTACK_D0|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig -15| | ? | | S | | 4 free | 1 XOR free +12| AHIGH_25_| IO| | S | 1 | 4 to [13]| 1 XOR to [12] for 1 PT sig +13|inst_AS_000_DMA|NOD| | S | 7 | 4 to [13]| 1 XOR to [13] as logic PT +14|inst_CLK_030_H|NOD| | S | 8 | 4 to [14]| 1 XOR to [14] as logic PT +15| | ? | | S | | 4 to [14]| 1 XOR free --------------------------------------------------------------------------- =========================================================================== < Block [ 2] > Maximum PT Capacity @@ -754,20 +755,20 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ _|_________________|__|__|___|_____|_______________________________________ 0| AHIGH_28_| IO| | S | 1 |=> can support up to [ 9] logic PT(s) 1|AMIGA_BUS_ENABLE_LOW|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) - 2| SM_AMIGA_4_|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) + 2|inst_LDS_000_INT|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) 3| | ? | | S | |=> can support up to [ 13] logic PT(s) 4| AHIGH_26_| IO| | S | 1 |=> can support up to [ 14] logic PT(s) 5| AHIGH_27_| IO| | S | 1 |=> can support up to [ 14] logic PT(s) - 6| SM_AMIGA_2_|NOD| | S | 5 |=> can support up to [ 18] logic PT(s) + 6|inst_AS_000_INT|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) 7| | ? | | S | |=> can support up to [ 9] logic PT(s) 8| AHIGH_24_| IO| | S | 1 |=> can support up to [ 10] logic PT(s) - 9| RST_DLY_0_|NOD| | S | 4 |=> can support up to [ 14] logic PT(s) -10| SM_AMIGA_3_|NOD| | S | 5 |=> can support up to [ 14] logic PT(s) -11| | ? | | S | |=> can support up to [ 9] logic PT(s) -12| AHIGH_25_| IO| | S | 1 |=> can support up to [ 14] logic PT(s) -13| SM_AMIGA_1_|NOD| | S | 4 |=> can support up to [ 18] logic PT(s) -14| inst_DTACK_D0|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) -15| | ? | | S | |=> can support up to [ 9] logic PT(s) + 9|inst_DS_000_DMA|NOD| | S | 9 |=> can support up to [ 18] logic PT(s) +10| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) +11| | ? | | S | |=> can support up to [ 5] logic PT(s) +12| AHIGH_25_| IO| | S | 1 |=> can support up to [ 6] logic PT(s) +13|inst_AS_000_DMA|NOD| | S | 7 |=> can support up to [ 9] logic PT(s) +14|inst_CLK_030_H|NOD| | S | 8 |=> can support up to [ 10] logic PT(s) +15| | ? | | S | |=> can support up to [ 1] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 2] > Node-Pin Assignments @@ -779,19 +780,19 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| AHIGH_28_| IO| | => | 5 6 7 ( 0)| 20 21 22 ( 15) 1|AMIGA_BUS_ENABLE_LOW|OUT| | => |( 5) 6 7 0 |( 20) 21 22 15 - 2| SM_AMIGA_4_|NOD| | => | 6 7 0 1 | 21 22 15 16 + 2|inst_LDS_000_INT|NOD| | => | 6 7 0 1 | 21 22 15 16 3| | | | => | 6 7 0 1 | 21 22 15 16 4| AHIGH_26_| IO| | => | 7 0 1 ( 2)| 22 15 16 ( 17) 5| AHIGH_27_| IO| | => | 7 0 ( 1) 2 | 22 15 ( 16) 17 - 6| SM_AMIGA_2_|NOD| | => | 0 1 2 3 | 15 16 17 18 + 6|inst_AS_000_INT|NOD| | => | 0 1 2 3 | 15 16 17 18 7| | | | => | 0 1 2 3 | 15 16 17 18 8| AHIGH_24_| IO| | => | 1 2 3 ( 4)| 16 17 18 ( 19) - 9| RST_DLY_0_|NOD| | => | 1 2 3 4 | 16 17 18 19 -10| SM_AMIGA_3_|NOD| | => | 2 3 4 5 | 17 18 19 20 + 9|inst_DS_000_DMA|NOD| | => | 1 2 3 4 | 16 17 18 19 +10| inst_VPA_D|NOD| | => | 2 3 4 5 | 17 18 19 20 11| | | | => | 2 3 4 5 | 17 18 19 20 12| AHIGH_25_| IO| | => |( 3) 4 5 6 |( 18) 19 20 21 -13| SM_AMIGA_1_|NOD| | => | 3 4 5 6 | 18 19 20 21 -14| inst_DTACK_D0|NOD| | => | 4 5 6 7 | 19 20 21 22 +13|inst_AS_000_DMA|NOD| | => | 3 4 5 6 | 18 19 20 21 +14|inst_CLK_030_H|NOD| | => | 4 5 6 7 | 19 20 21 22 15| | | | => | 4 5 6 7 | 19 20 21 22 --------------------------------------------------------------------------- =========================================================================== @@ -846,7 +847,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 16| IO AHIGH_27_|*|*] [RegIn 1 |153| -| | ] - [MCell 2 |152|NOD SM_AMIGA_4_| |*] + [MCell 2 |152|NOD inst_LDS_000_INT| |*] [MCell 3 |154| -| | ] 2 [IOpin 2 | 17| IO AHIGH_26_|*|*] @@ -856,27 +857,27 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 18| IO AHIGH_25_|*|*] [RegIn 3 |159| -| | ] - [MCell 6 |158|NOD SM_AMIGA_2_| |*] + [MCell 6 |158|NOD inst_AS_000_INT| |*] [MCell 7 |160| -| | ] 4 [IOpin 4 | 19| IO AHIGH_24_|*|*] [RegIn 4 |162| -| | ] [MCell 8 |161| IO AHIGH_24_| | ] - [MCell 9 |163|NOD RST_DLY_0_| |*] + [MCell 9 |163|NOD inst_DS_000_DMA| |*] 5 [IOpin 5 | 20|OUT AMIGA_BUS_ENABLE_LOW|*| ] [RegIn 5 |165| -| | ] - [MCell 10 |164|NOD SM_AMIGA_3_| |*] + [MCell 10 |164|NOD inst_VPA_D| |*] [MCell 11 |166| -| | ] 6 [IOpin 6 | 21|INP BG_030|*|*] [RegIn 6 |168| -| | ] [MCell 12 |167| IO AHIGH_25_| | ] - [MCell 13 |169|NOD SM_AMIGA_1_| |*] + [MCell 13 |169|NOD inst_AS_000_DMA| |*] 7 [IOpin 7 | 22| -| | ] [RegIn 7 |171| -| | ] - [MCell 14 |170|NOD inst_DTACK_D0| |*] + [MCell 14 |170|NOD inst_CLK_030_H| |*] [MCell 15 |172| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -886,38 +887,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- Mux00| Input Pin ( 86)| RST -Mux01| Mcel 3 0 ( 173)| RN_VMA -Mux02| Mcel 0 9 ( 115)| RST_DLY_2_ -Mux03| Mcel 2 9 ( 163)| RST_DLY_0_ -Mux04| Mcel 3 6 ( 182)| SM_AMIGA_5_ +Mux01| ... | ... +Mux02| Mcel 3 10 ( 188)| CYCLE_DMA_0_ +Mux03| Mcel 2 9 ( 163)| inst_DS_000_DMA +Mux04| Input Pin ( 64)| CLK_030 Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| ... | ... -Mux07| Mcel 3 9 ( 187)| CLK_000_D_3_ -Mux08| Mcel 0 10 ( 116)| inst_VPA_D -Mux09| Mcel 2 6 ( 158)| SM_AMIGA_2_ -Mux10| Mcel 1 13 ( 145)| CLK_000_D_0_ -Mux11| Mcel 2 13 ( 169)| SM_AMIGA_1_ -Mux12| Mcel 6 9 ( 259)| cpu_est_0_ +Mux06| IOPin 7 6 ( 79)| SIZE_1_ +Mux07| Mcel 2 14 ( 170)| inst_CLK_030_H +Mux08| IOPin 3 3 ( 32)| UDS_000 +Mux09| Mcel 2 6 ( 158)| inst_AS_000_INT +Mux10| Input Pin ( 36)| VPA +Mux11| Mcel 2 13 ( 169)| inst_AS_000_DMA +Mux12| Mcel 3 9 ( 187)| CLK_000_D_0_ Mux13| Mcel 7 5 ( 277)| CLK_000_D_1_ -Mux14| IOPin 3 5 ( 30)| DTACK -Mux15| Mcel 0 6 ( 110)| inst_AMIGA_BUS_ENABLE_DMA_LOW -Mux16| Mcel 3 2 ( 176)| cpu_est_2_ -Mux17| IOPin 4 0 ( 41)| BERR -Mux18| Mcel 2 14 ( 170)| inst_DTACK_D0 -Mux19| ... | ... +Mux14| IOPin 6 5 ( 70)| SIZE_0_ +Mux15| IOPin 6 4 ( 69)| A_0_ +Mux16| Mcel 3 6 ( 182)| CYCLE_DMA_1_ +Mux17| ... | ... +Mux18| ... | ... +Mux19| IOPin 7 3 ( 82)| AS_030 Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Mcel 3 13 ( 193)| cpu_est_3_ -Mux22| Mcel 2 2 ( 152)| SM_AMIGA_4_ -Mux23| ... | ... -Mux24| ... | ... -Mux25| Mcel 0 13 ( 121)| RST_DLY_1_ -Mux26| Mcel 2 10 ( 164)| SM_AMIGA_3_ +Mux21| IOPin 7 5 ( 80)| RW_000 +Mux22| Mcel 2 2 ( 152)| inst_LDS_000_INT +Mux23| Mcel 6 6 ( 254)| inst_AMIGA_BUS_ENABLE_DMA_LOW +Mux24| IOPin 3 4 ( 31)| LDS_000 +Mux25| Mcel 5 0 ( 221)| SM_AMIGA_6_ +Mux26| IOPin 4 1 ( 42)| AS_000 Mux27| ... | ... -Mux28| Mcel 7 2 ( 272)| CLK_000_D_2_ +Mux28| ... | ... Mux29| ... | ... -Mux30| Mcel 0 8 ( 113)| inst_RESET_OUT +Mux30| ... | ... Mux31| ... | ... -Mux32| Mcel 6 5 ( 253)| cpu_est_1_ +Mux32| Mcel 6 5 ( 253)| inst_RESET_OUT --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Macrocell (MCell) Cluster Assignments @@ -935,15 +936,15 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 3| | ? | | S | | 4 free | 1 XOR free 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 | 4 to [ 4]| 1 XOR free 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6| SM_AMIGA_5_|NOD| | S | 3 | 4 to [ 6]| 1 XOR free + 6| CYCLE_DMA_1_|NOD| | S | 4 | 4 to [ 6]| 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free 8| UDS_000| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9| CLK_000_D_3_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10| CLK_000_D_4_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig + 9| CLK_000_D_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig +10| CYCLE_DMA_0_|NOD| | S | 3 | 4 to [10]| 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| LDS_000| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13| cpu_est_3_|NOD| | S | 4 | 4 to [13]| 1 XOR free -14| IPL_D0_0_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig +13| cpu_est_1_|NOD| | S | 4 | 4 to [13]| 1 XOR free +14| cpu_est_0_|NOD| | S | 3 | 4 to [14]| 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -962,16 +963,16 @@ _|_________________|__|__|___|_____|_______________________________________ 3| | ? | | S | |=> can support up to [ 9] logic PT(s) 4|AMIGA_BUS_ENABLE_HIGH|OUT| | S | 2 |=> can support up to [ 14] logic PT(s) 5|AMIGA_ADDR_ENABLE|OUT| | S | 1 |=> can support up to [ 10] logic PT(s) - 6| SM_AMIGA_5_|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) + 6| CYCLE_DMA_1_|NOD| | S | 4 |=> can support up to [ 18] logic PT(s) 7| | ? | | S | |=> can support up to [ 13] logic PT(s) - 8| UDS_000| IO| | S | 1 |=> can support up to [ 18] logic PT(s) - 9| CLK_000_D_3_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -10| CLK_000_D_4_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -11| | ? | | S | |=> can support up to [ 13] logic PT(s) -12| LDS_000| IO| | S | 1 |=> can support up to [ 14] logic PT(s) -13| cpu_est_3_|NOD| | S | 4 |=> can support up to [ 18] logic PT(s) -14| IPL_D0_0_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) -15| | ? | | S | |=> can support up to [ 9] logic PT(s) + 8| UDS_000| IO| | S | 1 |=> can support up to [ 14] logic PT(s) + 9| CLK_000_D_0_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) +10| CYCLE_DMA_0_|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) +11| | ? | | S | |=> can support up to [ 9] logic PT(s) +12| LDS_000| IO| | S | 1 |=> can support up to [ 10] logic PT(s) +13| cpu_est_1_|NOD| | S | 4 |=> can support up to [ 14] logic PT(s) +14| cpu_est_0_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) +15| | ? | | S | |=> can support up to [ 5] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 3] > Node-Pin Assignments @@ -987,15 +988,15 @@ _|_________________|__|_____|____________________|________________________ 3| | | | => | 6 7 0 1 | 29 28 35 34 4|AMIGA_BUS_ENABLE_HIGH|OUT| | => | 7 0 ( 1) 2 | 28 35 ( 34) 33 5|AMIGA_ADDR_ENABLE|OUT| | => | 7 0 1 ( 2)| 28 35 34 ( 33) - 6| SM_AMIGA_5_|NOD| | => | 0 1 2 3 | 35 34 33 32 + 6| CYCLE_DMA_1_|NOD| | => | 0 1 2 3 | 35 34 33 32 7| | | | => | 0 1 2 3 | 35 34 33 32 8| UDS_000| IO| | => | 1 2 ( 3) 4 | 34 33 ( 32) 31 - 9| CLK_000_D_3_|NOD| | => | 1 2 3 4 | 34 33 32 31 -10| CLK_000_D_4_|NOD| | => | 2 3 4 5 | 33 32 31 30 + 9| CLK_000_D_0_|NOD| | => | 1 2 3 4 | 34 33 32 31 +10| CYCLE_DMA_0_|NOD| | => | 2 3 4 5 | 33 32 31 30 11| | | | => | 2 3 4 5 | 33 32 31 30 12| LDS_000| IO| | => | 3 ( 4) 5 6 | 32 ( 31) 30 29 -13| cpu_est_3_|NOD| | => | 3 4 5 6 | 32 31 30 29 -14| IPL_D0_0_|NOD| | => | 4 5 6 7 | 31 30 29 28 +13| cpu_est_1_|NOD| | => | 3 4 5 6 | 32 31 30 29 +14| cpu_est_0_|NOD| | => | 4 5 6 7 | 31 30 29 28 15| | | | => | 4 5 6 7 | 31 30 29 28 --------------------------------------------------------------------------- =========================================================================== @@ -1062,27 +1063,27 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 32| IO UDS_000|*|*] [RegIn 3 |183| -| | ] - [MCell 6 |182|NOD SM_AMIGA_5_| |*] + [MCell 6 |182|NOD CYCLE_DMA_1_| |*] [MCell 7 |184| -| | ] 4 [IOpin 4 | 31| IO LDS_000|*|*] [RegIn 4 |186| -| | ] [MCell 8 |185| IO UDS_000| | ] - [MCell 9 |187|NOD CLK_000_D_3_| |*] + [MCell 9 |187|NOD CLK_000_D_0_| |*] 5 [IOpin 5 | 30|INP DTACK|*|*] [RegIn 5 |189| -| | ] - [MCell 10 |188|NOD CLK_000_D_4_| |*] + [MCell 10 |188|NOD CYCLE_DMA_0_| |*] [MCell 11 |190| -| | ] 6 [IOpin 6 | 29| IO BG_000|*| ] paired w/[ RN_BG_000] [RegIn 6 |192| -| | ] [MCell 12 |191| IO LDS_000| | ] - [MCell 13 |193|NOD cpu_est_3_| |*] + [MCell 13 |193|NOD cpu_est_1_| |*] 7 [IOpin 7 | 28|INP BGACK_000|*|*] [RegIn 7 |195| -| | ] - [MCell 14 |194|NOD IPL_D0_0_| |*] + [MCell 14 |194|NOD cpu_est_0_| |*] [MCell 15 |196| -| | ] --------------------------------------------------------------------------- =========================================================================== @@ -1091,39 +1092,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| IOPin 6 2 ( 67)| IPL_0_ -Mux01| Mcel 5 12 ( 239)| inst_DS_000_ENABLE +Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux01| Mcel 3 13 ( 193)| cpu_est_1_ Mux02| Mcel 3 1 ( 175)| RN_BG_000 -Mux03| Mcel 3 2 ( 176)| cpu_est_2_ +Mux03| Input Pin ( 11)| CLK_000 Mux04| IOPin 2 6 ( 21)| BG_030 Mux05| Input Pin ( 14)| nEXP_SPACE Mux06| ... | ... -Mux07| Mcel 7 6 ( 278)| inst_AS_030_D0 -Mux08| Mcel 0 10 ( 116)| inst_VPA_D -Mux09| ... | ... -Mux10| Mcel 5 4 ( 227)| inst_AS_030_000_SYNC -Mux11| Mcel 1 6 ( 134)| inst_LDS_000_INT -Mux12| Mcel 1 10 ( 140)| inst_UDS_000_INT +Mux07| Mcel 7 13 ( 289)| inst_AS_030_D0 +Mux08| Mcel 2 10 ( 164)| inst_VPA_D +Mux09| Mcel 0 12 ( 119)| cpu_est_3_ +Mux10| Mcel 5 1 ( 223)| inst_UDS_000_INT +Mux11| Mcel 1 6 ( 134)| inst_DS_000_ENABLE +Mux12| Mcel 3 9 ( 187)| CLK_000_D_0_ Mux13| Mcel 7 5 ( 277)| CLK_000_D_1_ -Mux14| Mcel 7 2 ( 272)| CLK_000_D_2_ +Mux14| Mcel 5 4 ( 227)| inst_AS_030_000_SYNC Mux15| ... | ... -Mux16| Mcel 3 6 ( 182)| SM_AMIGA_5_ -Mux17| ... | ... +Mux16| Mcel 3 6 ( 182)| CYCLE_DMA_1_ +Mux17| Mcel 3 14 ( 194)| cpu_est_0_ Mux18| Mcel 3 0 ( 173)| RN_VMA Mux19| ... | ... -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux20| Mcel 3 10 ( 188)| CYCLE_DMA_0_ Mux21| Input Pin ( 86)| RST -Mux22| Mcel 0 2 ( 104)| inst_AMIGA_BUS_ENABLE_DMA_HIGH -Mux23| ... | ... +Mux22| Mcel 2 2 ( 152)| inst_LDS_000_INT +Mux23| Mcel 6 2 ( 248)| inst_AMIGA_BUS_ENABLE_DMA_HIGH Mux24| ... | ... -Mux25| Mcel 3 9 ( 187)| CLK_000_D_3_ -Mux26| ... | ... -Mux27| Mcel 6 9 ( 259)| cpu_est_0_ -Mux28| Mcel 1 13 ( 145)| CLK_000_D_0_ -Mux29| Mcel 3 13 ( 193)| cpu_est_3_ -Mux30| Mcel 0 8 ( 113)| inst_RESET_OUT -Mux31| Mcel 5 0 ( 221)| SM_AMIGA_6_ -Mux32| Mcel 6 5 ( 253)| cpu_est_1_ +Mux25| ... | ... +Mux26| IOPin 4 1 ( 42)| AS_000 +Mux27| ... | ... +Mux28| Mcel 3 2 ( 176)| cpu_est_2_ +Mux29| ... | ... +Mux30| ... | ... +Mux31| ... | ... +Mux32| Mcel 6 5 ( 253)| inst_RESET_OUT --------------------------------------------------------------------------- =========================================================================== < Block [ 4] > Macrocell (MCell) Cluster Assignments @@ -1298,36 +1299,36 @@ IMX No. | +---- Block IO Pin or Macrocell Number Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 Mux01| IOPin 5 2 ( 58)| FC_1_ Mux02| Mcel 4 9 ( 211)| inst_CLK_OUT_PRE_50 -Mux03| IOPin 0 2 ( 93)| A_DECODE_20_ +Mux03| IOPin 2 3 ( 18)| AHIGH_25_ Mux04| IOPin 3 7 ( 28)| BGACK_000 -Mux05| IOPin 0 3 ( 94)| A_DECODE_21_ -Mux06| IOPin 0 5 ( 96)| A_DECODE_16_ -Mux07| Mcel 7 6 ( 278)| inst_AS_030_D0 +Mux05| IOPin 2 4 ( 19)| AHIGH_24_ +Mux06| IOPin 7 5 ( 80)| RW_000 +Mux07| Mcel 7 13 ( 289)| inst_AS_030_D0 Mux08| IOPin 0 0 ( 91)| FPU_SENSE Mux09| IOPin 2 2 ( 17)| AHIGH_26_ -Mux10| Mcel 5 1 ( 223)| inst_AS_000_INT -Mux11| IOPin 7 1 ( 84)| A_DECODE_22_ +Mux10| ... | ... +Mux11| IOPin 2 1 ( 16)| AHIGH_27_ Mux12| IOPin 0 6 ( 97)| A_DECODE_19_ -Mux13| IOPin 1 4 ( 6)| AHIGH_29_ -Mux14| IOPin 2 0 ( 15)| AHIGH_28_ +Mux13| IOPin 5 1 ( 59)| A_DECODE_17_ +Mux14| Mcel 4 5 ( 205)| CIIN_0 Mux15| Input Pin ( 14)| nEXP_SPACE Mux16| IOPin 4 1 ( 42)| AS_000 Mux17| IOPin 0 4 ( 95)| A_DECODE_18_ -Mux18| IOPin 7 0 ( 85)| A_DECODE_23_ -Mux19| IOPin 1 5 ( 5)| AHIGH_30_ -Mux20| IOPin 2 4 ( 19)| AHIGH_24_ -Mux21| IOPin 2 1 ( 16)| AHIGH_27_ -Mux22| IOPin 2 3 ( 18)| AHIGH_25_ +Mux18| Mcel 2 6 ( 158)| inst_AS_000_INT +Mux19| IOPin 7 3 ( 82)| AS_030 +Mux20| IOPin 7 1 ( 84)| A_DECODE_22_ +Mux21| IOPin 1 4 ( 6)| AHIGH_29_ +Mux22| Mcel 6 5 ( 253)| inst_RESET_OUT Mux23| ... | ... Mux24| IOPin 5 3 ( 57)| FC_0_ Mux25| IOPin 1 6 ( 4)| AHIGH_31_ -Mux26| Mcel 4 5 ( 205)| CIIN_0 -Mux27| IOPin 5 1 ( 59)| A_DECODE_17_ -Mux28| IOPin 7 5 ( 80)| RW_000 -Mux29| ... | ... -Mux30| Mcel 0 8 ( 113)| inst_RESET_OUT -Mux31| ... | ... -Mux32| IOPin 7 3 ( 82)| AS_030 +Mux26| IOPin 0 5 ( 96)| A_DECODE_16_ +Mux27| IOPin 2 0 ( 15)| AHIGH_28_ +Mux28| IOPin 1 5 ( 5)| AHIGH_30_ +Mux29| IOPin 0 2 ( 93)| A_DECODE_20_ +Mux30| ... | ... +Mux31| IOPin 0 3 ( 94)| A_DECODE_21_ +Mux32| IOPin 7 0 ( 85)| A_DECODE_23_ --------------------------------------------------------------------------- =========================================================================== < Block [ 5] > Macrocell (MCell) Cluster Assignments @@ -1340,7 +1341,7 @@ Mux32| IOPin 7 3 ( 82)| AS_030 | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| SM_AMIGA_6_|NOD| | S | 3 | 4 to [ 0]| 1 XOR free - 1|inst_AS_000_INT|NOD| | S | 2 | 4 to [ 1]| 1 XOR free + 1|inst_UDS_000_INT|NOD| | S | 2 | 4 to [ 1]| 1 XOR free 2| | ? | | S | | 4 free | 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free 4|inst_AS_030_000_SYNC|NOD| | S | 7 | 4 to [ 4]| 1 XOR to [ 4] as logic PT @@ -1351,7 +1352,7 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 9| | ? | | S | | 4 free | 1 XOR free 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [12]| 1 XOR free +12|inst_DSACK1_INT|NOD| | S | 2 | 4 to [12]| 1 XOR free 13| | ? | | S | | 4 free | 1 XOR free 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free @@ -1367,7 +1368,7 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ 0| SM_AMIGA_6_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) - 1|inst_AS_000_INT|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) + 1|inst_UDS_000_INT|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) 2| | ? | | S | |=> can support up to [ 10] logic PT(s) 3| | ? | | S | |=> can support up to [ 10] logic PT(s) 4|inst_AS_030_000_SYNC|NOD| | S | 7 |=> can support up to [ 20] logic PT(s) @@ -1378,7 +1379,7 @@ _|_________________|__|__|___|_____|_______________________________________ 9| | ? | | S | |=> can support up to [ 15] logic PT(s) 10| | ? | | S | |=> can support up to [ 15] logic PT(s) 11| | ? | | S | |=> can support up to [ 15] logic PT(s) -12|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 20] logic PT(s) +12|inst_DSACK1_INT|NOD| | S | 2 |=> can support up to [ 20] logic PT(s) 13| | ? | | S | |=> can support up to [ 15] logic PT(s) 14| | ? | | S | |=> can support up to [ 15] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) @@ -1392,7 +1393,7 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0| SM_AMIGA_6_|NOD| | => | 5 6 7 0 | 55 54 53 60 - 1|inst_AS_000_INT|NOD| | => | 5 6 7 0 | 55 54 53 60 + 1|inst_UDS_000_INT|NOD| | => | 5 6 7 0 | 55 54 53 60 2| | | | => | 6 7 0 1 | 54 53 60 59 3| | | | => | 6 7 0 1 | 54 53 60 59 4|inst_AS_030_000_SYNC|NOD| | => | 7 0 1 2 | 53 60 59 58 @@ -1403,7 +1404,7 @@ _|_________________|__|_____|____________________|________________________ 9| | | | => | 1 2 3 4 | 59 58 57 56 10| | | | => | 2 3 4 5 | 58 57 56 55 11| | | | => | 2 3 4 5 | 58 57 56 55 -12|inst_DS_000_ENABLE|NOD| | => | 3 4 5 6 | 57 56 55 54 +12|inst_DSACK1_INT|NOD| | => | 3 4 5 6 | 57 56 55 54 13| | | | => | 3 4 5 6 | 57 56 55 54 14| | | | => | 4 5 6 7 | 56 55 54 53 15| | | | => | 4 5 6 7 | 56 55 54 53 @@ -1456,7 +1457,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 60|INP A_1_|*|*] [RegIn 0 |222| -| | ] [MCell 0 |221|NOD SM_AMIGA_6_| |*] - [MCell 1 |223|NOD inst_AS_000_INT| |*] + [MCell 1 |223|NOD inst_UDS_000_INT| |*] 1 [IOpin 1 | 59|INP A_DECODE_17_|*|*] [RegIn 1 |225| -| | ] @@ -1485,7 +1486,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 54| -| | ] [RegIn 6 |240| -| | ] - [MCell 12 |239|NOD inst_DS_000_ENABLE| |*] + [MCell 12 |239|NOD inst_DSACK1_INT| |*] [MCell 13 |241| -| | ] 7 [IOpin 7 | 53| -| | ] @@ -1499,39 +1500,39 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| Mcel 5 12 ( 239)| inst_DS_000_ENABLE -Mux02| Mcel 3 10 ( 188)| CLK_000_D_4_ -Mux03| ... | ... -Mux04| Mcel 7 5 ( 277)| CLK_000_D_1_ +Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux01| Mcel 5 12 ( 239)| inst_DSACK1_INT +Mux02| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ +Mux03| Mcel 0 8 ( 113)| SM_AMIGA_0_ +Mux04| IOPin 0 4 ( 95)| A_DECODE_18_ Mux05| Input Pin ( 14)| nEXP_SPACE Mux06| IOPin 0 6 ( 97)| A_DECODE_19_ -Mux07| Mcel 7 6 ( 278)| inst_AS_030_D0 -Mux08| IOPin 6 6 ( 71)| RW -Mux09| Mcel 0 12 ( 119)| SM_AMIGA_0_ -Mux10| Mcel 5 1 ( 223)| inst_AS_000_INT +Mux07| Mcel 3 9 ( 187)| CLK_000_D_0_ +Mux08| IOPin 5 1 ( 59)| A_DECODE_17_ +Mux09| IOPin 7 3 ( 82)| AS_030 +Mux10| Mcel 5 1 ( 223)| inst_UDS_000_INT Mux11| IOPin 0 5 ( 96)| A_DECODE_16_ -Mux12| IOPin 5 2 ( 58)| FC_1_ -Mux13| IOPin 5 1 ( 59)| A_DECODE_17_ -Mux14| Mcel 5 4 ( 227)| inst_AS_030_000_SYNC -Mux15| ... | ... +Mux12| Mcel 0 1 ( 103)| inst_BGACK_030_INT_D +Mux13| Mcel 7 5 ( 277)| CLK_000_D_1_ +Mux14| Mcel 7 2 ( 272)| CLK_000_D_2_ +Mux15| IOPin 6 4 ( 69)| A_0_ Mux16| ... | ... -Mux17| IOPin 0 4 ( 95)| A_DECODE_18_ -Mux18| ... | ... -Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D -Mux20| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ -Mux21| Mcel 1 13 ( 145)| CLK_000_D_0_ -Mux22| Mcel 2 2 ( 152)| SM_AMIGA_4_ -Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux24| IOPin 5 3 ( 57)| FC_0_ -Mux25| Mcel 3 9 ( 187)| CLK_000_D_3_ +Mux17| IOPin 5 3 ( 57)| FC_0_ +Mux18| Mcel 0 5 ( 109)| SM_AMIGA_1_ +Mux19| Mcel 7 13 ( 289)| inst_AS_030_D0 +Mux20| IOPin 5 2 ( 58)| FC_1_ +Mux21| Input Pin ( 86)| RST +Mux22| Mcel 6 10 ( 260)| CLK_000_D_5_ +Mux23| ... | ... +Mux24| ... | ... +Mux25| Mcel 0 2 ( 104)| CLK_000_D_4_ Mux26| ... | ... Mux27| ... | ... -Mux28| ... | ... -Mux29| ... | ... +Mux28| Mcel 0 13 ( 121)| CLK_000_D_3_ +Mux29| Mcel 5 4 ( 227)| inst_AS_030_000_SYNC Mux30| ... | ... Mux31| Mcel 5 0 ( 221)| SM_AMIGA_6_ -Mux32| IOPin 7 3 ( 82)| AS_030 +Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Macrocell (MCell) Cluster Assignments @@ -1544,20 +1545,20 @@ Mux32| IOPin 7 3 ( 82)| AS_030 | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| RW| IO| | S | 2 | 4 to [ 0]| 1 XOR free - 1| CLK_DIV_OUT|OUT| | S | 1 | 4 to [ 2]| 1 XOR to [ 1] for 1 PT sig - 2|inst_AS_000_DMA|NOD| | S | 7 | 4 to [ 2]| 1 XOR to [ 2] as logic PT + 1| CLK_DIV_OUT|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig + 2|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 | 4 to [ 2]| 1 XOR free 3| | ? | | S | | 4 free | 1 XOR free 4| E|OUT| | S | 2 | 4 to [ 4]| 1 XOR free - 5| cpu_est_1_|NOD| | S | 4 | 4 to [ 5]| 1 XOR free - 6|inst_CLK_030_H|NOD| | S | 8 | 4 to [ 6]| 1 XOR to [ 6] as logic PT - 7| | ? | | S | | 4 to [ 6]| 1 XOR free + 5|inst_RESET_OUT|NOD| | S | 2 | 4 to [ 5]| 1 XOR free + 6|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 | 4 to [ 6]| 1 XOR free + 7| | ? | | S | | 4 free | 1 XOR free 8| A_0_| IO| | S | 3 | 4 to [ 8]| 1 XOR free - 9| cpu_est_0_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free -10| CYCLE_DMA_1_|NOD| | S | 4 | 4 to [10]| 1 XOR free + 9| RST_DLY_0_|NOD| | S | 4 | 4 to [ 9]| 1 XOR free +10| CLK_000_D_5_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig 11| | ? | | S | | 4 free | 1 XOR free 12| SIZE_0_| IO| | S | 3 | 4 to [12]| 1 XOR free -13|inst_DS_000_DMA|NOD| | S | 9 | 4 to [13]| 1 XOR to [13] as logic PT -14| IPL_D0_2_|NOD| | S | 1 | 4 to [13]| 1 XOR to [14] for 1 PT sig +13| RST_DLY_2_|NOD| | S | 2 | 4 to [13]| 1 XOR free +14| IPL_D0_2_|NOD| | S | 1 | 4 free | 1 XOR to [14] for 1 PT sig 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- =========================================================================== @@ -1570,22 +1571,22 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| RW| IO| | S | 2 |=> can support up to [ 5] logic PT(s) - 1| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 6] logic PT(s) - 2|inst_AS_000_DMA|NOD| | S | 7 |=> can support up to [ 14] logic PT(s) + 0| RW| IO| | S | 2 |=> can support up to [ 9] logic PT(s) + 1| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 10] logic PT(s) + 2|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) 3| | ? | | S | |=> can support up to [ 5] logic PT(s) 4| E|OUT| | S | 2 |=> can support up to [ 10] logic PT(s) - 5| cpu_est_1_|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) - 6|inst_CLK_030_H|NOD| | S | 8 |=> can support up to [ 10] logic PT(s) - 7| | ? | | S | |=> can support up to [ 1] logic PT(s) - 8| A_0_| IO| | S | 3 |=> can support up to [ 5] logic PT(s) - 9| cpu_est_0_|NOD| | S | 3 |=> can support up to [ 10] logic PT(s) -10| CYCLE_DMA_1_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) -11| | ? | | S | |=> can support up to [ 5] logic PT(s) -12| SIZE_0_| IO| | S | 3 |=> can support up to [ 10] logic PT(s) -13|inst_DS_000_DMA|NOD| | S | 9 |=> can support up to [ 14] logic PT(s) -14| IPL_D0_2_|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) -15| | ? | | S | |=> can support up to [ 5] logic PT(s) + 5|inst_RESET_OUT|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) + 6|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) + 7| | ? | | S | |=> can support up to [ 5] logic PT(s) + 8| A_0_| IO| | S | 3 |=> can support up to [ 14] logic PT(s) + 9| RST_DLY_0_|NOD| | S | 4 |=> can support up to [ 14] logic PT(s) +10| CLK_000_D_5_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +11| | ? | | S | |=> can support up to [ 9] logic PT(s) +12| SIZE_0_| IO| | S | 3 |=> can support up to [ 14] logic PT(s) +13| RST_DLY_2_|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) +14| IPL_D0_2_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) +15| | ? | | S | |=> can support up to [ 9] logic PT(s) --------------------------------------------------------------------------- =========================================================================== < Block [ 6] > Node-Pin Assignments @@ -1597,18 +1598,18 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| RW| IO| | => | 5 ( 6) 7 0 | 70 ( 71) 72 65 1| CLK_DIV_OUT|OUT| | => | 5 6 7 ( 0)| 70 71 72 ( 65) - 2|inst_AS_000_DMA|NOD| | => | 6 7 0 1 | 71 72 65 66 + 2|inst_AMIGA_BUS_ENABLE_DMA_HIGH|NOD| | => | 6 7 0 1 | 71 72 65 66 3| | | | => | 6 7 0 1 | 71 72 65 66 4| E|OUT| | => | 7 0 ( 1) 2 | 72 65 ( 66) 67 - 5| cpu_est_1_|NOD| | => | 7 0 1 2 | 72 65 66 67 - 6|inst_CLK_030_H|NOD| | => | 0 1 2 3 | 65 66 67 68 + 5|inst_RESET_OUT|NOD| | => | 7 0 1 2 | 72 65 66 67 + 6|inst_AMIGA_BUS_ENABLE_DMA_LOW|NOD| | => | 0 1 2 3 | 65 66 67 68 7| | | | => | 0 1 2 3 | 65 66 67 68 8| A_0_| IO| | => | 1 2 3 ( 4)| 66 67 68 ( 69) - 9| cpu_est_0_|NOD| | => | 1 2 3 4 | 66 67 68 69 -10| CYCLE_DMA_1_|NOD| | => | 2 3 4 5 | 67 68 69 70 + 9| RST_DLY_0_|NOD| | => | 1 2 3 4 | 66 67 68 69 +10| CLK_000_D_5_|NOD| | => | 2 3 4 5 | 67 68 69 70 11| | | | => | 2 3 4 5 | 67 68 69 70 12| SIZE_0_| IO| | => | 3 4 ( 5) 6 | 68 69 ( 70) 71 -13|inst_DS_000_DMA|NOD| | => | 3 4 5 6 | 68 69 70 71 +13| RST_DLY_2_|NOD| | => | 3 4 5 6 | 68 69 70 71 14| IPL_D0_2_|NOD| | => | 4 5 6 7 | 69 70 71 72 15| | | | => | 4 5 6 7 | 69 70 71 72 --------------------------------------------------------------------------- @@ -1667,33 +1668,33 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 66|OUT E|*| ] [RegIn 1 |249| -| | ] - [MCell 2 |248|NOD inst_AS_000_DMA| |*] + [MCell 2 |248|NOD inst_AMIGA_BUS_ENABLE_DMA_HIGH| |*] [MCell 3 |250| -| | ] 2 [IOpin 2 | 67|INP IPL_0_|*|*] [RegIn 2 |252| -| | ] [MCell 4 |251|OUT E| | ] - [MCell 5 |253|NOD cpu_est_1_| |*] + [MCell 5 |253|NOD inst_RESET_OUT| |*] 3 [IOpin 3 | 68|INP IPL_2_|*|*] [RegIn 3 |255| -| | ] - [MCell 6 |254|NOD inst_CLK_030_H| |*] + [MCell 6 |254|NOD inst_AMIGA_BUS_ENABLE_DMA_LOW| |*] [MCell 7 |256| -| | ] 4 [IOpin 4 | 69| IO A_0_|*|*] paired w/[ RN_A_0_] [RegIn 4 |258| -| | ] [MCell 8 |257|NOD RN_A_0_| |*] paired w/[ A_0_] - [MCell 9 |259|NOD cpu_est_0_| |*] + [MCell 9 |259|NOD RST_DLY_0_| |*] 5 [IOpin 5 | 70| IO SIZE_0_|*|*] paired w/[ RN_SIZE_0_] [RegIn 5 |261| -| | ] - [MCell 10 |260|NOD CYCLE_DMA_1_| |*] + [MCell 10 |260|NOD CLK_000_D_5_| |*] [MCell 11 |262| -| | ] 6 [IOpin 6 | 71| IO RW|*|*] paired w/[ RN_RW] [RegIn 6 |264| -| | ] [MCell 12 |263|NOD RN_SIZE_0_| |*] paired w/[ SIZE_0_] - [MCell 13 |265|NOD inst_DS_000_DMA| |*] + [MCell 13 |265|NOD RST_DLY_2_| |*] 7 [IOpin 7 | 72| -| | ] [RegIn 7 |267| -| | ] @@ -1707,38 +1708,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- Mux00| Input Pin ( 86)| RST -Mux01| Mcel 3 13 ( 193)| cpu_est_3_ -Mux02| IOPin 4 1 ( 42)| AS_000 +Mux01| Mcel 3 13 ( 193)| cpu_est_1_ +Mux02| Mcel 1 10 ( 140)| RST_DLY_1_ Mux03| Mcel 3 2 ( 176)| cpu_est_2_ -Mux04| Input Pin ( 64)| CLK_030 +Mux04| IOPin 6 3 ( 68)| IPL_2_ Mux05| Input Pin ( 14)| nEXP_SPACE Mux06| IOPin 7 5 ( 80)| RW_000 Mux07| Mcel 6 12 ( 263)| RN_SIZE_0_ Mux08| IOPin 3 3 ( 32)| UDS_000 -Mux09| Mcel 0 1 ( 103)| CYCLE_DMA_0_ +Mux09| Mcel 6 13 ( 265)| RST_DLY_2_ Mux10| Mcel 6 8 ( 257)| RN_A_0_ -Mux11| ... | ... -Mux12| Mcel 6 13 ( 265)| inst_DS_000_DMA +Mux11| IOPin 5 0 ( 60)| A_1_ +Mux12| Mcel 6 9 ( 259)| RST_DLY_0_ Mux13| Mcel 7 5 ( 277)| CLK_000_D_1_ Mux14| ... | ... -Mux15| ... | ... +Mux15| Mcel 0 12 ( 119)| cpu_est_3_ Mux16| Mcel 4 8 ( 209)| inst_CLK_OUT_PRE_D Mux17| Mcel 6 0 ( 245)| RN_RW -Mux18| Mcel 0 8 ( 113)| inst_RESET_OUT -Mux19| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D +Mux18| ... | ... +Mux19| ... | ... Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| Mcel 1 13 ( 145)| CLK_000_D_0_ -Mux22| IOPin 6 3 ( 68)| IPL_2_ -Mux23| Mcel 6 6 ( 254)| inst_CLK_030_H +Mux21| Mcel 0 1 ( 103)| inst_BGACK_030_INT_D +Mux22| Mcel 0 2 ( 104)| CLK_000_D_4_ +Mux23| Mcel 6 6 ( 254)| inst_AMIGA_BUS_ENABLE_DMA_LOW Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| ... | ... +Mux25| Mcel 3 9 ( 187)| CLK_000_D_0_ Mux26| ... | ... -Mux27| Mcel 6 9 ( 259)| cpu_est_0_ -Mux28| Mcel 6 10 ( 260)| CYCLE_DMA_1_ +Mux27| ... | ... +Mux28| ... | ... Mux29| ... | ... Mux30| ... | ... -Mux31| Mcel 6 2 ( 248)| inst_AS_000_DMA -Mux32| Mcel 6 5 ( 253)| cpu_est_1_ +Mux31| Mcel 6 2 ( 248)| inst_AMIGA_BUS_ENABLE_DMA_HIGH +Mux32| Mcel 6 5 ( 253)| inst_RESET_OUT --------------------------------------------------------------------------- =========================================================================== < Block [ 7] > Macrocell (MCell) Cluster Assignments @@ -1756,14 +1757,14 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ 3| | ? | | S | | 4 free | 1 XOR free 4| BGACK_030| IO| | S | 3 | 4 to [ 4]| 1 XOR free 5| CLK_000_D_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6|inst_AS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 6| inst_DTACK_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig 7| | ? | | S | | 4 free | 1 XOR free 8| AS_030| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig 9| DSACK1|OUT| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| SIZE_1_| IO| | S | 3 | 4 to [12]| 1 XOR free -13|inst_BGACK_030_INT_D|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +13|inst_AS_030_D0|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1783,14 +1784,14 @@ _|_________________|__|__|___|_____|_______________________________________ 3| | ? | | S | |=> can support up to [ 13] logic PT(s) 4| BGACK_030| IO| | S | 3 |=> can support up to [ 18] logic PT(s) 5| CLK_000_D_1_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 6|inst_AS_030_D0|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) + 6| inst_DTACK_D0|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) 7| | ? | | S | |=> can support up to [ 17] logic PT(s) 8| AS_030| IO| | S | 1 |=> can support up to [ 19] logic PT(s) 9| DSACK1|OUT| | S | 1 |=> can support up to [ 19] logic PT(s) 10| | ? | | S | |=> can support up to [ 14] logic PT(s) 11| | ? | | S | |=> can support up to [ 14] logic PT(s) 12| SIZE_1_| IO| | S | 3 |=> can support up to [ 19] logic PT(s) -13|inst_BGACK_030_INT_D|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) +13|inst_AS_030_D0|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) 14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- @@ -1808,14 +1809,14 @@ _|_________________|__|_____|____________________|________________________ 3| | | | => | 6 7 0 1 | 79 78 85 84 4| BGACK_030| IO| | => | 7 0 1 ( 2)| 78 85 84 ( 83) 5| CLK_000_D_1_|NOD| | => | 7 0 1 2 | 78 85 84 83 - 6|inst_AS_030_D0|NOD| | => | 0 1 2 3 | 85 84 83 82 + 6| inst_DTACK_D0|NOD| | => | 0 1 2 3 | 85 84 83 82 7| | | | => | 0 1 2 3 | 85 84 83 82 8| AS_030| IO| | => | 1 2 ( 3) 4 | 84 83 ( 82) 81 9| DSACK1|OUT| | => | 1 2 3 ( 4)| 84 83 82 ( 81) 10| | | | => | 2 3 4 5 | 83 82 81 80 11| | | | => | 2 3 4 5 | 83 82 81 80 12| SIZE_1_| IO| | => | 3 4 5 ( 6)| 82 81 80 ( 79) -13|inst_BGACK_030_INT_D|NOD| | => | 3 4 5 6 | 82 81 80 79 +13|inst_AS_030_D0|NOD| | => | 3 4 5 6 | 82 81 80 79 14| | | | => | 4 5 6 7 | 81 80 79 78 15| | | | => | 4 5 6 7 | 81 80 79 78 --------------------------------------------------------------------------- @@ -1884,7 +1885,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 82| IO AS_030|*|*] [RegIn 3 |279| -| | ] - [MCell 6 |278|NOD inst_AS_030_D0| |*] + [MCell 6 |278|NOD inst_DTACK_D0| |*] [MCell 7 |280| -| | ] 4 [IOpin 4 | 81|OUT DSACK1|*| ] @@ -1900,7 +1901,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 79| IO SIZE_1_|*|*] paired w/[ RN_SIZE_1_] [RegIn 6 |288| -| | ] [MCell 12 |287|NOD RN_SIZE_1_| |*] paired w/[ SIZE_1_] - [MCell 13 |289|NOD inst_BGACK_030_INT_D| |*] + [MCell 13 |289|NOD inst_AS_030_D0| |*] 7 [IOpin 7 | 78|OUT FPU_CS|*| ] [RegIn 7 |291| -| | ] @@ -1913,37 +1914,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux00| Input Pin ( 86)| RST Mux01| IOPin 5 2 ( 58)| FC_1_ -Mux02| Mcel 0 5 ( 109)| inst_DSACK1_INT -Mux03| Mcel 0 8 ( 113)| inst_RESET_OUT +Mux02| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ +Mux03| Mcel 0 8 ( 113)| SM_AMIGA_0_ Mux04| IOPin 0 4 ( 95)| A_DECODE_18_ Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| IOPin 0 6 ( 97)| A_DECODE_19_ -Mux07| Mcel 7 13 ( 289)| inst_BGACK_030_INT_D -Mux08| IOPin 6 6 ( 71)| RW +Mux06| IOPin 0 5 ( 96)| A_DECODE_16_ +Mux07| Mcel 3 9 ( 187)| CLK_000_D_0_ +Mux08| IOPin 3 3 ( 32)| UDS_000 Mux09| IOPin 7 3 ( 82)| AS_030 -Mux10| Mcel 1 13 ( 145)| CLK_000_D_0_ -Mux11| IOPin 0 5 ( 96)| A_DECODE_16_ -Mux12| IOPin 3 3 ( 32)| UDS_000 +Mux10| ... | ... +Mux11| IOPin 6 6 ( 71)| RW +Mux12| IOPin 0 6 ( 97)| A_DECODE_19_ Mux13| IOPin 5 1 ( 59)| A_DECODE_17_ -Mux14| ... | ... -Mux15| Mcel 0 12 ( 119)| SM_AMIGA_0_ +Mux14| IOPin 3 5 ( 30)| DTACK +Mux15| Mcel 7 12 ( 287)| RN_SIZE_1_ Mux16| IOPin 4 1 ( 42)| AS_000 Mux17| IOPin 5 3 ( 57)| FC_0_ Mux18| IOPin 3 7 ( 28)| BGACK_000 Mux19| IOPin 0 0 ( 91)| FPU_SENSE -Mux20| Mcel 5 8 ( 233)| SM_AMIGA_i_7_ -Mux21| Input Pin ( 86)| RST -Mux22| ... | ... +Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 +Mux21| Mcel 0 1 ( 103)| inst_BGACK_030_INT_D +Mux22| Mcel 6 5 ( 253)| inst_RESET_OUT Mux23| Mcel 7 0 ( 269)| RN_RW_000 Mux24| IOPin 3 4 ( 31)| LDS_000 Mux25| Mcel 5 0 ( 221)| SM_AMIGA_6_ Mux26| ... | ... Mux27| Mcel 7 5 ( 277)| CLK_000_D_1_ Mux28| ... | ... -Mux29| Mcel 7 12 ( 287)| RN_SIZE_1_ -Mux30| ... | ... -Mux31| Mcel 6 2 ( 248)| inst_AS_000_DMA +Mux29| ... | ... +Mux30| Mcel 2 13 ( 169)| inst_AS_000_DMA +Mux31| Mcel 5 12 ( 239)| inst_DSACK1_INT Mux32| ... | ... --------------------------------------------------------------------------- \ No newline at end of file diff --git a/Logic/68030_tk.rpt b/Logic/68030_tk.rpt index 9c50a20..c4e9ae4 100644 --- a/Logic/68030_tk.rpt +++ b/Logic/68030_tk.rpt @@ -12,7 +12,7 @@ Project_Summary Project Name : 68030_tk Project Path : C:\Users\Matze\Amiga\Hardwarehacks\68030-TK\GitHub\Logic -Project Fitted on : Thu Oct 06 21:35:00 2016 +Project Fitted on : Thu Oct 06 22:04:16 2016 Device : M4A5-128/64 Package : 100TQFP @@ -40,8 +40,8 @@ Design_Summary Total Input Pins : 24 Total Output Pins : 19 Total Bidir I/O Pins : 18 - Total Flip-Flops : 56 - Total Product Terms : 204 + Total Flip-Flops : 57 + Total Product Terms : 205 Total Reserved Pins : 0 Total Reserved Blocks : 0 @@ -54,12 +54,12 @@ Dedicated Pins Input-Only Pins 2 2 0 --> 100% Clock/Input Pins 4 4 0 --> 100% I/O Pins 64 55 9 --> 85% -Logic Macrocells 128 81 47 --> 63% +Logic Macrocells 128 82 46 --> 64% Input Registers 64 0 64 --> 0% Unusable Macrocells .. 0 .. -CSM Outputs/Total Block Inputs 264 202 62 --> 76% -Logical Product Terms 640 207 433 --> 32% +CSM Outputs/Total Block Inputs 264 208 56 --> 78% +Logical Product Terms 640 208 432 --> 32% Product Term Clusters 128 51 77 --> 39%  @@ -71,14 +71,14 @@ Blocks_Resource_Summary --------------------------------------------------------------------------------- Maximum 33 8 8 -- -- 16 80 16 - --------------------------------------------------------------------------------- -Block A 23 8 0 11 0 5 23 8 Lo -Block B 21 8 0 12 0 4 42 8 Lo -Block C 26 7 0 12 0 4 28 11 Lo -Block D 25 8 0 12 0 4 22 10 Lo +Block A 25 8 0 12 0 4 31 10 Lo +Block B 25 8 0 12 0 4 45 6 Lo +Block C 25 7 0 12 0 4 36 8 Lo +Block D 24 8 0 12 0 4 27 8 Lo Block E 30 4 0 7 0 9 9 14 Lo -Block F 24 5 0 5 0 11 19 10 Lo -Block G 26 7 0 12 0 4 47 3 Lo -Block H 27 8 0 10 0 6 17 13 Lo +Block F 26 5 0 5 0 11 18 10 Lo +Block G 25 7 0 12 0 4 25 7 Lo +Block H 28 8 0 10 0 6 17 13 Lo --------------------------------------------------------------------------------- Four rightmost columns above reflect last status of the placement process. @@ -287,7 +287,7 @@ Input_Signal_List Pin r e O Input Pin Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - 60 F . I/O A------- Low Slow A_1_ + 60 F . I/O ------G- Low Slow A_1_ 96 A . I/O ----EF-H Low Slow A_DECODE_16_ 59 F . I/O ----EF-H Low Slow A_DECODE_17_ 95 A . I/O ----EF-H Low Slow A_DECODE_18_ @@ -298,18 +298,18 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 85 H . I/O ----E--- Low Slow A_DECODE_23_ 28 D . I/O ----E--H Low Slow BGACK_000 21 C . I/O ---D---- Low Slow BG_030 - 30 D . I/O --C----- Low Slow DTACK + 30 D . I/O -------H Low Slow DTACK 57 F . I/O ----EF-H Low Slow FC_0_ 58 F . I/O ----EF-H Low Slow FC_1_ 91 A . I/O ----E--H Low Slow FPU_SENSE - 67 G . I/O -B-D---- Low Slow IPL_0_ + 67 G . I/O AB------ Low Slow IPL_0_ 56 F . I/O -B------ Low Slow IPL_1_ 68 G . I/O -B----G- Low Slow IPL_2_ - 11 . . Ck/I -B------ - Slow CLK_000 + 11 . . Ck/I ---D---- - Slow CLK_000 14 . . Ck/I ABCDEFGH - Slow nEXP_SPACE - 36 . . Ded A------- - Slow VPA + 36 . . Ded --C----- - Slow VPA 61 . . Ck/I ABCDEFGH - Slow CLK_OSZI - 64 . . Ck/I ------G- - Slow CLK_030 + 64 . . Ck/I --C----- - Slow CLK_030 86 . . Ded ABCD-FGH - Slow RST ---------------------------------------------------------------------- @@ -368,16 +368,16 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 6 B 1 COM ----E--- Low Fast AHIGH_29_ 5 B 1 COM ----E--- Low Fast AHIGH_30_ 4 B 1 COM ----E--- Low Fast AHIGH_31_ - 42 E 1 COM A---E-GH Low Fast AS_000 - 82 H 1 COM A---EF-H Low Fast AS_030 - 69 G 3 DFF -B------ Low Fast A_0_ - 41 E 1 COM --C----- Low Fast BERR - 31 D 1 COM ------GH Low Fast LDS_000 - 71 G 2 DFF -----F-H Low Fast RW - 80 H 4 DFF ----E-G- Low Fast RW_000 - 70 G 3 DFF -B------ Low Fast SIZE_0_ - 79 H 3 DFF -B------ Low Fast SIZE_1_ - 32 D 1 COM ------GH Low Fast UDS_000 + 42 E 1 COM A-CDE--H Low Fast AS_000 + 82 H 1 COM -BC-EF-H Low Fast AS_030 + 69 G 3 DFF --C--F-- Low Fast A_0_ + 41 E 1 COM A------- Low Fast BERR + 31 D 1 COM --C---GH Low Fast LDS_000 + 71 G 2 DFF -B-----H Low Fast RW + 80 H 4 DFF --C-E-G- Low Fast RW_000 + 70 G 3 DFF --C----- Low Fast SIZE_0_ + 79 H 3 DFF --C----- Low Fast SIZE_1_ + 32 D 1 COM --C---GH Low Fast UDS_000 ---------------------------------------------------------------------- Power : Hi = High @@ -394,14 +394,15 @@ Buried_Signal_List #Mc Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- E5 E 2 COM ----E--- Low Slow CIIN_0 - B13 B 1 DFF A-CD-FGH Low Slow CLK_000_D_0_ - H5 H 1 DFF A-CD-FGH Low Slow CLK_000_D_1_ - H2 H 1 DFF A-CD---- Low Slow CLK_000_D_2_ - D9 D 1 DFF A-CD-F-- Low Slow CLK_000_D_3_ - D10 D 1 DFF -----F-- Low Slow CLK_000_D_4_ - A1 A 3 DFF A-----G- Low Slow CYCLE_DMA_0_ - G10 G 4 DFF ------G- Low Slow CYCLE_DMA_1_ - D14 D 1 DFF -B------ Low Slow IPL_D0_0_ + D9 D 1 DFF ABCD-FGH Low Slow CLK_000_D_0_ + H5 H 1 DFF ABCD-FGH Low Slow CLK_000_D_1_ + H2 H 1 DFF A----F-- Low Slow CLK_000_D_2_ + A13 A 1 DFF A----F-- Low Slow CLK_000_D_3_ + A2 A 1 DFF -----FG- Low Slow CLK_000_D_4_ + G10 G 1 DFF -----F-- Low Slow CLK_000_D_5_ + D10 D 3 DFF --CD---- Low Slow CYCLE_DMA_0_ + D6 D 4 DFF --CD---- Low Slow CYCLE_DMA_1_ + A14 A 1 DFF -B------ Low Slow IPL_D0_0_ B14 B 1 DFF -B------ Low Slow IPL_D0_1_ G14 G 1 DFF -B------ Low Slow IPL_D0_2_ G8 G 3 DFF ------G- Low - RN_A_0_ --> A_0_ @@ -414,40 +415,40 @@ Buried_Signal_List H0 H 4 DFF -------H Low - RN_RW_000 --> RW_000 G12 G 3 DFF ------G- Low - RN_SIZE_0_ --> SIZE_0_ H12 H 3 DFF -------H Low - RN_SIZE_1_ --> SIZE_1_ - D0 D 3 TFF --CD---- Low - RN_VMA --> VMA - C9 C 4 DFF A-C----- Low Slow RST_DLY_0_ - A13 A 2 DFF A-C----- Low Slow RST_DLY_1_ - A9 A 2 DFF A-C----- Low Slow RST_DLY_2_ - A12 A 4 DFF A----F-H Low Slow SM_AMIGA_0_ - C13 C 4 DFF A-C----- Low Slow SM_AMIGA_1_ - C6 C 5 DFF --C----- Low Slow SM_AMIGA_2_ - C10 C 5 TFF --C----- Low Slow SM_AMIGA_3_ - C2 C 3 DFF --C--F-- Low Slow SM_AMIGA_4_ - D6 D 3 DFF --CD---- Low Slow SM_AMIGA_5_ - F0 F 3 DFF -B-D-F-H Low Slow SM_AMIGA_6_ + D0 D 3 TFF A--D---- Low - RN_VMA --> VMA + G9 G 4 DFF -B----G- Low Slow RST_DLY_0_ + B10 B 2 DFF -B----G- Low Slow RST_DLY_1_ + G13 G 2 DFF -B----G- Low Slow RST_DLY_2_ + A8 A 4 DFF A----F-H Low Slow SM_AMIGA_0_ + A5 A 4 DFF A----F-- Low Slow SM_AMIGA_1_ + A6 A 5 DFF A------- Low Slow SM_AMIGA_2_ + A10 A 5 TFF A------- Low Slow SM_AMIGA_3_ + A9 A 3 DFF AB------ Low Slow SM_AMIGA_4_ + B13 B 3 DFF AB------ Low Slow SM_AMIGA_5_ + F0 F 3 DFF -BC--F-H Low Slow SM_AMIGA_6_ F8 F 3 TFF -----F-H Low Slow SM_AMIGA_i_7_ - G9 G 3 DFF --CD--G- Low Slow cpu_est_0_ - G5 G 4 DFF --CD--G- Low Slow cpu_est_1_ - D2 D 1 DFF --CD--G- Low Slow cpu_est_2_ - D13 D 4 DFF --CD--G- Low Slow cpu_est_3_ - A2 A 2 DFF A--D---- Low Slow inst_AMIGA_BUS_ENABLE_DMA_HIGH - A6 A 2 DFF A-C----- Low Slow inst_AMIGA_BUS_ENABLE_DMA_LOW - G2 G 7 DFF ------GH Low Slow inst_AS_000_DMA - F1 F 2 DFF ----EF-- Low Slow inst_AS_000_INT + D14 D 3 DFF A--D---- Low Slow cpu_est_0_ + D13 D 4 DFF A--D--G- Low Slow cpu_est_1_ + D2 D 1 DFF A--D--G- Low Slow cpu_est_2_ + A12 A 4 DFF A--D--G- Low Slow cpu_est_3_ + G2 G 2 DFF ---D--G- Low Slow inst_AMIGA_BUS_ENABLE_DMA_HIGH + G6 G 2 DFF --C---G- Low Slow inst_AMIGA_BUS_ENABLE_DMA_LOW + C13 C 7 DFF --C----H Low Slow inst_AS_000_DMA + C6 C 2 DFF --C-E--- Low Slow inst_AS_000_INT F4 F 7 DFF ---D-F-- Low Slow inst_AS_030_000_SYNC - H6 H 1 DFF ---DEF-- Low Slow inst_AS_030_D0 - H13 H 1 DFF A----FGH Low Slow inst_BGACK_030_INT_D - G6 G 8 DFF ------G- Low Slow inst_CLK_030_H + H13 H 1 DFF ---DEF-- Low Slow inst_AS_030_D0 + A1 A 1 DFF -----FGH Low Slow inst_BGACK_030_INT_D + C14 C 8 DFF --C----- Low Slow inst_CLK_030_H E9 E 1 DFF ----E--- Low Slow inst_CLK_OUT_PRE_50 E8 E 1 DFF -B----G- Low Slow inst_CLK_OUT_PRE_D - A5 A 2 DFF A------H Low Slow inst_DSACK1_INT - G13 G 9 DFF A-----G- Low Slow inst_DS_000_DMA - F12 F 3 DFF ---D-F-- Low Slow inst_DS_000_ENABLE - C14 C 1 DFF --C----- Low Slow inst_DTACK_D0 - B6 B 3 DFF -B-D---- Low Slow inst_LDS_000_INT - A8 A 2 DFF ABCDE-GH Low Slow inst_RESET_OUT - B10 B 2 DFF -B-D---- Low Slow inst_UDS_000_INT - A10 A 1 DFF --CD---- Low Slow inst_VPA_D + F12 F 2 DFF -----F-H Low Slow inst_DSACK1_INT + C9 C 9 DFF A-C----- Low Slow inst_DS_000_DMA + B6 B 3 DFF -B-D---- Low Slow inst_DS_000_ENABLE + H6 H 1 DFF A------- Low Slow inst_DTACK_D0 + C2 C 3 DFF --CD---- Low Slow inst_LDS_000_INT + G5 G 2 DFF ABCDE-GH Low Slow inst_RESET_OUT + F1 F 2 DFF ---D-F-- Low Slow inst_UDS_000_INT + C10 C 1 DFF A--D---- Low Slow inst_VPA_D ---------------------------------------------------------------------- Power : Hi = High @@ -469,68 +470,68 @@ A_DECODE_23_{ I}: CIIN{ E} CIIN_0{ E} FC_1_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} AS_030{ I}: AS_000{ E} BERR{ E} FPU_CS{ H} : DSACK1{ H} inst_AS_030_D0{ H}inst_AS_030_000_SYNC{ F} - :inst_DS_000_ENABLE{ F}inst_DSACK1_INT{ A}inst_AS_000_INT{ F} + :inst_DS_000_ENABLE{ B}inst_DSACK1_INT{ F}inst_AS_000_INT{ C} AS_000{ F}: AS_030{ H} DS_030{ A}AMIGA_BUS_DATA_DIR{ E} - : BGACK_030{ H}inst_AS_000_DMA{ G}inst_DS_000_DMA{ G} - : CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ G} inst_CLK_030_H{ G} + : BGACK_030{ H}inst_AS_000_DMA{ C}inst_DS_000_DMA{ C} + : CYCLE_DMA_0_{ D} CYCLE_DMA_1_{ D} inst_CLK_030_H{ C} UDS_000{ E}: SIZE_1_{ H} SIZE_0_{ G} A_0_{ G} - :inst_AS_000_DMA{ G}inst_DS_000_DMA{ G} inst_CLK_030_H{ G} - LDS_000{ E}: SIZE_1_{ H} SIZE_0_{ G}inst_AS_000_DMA{ G} - :inst_DS_000_DMA{ G} inst_CLK_030_H{ G} + :inst_AS_000_DMA{ C}inst_DS_000_DMA{ C} inst_CLK_030_H{ C} + LDS_000{ E}: SIZE_1_{ H} SIZE_0_{ G}inst_AS_000_DMA{ C} + :inst_DS_000_DMA{ C} inst_CLK_030_H{ C} nEXP_SPACE{. }: AHIGH_31_{ B} AS_030{ H} DS_030{ A} : AHIGH_30_{ B} AHIGH_29_{ B} AHIGH_28_{ C} : AHIGH_27_{ C} AHIGH_26_{ C} AHIGH_25_{ C} - : DSACK1{ H} AHIGH_24_{ C}AMIGA_BUS_DATA_DIR{ E} + : AHIGH_24_{ C} DSACK1{ H}AMIGA_BUS_DATA_DIR{ E} : SIZE_1_{ H} BG_000{ D} SIZE_0_{ G} : A_0_{ G}inst_AS_030_000_SYNC{ F} SM_AMIGA_6_{ F} : SM_AMIGA_i_7_{ F} CIIN_0{ E} - BERR{ F}: SM_AMIGA_3_{ C} SM_AMIGA_2_{ C} + BERR{ F}: SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} BG_030{ D}: BG_000{ D} BGACK_000{ E}: BERR{ E} FPU_CS{ H} BGACK_030{ H} - CLK_030{. }:inst_AS_000_DMA{ G}inst_DS_000_DMA{ G} inst_CLK_030_H{ G} - CLK_000{. }: CLK_000_D_0_{ B} + CLK_030{. }:inst_AS_000_DMA{ C}inst_DS_000_DMA{ C} inst_CLK_030_H{ C} AHIGH_30_{ C}: CIIN{ E} CIIN_0{ E} + CLK_000{. }: CLK_000_D_0_{ D} AHIGH_29_{ C}: CIIN{ E} CIIN_0{ E} AHIGH_28_{ D}: CIIN{ E} CIIN_0{ E} AHIGH_27_{ D}: CIIN{ E} CIIN_0{ E} AHIGH_26_{ D}: CIIN{ E} CIIN_0{ E} - FPU_SENSE{ B}: BERR{ E} FPU_CS{ H} AHIGH_25_{ D}: CIIN{ E} CIIN_0{ E} + FPU_SENSE{ B}: BERR{ E} FPU_CS{ H} AHIGH_24_{ D}: CIIN{ E} CIIN_0{ E} - DTACK{ E}: inst_DTACK_D0{ C} A_DECODE_22_{ I}: CIIN{ E} CIIN_0{ E} + DTACK{ E}: inst_DTACK_D0{ H} A_DECODE_21_{ B}: CIIN{ E} CIIN_0{ E} A_DECODE_20_{ B}: CIIN{ E} CIIN_0{ E} - VPA{. }: inst_VPA_D{ A} A_DECODE_19_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} + VPA{. }: inst_VPA_D{ C} A_DECODE_18_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} +A_DECODE_17_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} RST{. }: SIZE_1_{ H} IPL_030_2_{ B} RW_000{ H} : BG_000{ D} BGACK_030{ H} SIZE_0_{ G} : VMA{ D} RW{ G} A_0_{ G} - : IPL_030_1_{ B} IPL_030_0_{ B}inst_AMIGA_BUS_ENABLE_DMA_LOW{ A} - : inst_AS_030_D0{ H}inst_AS_030_000_SYNC{ F}inst_BGACK_030_INT_D{ H} - :inst_AS_000_DMA{ G}inst_DS_000_DMA{ G} CYCLE_DMA_0_{ A} - : CYCLE_DMA_1_{ G} inst_VPA_D{ A} inst_DTACK_D0{ C} - : inst_RESET_OUT{ A} IPL_D0_0_{ D} IPL_D0_1_{ B} - : IPL_D0_2_{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ A} SM_AMIGA_1_{ C} - :inst_UDS_000_INT{ B}inst_DS_000_ENABLE{ F}inst_LDS_000_INT{ B} - : SM_AMIGA_6_{ F} SM_AMIGA_4_{ C} SM_AMIGA_0_{ A} - : RST_DLY_0_{ C} RST_DLY_1_{ A} RST_DLY_2_{ A} - : inst_CLK_030_H{ G}inst_DSACK1_INT{ A}inst_AS_000_INT{ F} - : SM_AMIGA_5_{ D} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C} + : IPL_030_1_{ B} IPL_030_0_{ B}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} + : inst_AS_030_D0{ H}inst_AS_030_000_SYNC{ F}inst_BGACK_030_INT_D{ A} + :inst_AS_000_DMA{ C}inst_DS_000_DMA{ C} CYCLE_DMA_0_{ D} + : CYCLE_DMA_1_{ D} inst_VPA_D{ C} inst_DTACK_D0{ H} + : inst_RESET_OUT{ G} IPL_D0_0_{ A} IPL_D0_1_{ B} + : IPL_D0_2_{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} SM_AMIGA_1_{ A} + :inst_UDS_000_INT{ F}inst_DS_000_ENABLE{ B}inst_LDS_000_INT{ C} + : SM_AMIGA_6_{ F} SM_AMIGA_4_{ A} SM_AMIGA_0_{ A} + : RST_DLY_0_{ G} RST_DLY_1_{ B} RST_DLY_2_{ G} + : inst_CLK_030_H{ C}inst_DSACK1_INT{ F}inst_AS_000_INT{ C} + : SM_AMIGA_5_{ B} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} : SM_AMIGA_i_7_{ F} -A_DECODE_17_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} A_DECODE_16_{ B}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} IPL_1_{ G}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} : IPL_D0_1_{ B} IPL_0_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} - : IPL_D0_0_{ D} + : IPL_D0_0_{ A} FC_0_{ G}: BERR{ E} FPU_CS{ H}inst_AS_030_000_SYNC{ F} - A_1_{ G}:inst_AMIGA_BUS_ENABLE_DMA_LOW{ A}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ A} - SIZE_1_{ I}:inst_LDS_000_INT{ B} + A_1_{ G}:inst_AMIGA_BUS_ENABLE_DMA_LOW{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} + SIZE_1_{ I}:inst_LDS_000_INT{ C} RN_SIZE_1_{ I}: SIZE_1_{ H} RN_IPL_030_2_{ C}: IPL_030_2_{ B} - RW_000{ I}:AMIGA_BUS_DATA_DIR{ E} RW{ G}inst_DS_000_DMA{ G} + RW_000{ I}:AMIGA_BUS_DATA_DIR{ E} RW{ G}inst_DS_000_DMA{ C} RN_RW_000{ I}: RW_000{ H} RN_BG_000{ E}: BG_000{ D} RN_BGACK_030{ I}: AHIGH_31_{ B} AS_030{ H} AS_000{ E} @@ -540,101 +541,102 @@ RN_BGACK_030{ I}: AHIGH_31_{ B} AS_030{ H} AS_000{ E} : AHIGH_24_{ C}AMIGA_BUS_DATA_DIR{ E}AMIGA_BUS_ENABLE_LOW{ C} :AMIGA_BUS_ENABLE_HIGH{ D} SIZE_1_{ H} RW_000{ H} : BGACK_030{ H} SIZE_0_{ G} RW{ G} - : A_0_{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ A}inst_AS_030_000_SYNC{ F} - :inst_BGACK_030_INT_D{ H}inst_AS_000_DMA{ G}inst_DS_000_DMA{ G} - : CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ G}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ A} - : inst_CLK_030_H{ G} - SIZE_0_{ H}:inst_LDS_000_INT{ B} + : A_0_{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G}inst_AS_030_000_SYNC{ F} + :inst_BGACK_030_INT_D{ A}inst_AS_000_DMA{ C}inst_DS_000_DMA{ C} + : CYCLE_DMA_0_{ D} CYCLE_DMA_1_{ D}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} + : inst_CLK_030_H{ C} + SIZE_0_{ H}:inst_LDS_000_INT{ C} RN_SIZE_0_{ H}: SIZE_0_{ G} - RN_VMA{ E}: VMA{ D} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C} - RW{ H}: RW_000{ H}inst_DS_000_ENABLE{ F} + RN_VMA{ E}: VMA{ D} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} + RW{ H}: RW_000{ H}inst_DS_000_ENABLE{ B} RN_RW{ H}: RW{ G} - A_0_{ H}:inst_UDS_000_INT{ B}inst_LDS_000_INT{ B} + A_0_{ H}:inst_UDS_000_INT{ F}inst_LDS_000_INT{ C} RN_A_0_{ H}: A_0_{ G} RN_IPL_030_1_{ C}: IPL_030_1_{ B} RN_IPL_030_0_{ C}: IPL_030_0_{ B} - cpu_est_3_{ E}: E{ G} VMA{ D} cpu_est_3_{ D} - : cpu_est_1_{ G} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C} - cpu_est_0_{ H}: VMA{ D} cpu_est_3_{ D} cpu_est_0_{ G} - : cpu_est_1_{ G} cpu_est_2_{ D} SM_AMIGA_3_{ C} - : SM_AMIGA_2_{ C} - cpu_est_1_{ H}: E{ G} VMA{ D} cpu_est_3_{ D} - : cpu_est_1_{ G} cpu_est_2_{ D} SM_AMIGA_3_{ C} - : SM_AMIGA_2_{ C} - cpu_est_2_{ E}: E{ G} VMA{ D} cpu_est_3_{ D} - : cpu_est_2_{ D} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C} -inst_AMIGA_BUS_ENABLE_DMA_LOW{ B}:AMIGA_BUS_ENABLE_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_LOW{ A} + cpu_est_2_{ E}: E{ G} VMA{ D} cpu_est_2_{ D} + : cpu_est_3_{ A} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} + cpu_est_3_{ B}: E{ G} VMA{ D} cpu_est_3_{ A} + : cpu_est_1_{ D} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} + cpu_est_0_{ E}: VMA{ D} cpu_est_2_{ D} cpu_est_3_{ A} + : cpu_est_0_{ D} cpu_est_1_{ D} SM_AMIGA_3_{ A} + : SM_AMIGA_2_{ A} + cpu_est_1_{ E}: E{ G} VMA{ D} cpu_est_2_{ D} + : cpu_est_3_{ A} cpu_est_1_{ D} SM_AMIGA_3_{ A} + : SM_AMIGA_2_{ A} +inst_AMIGA_BUS_ENABLE_DMA_LOW{ H}:AMIGA_BUS_ENABLE_LOW{ C}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G} inst_AS_030_D0{ I}: CIIN{ E} BG_000{ D}inst_AS_030_000_SYNC{ F} : CIIN_0{ E} inst_AS_030_000_SYNC{ G}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AS_030_000_SYNC{ F} SM_AMIGA_6_{ F} : SM_AMIGA_i_7_{ F} -inst_BGACK_030_INT_D{ I}: SIZE_1_{ H} SIZE_0_{ G} RW{ G} - : A_0_{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ A}inst_AS_030_000_SYNC{ F} - :inst_AMIGA_BUS_ENABLE_DMA_HIGH{ A} -inst_AS_000_DMA{ H}: AS_030{ H}inst_AS_000_DMA{ G}inst_DS_000_DMA{ G} - : inst_CLK_030_H{ G} -inst_DS_000_DMA{ H}: DS_030{ A}inst_DS_000_DMA{ G} -CYCLE_DMA_0_{ B}:inst_AS_000_DMA{ G}inst_DS_000_DMA{ G} CYCLE_DMA_0_{ A} - : CYCLE_DMA_1_{ G} inst_CLK_030_H{ G} -CYCLE_DMA_1_{ H}:inst_AS_000_DMA{ G}inst_DS_000_DMA{ G} CYCLE_DMA_1_{ G} - : inst_CLK_030_H{ G} - inst_VPA_D{ B}: VMA{ D} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C} -CLK_000_D_2_{ I}: CLK_000_D_3_{ D} SM_AMIGA_1_{ C} SM_AMIGA_0_{ A} - :inst_DSACK1_INT{ A} -CLK_000_D_3_{ E}: CLK_000_D_4_{ D} SM_AMIGA_1_{ C} SM_AMIGA_6_{ F} - : SM_AMIGA_0_{ A}inst_DSACK1_INT{ A} SM_AMIGA_i_7_{ F} -inst_DTACK_D0{ D}: SM_AMIGA_3_{ C} SM_AMIGA_2_{ C} -inst_RESET_OUT{ B}: AHIGH_31_{ B} AS_030{ H} AS_000{ E} +inst_BGACK_030_INT_D{ B}: SIZE_1_{ H} SIZE_0_{ G} RW{ G} + : A_0_{ G}inst_AMIGA_BUS_ENABLE_DMA_LOW{ G}inst_AS_030_000_SYNC{ F} + :inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} +inst_AS_000_DMA{ D}: AS_030{ H}inst_AS_000_DMA{ C}inst_DS_000_DMA{ C} + : inst_CLK_030_H{ C} +inst_DS_000_DMA{ D}: DS_030{ A}inst_DS_000_DMA{ C} +CYCLE_DMA_0_{ E}:inst_AS_000_DMA{ C}inst_DS_000_DMA{ C} CYCLE_DMA_0_{ D} + : CYCLE_DMA_1_{ D} inst_CLK_030_H{ C} +CYCLE_DMA_1_{ E}:inst_AS_000_DMA{ C}inst_DS_000_DMA{ C} CYCLE_DMA_1_{ D} + : inst_CLK_030_H{ C} + inst_VPA_D{ D}: VMA{ D} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} +CLK_000_D_2_{ I}: CLK_000_D_3_{ A} SM_AMIGA_1_{ A} SM_AMIGA_0_{ A} + :inst_DSACK1_INT{ F} +CLK_000_D_4_{ B}: CLK_000_D_5_{ G} SM_AMIGA_6_{ F} SM_AMIGA_i_7_{ F} +inst_DTACK_D0{ I}: SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} +inst_RESET_OUT{ H}: AHIGH_31_{ B} AS_030{ H} AS_000{ E} : DS_030{ A} UDS_000{ D} LDS_000{ D} : AHIGH_30_{ B} AHIGH_29_{ B} AHIGH_28_{ C} : AHIGH_27_{ C} AHIGH_26_{ C} AHIGH_25_{ C} : AHIGH_24_{ C} RESET{ B} RW_000{ H} - : RW{ G} A_0_{ G} inst_RESET_OUT{ A} + : RW{ G} A_0_{ G} inst_RESET_OUT{ G} CLK_000_D_1_{ I}: RW_000{ H} BGACK_030{ H} VMA{ D} - : cpu_est_3_{ D} cpu_est_0_{ G} cpu_est_1_{ G} - : cpu_est_2_{ D} CYCLE_DMA_0_{ A} CYCLE_DMA_1_{ G} - : CLK_000_D_2_{ H} inst_RESET_OUT{ A} SM_AMIGA_1_{ C} - :inst_DS_000_ENABLE{ F} SM_AMIGA_6_{ F} SM_AMIGA_4_{ C} - : SM_AMIGA_0_{ A} RST_DLY_0_{ C} RST_DLY_1_{ A} - : RST_DLY_2_{ A}inst_AS_000_INT{ F} SM_AMIGA_5_{ D} - : SM_AMIGA_3_{ C} SM_AMIGA_2_{ C} SM_AMIGA_i_7_{ F} -CLK_000_D_0_{ C}: RW_000{ H} BG_000{ D} BGACK_030{ H} - : VMA{ D} cpu_est_3_{ D} cpu_est_0_{ G} - : cpu_est_1_{ G} cpu_est_2_{ D} CYCLE_DMA_0_{ A} - : CYCLE_DMA_1_{ G} inst_RESET_OUT{ A} CLK_000_D_1_{ H} - : SM_AMIGA_1_{ C}inst_DS_000_ENABLE{ F} SM_AMIGA_6_{ F} - : SM_AMIGA_4_{ C} SM_AMIGA_0_{ A} RST_DLY_0_{ C} - : RST_DLY_1_{ A} RST_DLY_2_{ A}inst_AS_000_INT{ F} - : SM_AMIGA_5_{ D} SM_AMIGA_3_{ C} SM_AMIGA_2_{ C} + : cpu_est_2_{ D} cpu_est_3_{ A} cpu_est_0_{ D} + : cpu_est_1_{ D} CYCLE_DMA_0_{ D} CYCLE_DMA_1_{ D} + : CLK_000_D_2_{ H} inst_RESET_OUT{ G} SM_AMIGA_1_{ A} + :inst_DS_000_ENABLE{ B} SM_AMIGA_6_{ F} SM_AMIGA_4_{ A} + : SM_AMIGA_0_{ A} RST_DLY_0_{ G} RST_DLY_1_{ B} + : RST_DLY_2_{ G}inst_AS_000_INT{ C} SM_AMIGA_5_{ B} + : SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} SM_AMIGA_i_7_{ F} +CLK_000_D_0_{ E}: RW_000{ H} BG_000{ D} BGACK_030{ H} + : VMA{ D} cpu_est_2_{ D} cpu_est_3_{ A} + : cpu_est_0_{ D} cpu_est_1_{ D} CYCLE_DMA_0_{ D} + : CYCLE_DMA_1_{ D} inst_RESET_OUT{ G} CLK_000_D_1_{ H} + : SM_AMIGA_1_{ A}inst_DS_000_ENABLE{ B} SM_AMIGA_6_{ F} + : SM_AMIGA_4_{ A} SM_AMIGA_0_{ A} RST_DLY_0_{ G} + : RST_DLY_1_{ B} RST_DLY_2_{ G}inst_AS_000_INT{ C} + : SM_AMIGA_5_{ B} SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} : SM_AMIGA_i_7_{ F} inst_CLK_OUT_PRE_50{ F}:inst_CLK_OUT_PRE_50{ E}inst_CLK_OUT_PRE_D{ E} inst_CLK_OUT_PRE_D{ F}: CLK_DIV_OUT{ G} CLK_EXP{ B} - IPL_D0_0_{ E}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + IPL_D0_0_{ B}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} IPL_D0_1_{ C}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} IPL_D0_2_{ H}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} -CLK_000_D_4_{ E}: SM_AMIGA_6_{ F} SM_AMIGA_i_7_{ F} -inst_AMIGA_BUS_ENABLE_DMA_HIGH{ B}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ A} -SM_AMIGA_1_{ D}: SM_AMIGA_1_{ C} SM_AMIGA_0_{ A}inst_DSACK1_INT{ A} -inst_UDS_000_INT{ C}: UDS_000{ D}inst_UDS_000_INT{ B} -inst_DS_000_ENABLE{ G}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ F} -inst_LDS_000_INT{ C}: LDS_000{ D}inst_LDS_000_INT{ B} -SM_AMIGA_6_{ G}: RW_000{ H}inst_UDS_000_INT{ B}inst_DS_000_ENABLE{ F} - :inst_LDS_000_INT{ B} SM_AMIGA_6_{ F}inst_AS_000_INT{ F} - : SM_AMIGA_5_{ D} -SM_AMIGA_4_{ D}:inst_DS_000_ENABLE{ F} SM_AMIGA_4_{ C} SM_AMIGA_3_{ C} +CLK_000_D_3_{ B}: CLK_000_D_4_{ A} SM_AMIGA_1_{ A} SM_AMIGA_0_{ A} + :inst_DSACK1_INT{ F} +CLK_000_D_5_{ H}: SM_AMIGA_6_{ F} SM_AMIGA_i_7_{ F} +inst_AMIGA_BUS_ENABLE_DMA_HIGH{ H}:AMIGA_BUS_ENABLE_HIGH{ D}inst_AMIGA_BUS_ENABLE_DMA_HIGH{ G} +SM_AMIGA_1_{ B}: SM_AMIGA_1_{ A} SM_AMIGA_0_{ A}inst_DSACK1_INT{ F} +inst_UDS_000_INT{ G}: UDS_000{ D}inst_UDS_000_INT{ F} +inst_DS_000_ENABLE{ C}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ B} +inst_LDS_000_INT{ D}: LDS_000{ D}inst_LDS_000_INT{ C} +SM_AMIGA_6_{ G}: RW_000{ H}inst_UDS_000_INT{ F}inst_DS_000_ENABLE{ B} + :inst_LDS_000_INT{ C} SM_AMIGA_6_{ F}inst_AS_000_INT{ C} + : SM_AMIGA_5_{ B} +SM_AMIGA_4_{ B}:inst_DS_000_ENABLE{ B} SM_AMIGA_4_{ A} SM_AMIGA_3_{ A} SM_AMIGA_0_{ B}: RW_000{ H} SM_AMIGA_0_{ A} SM_AMIGA_i_7_{ F} - RST_DLY_0_{ D}: inst_RESET_OUT{ A} RST_DLY_0_{ C} RST_DLY_1_{ A} - : RST_DLY_2_{ A} - RST_DLY_1_{ B}: inst_RESET_OUT{ A} RST_DLY_0_{ C} RST_DLY_1_{ A} - : RST_DLY_2_{ A} - RST_DLY_2_{ B}: inst_RESET_OUT{ A} RST_DLY_0_{ C} RST_DLY_1_{ A} - : RST_DLY_2_{ A} -inst_CLK_030_H{ H}:inst_DS_000_DMA{ G} inst_CLK_030_H{ G} -inst_DSACK1_INT{ B}: DSACK1{ H}inst_DSACK1_INT{ A} -inst_AS_000_INT{ G}: AS_000{ E}inst_AS_000_INT{ F} -SM_AMIGA_5_{ E}: SM_AMIGA_4_{ C} SM_AMIGA_5_{ D} -SM_AMIGA_3_{ D}: SM_AMIGA_3_{ C} SM_AMIGA_2_{ C} -SM_AMIGA_2_{ D}: SM_AMIGA_1_{ C} SM_AMIGA_2_{ C} + RST_DLY_0_{ H}: inst_RESET_OUT{ G} RST_DLY_0_{ G} RST_DLY_1_{ B} + : RST_DLY_2_{ G} + RST_DLY_1_{ C}: inst_RESET_OUT{ G} RST_DLY_0_{ G} RST_DLY_1_{ B} + : RST_DLY_2_{ G} + RST_DLY_2_{ H}: inst_RESET_OUT{ G} RST_DLY_0_{ G} RST_DLY_1_{ B} + : RST_DLY_2_{ G} +inst_CLK_030_H{ D}:inst_DS_000_DMA{ C} inst_CLK_030_H{ C} +inst_DSACK1_INT{ G}: DSACK1{ H}inst_DSACK1_INT{ F} +inst_AS_000_INT{ D}: AS_000{ E}inst_AS_000_INT{ C} +SM_AMIGA_5_{ C}: SM_AMIGA_4_{ A} SM_AMIGA_5_{ B} +SM_AMIGA_3_{ B}: SM_AMIGA_3_{ A} SM_AMIGA_2_{ A} +SM_AMIGA_2_{ B}: SM_AMIGA_1_{ A} SM_AMIGA_2_{ A} SM_AMIGA_i_7_{ G}: RW_000{ H}inst_AS_030_000_SYNC{ F} SM_AMIGA_6_{ F} : SM_AMIGA_i_7_{ F} CIIN_0{ F}: CIIN{ E} @@ -655,15 +657,16 @@ Equations : +-----+-----+-----+-----+------------------------ | | | | | DS_030 | | | | | AVEC -| * | S | BS | BR | inst_RESET_OUT | * | S | BS | BR | SM_AMIGA_0_ -| * | S | BS | BR | CYCLE_DMA_0_ -| * | S | BS | BR | inst_DSACK1_INT -| * | S | BS | BR | RST_DLY_2_ -| * | S | BS | BR | RST_DLY_1_ -| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_HIGH -| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_LOW -| * | S | BS | BR | inst_VPA_D +| * | S | BS | BR | cpu_est_3_ +| * | S | BS | BR | inst_BGACK_030_INT_D +| * | S | BS | BR | SM_AMIGA_1_ +| * | S | BS | BR | SM_AMIGA_4_ +| * | S | BS | BR | CLK_000_D_3_ +| * | S | BS | BR | CLK_000_D_4_ +| * | S | BS | BR | SM_AMIGA_2_ +| * | S | BS | BR | SM_AMIGA_3_ +| * | S | BS | BR | IPL_D0_0_ | | | | | A_DECODE_19_ | | | | | A_DECODE_16_ | | | | | A_DECODE_18_ @@ -687,9 +690,9 @@ Equations : | * | S | BS | BR | IPL_030_1_ | * | S | BS | BR | CLK_EXP | | | | | RESET -| * | S | BS | BR | CLK_000_D_0_ -| * | S | BS | BR | inst_LDS_000_INT -| * | S | BS | BR | inst_UDS_000_INT +| * | S | BS | BR | SM_AMIGA_5_ +| * | S | BS | BR | inst_DS_000_ENABLE +| * | S | BS | BR | RST_DLY_1_ | * | S | BS | BR | RN_IPL_030_0_ | * | S | BS | BR | RN_IPL_030_1_ | * | S | BS | BR | RN_IPL_030_2_ @@ -709,12 +712,12 @@ Equations : | | | | | AHIGH_27_ | | | | | AHIGH_28_ | | | | | AMIGA_BUS_ENABLE_LOW -| * | S | BS | BR | RST_DLY_0_ -| * | S | BS | BR | SM_AMIGA_1_ -| * | S | BS | BR | SM_AMIGA_4_ -| * | S | BS | BR | SM_AMIGA_2_ -| * | S | BS | BR | SM_AMIGA_3_ -| * | S | BS | BR | inst_DTACK_D0 +| * | S | BS | BR | inst_DS_000_DMA +| * | S | BS | BR | inst_AS_000_DMA +| * | S | BS | BR | inst_LDS_000_INT +| * | S | BS | BR | inst_AS_000_INT +| * | S | BS | BR | inst_VPA_D +| * | S | BS | BR | inst_CLK_030_H | | | | | BG_030 @@ -731,14 +734,14 @@ Equations : | | | | | AMIGA_BUS_ENABLE_HIGH | * | S | BS | BR | BG_000 | | | | | AMIGA_ADDR_ENABLE -| * | S | BS | BR | CLK_000_D_3_ -| * | S | BS | BR | cpu_est_3_ +| * | S | BS | BR | CLK_000_D_0_ +| * | S | BS | BR | cpu_est_1_ | * | S | BS | BR | cpu_est_2_ +| * | S | BS | BR | CYCLE_DMA_1_ | * | S | BS | BR | RN_VMA -| * | S | BS | BR | SM_AMIGA_5_ +| * | S | BS | BR | CYCLE_DMA_0_ +| * | S | BS | BR | cpu_est_0_ | * | S | BS | BR | RN_BG_000 -| * | S | BS | BR | CLK_000_D_4_ -| * | S | BS | BR | IPL_D0_0_ | | | | | BGACK_000 | | | | | DTACK @@ -769,8 +772,8 @@ Equations : | * | S | BS | BR | SM_AMIGA_6_ | * | S | BS | BR | inst_AS_030_000_SYNC | * | S | BS | BR | SM_AMIGA_i_7_ -| * | S | BS | BR | inst_DS_000_ENABLE -| * | S | BS | BR | inst_AS_000_INT +| * | S | BS | BR | inst_DSACK1_INT +| * | S | BS | BR | inst_UDS_000_INT | | | | | A_DECODE_17_ | | | | | FC_1_ | | | | | FC_0_ @@ -785,20 +788,20 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ +| * | S | BS | BR | A_0_ | * | S | BS | BR | RW | * | S | BS | BR | SIZE_0_ -| * | S | BS | BR | A_0_ | | | | | E | * | S | BS | BR | CLK_DIV_OUT -| * | S | BS | BR | cpu_est_1_ -| * | S | BS | BR | cpu_est_0_ -| * | S | BS | BR | inst_DS_000_DMA -| * | S | BS | BR | inst_AS_000_DMA -| * | S | BS | BR | inst_CLK_030_H -| * | S | BS | BR | CYCLE_DMA_1_ +| * | S | BS | BR | inst_RESET_OUT +| * | S | BS | BR | RST_DLY_0_ +| * | S | BS | BR | RST_DLY_2_ +| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_HIGH +| * | S | BS | BR | inst_AMIGA_BUS_ENABLE_DMA_LOW | * | S | BS | BR | RN_A_0_ | * | S | BS | BR | RN_SIZE_0_ | * | S | BS | BR | RN_RW +| * | S | BS | BR | CLK_000_D_5_ | * | S | BS | BR | IPL_D0_2_ | | | | | IPL_2_ | | | | | IPL_0_ @@ -819,11 +822,11 @@ Equations : | | | | | FPU_CS | * | S | BS | BR | RN_BGACK_030 | * | S | BS | BR | CLK_000_D_1_ -| * | S | BS | BR | inst_BGACK_030_INT_D -| * | S | BS | BR | CLK_000_D_2_ | * | S | BS | BR | inst_AS_030_D0 +| * | S | BS | BR | CLK_000_D_2_ | * | S | BS | BR | RN_RW_000 | * | S | BS | BR | RN_SIZE_1_ +| * | S | BS | BR | inst_DTACK_D0 | | | | | A_DECODE_23_ | | | | | A_DECODE_22_ @@ -842,23 +845,23 @@ BLOCK_A_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx A0 RST_DLY_1_ mcell A13 mx A17 ... ... -mx A1 ... ... mx A18 inst_RESET_OUT mcell A8 -mx A2 inst_DSACK1_INT mcell A5 mx A19inst_BGACK_030_INT_D mcell H13 -mx A3 RST_DLY_0_ mcell C9 mx A20 RN_BGACK_030 mcell H4 +mx A0 IPL_0_ pin 67 mx A17 cpu_est_0_ mcell D14 +mx A1 BERR pin 41 mx A18 RN_VMA mcell D0 +mx A2 SM_AMIGA_1_ mcell A5 mx A19 SM_AMIGA_4_ mcell A9 +mx A3 inst_DS_000_DMA mcell C9 mx A20 RN_BGACK_030 mcell H4 mx A4 CLK_000_D_2_ mcell H2 mx A21 RST pin 86 -mx A5 nEXP_SPACE pin 14 mx A22inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell A2 +mx A5 nEXP_SPACE pin 14 mx A22 inst_RESET_OUT mcell G5 mx A6 ... ... mx A23 ... ... -mx A7 CLK_000_D_3_ mcell D9 mx A24 SM_AMIGA_0_ mcell A12 -mx A8 ... ... mx A25 inst_DS_000_DMA mcell G13 -mx A9 AS_030 pin 82 mx A26 ... ... -mx A10 CLK_000_D_0_ mcell B13 mx A27 CLK_000_D_1_ mcell H5 -mx A11 A_1_ pin 60 mx A28 ... ... -mx A12 CYCLE_DMA_0_ mcell A1 mx A29 RST_DLY_2_ mcell A9 -mx A13 VPA pin 36 mx A30 SM_AMIGA_1_ mcell C13 -mx A14 ... ... mx A31 ... ... -mx A15inst_AMIGA_BUS_ENABLE_DMA_LOW mcell A6 mx A32 ... ... -mx A16 AS_000 pin 42 +mx A7 inst_DTACK_D0 mcell H6 mx A24 ... ... +mx A8 inst_VPA_D mcell C10 mx A25 CLK_000_D_3_ mcell A13 +mx A9 cpu_est_3_ mcell A12 mx A26 AS_000 pin 42 +mx A10 SM_AMIGA_2_ mcell A6 mx A27 ... ... +mx A11 ... ... mx A28 SM_AMIGA_5_ mcell B13 +mx A12 CLK_000_D_0_ mcell D9 mx A29 cpu_est_1_ mcell D13 +mx A13 CLK_000_D_1_ mcell H5 mx A30 SM_AMIGA_0_ mcell A8 +mx A14 SM_AMIGA_3_ mcell A10 mx A31 ... ... +mx A15 ... ... mx A32 ... ... +mx A16 cpu_est_2_ mcell D2 ---------------------------------------------------------------------------- @@ -867,22 +870,22 @@ BLOCK_B_LOGIC_ARRAY_FANIN CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ mx B0 IPL_0_ pin 67 mx B17 ... ... -mx B1 ... ... mx B18 inst_RESET_OUT mcell A8 -mx B2inst_UDS_000_INT mcell B10 mx B19 ... ... -mx B3 CLK_000 pin 11 mx B20 RN_BGACK_030 mcell H4 -mx B4 IPL_2_ pin 68 mx B21 IPL_1_ pin 56 -mx B5 nEXP_SPACE pin 14 mx B22 ... ... -mx B6 SIZE_1_ pin 79 mx B23inst_LDS_000_INT mcell B6 -mx B7 ... ... mx B24 RST pin 86 -mx B8inst_CLK_OUT_PRE_D mcell E8 mx B25 SM_AMIGA_6_ mcell F0 -mx B9 IPL_D0_1_ mcell B14 mx B26 ... ... -mx B10 IPL_D0_0_ mcell D14 mx B27 RN_IPL_030_2_ mcell B4 -mx B11 IPL_D0_2_ mcell G14 mx B28 RN_IPL_030_0_ mcell B5 -mx B12 ... ... mx B29 ... ... -mx B13 ... ... mx B30 ... ... -mx B14 SIZE_0_ pin 70 mx B31 ... ... -mx B15 A_0_ pin 69 mx B32 ... ... -mx B16 RN_IPL_030_1_ mcell B9 +mx B1 ... ... mx B18 ... ... +mx B2 RST_DLY_1_ mcell B10 mx B19 SM_AMIGA_4_ mcell A9 +mx B3 IPL_D0_0_ mcell A14 mx B20 IPL_D0_1_ mcell B14 +mx B4 IPL_2_ pin 68 mx B21 RST pin 86 +mx B5 nEXP_SPACE pin 14 mx B22 inst_RESET_OUT mcell G5 +mx B6 RN_IPL_030_1_ mcell B9 mx B23 RN_BGACK_030 mcell H4 +mx B7 CLK_000_D_0_ mcell D9 mx B24 ... ... +mx B8 RW pin 71 mx B25 SM_AMIGA_6_ mcell F0 +mx B9 RST_DLY_2_ mcell G13 mx B26 IPL_D0_2_ mcell G14 +mx B10 SM_AMIGA_5_ mcell B13 mx B27 RN_IPL_030_2_ mcell B4 +mx B11inst_DS_000_ENABLE mcell B6 mx B28 RN_IPL_030_0_ mcell B5 +mx B12 RST_DLY_0_ mcell G9 mx B29 ... ... +mx B13 CLK_000_D_1_ mcell H5 mx B30 ... ... +mx B14 ... ... mx B31 IPL_1_ pin 56 +mx B15 ... ... mx B32 AS_030 pin 82 +mx B16inst_CLK_OUT_PRE_D mcell E8 ---------------------------------------------------------------------------- @@ -890,23 +893,23 @@ BLOCK_C_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx C0 RST pin 86 mx C17 BERR pin 41 -mx C1 RN_VMA mcell D0 mx C18 inst_DTACK_D0 mcell C14 -mx C2 RST_DLY_2_ mcell A9 mx C19 ... ... -mx C3 RST_DLY_0_ mcell C9 mx C20 RN_BGACK_030 mcell H4 -mx C4 SM_AMIGA_5_ mcell D6 mx C21 cpu_est_3_ mcell D13 -mx C5 nEXP_SPACE pin 14 mx C22 SM_AMIGA_4_ mcell C2 -mx C6 ... ... mx C23 ... ... -mx C7 CLK_000_D_3_ mcell D9 mx C24 ... ... -mx C8 inst_VPA_D mcell A10 mx C25 RST_DLY_1_ mcell A13 -mx C9 SM_AMIGA_2_ mcell C6 mx C26 SM_AMIGA_3_ mcell C10 -mx C10 CLK_000_D_0_ mcell B13 mx C27 ... ... -mx C11 SM_AMIGA_1_ mcell C13 mx C28 CLK_000_D_2_ mcell H2 -mx C12 cpu_est_0_ mcell G9 mx C29 ... ... -mx C13 CLK_000_D_1_ mcell H5 mx C30 inst_RESET_OUT mcell A8 -mx C14 DTACK pin 30 mx C31 ... ... -mx C15inst_AMIGA_BUS_ENABLE_DMA_LOW mcell A6 mx C32 cpu_est_1_ mcell G5 -mx C16 cpu_est_2_ mcell D2 +mx C0 RST pin 86 mx C17 ... ... +mx C1 ... ... mx C18 ... ... +mx C2 CYCLE_DMA_0_ mcell D10 mx C19 AS_030 pin 82 +mx C3 inst_DS_000_DMA mcell C9 mx C20 RN_BGACK_030 mcell H4 +mx C4 CLK_030 pin 64 mx C21 RW_000 pin 80 +mx C5 nEXP_SPACE pin 14 mx C22inst_LDS_000_INT mcell C2 +mx C6 SIZE_1_ pin 79 mx C23inst_AMIGA_BUS_ENABLE_DMA_LOW mcell G6 +mx C7 inst_CLK_030_H mcell C14 mx C24 LDS_000 pin 31 +mx C8 UDS_000 pin 32 mx C25 SM_AMIGA_6_ mcell F0 +mx C9 inst_AS_000_INT mcell C6 mx C26 AS_000 pin 42 +mx C10 VPA pin 36 mx C27 ... ... +mx C11 inst_AS_000_DMA mcell C13 mx C28 ... ... +mx C12 CLK_000_D_0_ mcell D9 mx C29 ... ... +mx C13 CLK_000_D_1_ mcell H5 mx C30 ... ... +mx C14 SIZE_0_ pin 70 mx C31 ... ... +mx C15 A_0_ pin 69 mx C32 inst_RESET_OUT mcell G5 +mx C16 CYCLE_DMA_1_ mcell D6 ---------------------------------------------------------------------------- @@ -914,23 +917,23 @@ BLOCK_D_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx D0 IPL_0_ pin 67 mx D17 ... ... -mx D1inst_DS_000_ENABLE mcell F12 mx D18 RN_VMA mcell D0 +mx D0 RN_BGACK_030 mcell H4 mx D17 cpu_est_0_ mcell D14 +mx D1 cpu_est_1_ mcell D13 mx D18 RN_VMA mcell D0 mx D2 RN_BG_000 mcell D1 mx D19 ... ... -mx D3 cpu_est_2_ mcell D2 mx D20 RN_BGACK_030 mcell H4 +mx D3 CLK_000 pin 11 mx D20 CYCLE_DMA_0_ mcell D10 mx D4 BG_030 pin 21 mx D21 RST pin 86 -mx D5 nEXP_SPACE pin 14 mx D22inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell A2 -mx D6 ... ... mx D23 ... ... -mx D7 inst_AS_030_D0 mcell H6 mx D24 ... ... -mx D8 inst_VPA_D mcell A10 mx D25 CLK_000_D_3_ mcell D9 -mx D9 ... ... mx D26 ... ... -mx D10inst_AS_030_000_SYNC mcell F4 mx D27 cpu_est_0_ mcell G9 -mx D11inst_LDS_000_INT mcell B6 mx D28 CLK_000_D_0_ mcell B13 -mx D12inst_UDS_000_INT mcell B10 mx D29 cpu_est_3_ mcell D13 -mx D13 CLK_000_D_1_ mcell H5 mx D30 inst_RESET_OUT mcell A8 -mx D14 CLK_000_D_2_ mcell H2 mx D31 SM_AMIGA_6_ mcell F0 -mx D15 ... ... mx D32 cpu_est_1_ mcell G5 -mx D16 SM_AMIGA_5_ mcell D6 +mx D5 nEXP_SPACE pin 14 mx D22inst_LDS_000_INT mcell C2 +mx D6 ... ... mx D23inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G2 +mx D7 inst_AS_030_D0 mcell H13 mx D24 ... ... +mx D8 inst_VPA_D mcell C10 mx D25 ... ... +mx D9 cpu_est_3_ mcell A12 mx D26 AS_000 pin 42 +mx D10inst_UDS_000_INT mcell F1 mx D27 ... ... +mx D11inst_DS_000_ENABLE mcell B6 mx D28 cpu_est_2_ mcell D2 +mx D12 CLK_000_D_0_ mcell D9 mx D29 ... ... +mx D13 CLK_000_D_1_ mcell H5 mx D30 ... ... +mx D14inst_AS_030_000_SYNC mcell F4 mx D31 ... ... +mx D15 ... ... mx D32 inst_RESET_OUT mcell G5 +mx D16 CYCLE_DMA_1_ mcell D6 ---------------------------------------------------------------------------- @@ -939,21 +942,21 @@ BLOCK_E_LOGIC_ARRAY_FANIN CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ mx E0 RN_BGACK_030 mcell H4 mx E17 A_DECODE_18_ pin 95 -mx E1 FC_1_ pin 58 mx E18 A_DECODE_23_ pin 85 -mx E2inst_CLK_OUT_PRE_50 mcell E9 mx E19 AHIGH_30_ pin 5 -mx E3 A_DECODE_20_ pin 93 mx E20 AHIGH_24_ pin 19 -mx E4 BGACK_000 pin 28 mx E21 AHIGH_27_ pin 16 -mx E5 A_DECODE_21_ pin 94 mx E22 AHIGH_25_ pin 18 -mx E6 A_DECODE_16_ pin 96 mx E23 ... ... -mx E7 inst_AS_030_D0 mcell H6 mx E24 FC_0_ pin 57 +mx E1 FC_1_ pin 58 mx E18 inst_AS_000_INT mcell C6 +mx E2inst_CLK_OUT_PRE_50 mcell E9 mx E19 AS_030 pin 82 +mx E3 AHIGH_25_ pin 18 mx E20 A_DECODE_22_ pin 84 +mx E4 BGACK_000 pin 28 mx E21 AHIGH_29_ pin 6 +mx E5 AHIGH_24_ pin 19 mx E22 inst_RESET_OUT mcell G5 +mx E6 RW_000 pin 80 mx E23 ... ... +mx E7 inst_AS_030_D0 mcell H13 mx E24 FC_0_ pin 57 mx E8 FPU_SENSE pin 91 mx E25 AHIGH_31_ pin 4 -mx E9 AHIGH_26_ pin 17 mx E26 CIIN_0 mcell E5 -mx E10 inst_AS_000_INT mcell F1 mx E27 A_DECODE_17_ pin 59 -mx E11 A_DECODE_22_ pin 84 mx E28 RW_000 pin 80 -mx E12 A_DECODE_19_ pin 97 mx E29 ... ... -mx E13 AHIGH_29_ pin 6 mx E30 inst_RESET_OUT mcell A8 -mx E14 AHIGH_28_ pin 15 mx E31 ... ... -mx E15 nEXP_SPACE pin 14 mx E32 AS_030 pin 82 +mx E9 AHIGH_26_ pin 17 mx E26 A_DECODE_16_ pin 96 +mx E10 ... ... mx E27 AHIGH_28_ pin 15 +mx E11 AHIGH_27_ pin 16 mx E28 AHIGH_30_ pin 5 +mx E12 A_DECODE_19_ pin 97 mx E29 A_DECODE_20_ pin 93 +mx E13 A_DECODE_17_ pin 59 mx E30 ... ... +mx E14 CIIN_0 mcell E5 mx E31 A_DECODE_21_ pin 94 +mx E15 nEXP_SPACE pin 14 mx E32 A_DECODE_23_ pin 85 mx E16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -962,22 +965,22 @@ BLOCK_F_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx F0 RST pin 86 mx F17 A_DECODE_18_ pin 95 -mx F1inst_DS_000_ENABLE mcell F12 mx F18 ... ... -mx F2 CLK_000_D_4_ mcell D10 mx F19inst_BGACK_030_INT_D mcell H13 -mx F3 ... ... mx F20 SM_AMIGA_i_7_ mcell F8 -mx F4 CLK_000_D_1_ mcell H5 mx F21 CLK_000_D_0_ mcell B13 -mx F5 nEXP_SPACE pin 14 mx F22 SM_AMIGA_4_ mcell C2 -mx F6 A_DECODE_19_ pin 97 mx F23 RN_BGACK_030 mcell H4 -mx F7 inst_AS_030_D0 mcell H6 mx F24 FC_0_ pin 57 -mx F8 RW pin 71 mx F25 CLK_000_D_3_ mcell D9 -mx F9 SM_AMIGA_0_ mcell A12 mx F26 ... ... -mx F10 inst_AS_000_INT mcell F1 mx F27 ... ... -mx F11 A_DECODE_16_ pin 96 mx F28 ... ... -mx F12 FC_1_ pin 58 mx F29 ... ... -mx F13 A_DECODE_17_ pin 59 mx F30 ... ... -mx F14inst_AS_030_000_SYNC mcell F4 mx F31 SM_AMIGA_6_ mcell F0 -mx F15 ... ... mx F32 AS_030 pin 82 +mx F0 RN_BGACK_030 mcell H4 mx F17 FC_0_ pin 57 +mx F1 inst_DSACK1_INT mcell F12 mx F18 SM_AMIGA_1_ mcell A5 +mx F2 SM_AMIGA_i_7_ mcell F8 mx F19 inst_AS_030_D0 mcell H13 +mx F3 SM_AMIGA_0_ mcell A8 mx F20 FC_1_ pin 58 +mx F4 A_DECODE_18_ pin 95 mx F21 RST pin 86 +mx F5 nEXP_SPACE pin 14 mx F22 CLK_000_D_5_ mcell G10 +mx F6 A_DECODE_19_ pin 97 mx F23 ... ... +mx F7 CLK_000_D_0_ mcell D9 mx F24 ... ... +mx F8 A_DECODE_17_ pin 59 mx F25 CLK_000_D_4_ mcell A2 +mx F9 AS_030 pin 82 mx F26 ... ... +mx F10inst_UDS_000_INT mcell F1 mx F27 ... ... +mx F11 A_DECODE_16_ pin 96 mx F28 CLK_000_D_3_ mcell A13 +mx F12inst_BGACK_030_INT_D mcell A1 mx F29inst_AS_030_000_SYNC mcell F4 +mx F13 CLK_000_D_1_ mcell H5 mx F30 ... ... +mx F14 CLK_000_D_2_ mcell H2 mx F31 SM_AMIGA_6_ mcell F0 +mx F15 A_0_ pin 69 mx F32 ... ... mx F16 ... ... ---------------------------------------------------------------------------- @@ -987,21 +990,21 @@ BLOCK_G_LOGIC_ARRAY_FANIN CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ mx G0 RST pin 86 mx G17 RN_RW mcell G0 -mx G1 cpu_est_3_ mcell D13 mx G18 inst_RESET_OUT mcell A8 -mx G2 AS_000 pin 42 mx G19inst_BGACK_030_INT_D mcell H13 +mx G1 cpu_est_1_ mcell D13 mx G18 ... ... +mx G2 RST_DLY_1_ mcell B10 mx G19 ... ... mx G3 cpu_est_2_ mcell D2 mx G20 RN_BGACK_030 mcell H4 -mx G4 CLK_030 pin 64 mx G21 CLK_000_D_0_ mcell B13 -mx G5 nEXP_SPACE pin 14 mx G22 IPL_2_ pin 68 -mx G6 RW_000 pin 80 mx G23 inst_CLK_030_H mcell G6 +mx G4 IPL_2_ pin 68 mx G21inst_BGACK_030_INT_D mcell A1 +mx G5 nEXP_SPACE pin 14 mx G22 CLK_000_D_4_ mcell A2 +mx G6 RW_000 pin 80 mx G23inst_AMIGA_BUS_ENABLE_DMA_LOW mcell G6 mx G7 RN_SIZE_0_ mcell G12 mx G24 LDS_000 pin 31 -mx G8 UDS_000 pin 32 mx G25 ... ... -mx G9 CYCLE_DMA_0_ mcell A1 mx G26 ... ... -mx G10 RN_A_0_ mcell G8 mx G27 cpu_est_0_ mcell G9 -mx G11 ... ... mx G28 CYCLE_DMA_1_ mcell G10 -mx G12 inst_DS_000_DMA mcell G13 mx G29 ... ... +mx G8 UDS_000 pin 32 mx G25 CLK_000_D_0_ mcell D9 +mx G9 RST_DLY_2_ mcell G13 mx G26 ... ... +mx G10 RN_A_0_ mcell G8 mx G27 ... ... +mx G11 A_1_ pin 60 mx G28 ... ... +mx G12 RST_DLY_0_ mcell G9 mx G29 ... ... mx G13 CLK_000_D_1_ mcell H5 mx G30 ... ... -mx G14 ... ... mx G31 inst_AS_000_DMA mcell G2 -mx G15 ... ... mx G32 cpu_est_1_ mcell G5 +mx G14 ... ... mx G31inst_AMIGA_BUS_ENABLE_DMA_HIGH mcell G2 +mx G15 cpu_est_3_ mcell A12 mx G32 inst_RESET_OUT mcell G5 mx G16inst_CLK_OUT_PRE_D mcell E8 ---------------------------------------------------------------------------- @@ -1010,22 +1013,22 @@ BLOCK_H_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx H0 RN_BGACK_030 mcell H4 mx H17 FC_0_ pin 57 +mx H0 RST pin 86 mx H17 FC_0_ pin 57 mx H1 FC_1_ pin 58 mx H18 BGACK_000 pin 28 -mx H2 inst_DSACK1_INT mcell A5 mx H19 FPU_SENSE pin 91 -mx H3 inst_RESET_OUT mcell A8 mx H20 SM_AMIGA_i_7_ mcell F8 -mx H4 A_DECODE_18_ pin 95 mx H21 RST pin 86 -mx H5 nEXP_SPACE pin 14 mx H22 ... ... -mx H6 A_DECODE_19_ pin 97 mx H23 RN_RW_000 mcell H0 -mx H7inst_BGACK_030_INT_D mcell H13 mx H24 LDS_000 pin 31 -mx H8 RW pin 71 mx H25 SM_AMIGA_6_ mcell F0 +mx H2 SM_AMIGA_i_7_ mcell F8 mx H19 FPU_SENSE pin 91 +mx H3 SM_AMIGA_0_ mcell A8 mx H20 RN_BGACK_030 mcell H4 +mx H4 A_DECODE_18_ pin 95 mx H21inst_BGACK_030_INT_D mcell A1 +mx H5 nEXP_SPACE pin 14 mx H22 inst_RESET_OUT mcell G5 +mx H6 A_DECODE_16_ pin 96 mx H23 RN_RW_000 mcell H0 +mx H7 CLK_000_D_0_ mcell D9 mx H24 LDS_000 pin 31 +mx H8 UDS_000 pin 32 mx H25 SM_AMIGA_6_ mcell F0 mx H9 AS_030 pin 82 mx H26 ... ... -mx H10 CLK_000_D_0_ mcell B13 mx H27 CLK_000_D_1_ mcell H5 -mx H11 A_DECODE_16_ pin 96 mx H28 ... ... -mx H12 UDS_000 pin 32 mx H29 RN_SIZE_1_ mcell H12 -mx H13 A_DECODE_17_ pin 59 mx H30 ... ... -mx H14 ... ... mx H31 inst_AS_000_DMA mcell G2 -mx H15 SM_AMIGA_0_ mcell A12 mx H32 ... ... +mx H10 ... ... mx H27 CLK_000_D_1_ mcell H5 +mx H11 RW pin 71 mx H28 ... ... +mx H12 A_DECODE_19_ pin 97 mx H29 ... ... +mx H13 A_DECODE_17_ pin 59 mx H30 inst_AS_000_DMA mcell C13 +mx H14 DTACK pin 30 mx H31 inst_DSACK1_INT mcell F12 +mx H15 RN_SIZE_1_ mcell H12 mx H32 ... ... mx H16 AS_000 pin 42 ---------------------------------------------------------------------------- @@ -1059,21 +1062,21 @@ PostFit_Equations 1 3 1 Pin AHIGH_30_.OE 0 0 1 Pin AHIGH_29_ 1 3 1 Pin AHIGH_29_.OE - 1 1 1 Pin CLK_DIV_OUT.D - 1 1 1 Pin CLK_DIV_OUT.C 0 0 1 Pin AHIGH_28_ 1 3 1 Pin AHIGH_28_.OE + 1 1 1 Pin CLK_DIV_OUT.D + 1 1 1 Pin CLK_DIV_OUT.C 0 0 1 Pin AHIGH_27_ 1 3 1 Pin AHIGH_27_.OE - 1 9 1 Pin FPU_CS- 0 0 1 Pin AHIGH_26_ 1 3 1 Pin AHIGH_26_.OE + 1 9 1 Pin FPU_CS- 0 0 1 Pin AHIGH_25_ 1 3 1 Pin AHIGH_25_.OE - 1 2 1 Pin DSACK1- - 1 1 1 Pin DSACK1.OE 0 0 1 Pin AHIGH_24_ 1 3 1 Pin AHIGH_24_.OE + 1 2 1 Pin DSACK1- + 1 1 1 Pin DSACK1.OE 1 0 1 Pin AVEC 2 3 1 Pin E 0 0 1 Pin RESET @@ -1113,15 +1116,15 @@ PostFit_Equations 1 1 1 Pin IPL_030_1_.C 10 8 1 Pin IPL_030_0_.D- 1 1 1 Pin IPL_030_0_.C + 1 1 1 NodeX1 cpu_est_2_.D.X1 + 1 4 1 NodeX2 cpu_est_2_.D.X2 + 1 1 1 Node cpu_est_2_.C 4 6 1 Node cpu_est_3_.D 1 1 1 Node cpu_est_3_.C 3 3 1 Node cpu_est_0_.D 1 1 1 Node cpu_est_0_.C 4 5 1 Node cpu_est_1_.D 1 1 1 Node cpu_est_1_.C - 1 4 1 NodeX1 cpu_est_2_.D.X1 - 1 1 1 NodeX2 cpu_est_2_.D.X2 - 1 1 1 Node cpu_est_2_.C 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.D- 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_LOW.C 1 2 1 Node inst_AS_030_D0.D- @@ -1142,8 +1145,8 @@ PostFit_Equations 1 1 1 Node inst_VPA_D.C 1 1 1 Node CLK_000_D_2_.D 1 1 1 Node CLK_000_D_2_.C - 1 1 1 Node CLK_000_D_3_.D - 1 1 1 Node CLK_000_D_3_.C + 1 1 1 Node CLK_000_D_4_.D + 1 1 1 Node CLK_000_D_4_.C 1 2 1 Node inst_DTACK_D0.D- 1 1 1 Node inst_DTACK_D0.C 2 7 1 Node inst_RESET_OUT.D @@ -1162,8 +1165,10 @@ PostFit_Equations 1 1 1 Node IPL_D0_1_.C 1 2 1 Node IPL_D0_2_.D- 1 1 1 Node IPL_D0_2_.C - 1 1 1 Node CLK_000_D_4_.D - 1 1 1 Node CLK_000_D_4_.C + 1 1 1 Node CLK_000_D_3_.D + 1 1 1 Node CLK_000_D_3_.C + 1 1 1 Node CLK_000_D_5_.D + 1 1 1 Node CLK_000_D_5_.C 2 5 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- 1 1 1 Node inst_AMIGA_BUS_ENABLE_DMA_HIGH.C 4 7 1 Node SM_AMIGA_1_.D @@ -1204,9 +1209,9 @@ PostFit_Equations 1 1 1 Node SM_AMIGA_i_7_.C 2 14 1 Node CIIN_0 ========= - 274 P-Term Total: 274 + 276 P-Term Total: 276 Total Pins: 61 - Total Nodes: 44 + Total Nodes: 45 Average P-Term/Output: 2 @@ -1248,40 +1253,40 @@ AHIGH_29_ = (0); AHIGH_29_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); -CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); - -CLK_DIV_OUT.C = (CLK_OSZI); - AHIGH_28_ = (0); AHIGH_28_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); +CLK_DIV_OUT.D = (inst_CLK_OUT_PRE_D.Q); + +CLK_DIV_OUT.C = (CLK_OSZI); + AHIGH_27_ = (0); AHIGH_27_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); -!FPU_CS = (FC_1_ & BGACK_000 & !FPU_SENSE & !A_DECODE_19_ & !A_DECODE_18_ & A_DECODE_17_ & !A_DECODE_16_ & FC_0_ & !AS_030.PIN); - AHIGH_26_ = (0); AHIGH_26_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); +!FPU_CS = (FC_1_ & BGACK_000 & !FPU_SENSE & !A_DECODE_19_ & !A_DECODE_18_ & A_DECODE_17_ & !A_DECODE_16_ & FC_0_ & !AS_030.PIN); + AHIGH_25_ = (0); AHIGH_25_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); -!DSACK1 = (!inst_DSACK1_INT.Q & !AS_030.PIN); - -DSACK1.OE = (nEXP_SPACE); - AHIGH_24_ = (0); AHIGH_24_.OE = (!nEXP_SPACE & !BGACK_030.Q & inst_RESET_OUT.Q); +!DSACK1 = (!inst_DSACK1_INT.Q & !AS_030.PIN); + +DSACK1.OE = (nEXP_SPACE); + AVEC = (1); -E = (!cpu_est_3_.Q & cpu_est_1_.Q & cpu_est_2_.Q - # cpu_est_3_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q); +E = (cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_1_.Q + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_1_.Q); RESET = (0); @@ -1355,8 +1360,8 @@ CLK_EXP.D = (inst_CLK_OUT_PRE_D.Q); CLK_EXP.C = (CLK_OSZI); VMA.T = (!RST & !VMA.Q - # !VMA.Q & !cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q - # RST & VMA.Q & !cpu_est_3_.Q & cpu_est_0_.Q & cpu_est_1_.Q & !cpu_est_2_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); + # !VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q + # RST & VMA.Q & !cpu_est_2_.Q & !cpu_est_3_.Q & cpu_est_0_.Q & cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); VMA.C = (CLK_OSZI); @@ -1401,10 +1406,16 @@ IPL_030_1_.C = (CLK_OSZI); IPL_030_0_.C = (CLK_OSZI); +cpu_est_2_.D.X1 = (cpu_est_2_.Q); + +cpu_est_2_.D.X2 = (cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); + +cpu_est_2_.C = (CLK_OSZI); + cpu_est_3_.D = (cpu_est_3_.Q & !CLK_000_D_1_.Q # cpu_est_3_.Q & CLK_000_D_0_.Q - # cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_2_.Q - # cpu_est_0_.Q & cpu_est_1_.Q & cpu_est_2_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); + # !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q + # cpu_est_2_.Q & cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); cpu_est_3_.C = (CLK_OSZI); @@ -1421,12 +1432,6 @@ cpu_est_1_.D = (!cpu_est_0_.Q & cpu_est_1_.Q cpu_est_1_.C = (CLK_OSZI); -cpu_est_2_.D.X1 = (cpu_est_0_.Q & cpu_est_1_.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q); - -cpu_est_2_.D.X2 = (cpu_est_2_.Q); - -cpu_est_2_.C = (CLK_OSZI); - !inst_AMIGA_BUS_ENABLE_DMA_LOW.D = (RST & A_1_ & !BGACK_030.Q # RST & BGACK_030.Q & !inst_AMIGA_BUS_ENABLE_DMA_LOW.Q & inst_BGACK_030_INT_D.Q); @@ -1493,9 +1498,9 @@ CLK_000_D_2_.D = (CLK_000_D_1_.Q); CLK_000_D_2_.C = (CLK_OSZI); -CLK_000_D_3_.D = (CLK_000_D_2_.Q); +CLK_000_D_4_.D = (CLK_000_D_3_.Q); -CLK_000_D_3_.C = (CLK_OSZI); +CLK_000_D_4_.C = (CLK_OSZI); !inst_DTACK_D0.D = (!DTACK & RST); @@ -1534,9 +1539,13 @@ IPL_D0_1_.C = (CLK_OSZI); IPL_D0_2_.C = (CLK_OSZI); -CLK_000_D_4_.D = (CLK_000_D_3_.Q); +CLK_000_D_3_.D = (CLK_000_D_2_.Q); -CLK_000_D_4_.C = (CLK_OSZI); +CLK_000_D_3_.C = (CLK_OSZI); + +CLK_000_D_5_.D = (CLK_000_D_4_.Q); + +CLK_000_D_5_.C = (CLK_OSZI); !inst_AMIGA_BUS_ENABLE_DMA_HIGH.D = (RST & !A_1_ & !BGACK_030.Q # RST & BGACK_030.Q & inst_BGACK_030_INT_D.Q & !inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q); @@ -1569,7 +1578,7 @@ inst_LDS_000_INT.C = (CLK_OSZI); SM_AMIGA_6_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_6_.Q # RST & !CLK_000_D_0_.Q & SM_AMIGA_6_.Q - # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_3_.Q & CLK_000_D_4_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_i_7_.Q); + # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_4_.Q & CLK_000_D_5_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_i_7_.Q); SM_AMIGA_6_.C = (CLK_OSZI); @@ -1636,7 +1645,7 @@ SM_AMIGA_3_.T = (!RST & SM_AMIGA_3_.Q # CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN # inst_VPA_D.Q & !inst_DTACK_D0.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q - # !VMA.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); + # !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); SM_AMIGA_3_.C = (CLK_OSZI); @@ -1644,15 +1653,15 @@ SM_AMIGA_2_.D = (RST & CLK_000_D_1_.Q & SM_AMIGA_2_.Q # RST & !CLK_000_D_0_.Q & SM_AMIGA_2_.Q # RST & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q & !BERR.PIN # RST & inst_VPA_D.Q & !inst_DTACK_D0.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q - # RST & !VMA.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); + # RST & !VMA.Q & !cpu_est_2_.Q & cpu_est_3_.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !inst_VPA_D.Q & CLK_000_D_1_.Q & !CLK_000_D_0_.Q & SM_AMIGA_3_.Q); SM_AMIGA_2_.C = (CLK_OSZI); SM_AMIGA_i_7_.T.X1 = (!RST & SM_AMIGA_i_7_.Q # RST & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & SM_AMIGA_0_.Q & SM_AMIGA_i_7_.Q - # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_3_.Q & CLK_000_D_4_.Q & !SM_AMIGA_i_7_.Q); + # nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_4_.Q & CLK_000_D_5_.Q & !SM_AMIGA_i_7_.Q); -SM_AMIGA_i_7_.T.X2 = (nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_3_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & CLK_000_D_4_.Q & SM_AMIGA_0_.Q & !SM_AMIGA_i_7_.Q); +SM_AMIGA_i_7_.T.X2 = (nEXP_SPACE & RST & !inst_AS_030_000_SYNC.Q & !CLK_000_D_4_.Q & !CLK_000_D_1_.Q & CLK_000_D_0_.Q & CLK_000_D_5_.Q & SM_AMIGA_0_.Q & !SM_AMIGA_i_7_.Q); SM_AMIGA_i_7_.C = (CLK_OSZI); diff --git a/Logic/68030_tk.tal b/Logic/68030_tk.tal index 4f5e839..0359c8e 100644 --- a/Logic/68030_tk.tal +++ b/Logic/68030_tk.tal @@ -73,15 +73,15 @@ inst_LDS_000_INT 1 1 1 1 .. .. 2 2 RN_IPL_030_1_ 1 1 0 0 .. .. 1 1 IPL_030_0_ 1 1 0 0 .. .. 1 1 RN_IPL_030_0_ 1 1 0 0 .. .. 1 1 + cpu_est_2_ .. .. 1 1 .. .. 1 1 cpu_est_3_ .. .. 1 1 .. .. 1 1 cpu_est_0_ .. .. .. .. .. .. 1 1 cpu_est_1_ .. .. 1 1 .. .. 1 1 - cpu_est_2_ .. .. 1 1 .. .. 1 1 inst_AMIGA_BUS_ENABLE_DMA_LOW 1 1 1 1 .. .. .. .. inst_BGACK_030_INT_D 1 1 .. .. .. .. 1 1 inst_VPA_D 1 1 .. .. .. .. 1 1 CLK_000_D_2_ .. .. .. .. .. .. 1 1 - CLK_000_D_3_ .. .. .. .. .. .. 1 1 + CLK_000_D_4_ .. .. .. .. .. .. 1 1 inst_DTACK_D0 1 1 .. .. .. .. 1 1 inst_RESET_OUT 1 1 .. .. .. .. .. .. CLK_000_D_1_ .. .. .. .. .. .. 1 1 @@ -91,7 +91,8 @@ inst_CLK_OUT_PRE_D .. .. .. .. .. .. 1 1 IPL_D0_0_ 1 1 .. .. .. .. 1 1 IPL_D0_1_ 1 1 .. .. .. .. 1 1 IPL_D0_2_ 1 1 .. .. .. .. 1 1 - CLK_000_D_4_ .. .. .. .. .. .. 1 1 + CLK_000_D_3_ .. .. .. .. .. .. 1 1 + CLK_000_D_5_ .. .. .. .. .. .. 1 1 inst_AMIGA_BUS_ENABLE_DMA_HIGH 1 1 1 1 .. .. .. .. SM_AMIGA_1_ 1 1 .. .. .. .. 1 1 SM_AMIGA_6_ 1 1 .. .. .. .. 1 1 diff --git a/Logic/68030_tk.tt2 b/Logic/68030_tk.tt2 index 2200c29..56c2fbd 100644 --- a/Logic/68030_tk.tt2 +++ b/Logic/68030_tk.tt2 @@ -1,503 +1,506 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Oct 06 21:34:55 2016 +#$ DATE Thu Oct 06 22:04:11 2016 #$ MODULE 68030_tk -#$ PINS 61 AHIGH_31_ A_DECODE_23_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 AHIGH_30_ CLK_OSZI AHIGH_29_ CLK_DIV_OUT AHIGH_28_ AHIGH_27_ FPU_CS AHIGH_26_ FPU_SENSE AHIGH_25_ DSACK1 AHIGH_24_ DTACK A_DECODE_22_ AVEC A_DECODE_21_ E A_DECODE_20_ VPA A_DECODE_19_ A_DECODE_18_ RST A_DECODE_17_ RESET A_DECODE_16_ AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_1_ IPL_0_ FC_0_ A_1_ SIZE_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 SIZE_0_ CLK_EXP VMA RW A_0_ IPL_030_1_ IPL_030_0_ -#$ NODES 43 cpu_est_3_ cpu_est_0_ cpu_est_1_ cpu_est_2_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ inst_VPA_D CLK_000_D_2_ CLK_000_D_3_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_D_4_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_1_ inst_UDS_000_INT inst_DS_000_ENABLE inst_LDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H inst_DSACK1_INT inst_AS_000_INT SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ +#$ PINS 61 AHIGH_31_ A_DECODE_23_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 AHIGH_30_ CLK_000 AHIGH_29_ CLK_OSZI AHIGH_28_ CLK_DIV_OUT AHIGH_27_ AHIGH_26_ FPU_CS AHIGH_25_ FPU_SENSE AHIGH_24_ DSACK1 A_DECODE_22_ DTACK A_DECODE_21_ AVEC A_DECODE_20_ E A_DECODE_19_ VPA A_DECODE_18_ A_DECODE_17_ RST A_DECODE_16_ RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_1_ IPL_0_ FC_0_ A_1_ SIZE_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 SIZE_0_ CLK_EXP VMA RW A_0_ IPL_030_1_ IPL_030_0_ +#$ NODES 44 cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ inst_VPA_D CLK_000_D_2_ CLK_000_D_4_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_D_3_ CLK_000_D_5_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_1_ inst_UDS_000_INT inst_DS_000_ENABLE inst_LDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H inst_DSACK1_INT inst_AS_000_INT SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ .type fr -.i 96 -.o 158 -.ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ BGACK_030.Q VMA.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q inst_VPA_D.Q CLK_000_D_2_.Q CLK_000_D_3_.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_1_.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_4_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q SM_AMIGA_1_.Q inst_UDS_000_INT.Q inst_DS_000_ENABLE.Q inst_LDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_0_.Q SIZE_0_.Q SIZE_1_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q inst_DSACK1_INT.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN -.ob DS_030 FPU_CS DSACK1 AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C CLK_000_D_1_.C CLK_000_D_2_.C CLK_000_D_3_.C CLK_000_D_4_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_0_.C SIZE_1_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C cpu_est_3_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C CLK_000_D_0_.C inst_DSACK1_INT.C inst_AS_000_INT.C inst_AS_030_D0.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_DS_000_ENABLE.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.C A_0_.C RW.C VMA.C RW_000.C inst_AS_030_000_SYNC.C inst_LDS_000_INT.C BGACK_030.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_BGACK_030_INT_D.C CLK_EXP.C inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_D.C AHIGH_31_ AS_030 AS_000 UDS_000 LDS_000 BERR AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D VMA.T cpu_est_3_.D cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D inst_VPA_D.D CLK_000_D_2_.D CLK_000_D_3_.D inst_DTACK_D0.D inst_RESET_OUT.D CLK_000_D_1_.D CLK_000_D_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_D.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D CLK_000_D_4_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D SM_AMIGA_1_.D inst_UDS_000_INT.D inst_DS_000_ENABLE.D inst_LDS_000_INT.D SM_AMIGA_6_.D SM_AMIGA_4_.D SM_AMIGA_0_.D SIZE_0_.D SIZE_1_.D RW_000.D RW.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D A_0_.D inst_CLK_030_H.D inst_DSACK1_INT.D inst_AS_000_INT.D SM_AMIGA_5_.D SM_AMIGA_3_.T SM_AMIGA_2_.D SM_AMIGA_i_7_.T BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 491 ------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0--------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ------0------------------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~1111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1-------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~111111~~1~~1~~~~~111~1~1~1~~~1111~~~1~11~~~~1~111 -----------------1------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------0----------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1---------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------10----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------00----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------0-11------------------------------------------------------------------ ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------01------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------10-0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-00------------------------------------------------------------------ ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-----1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1-------------0010--1---------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------1--------------------------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------11--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1--------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~1~~~~~~~~~~~~~~~~ ---------------------------------10-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0---------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1------------------------------------------------------------ 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-----------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-----------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------0-----------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~111111111~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1----------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1---------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1---------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1--------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------00000-------------01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------010--------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------111-------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------110-------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------10110--------0----10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --1------------------11-------------------------111---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1------------------10-------------------------011---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1------------------01-------------------------101---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1------------------00-------------------------001---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0------------------11-------------------------110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0------------------10-------------------------010---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0------------------01-------------------------100---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ -------------------------1--------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0--------------------------1-------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------1------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------01-----------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------0-----------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------1------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------01-----------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -------------1-------------------------------0-----------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1--0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------1-------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------01------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------0------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------1--------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ --------------------------------------------01-------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------1-------------------------------0-------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------1-----1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -------------------------1--------1-------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -------------------------1--------1--------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ --------------------------------------------1-----------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ---------------------------------------------0----------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0----1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------------------1-------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -------------1------------------------------0-------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1-------------------------------1------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1------------------------------10------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1------------------------------0--------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1-------------------------------1-------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1------------------------------10------------------11------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------1--------------------------------------------------01------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1------------------------------10------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1----------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------1---------------------------------------------------11------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1--------------------------------------------------111------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1------------------------------10------------------111------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1--------1--------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ---------------------------------------------------------------------1--------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1----------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----------------------------------------0---------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----------------------------------------------------0---------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ ----------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1-------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------------------0------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------------------------------0------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -------------1------------------------------0--------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -------------1-------------------------------1-------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -------------1------------------------------10-------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------0----------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------------------01000--------0----10--------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1------------01000--------0----10--------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ---------------------------------------1--0-10--------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1-------------------------1--0-10--------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -------------1------------------------------01------------1-------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1------------------------------1----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -------------1------------------------------01---------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------0---------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -------------1---------------------------------------1-------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ---------------------------------1----------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------01-------------1--------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ----1--------1-------------------0-------0--1------1----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----1--------1-------------------0-------0---0-----1----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----1--------1-------------------0-------0---------1-----0----------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ----1--------1-------------------0-------0---------1-------0--------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----0----------------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------0------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------------------0-----------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ----------------------1-----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------1---------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------0---------------------------1--------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------1---------------------------0--------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0-----------------------------------------------1-------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1-----------------------------------------------0-------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------1-------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------0-------------------------1----------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------1-------------------------0----------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------------------------1---------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0-----------------------------------------------1--------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-----------------------------------------------0--------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1---------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ----------------------0-------------------------1-----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ----------------------1-------------------------0-----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------0---------------------------1----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------1---------------------------0----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------------------------1---------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------------------------------------------------------1----------------- ~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----------------------------------------0-------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------------------------------------------1----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------------------0---------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----------------------------------------------------0-------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ ---------------------------------------------------------0---------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---1--1---1------0010--1-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------------------------------1-----------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1---------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1-------------------------------------01----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------01-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------1------1-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------1-----1-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------0------01----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------10-----01----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------1-------0----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------1------0----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ ----0--------------------0------------------------------------------------------01--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0----------------------------1--------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1---------------------------1--------------------------------1------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1-------------------------------0------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0--------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~~~~~~~~~~~~ -------0-----1-----------0---------0-01-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------0-----1-----------0---------0-10-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1-----------0-----------01-----------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1-----------0-----------10-----------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------------------0---------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0---------0-01-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------0-----1-----------0---------0-10-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1-----------0-----------01-----------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1-----------0-----------10-----------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------------------0--------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ -1------------111---------------0-----------------------------------------------------00000000--- ~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1--------------------------10--------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------10--------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1------------------------------10--------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------------------------------------01-----------1--------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------1------------------------------01-----------1--------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------- ~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0----------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0--0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~0000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0----------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------0------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0-1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------01----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~0~~~~~~~~~0~0~000~~~~000~0~~0~0~~~~~~ --------------0---------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------0--------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------0-------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-----------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------0----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~00~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------10----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------00----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-1------------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------1--0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------00------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------01------------------------------------------------------------------ ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------0--0------------------------------------------------------------------ ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------00------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-----0----------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------0--------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1-----0--1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1--------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~0~~~~~~~~~~~~ -------------------------------------11---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -------------------------------------00---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------------------1------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~000000000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------0-----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0-----1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------0----------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------00---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------0-----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------1----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0---------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0--------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----10-------1------------------1------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------0-1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------11--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ --------------------------------------------01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~0~~~~~~ -------------------------------------1------01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11-----01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ----------------------------1---------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------11--------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------10--------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-1-------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------111-------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1-0-------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------00--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --1----------1-------10-------------------------011---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1----------1-------01-------------------------101---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1----------1-------00-------------------------001---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0----------1-------11-------------------------110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0----------1-------10-------------------------010---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0----------1-------01-------------------------100---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0----------1-------00-------------------------000---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 -------------------------0--------------------------0-------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1--------1-----------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------01-----------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------------------------------------------1--------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------01-------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------01----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1----------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0---------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------10---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------01-----------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------01-----------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ----0----------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1---------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0-----0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -------------1----------------------------------------0--0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------------------00--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0-------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-00-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0-----------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------0-----0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -------------1-----------1----------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------1-----------1-----------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ -------------1-----------1--------1----------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ -------------------------------------------0--------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0-------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ---------------------------------------------1------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -------------------------------------------0---------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0--------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ---------------------------------------------1-------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ --------------------------------------------10------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ----------------------------------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -------------------------------------------0----------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0---------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ---------------------------------------------1--------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ --------------------------------------------10------------------1-0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ----------------------------------------------------------------0-0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ --------------------------------------------10------------------110------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -----------------------------------------------------------------00------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -------------1-----------1-----------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -------1------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -----------------------------------1--------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------------------------------------1--------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------------------0-------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------------------------------0-------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ----------------------------------------------------------0------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------0---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------0----------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ---------------------------------------------0--------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------------------------0-------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------01-------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------1---------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------0-------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------1------------------------------1-----------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------1-------------------------------0----------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------------------0----------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------0--------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------1------------------------------1-----------------0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -------------1-------------------------------0----------------0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------0-0--0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ----0---------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------0------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------1----------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------------------1--------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------------0----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ --------------------------------------------01-------------1--------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----0-------1-------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------1--------0-----------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1----------------------------------0---------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------0---------------------------1--------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------1---------------------------0--------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0----------1------------------------------------1-------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1----------1------------------------------------0-------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------0-------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1--------0-------------------------1----------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1--------1-------------------------0----------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1-----------------------------------0---------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0----------1------------------------------------1--------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1----------1------------------------------------0--------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0----------1----------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1--------0-------------------------1-----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1--------1-------------------------0-----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1-------0---------------------------1----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1-------1---------------------------0----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1------------------------------------0---------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0---------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------00--------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1--1---0------0010--1-------------------------------------------------------0----------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------0---------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------0---------0----------------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------------------0---------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ----------------------------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ---01--------1-----------1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1---1-------1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1----1------1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1-----0-----1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1------1----1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1---------0-1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -------------1-----------0------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0--------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-------------------------------------------0---------------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1----------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------11--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------------------------------------------------------0--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ -------------1-----------0--------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -------1-----1-----------0-----------01-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------0-01-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0----------001-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------10-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------0-10-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0----------010-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0---------0-01-----------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0---------0-10-----------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0----------001-----------------------------0-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0----------010-----------------------------0-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------01-----------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------10-----------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -------1-----1-----------0-----------01-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------0-01-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0----------001-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------10-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------0-10-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0----------010-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0---------0-01-----------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0---------0-10-----------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0----------001-----------------------------0-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0----------010-----------------------------0-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------01-----------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------10-----------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------1--------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------1---------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1---------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1--------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1-------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-----------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1------ ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1----- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1---- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------------------------------------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------1--- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------------------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------1------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------1------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------1------------0-----0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1-------------0-----------0-----0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1--------------1----------0-----0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1---------------1---------0-----0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1----------------1--------0-----0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1-------------------------1--1--0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ --------------------------1------------0---------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------0-----------0---------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------1----------0---------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------1---------0---------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------1--------0---------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------1--1------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------------------------0--0-------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------01-----------1-0--------------1---------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0--------------------1----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +.i 97 +.o 160 +.ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ BGACK_030.Q VMA.Q cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q inst_VPA_D.Q CLK_000_D_2_.Q CLK_000_D_4_.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_1_.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_3_.Q CLK_000_D_5_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q SM_AMIGA_1_.Q inst_UDS_000_INT.Q inst_DS_000_ENABLE.Q inst_LDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_0_.Q SIZE_0_.Q SIZE_1_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q inst_DSACK1_INT.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN +.ob DS_030 FPU_CS DSACK1 AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_3_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C CLK_000_D_0_.C CLK_000_D_1_.C CLK_000_D_2_.C CLK_000_D_3_.C CLK_000_D_4_.C CLK_000_D_5_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_0_.C SIZE_1_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C inst_DS_000_DMA.C inst_DSACK1_INT.C inst_AS_000_INT.C inst_AS_030_D0.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_DS_000_ENABLE.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.C A_0_.C RW.C VMA.C RW_000.C inst_AS_030_000_SYNC.C inst_LDS_000_INT.C BGACK_030.C inst_AS_000_DMA.C inst_BGACK_030_INT_D.C CLK_EXP.C inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_D.C AHIGH_31_ AS_030 AS_000 UDS_000 LDS_000 BERR AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D VMA.T cpu_est_2_.D cpu_est_3_.D cpu_est_0_.D cpu_est_1_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D inst_VPA_D.D CLK_000_D_2_.D CLK_000_D_4_.D inst_DTACK_D0.D inst_RESET_OUT.D CLK_000_D_1_.D CLK_000_D_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_D.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D CLK_000_D_3_.D CLK_000_D_5_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D SM_AMIGA_1_.D inst_UDS_000_INT.D inst_DS_000_ENABLE.D inst_LDS_000_INT.D SM_AMIGA_6_.D SM_AMIGA_4_.D SM_AMIGA_0_.D SIZE_0_.D SIZE_1_.D RW_000.D RW.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D A_0_.D inst_CLK_030_H.D inst_DSACK1_INT.D inst_AS_000_INT.D SM_AMIGA_5_.D SM_AMIGA_3_.T SM_AMIGA_2_.D SM_AMIGA_i_7_.T BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D +.p 494 +------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0---------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-----0------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~11111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1--------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~111111~~1~~1~~~~~111~~1~1~1~~~1111~~~1~11~~~~1~111 +----------------1-------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------0------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1----------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0-------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1------------------------------------------------------------------------ ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------10------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------00------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------010-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------10-1------------------------------------------------------------------- ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1--0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------01-0------------------------------------------------------------------- ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1------------------------------------------------------------------ ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-----1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1-------------0010--1---------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-------1---------------------------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------11---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~1~~~~~~~~~~~~~~~~ +--------------------------------10--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0---------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------11----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------00----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-----------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-----------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------0-----------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~111111111~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1----------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1---------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1--------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1-------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1---------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1--------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------00000-------------01---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0--------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-11-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-11-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------010-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------10011--------0----10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +-1------------------11-------------------------111----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 +-1------------------10-------------------------011----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 +-1------------------01-------------------------101----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 +-1------------------00-------------------------001----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-0------------------11-------------------------110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +-0------------------10-------------------------010----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0------------------01-------------------------100----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0---------------------------1-------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------1-------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------0----------1--1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------------0--1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------1-------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------01------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1-------------------------------0------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1--0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------1--------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------01-------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------0-------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------1---------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01--------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +------------1-------------------------------0--------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +------------1----------------------------------------1-----1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +------------------------1--------1--------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +------------------------1--------1---------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-------------------------------------------1------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +--------------------------------------------0-----------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0----1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +------------------------1--------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +------------1------------------------------0--------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1-------------------------------1-------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1------------------------------10-------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1------------------------------0---------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1-------------------------------1--------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1------------------------------10-------------------11------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1---------------------------------------------------01------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1------------------------------10-------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1-----------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1----------------------------------------------------11------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1---------------------------------------------------111------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1------------------------------10-------------------111------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------1---------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +---------------------------------------------------------------------1--------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-----------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +--------------------------------------------------0------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-----------------------------------------------------0---------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1--------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------------------0-------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +---------------------------------------------------------0------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------1------------------------------0---------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1-------------------------------1--------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1------------------------------10--------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------------0-----------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------------------00100--------0----10---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1------------00100--------0----10---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +--------------------------------------1--0-10---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1-------------------------1--0-10---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1------------------------------01-------------1-------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1------------------------------1-----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1------------------------------01----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------0----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1----------------------------------------1-------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0-------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------1-----------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01--------------1--------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +---1--------1-------------------0-------0--1-------1----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +---1--------1-------------------0-------0---0------1----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +---1--------1-------------------0-------0----------1-----0----------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +---1--------1-------------------0-------0----------1-------0--------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +---0-----------------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------------------------------------------0------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +---------------------1------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------1----------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------0---------------------------1---------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------1---------------------------0---------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-0-----------------------------------------------1--------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-1-----------------------------------------------0--------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------1--------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------0-------------------------1-----------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------1-------------------------0-----------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------------------------1----------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0-----------------------------------------------1---------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-----------------------------------------------0---------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1----------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------0-------------------------1------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------1-------------------------0------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------0---------------------------1-----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------1---------------------------0-----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------------------------------1----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------------------------------------------------------------1----------------- ~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1---------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------------------------------------1-----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------------------0----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------------------------0----------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-----------------------------------------------------0-------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +---------------------------------------------------------0---------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--1--1---1------0010--1--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------------------1-----------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------1---------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1-------------------------------------01-----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------01------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------1------1------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------1-----1------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------0------01-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------10-----01-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------1-------0-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------1------0-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0--------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +---0--------------------0-------------------------------------------------------01--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0----------------------------1---------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------------1---------------------------------1------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1--------------------------------0------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0---------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~~~~~~~~~~~~ +------0-----1-----------0---------0-01------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------0-----1-----------0---------0-10------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1-----------0-----------01------------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1-----------0-----------10------------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------------------0----------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0---------0-01------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------0-----1-----------0---------0-10------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1-----------0-----------01------------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1-----------0-----------10------------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------------------0---------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +1------------111---------------0------------------------------------------------------00000000--- ~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1--------------------------10--------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------10---------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1------------------------------10---------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------------------------------------01------------1--------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +------------1------------------------------01------------1--------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------- ~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0------------------------------------------------------------------------------------------------ ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0--0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0---------------------------------------------------------------------------------------- ~~~~~~~~~~~00000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0----------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0-1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------01------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~0~~~~~~~~~~0~0~000~~~~000~0~~0~0~~~~~~ +-------------0----------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------0---------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------0--------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------0------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~00~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------10------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------00------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------11--------------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------00-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0--1------------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1---0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0--0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1-0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0-0------------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------00------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-----0------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------1----------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-------0---------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1-----0--1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1--------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~0~~~~~~~~~~~~ +------------------------------------11----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------------------00----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------------------1------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~000000000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------0-----------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-----1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0----------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0---------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0--------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0-------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------00----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------1---------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0---------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0--------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---10-------1------------------1------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------------------0-1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------11---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------------------------------------01---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~0~~~~~~ +------------------------------------1------01---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------11-----01---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------------------------1--------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-1--------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-0--------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------11-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-11-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------10-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------00---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-1----------1-------10-------------------------011----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1----------1-------01-------------------------101----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1----------1-------00-------------------------001----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ +-0----------1-------11-------------------------110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-0----------1-------10-------------------------010----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 +-0----------1-------01-------------------------100----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 +-0----------1-------00-------------------------000----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 +--------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0---------------------------0-------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1--------1------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------0----------1--1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------------1---------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01--------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0--------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------01----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1-----------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0----------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------10---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------01------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---0-----------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0-----0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------------------0--0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------------------00--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0--------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1-------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0-00-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0--------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0-----0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +------------1-----------1-----------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------1-----------1------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------------1-----------1--------1-----------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +------------------------------------------0---------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0--------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +--------------------------------------------1-------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +------------------------------------------0----------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0---------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +--------------------------------------------1--------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +-------------------------------------------10-------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +------------------------------------------0-----------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0----------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +--------------------------------------------1---------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +-------------------------------------------10-------------------1-0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------------------------0-0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +-------------------------------------------10-------------------110------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +-----------------------------------------------------------------00------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +------------1-----------1------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +------1-------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----------------------------------1---------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------------------------------------1---------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +--------------------------------------------0--------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +---------------------------------------------------------0-------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------------------------------------------------------0------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------0-----------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +--------------------------------------------0---------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +----------------------------------------------------------0-------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------------------------------------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------------------1----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------0----------1----------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0-------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------1------------------------------1------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------1-------------------------------0-----------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------------0----------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1----------------------------------------------0--------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------1------------------------------1------------------0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +------------1-------------------------------0-----------------0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------0-0--0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +---0----------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------0-------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +--------------------------------1-----------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------1---------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------0----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------------01--------------1--------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----0-------1--------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------1--------0------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1----------------------------------0----------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------0---------------------------1---------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------1---------------------------0---------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-0----------1------------------------------------1--------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1----------1------------------------------------0--------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------0--------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1--------0-------------------------1-----------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1--------1-------------------------0-----------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1-----------------------------------0----------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0----------1------------------------------------1---------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1----------1------------------------------------0---------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0----------1-----------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1--------0-------------------------1------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1--------1-------------------------0------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1-------0---------------------------1-----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1-------1---------------------------0-----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1------------------------------------0----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1-----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------00--------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1--1---0------0010--1--------------------------------------------------------0----------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------0----------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------0---------0----------------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------------------0---------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------------------------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +--01--------1-----------1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1---1-------1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1----1------1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1-----0-----1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1------1----1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1---------0-1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------1-----------0-------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------0---------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--------------------------------------------0---------------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1-----------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------11--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0--------------------------------------------------------0--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0--------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +------------1-----------0---------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------------1-----------0---------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +------1-----1-----------0-----------01------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------0-01------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0----------001------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0-----------10------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------0-10------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0----------010------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0---------0-01------------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0---------0-10------------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------001------------------------------0-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------010------------------------------0-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------01------------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------10------------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------1-----1-----------0-----------01------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------0-01------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0----------001------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0-----------10------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------0-10------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0----------010------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0---------0-01------------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0---------0-10------------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------001------------------------------0-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------010------------------------------0-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------01------------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------10------------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------1--------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------1---------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------1---------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------1--------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------1-------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------1------ ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------1----- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------1---- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------------------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1--- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------------------------------------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------1------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------1------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------1------------0-----0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1-------------1-----------0-----0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1--------------0----------0-----0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1---------------1---------0-----0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1----------------1--------0-----0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1-------------------------1--1--0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------------------1------------0----------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------1-----------0----------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------0----------0----------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------1---------0----------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------1--------0----------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------------1--1-------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------------------------0--0-------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------01------------1-0--------------1---------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0--------------------1----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ .end diff --git a/Logic/68030_tk.tt3 b/Logic/68030_tk.tt3 index 65f08fa..23f251e 100644 --- a/Logic/68030_tk.tt3 +++ b/Logic/68030_tk.tt3 @@ -1,503 +1,506 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Oct 06 21:34:55 2016 +#$ DATE Thu Oct 06 22:04:11 2016 #$ MODULE 68030_tk -#$ PINS 61 AHIGH_31_ A_DECODE_23_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 AHIGH_30_ CLK_OSZI AHIGH_29_ CLK_DIV_OUT AHIGH_28_ AHIGH_27_ FPU_CS AHIGH_26_ FPU_SENSE AHIGH_25_ DSACK1 AHIGH_24_ DTACK A_DECODE_22_ AVEC A_DECODE_21_ E A_DECODE_20_ VPA A_DECODE_19_ A_DECODE_18_ RST A_DECODE_17_ RESET A_DECODE_16_ AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_1_ IPL_0_ FC_0_ A_1_ SIZE_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 SIZE_0_ CLK_EXP VMA RW A_0_ IPL_030_1_ IPL_030_0_ -#$ NODES 43 cpu_est_3_ cpu_est_0_ cpu_est_1_ cpu_est_2_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ inst_VPA_D CLK_000_D_2_ CLK_000_D_3_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_D_4_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_1_ inst_UDS_000_INT inst_DS_000_ENABLE inst_LDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H inst_DSACK1_INT inst_AS_000_INT SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ +#$ PINS 61 AHIGH_31_ A_DECODE_23_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 AHIGH_30_ CLK_000 AHIGH_29_ CLK_OSZI AHIGH_28_ CLK_DIV_OUT AHIGH_27_ AHIGH_26_ FPU_CS AHIGH_25_ FPU_SENSE AHIGH_24_ DSACK1 A_DECODE_22_ DTACK A_DECODE_21_ AVEC A_DECODE_20_ E A_DECODE_19_ VPA A_DECODE_18_ A_DECODE_17_ RST A_DECODE_16_ RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_1_ IPL_0_ FC_0_ A_1_ SIZE_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 SIZE_0_ CLK_EXP VMA RW A_0_ IPL_030_1_ IPL_030_0_ +#$ NODES 44 cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ inst_VPA_D CLK_000_D_2_ CLK_000_D_4_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ IPL_D0_2_ CLK_000_D_3_ CLK_000_D_5_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_1_ inst_UDS_000_INT inst_DS_000_ENABLE inst_LDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H inst_DSACK1_INT inst_AS_000_INT SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ .type fr -.i 96 -.o 158 -.ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ BGACK_030.Q VMA.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q inst_VPA_D.Q CLK_000_D_2_.Q CLK_000_D_3_.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_1_.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_4_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q SM_AMIGA_1_.Q inst_UDS_000_INT.Q inst_DS_000_ENABLE.Q inst_LDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_0_.Q SIZE_0_.Q SIZE_1_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q inst_DSACK1_INT.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN -.ob DS_030 FPU_CS DSACK1 AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C SM_AMIGA_i_7_.C CLK_000_D_1_.C CLK_000_D_2_.C CLK_000_D_3_.C CLK_000_D_4_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_0_.C SIZE_1_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C cpu_est_3_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C CLK_000_D_0_.C inst_DSACK1_INT.C inst_AS_000_INT.C inst_AS_030_D0.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_DS_000_ENABLE.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.C A_0_.C RW.C VMA.C RW_000.C inst_AS_030_000_SYNC.C inst_LDS_000_INT.C BGACK_030.C inst_AS_000_DMA.C inst_DS_000_DMA.C inst_BGACK_030_INT_D.C CLK_EXP.C inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_D.C AHIGH_31_ AS_030 AS_000 UDS_000 LDS_000 BERR AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D VMA.T cpu_est_3_.D cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D inst_VPA_D.D CLK_000_D_2_.D CLK_000_D_3_.D inst_DTACK_D0.D inst_RESET_OUT.D CLK_000_D_1_.D CLK_000_D_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_D.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D CLK_000_D_4_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D SM_AMIGA_1_.D inst_UDS_000_INT.D inst_DS_000_ENABLE.D inst_LDS_000_INT.D SM_AMIGA_6_.D SM_AMIGA_4_.D SM_AMIGA_0_.D SIZE_0_.D SIZE_1_.D RW_000.D RW.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D A_0_.D inst_CLK_030_H.D inst_DSACK1_INT.D inst_AS_000_INT.D SM_AMIGA_5_.D SM_AMIGA_3_.T SM_AMIGA_2_.D SM_AMIGA_i_7_.T BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D -.p 491 ------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0--------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ------0------------------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1--------------------------------------------------------------------------------------- ~~~~~~~~~~~1111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1-------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~111111~~1~~1~~~~~111~1~1~1~~~1111~~~1~11~~~~1~111 -----------------1------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------0----------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1---------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------10----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------00----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------0-11------------------------------------------------------------------ ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------01------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------10-0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-00------------------------------------------------------------------ ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------------------------------------------------------- ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-----1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1-------------0010--1---------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------1--------------------------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------11--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1--------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~1~~~~~~~~~~~~~~~~ ---------------------------------10-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0---------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1------------------------------------------------------------ 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------00---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-----------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-----------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------0-----------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~111111111~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1----------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1---------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------1---------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------1--------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------00000-------------01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------010--------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------111-------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------110-------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------10110--------0----10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --1------------------11-------------------------111---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 --1------------------10-------------------------011---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 --1------------------01-------------------------101---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 --1------------------00-------------------------001---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --0------------------11-------------------------110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ --0------------------10-------------------------010---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0------------------01-------------------------100---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ -------------------------1--------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0--------------------------1-------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------1------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------01-----------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------0-----------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------1------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------01-----------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -------------1-------------------------------0-----------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1--0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------1-------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------01------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------0------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------1--------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ --------------------------------------------01-------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------1-------------------------------0-------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------1-----1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ -------------------------1--------1-------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -------------------------1--------1--------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ --------------------------------------------1-----------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ---------------------------------------------0----------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0----1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------------------1-------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -------------1------------------------------0-------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1-------------------------------1------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1------------------------------10------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1------------------------------0--------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1-------------------------------1-------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1------------------------------10------------------11------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------1--------------------------------------------------01------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1------------------------------10------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1----------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ -------------1---------------------------------------------------11------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------1--------------------------------------------------111------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ -------------1------------------------------10------------------111------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1--------1--------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ---------------------------------------------------------------------1--------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1----------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----------------------------------------0---------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----------------------------------------------------0---------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ ----------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1-------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------------------0------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------------------------------0------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -------------1------------------------------0--------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -------------1-------------------------------1-------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ -------------1------------------------------10-------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ -------------0----------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------------------01000--------0----10--------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1------------01000--------0----10--------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ ---------------------------------------1--0-10--------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1-------------------------1--0-10--------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -------------1------------------------------01------------1-------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1------------------------------1----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -------------1------------------------------01---------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------0---------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -------------1---------------------------------------1-------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ---------------------------------1----------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------01-------------1--------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ ----1--------1-------------------0-------0--1------1----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----1--------1-------------------0-------0---0-----1----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----1--------1-------------------0-------0---------1-----0----------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ ----1--------1-------------------0-------0---------1-------0--------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ ----0----------------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------0------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------------------0-----------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ----------------------1-----------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ------------------------------------------------1---------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------0---------------------------1--------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------1---------------------------0--------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --0-----------------------------------------------1-------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --1-----------------------------------------------0-------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ ---------------------1-------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------0-------------------------1----------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ----------------------1-------------------------0----------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ -------------------------------------------------1---------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --0-----------------------------------------------1--------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1-----------------------------------------------0--------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ --1---------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ----------------------0-------------------------1-----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ----------------------1-------------------------0-----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------0---------------------------1----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 ---------------------1---------------------------0----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------------------------1---------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -------------------------------------------------------------------------------1----------------- ~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1--------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----------------------------------------0-------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ --------------------------------------------1----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---------------------------------------------0---------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----------------------------------------------------0-------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ ---------------------------------------------------------0---------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ ---1--1---1------0010--1-------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------------------------------1-----------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1---------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1-------------------------------------01----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------01-----------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------1------1-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------1-----1-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------0------01----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------10-----01----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------1-------0----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------1------0----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ ----0--------------------0------------------------------------------------------01--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0----------------------------1--------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1---------------------------1--------------------------------1------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1-------------------------------0------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0--------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~~~~~~~~~~~~ -------0-----1-----------0---------0-01-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------0-----1-----------0---------0-10-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1-----------0-----------01-----------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1-----------0-----------10-----------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------------------0---------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0---------0-01-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------0-----1-----------0---------0-10-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1-----------0-----------01-----------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------1-----------0-----------10-----------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -------------------------0--------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ -1------------111---------------0-----------------------------------------------------00000000--- ~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------1--------------------------10--------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------10--------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -------------1------------------------------10--------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------------------------------------01-----------1--------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------1------------------------------01-----------1--------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------- ~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0----------------------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0--0-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0---------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~0000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0----------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------0------1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0-1----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------01----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~0~~~~~~~~~0~0~000~~~~000~0~~0~0~~~~~~ --------------0---------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------0--------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------0-------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-----------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------0----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------0------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~00~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------10----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------00----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------0------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-1------------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------1--0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------00------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1----------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------01------------------------------------------------------------------ ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------0--0------------------------------------------------------------------ ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------00------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-----0----------------------------------------------------------------- ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------0--------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1-----0--1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1--------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~0~~~~~~~~~~~~ -------------------------------------11---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -------------------------------------00---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------------------1------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~000000000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------0-----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0-----1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------0----------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0--------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0-------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------00---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------0-----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------1----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0----------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------0---------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0--------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----10-------1------------------1------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------------------------------------0-1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------11--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ --------------------------------------------01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~0~~~~~~ -------------------------------------1------01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------11-----01--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------0-------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------0------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ----------------------------1---------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------11--------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------10--------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0-1-------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------111-------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1-0-------------10--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------00--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --1----------1-------10-------------------------011---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1----------1-------01-------------------------101---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1----------1-------00-------------------------001---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ --0----------1-------11-------------------------110---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --0----------1-------10-------------------------010---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 --0----------1-------01-------------------------100---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 --0----------1-------00-------------------------000---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 -------------------------0--------------------------0-------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------1--------1-----------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------01-----------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------------------------------------------1--------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------01-------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------01----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1----------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0---------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------10---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------01-----------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------01-----------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ----0----------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1---------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0-----0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -------------1----------------------------------------0--0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------------------00--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0-------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-00-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0-----------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------0-----0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ -------------1-----------1----------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------1-----------1-----------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ -------------1-----------1--------1----------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ -------------------------------------------0--------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0-------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ---------------------------------------------1------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ -------------------------------------------0---------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0--------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ---------------------------------------------1-------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ --------------------------------------------10------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ----------------------------------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -------------------------------------------0----------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0---------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ---------------------------------------------1--------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ --------------------------------------------10------------------1-0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ----------------------------------------------------------------0-0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ --------------------------------------------10------------------110------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -----------------------------------------------------------------00------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ -------------1-----------1-----------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -------1------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -----------------------------------1--------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ --------------------------------------------1--------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------------------0-------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ---------------------------------------------------------0-------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ ----------------------------------------------------------0------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------0---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------0----------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ---------------------------------------------0--------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------------------------0-------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ ----------------------------------------01-------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------------1---------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------------------------------0-------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------1------------------------------1-----------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------1-------------------------------0----------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------------------0----------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------0--------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------1------------------------------1-----------------0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -------------1-------------------------------0----------------0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------0-0--0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ----0---------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -------------0------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------1----------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----------------------------------------1--------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ---------------------------------------------------0----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ --------------------------------------------01-------------1--------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ -----0-------1-------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -------------1--------0-----------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1----------------------------------0---------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------0---------------------------1--------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------1---------------------------0--------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --0----------1------------------------------------1-------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ --1----------1------------------------------------0-------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -------------1-------0-------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1--------0-------------------------1----------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1--------1-------------------------0----------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ -------------1-----------------------------------0---------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0----------1------------------------------------1--------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --1----------1------------------------------------0--------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ --0----------1----------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1--------0-------------------------1-----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1--------1-------------------------0-----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1-------0---------------------------1----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1-------1---------------------------0----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------1------------------------------------0---------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0---------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------00--------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1--1---0------0010--1-------------------------------------------------------0----------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------0---------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------0---------0----------------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------------------0---------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ----------------------------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1--------------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ---01--------1-----------1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1---1-------1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1----1------1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1-----0-----1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1------1----1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1--------1---------0-1------0-1---------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -------------1-----------0------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0--------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------0-------------------------------------------0---------------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1----------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1-------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------11--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------0-------------------------------------------------------0--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ -------------1-----------0--------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ -------1-----1-----------0-----------01-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------0-01-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0----------001-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------10-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------0-10-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0----------010-----------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0---------0-01-----------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0---------0-10-----------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0----------001-----------------------------0-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0----------010-----------------------------0-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------01-----------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------10-----------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -------1-----1-----------0-----------01-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------0-01-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0----------001-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0-----------10-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0---------0-10-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0-----1-----------0----------010-----------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0---------0-01-----------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----1-----------0---------0-10-----------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0----------001-----------------------------0-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0----------010-----------------------------0-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------01-----------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0-----------10-----------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-----------0--------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------1--------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------1---------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1---------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1--------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1-------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-----------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1------ ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------1----- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------1---- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0---------------------------------------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------1--- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------------------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------1------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -------------1-------------------------------------------1------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------1------------0-----0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1-------------0-----------0-----0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1--------------1----------0-----0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1---------------1---------0-----0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1----------------1--------0-----0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -------------1-------------------------1--1--0-------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ --------------------------1------------0---------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------0-----------0---------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ----------------------------1----------0---------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------1---------0---------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ------------------------------1--------0---------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ ---------------------------------------1--1------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -------------------------------------------------------0--0-------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ -------------1------------------------------01-----------1-0--------------1---------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0--------------------1----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +.i 97 +.o 160 +.ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ BGACK_030.Q VMA.Q cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q CYCLE_DMA_1_.Q inst_VPA_D.Q CLK_000_D_2_.Q CLK_000_D_4_.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_1_.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_3_.Q CLK_000_D_5_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q SM_AMIGA_1_.Q inst_UDS_000_INT.Q inst_DS_000_ENABLE.Q inst_LDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_0_.Q SIZE_0_.Q SIZE_1_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q inst_DSACK1_INT.Q inst_AS_000_INT.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q SM_AMIGA_i_7_.Q BG_000.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN +.ob DS_030 FPU_CS DSACK1 AVEC E RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN SM_AMIGA_i_7_.C SM_AMIGA_6_.C SM_AMIGA_5_.C SM_AMIGA_4_.C SM_AMIGA_3_.C SM_AMIGA_2_.C SM_AMIGA_1_.C SM_AMIGA_0_.C cpu_est_3_.C IPL_030_0_.C IPL_030_1_.C IPL_030_2_.C IPL_D0_0_.C IPL_D0_1_.C IPL_D0_2_.C CLK_000_D_0_.C CLK_000_D_1_.C CLK_000_D_2_.C CLK_000_D_3_.C CLK_000_D_4_.C CLK_000_D_5_.C CYCLE_DMA_0_.C CYCLE_DMA_1_.C SIZE_0_.C SIZE_1_.C cpu_est_0_.C cpu_est_1_.C cpu_est_2_.C RST_DLY_0_.C RST_DLY_1_.C RST_DLY_2_.C inst_DS_000_DMA.C inst_DSACK1_INT.C inst_AS_000_INT.C inst_AS_030_D0.C inst_VPA_D.C inst_DTACK_D0.C inst_CLK_030_H.C inst_RESET_OUT.C inst_DS_000_ENABLE.C BG_000.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.C A_0_.C RW.C VMA.C RW_000.C inst_AS_030_000_SYNC.C inst_LDS_000_INT.C BGACK_030.C inst_AS_000_DMA.C inst_BGACK_030_INT_D.C CLK_EXP.C inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_D.C AHIGH_31_ AS_030 AS_000 UDS_000 LDS_000 BERR AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D VMA.T cpu_est_2_.D cpu_est_3_.D cpu_est_0_.D cpu_est_1_.D inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AS_030_D0.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D inst_AS_000_DMA.D inst_DS_000_DMA.D CYCLE_DMA_0_.D CYCLE_DMA_1_.D inst_VPA_D.D CLK_000_D_2_.D CLK_000_D_4_.D inst_DTACK_D0.D inst_RESET_OUT.D CLK_000_D_1_.D CLK_000_D_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_D.D IPL_D0_0_.D IPL_D0_1_.D IPL_D0_2_.D CLK_000_D_3_.D CLK_000_D_5_.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.D SM_AMIGA_1_.D inst_UDS_000_INT.D inst_DS_000_ENABLE.D inst_LDS_000_INT.D SM_AMIGA_6_.D SM_AMIGA_4_.D SM_AMIGA_0_.D SIZE_0_.D SIZE_1_.D RW_000.D RW.D RST_DLY_0_.D RST_DLY_1_.D RST_DLY_2_.D A_0_.D inst_CLK_030_H.D inst_DSACK1_INT.D inst_AS_000_INT.D SM_AMIGA_5_.D SM_AMIGA_3_.T SM_AMIGA_2_.D SM_AMIGA_i_7_.T BG_000.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D +.p 494 +------------------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0---------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-----0------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1---------------------------------------------------------------------------------------- ~~~~~~~~~~~11111111111111111111111111111111111111111111111111111111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1--------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1-------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~111111~~1~~1~~~~~111~~1~1~1~~~1111~~~1~11~~~~1~111 +----------------1-------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------0------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1----------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------1---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0-------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1------------------------------------------------------------------------ ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------10------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------00------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------010-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------10-1------------------------------------------------------------------- ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1--0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------01-0------------------------------------------------------------------- ~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1------------------------------------------------------------------ ~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-----1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1-------------0010--1---------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-------1---------------------------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------11---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~1~~~~~~~~~~~~~~~~ +--------------------------------10--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0---------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------11----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------00----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-----------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-----------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------0-----------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~111111111~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1----------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1---------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1--------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1-------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------1---------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------1--------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------00000-------------01---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0--------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-11-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-11-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------010-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------10011--------0----10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +-1------------------11-------------------------111----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~111 +-1------------------10-------------------------011----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11 +-1------------------01-------------------------101----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1 +-1------------------00-------------------------001----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-0------------------11-------------------------110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~ +-0------------------10-------------------------010----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0------------------01-------------------------100----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1---------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0---------------------------1-------------------------------------------- ~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------1-------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------0----------1--1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------------0--1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------1-------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------01------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1-------------------------------0------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------1--0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------10--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------1--------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------01-------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------0-------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------1---------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01--------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +------------1-------------------------------0--------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +------------1----------------------------------------1-----1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +------------------------1--------1--------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +------------------------1--------1---------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +-------------------------------------------1------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +--------------------------------------------0-----------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0----1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +------------------------1--------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +------------1------------------------------0--------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1-------------------------------1-------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1------------------------------10-------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1------------------------------0---------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1-------------------------------1--------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1------------------------------10-------------------11------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1---------------------------------------------------01------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1------------------------------10-------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1-----------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------------1----------------------------------------------------11------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +------------1---------------------------------------------------111------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +------------1------------------------------10-------------------111------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------1---------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +---------------------------------------------------------------------1--------------------------- ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-----------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +--------------------------------------------------0------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-----------------------------------------------------0---------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1--------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------------------0-------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +---------------------------------------------------------0------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +------------1------------------------------0---------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1-------------------------------1--------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------1------------------------------10--------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +------------0-----------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +-------------------------00100--------0----10---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1------------00100--------0----10---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +--------------------------------------1--0-10---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1-------------------------1--0-10---------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1------------------------------01-------------1-------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1------------------------------1-----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1------------------------------01----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------0----------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +------------1----------------------------------------1-------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0-------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------1-----------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01--------------1--------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +--------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +---1--------1-------------------0-------0--1-------1----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +---1--------1-------------------0-------0---0------1----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +---1--------1-------------------0-------0----------1-----0----------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +---1--------1-------------------0-------0----------1-------0--------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +---0-----------------------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +--------------------------------------------0------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +---------------------1------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-----------------------------------------------1----------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------0---------------------------1---------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------1---------------------------0---------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-0-----------------------------------------------1--------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-1-----------------------------------------------0--------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +--------------------1--------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------0-------------------------1-----------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------1-------------------------0-----------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +------------------------------------------------1----------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-0-----------------------------------------------1---------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1-----------------------------------------------0---------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +-1----------------------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------0-------------------------1------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +---------------------1-------------------------0------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------0---------------------------1-----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--------------------1---------------------------0-----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------------------------------1----------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +-------------------------------------------------------------------------------1----------------- ~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1---------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-------------------------------------------1-----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------------------0----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--------------------------------------------------0----------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +-----------------------------------------------------0-------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +---------------------------------------------------------0---------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +--1--1---1------0010--1--------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------------------1-----------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------1---------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1-------------------------------------01-----------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------01------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------1------1------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------1-----1------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------0------01-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------10-----01-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------1-------0-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------1------0-----------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0--------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +---0--------------------0-------------------------------------------------------01--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------------------------------------------------------0--------------- ~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0----------------------------1---------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1---------------------------1---------------------------------1------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------1--------------------------------0------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0---------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~1~~~~~~~~~~~~ +------0-----1-----------0---------0-01------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------0-----1-----------0---------0-10------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1-----------0-----------01------------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1-----------0-----------10------------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------------------0----------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0---------0-01------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------0-----1-----------0---------0-10------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1-----------0-----------01------------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------1-----------0-----------10------------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +------------------------0---------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +1------------111---------------0------------------------------------------------------00000000--- ~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1--------------------------10--------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------10---------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +------------1------------------------------10---------------------------1----------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +-------------------------------------------01------------1--------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +------------1------------------------------01------------1--------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------------- ~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0------------------------------------------------------------------------------------------------ ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--0---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0--0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0---------------------------------------------------------------------------------------- ~~~~~~~~~~~00000000000000000000000000000000000000000000000000000000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0--------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-0----------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----0------1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0-1------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------01------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~0~~~~~~~~~~0~0~000~~~~000~0~~0~0~~~~~~ +-------------0----------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------0----------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------0---------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------0--------------------------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------0------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~00000000000~00~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0000~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------10------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1----------00------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------0------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------11--------------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------00-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0--1------------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------01------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------1---0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0--0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1-0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0-0------------------------------------------------------------------- ~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------00------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0-----0------------------------------------------------------------------ ~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------1----------------------------------------------------------------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1-------0---------------------------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1-----0--1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1--------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~0~~~~~~~~~~~~ +------------------------------------11----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------------------------------00----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------------------1------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00000~~000000000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------0-----------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-----1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0----------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0---------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0--------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0-------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------00----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------0----------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------1---------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------0---------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0--------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---10-------1------------------1------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------------------------------------0-1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------11---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------------------------------------01---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~0~~~~~~ +------------------------------------1------01---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------11-----01---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------0-------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------------------------1--------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------0-1--------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-0--------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------11-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1-11-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------10-------------10---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------00---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +-1----------1-------10-------------------------011----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1----------1-------01-------------------------101----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1----------1-------00-------------------------001----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~ +-0----------1-------11-------------------------110----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-0----------1-------10-------------------------010----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0 +-0----------1-------01-------------------------100----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00 +-0----------1-------00-------------------------000----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~000 +--------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0---------------------------0-------------------------------------------- ~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------1--------1------------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------0----------1--1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------------1---------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01--------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0--------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------01----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1-----------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0----------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------10---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------01------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---0-----------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------1------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------0-----0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------------------------------------0--0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------------------------------00--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0--------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1-------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0-00-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------0--------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0-----0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +------------1-----------1-----------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------1-----------1------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------------1-----------1--------1-----------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +------------------------------------------0---------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0--------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +--------------------------------------------1-------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +------------------------------------------0----------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0---------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +--------------------------------------------1--------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +-------------------------------------------10-------------------10------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------------------------00------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +------------------------------------------0-----------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0----------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +--------------------------------------------1---------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +-------------------------------------------10-------------------1-0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +----------------------------------------------------------------0-0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +-------------------------------------------10-------------------110------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +-----------------------------------------------------------------00------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +------------1-----------1------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +------1-------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----------------------------------1---------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------------------------------------1---------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +--------------------------------------------0--------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +---------------------------------------------------------0-------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +----------------------------------------------------------0------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------0----------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------0-----------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +--------------------------------------------0---------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +----------------------------------------------------------0-------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------------------------------------0-----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------------------1----------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------0----------1----------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0-------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------00----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +------------1------------------------------1------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------1-------------------------------0-----------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------------0----------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +------------1----------------------------------------------0--------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------1------------------------------1------------------0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +------------1-------------------------------0-----------------0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------0-0--0-----------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +---0----------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +------------0-------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +--------------------------------1-----------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------1---------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------------------------------0----------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------------------01--------------1--------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----0-------1--------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +------------1--------0------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1----------------------------------0----------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------0---------------------------1---------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------1---------------------------0---------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-0----------1------------------------------------1--------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-1----------1------------------------------------0--------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------1-------0--------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1--------0-------------------------1-----------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1--------1-------------------------0-----------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------1-----------------------------------0----------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0----------1------------------------------------1---------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-1----------1------------------------------------0---------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-0----------1-----------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1--------0-------------------------1------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1--------1-------------------------0------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1-------0---------------------------1-----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1-------1---------------------------0-----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +------------1------------------------------------0----------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 +-------------------------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1-----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0----------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------00--------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1--1---0------0010--1--------------------------------------------------------0----------------- ~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-------------------0----------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------0---------0----------------- ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------------------0---------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------------------------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------------------0--------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +--01--------1-----------1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1---1-------1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1----1------1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1-----0-----1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1------1----1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1--------1---------0-1------0-1----------------------------------------0----0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------------1-----------0-------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------0---------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------0--------------------------------------------0---------------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1-----------------------------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------------------------------------------------------1--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------11--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------0--------------------------------------------------------0--------------- ~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0--------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +------------1-----------0---------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +------------1-----------0---------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +------1-----1-----------0-----------01------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------0-01------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0----------001------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0-----------10------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------0-10------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0----------010------------------------------------------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0---------0-01------------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0---------0-10------------------------------1-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------001------------------------------0-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------010------------------------------0-----------0-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------01------------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------10------------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +------1-----1-----------0-----------01------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------0-01------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0----------001------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0-----------10------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------0-10------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0-----1-----------0----------010------------------------------------------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0---------0-01------------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------1-----1-----------0---------0-10------------------------------1-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------001------------------------------0-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0----------010------------------------------0-----------0--0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------01------------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0-----------10------------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1-----------0---------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------1--------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------1---------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------1---------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------1--------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------------------------1-------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1------- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------------------------1------ ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------1----- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------------------------------------------------------------------------------------1----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------1---- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------------------------------------------------------------------------------1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1--- ~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------------------------------------------------------------------------------------1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------1------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------1------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------1------------0-----0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1-------------1-----------0-----0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1--------------0----------0-----0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1---------------1---------0-----0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1----------------1--------0-----0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------1-------------------------1--1--0--------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +-------------------------1------------0----------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------1-----------0----------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------0----------0----------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------1---------0----------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-----------------------------1--------0----------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +--------------------------------------1--1-------------------------------0---------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +-------------------------------------------------------0--0-------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +------------1------------------------------01------------1-0--------------1---------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0--------------------1----------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ .end diff --git a/Logic/68030_tk.tt4 b/Logic/68030_tk.tt4 index fbc1da2..e93d336 100644 --- a/Logic/68030_tk.tt4 +++ b/Logic/68030_tk.tt4 @@ -1,36 +1,36 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Oct 06 21:34:55 2016 +#$ DATE Thu Oct 06 22:04:11 2016 #$ MODULE BUS68030 #$ PINS 61 AHIGH_31_ A_DECODE_23_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 - LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 AHIGH_30_ CLK_OSZI - AHIGH_29_ CLK_DIV_OUT AHIGH_28_ AHIGH_27_ FPU_CS AHIGH_26_ FPU_SENSE AHIGH_25_ - DSACK1 AHIGH_24_ DTACK A_DECODE_22_ AVEC A_DECODE_21_ E A_DECODE_20_ VPA - A_DECODE_19_ A_DECODE_18_ RST A_DECODE_17_ RESET A_DECODE_16_ AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_1_ IPL_0_ - FC_0_ A_1_ SIZE_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 SIZE_0_ CLK_EXP VMA RW - A_0_ IPL_030_1_ IPL_030_0_ -#$ NODES 44 cpu_est_3_ cpu_est_0_ cpu_est_1_ cpu_est_2_ + LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 AHIGH_30_ CLK_000 AHIGH_29_ + CLK_OSZI AHIGH_28_ CLK_DIV_OUT AHIGH_27_ AHIGH_26_ FPU_CS AHIGH_25_ FPU_SENSE + AHIGH_24_ DSACK1 A_DECODE_22_ DTACK A_DECODE_21_ AVEC A_DECODE_20_ E + A_DECODE_19_ VPA A_DECODE_18_ A_DECODE_17_ RST A_DECODE_16_ RESET + AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH + CIIN IPL_1_ IPL_0_ FC_0_ A_1_ SIZE_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 SIZE_0_ + CLK_EXP VMA RW A_0_ IPL_030_1_ IPL_030_0_ +#$ NODES 45 cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ - inst_VPA_D CLK_000_D_2_ CLK_000_D_3_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ + inst_VPA_D CLK_000_D_2_ CLK_000_D_4_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ - IPL_D0_2_ CLK_000_D_4_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_1_ + IPL_D0_2_ CLK_000_D_3_ CLK_000_D_5_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_1_ inst_UDS_000_INT inst_DS_000_ENABLE inst_LDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H inst_DSACK1_INT inst_AS_000_INT SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ CIIN_0 .type f -.i 97 -.o 162 +.i 98 +.o 164 .ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ - BGACK_030.Q VMA.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q + BGACK_030.Q VMA.Q cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q - CYCLE_DMA_1_.Q inst_VPA_D.Q CLK_000_D_2_.Q CLK_000_D_3_.Q inst_DTACK_D0.Q + CYCLE_DMA_1_.Q inst_VPA_D.Q CLK_000_D_2_.Q CLK_000_D_4_.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_1_.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q - inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_4_.Q - inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q SM_AMIGA_1_.Q inst_UDS_000_INT.Q + inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_3_.Q + CLK_000_D_5_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q SM_AMIGA_1_.Q inst_UDS_000_INT.Q inst_DS_000_ENABLE.Q inst_LDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_0_.Q SIZE_0_.Q SIZE_1_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q inst_DSACK1_INT.Q inst_AS_000_INT.Q @@ -41,220 +41,222 @@ BERR.PIN RW.PIN CIIN_0 .ob AHIGH_31_ AHIGH_31_.OE AS_030% AS_030.OE AS_000% AS_000.OE DS_030% DS_030.OE UDS_000% UDS_000.OE LDS_000% LDS_000.OE BERR BERR.OE AHIGH_30_ AHIGH_30_.OE - AHIGH_29_ AHIGH_29_.OE CLK_DIV_OUT.D CLK_DIV_OUT.C AHIGH_28_ AHIGH_28_.OE - AHIGH_27_ AHIGH_27_.OE FPU_CS% AHIGH_26_ AHIGH_26_.OE AHIGH_25_ AHIGH_25_.OE - DSACK1% DSACK1.OE AHIGH_24_ AHIGH_24_.OE AVEC E RESET RESET.OE AMIGA_ADDR_ENABLE + AHIGH_29_ AHIGH_29_.OE AHIGH_28_ AHIGH_28_.OE CLK_DIV_OUT.D CLK_DIV_OUT.C + AHIGH_27_ AHIGH_27_.OE AHIGH_26_ AHIGH_26_.OE FPU_CS% AHIGH_25_ AHIGH_25_.OE + AHIGH_24_ AHIGH_24_.OE DSACK1% DSACK1.OE AVEC E RESET RESET.OE AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW% AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE SIZE_1_.D SIZE_1_.C SIZE_1_.OE IPL_030_2_.D% IPL_030_2_.C RW_000.D% RW_000.C RW_000.OE BG_000.D% BG_000.C BGACK_030.D BGACK_030.C SIZE_0_.D% SIZE_0_.C SIZE_0_.OE CLK_EXP.D CLK_EXP.C VMA.T VMA.C RW.D% RW.C RW.OE A_0_.D A_0_.C - A_0_.OE IPL_030_1_.D% IPL_030_1_.C IPL_030_0_.D% IPL_030_0_.C cpu_est_3_.D - cpu_est_3_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D.X1 - cpu_est_2_.D.X2 cpu_est_2_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D% + A_0_.OE IPL_030_1_.D% IPL_030_1_.C IPL_030_0_.D% IPL_030_0_.C cpu_est_2_.D.X1 + cpu_est_2_.D.X2 cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C cpu_est_0_.D cpu_est_0_.C + cpu_est_1_.D cpu_est_1_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D% inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D% inst_AS_030_D0.C inst_AS_030_000_SYNC.D% inst_AS_030_000_SYNC.C inst_BGACK_030_INT_D.D% inst_BGACK_030_INT_D.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C - inst_VPA_D.D% inst_VPA_D.C CLK_000_D_2_.D CLK_000_D_2_.C CLK_000_D_3_.D - CLK_000_D_3_.C inst_DTACK_D0.D% inst_DTACK_D0.C inst_RESET_OUT.D + inst_VPA_D.D% inst_VPA_D.C CLK_000_D_2_.D CLK_000_D_2_.C CLK_000_D_4_.D + CLK_000_D_4_.C inst_DTACK_D0.D% inst_DTACK_D0.C inst_RESET_OUT.D inst_RESET_OUT.C CLK_000_D_1_.D CLK_000_D_1_.C CLK_000_D_0_.D CLK_000_D_0_.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C IPL_D0_0_.D% IPL_D0_0_.C IPL_D0_1_.D% IPL_D0_1_.C - IPL_D0_2_.D% IPL_D0_2_.C CLK_000_D_4_.D CLK_000_D_4_.C - inst_AMIGA_BUS_ENABLE_DMA_HIGH.D% inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_1_.D - SM_AMIGA_1_.C inst_UDS_000_INT.D% inst_UDS_000_INT.C inst_DS_000_ENABLE.D - inst_DS_000_ENABLE.C inst_LDS_000_INT.D inst_LDS_000_INT.C SM_AMIGA_6_.D - SM_AMIGA_6_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_0_.D SM_AMIGA_0_.C - RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D.X1 RST_DLY_1_.D.X2 RST_DLY_1_.C - RST_DLY_2_.D RST_DLY_2_.C inst_CLK_030_H.D inst_CLK_030_H.C inst_DSACK1_INT.D% - inst_DSACK1_INT.C inst_AS_000_INT.D% inst_AS_000_INT.C SM_AMIGA_5_.D - SM_AMIGA_5_.C SM_AMIGA_3_.T SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C - SM_AMIGA_i_7_.T.X1 SM_AMIGA_i_7_.T.X2 SM_AMIGA_i_7_.C CIIN_0 -.phase 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 185 -------------------------------------------------------------------------------------------------- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0-----------------1------------------------------------------------------ 010100010000000101000101001010001000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0--------------------------------------------0----------------- 001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------0--------0------------------ 000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1-----------------1------------------------------------------------------ 000001000101000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------0-------------------------------------------0----------------- 000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------01------------------------------------------ 000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------10----------------------------------------- 000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1--1---1------0010--1-------------------------------------------------------0------------------ 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------1-------------------------------------------------- 000000000000000000100000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1---------------------------------------------------------------------------------------- 000000000000000000010000000000000000000000001001010010101001010100100101010101001010101010101010101010101010101010101010101010101010101010101001010101010101010010 ---1--1---0------0010--1-------------------------------------------------------0------------------ 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------0---------0------------------ 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------------------------------------------------------------------------------------------- 000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ---------------------------0-11------------------------------------------------------------------- 000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------1-00------------------------------------------------------------------- 000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------0------------------------------------------------------ 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0------------------------------------------------------01---------------- 000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1-------------------------------------------------------0---------------- 000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0-----0------------------------------------------------------------------ 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1-------1---------------------------------------------------------------- 000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0--------------------------1--------------------------------------------- 000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -1------------111---------------0-----------------------------------------------------00000000---- 000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -------------------------------------------------------------------------------------------------1 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------0------------------------------------------------------------------------------------ 000000000000000000000000000000000000000000010000000001000000000001000000000000000000000001010000000000000000000000000000000000000001000000000000000000000000000000 -------------------------1--------1--------------------------1------------------------------------ 000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0--------------------------------------------------------00-------------- 000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0------------------------------------------------------------------------ 000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------11-------------------------110----------------------------------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------10-------------------------010----------------------------------------------- 000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------01-------------------------100----------------------------------------------- 000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------00-------------------------000----------------------------------------------- 000000000000000000000000000000000000000000000010000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1----------------------------------------------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0-------------------------1-----------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------1-------------------------0-----------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0---------------------------1----------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------1---------------------------0----------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1------------------------------------0---------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1------------------------------1-----------------0-----------1----------------------- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------------------------------0----------------0-----------1----------------------- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------------------------------------------0-0--0-----------1----------------------- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1------------------------------01-----------1-0--------------1---------------------0- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----10-------1------------------1------------1---------------------------------------------------- 000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-------1-------------------------------------------------------------0---------------------- 000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------1------------------1------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------1-------------------------------------01----------------------------------1----------------- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1--------0--------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1----------------------------------0------------------------------------- 000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0--------------------------------------------------------00-------------- 000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------0------------0----------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------00000-------------01---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1------------10110--------0----10---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1--------1----------------------------0---------------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------------------------------------------------0---------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0-----------------1------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1--------1--------------------------------1------------------------------ 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0--------------------------------------------------------1--------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------01-------------------------101----------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------00-------------------------001----------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0-------------------------------------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0-------------------------1----------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------1-------------------------0----------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------------------------------0---------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1------------------------------------1--------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1------------------------------------0--------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------10-------------------------011----------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0-----------------------------------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1----------------------------------0---------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0---------------------------1--------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------1---------------------------0--------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1------------------------------------1-------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1------------------------------------0-------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------10-0------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------1----------------0----------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------1-----------------1---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------111-------------10---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1---------------0----------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1----------------1---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------0---------------10---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------01-------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1--------------0----------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1---------------1---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------010--------------10---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------11--------------10---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1----------10------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1-----0--1--------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------------------------------------------------------------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------------------0---------------------------------------------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ---01--------1-----------1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1---1-------1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1----1------1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1-----0-----1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1------1----1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1---------0-1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000 -------0---------------------------1-------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------11----------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------00----------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------11-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000 -------0----------------------------1--------------------------------------------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 -------1---------------------------1--------------------------------1------------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------1-------------------------------0------------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-----------1------1-----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-----------0------01----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-----------1-------0----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-----------01-----------------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0------------1-----1-----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-----------10-----01----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0------------1------0----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 ------------01------------------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1----------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1--------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 -----------0-1------------------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 -------------1-----------------------------1------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 -------------1------------------------------10------------------111------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 ---------------------------------------------1---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 --------1----------------------------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 ----------------------------------------------0--------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 ----------------------------------------------1--------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 -------------1--------0--------------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 -------------1-------0---------------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 --0----------1------------------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 -----------------------------------------1-------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 -------------1----------00------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 -------------1-----------1--------1-----------------0--------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 -------------1--------------------------1------------1-------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -------------1---------------------------0-----------1-------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -------------1------------------------------01---------------------------1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -------------1---------------------------------------1-------------------1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -------------1----------------------------------------0--0---------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 -------------1-------------------------------------------1------------------------------------0--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 -------------1------------------------------01------------1--------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 -------------1-----------------------------------------1-----------------------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 -------------1------------------------------01-----------1--------------------------------------1- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 --------------------------------------------------------10---------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 ---------------------------------------------------------1--------------------------10--------0--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 -------------1------------------------------1------------1---------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 -------------1-------------------------------0-----------1---------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 ----1--------1-------------------0-------0---------1-----0----------------0----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 -------------1------------------------------1-------------1--------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 -------------1-------------------------------0------------1--------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 -------------1------------------------------10-------------------------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 -------------1--------------------------01-----------1-------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000 -------------1------------------------------1--------------1-------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 -------------1-------------------------------0-------------1-------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 -------------1---------------------------------------1-----1-------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 -------------1------------------------------0-------------------1--------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -------------1-------------------------------1------------------1--------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -------------1------------------------------10------------------0--------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -------------1--------------------------------------------------111------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -------------1------------------------------10------------------1-0------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 -------------1------------------------------10------------------10-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 -------------1---------------------------------------------------1-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -------------1------------------------------10------------------11-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -------------1----------------------------------------------------1------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -------0-----1-----------0---------0-01-----------------------------------------0-0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------0-----1-----------0---------0-10-----------------------------------------0-0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------------1-----------0-----------01-----------------------------1-----------0-0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------------1-----------0-----------10-----------------------------1-----------0-0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------0-----1-----------0---------0-01-----------------------------------------0--0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------0-----1-----------0---------0-10-----------------------------------------0--0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------------1-----------0-----------01-----------------------------1-----------0--0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------------1-----------0-----------10-----------------------------1-----------0--0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------------1-------------------------------------------------------0---------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 -------------1------------------------------01-----------1---------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000 -------------1--------------------------------------------------------0--------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 -------------1------------------------------0--------------------------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 -------------1-------------------------------1-------------------------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 -------------0----------------------------------------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------01000--------0----10--------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 ---------------------------------------1--0-10--------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 -------------1------------------------------01------------1-------------0------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------------------10--------------------------1----------------------0-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 -------------1------------01000--------0----10--------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1-------------------------1--0-10--------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1------------------------------1----------------------------1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1-------------------------------0---------------------------1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1------------------------------10--------------------------1----------------------0-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------0------------------------------------------------------------1----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1------------------------------01-------------1--------------1----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 ----1--------1-------------------0-------0---------1----------------------0----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 ----1--------1-------------------0-------0--01-----1-------1--------------0----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 + IPL_D0_2_.D% IPL_D0_2_.C CLK_000_D_3_.D CLK_000_D_3_.C CLK_000_D_5_.D + CLK_000_D_5_.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D% + inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_1_.D SM_AMIGA_1_.C inst_UDS_000_INT.D% + inst_UDS_000_INT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_LDS_000_INT.D + inst_LDS_000_INT.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_4_.D SM_AMIGA_4_.C + SM_AMIGA_0_.D SM_AMIGA_0_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D.X1 + RST_DLY_1_.D.X2 RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C inst_CLK_030_H.D + inst_CLK_030_H.C inst_DSACK1_INT.D% inst_DSACK1_INT.C inst_AS_000_INT.D% + inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_3_.T SM_AMIGA_3_.C + SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_i_7_.T.X1 SM_AMIGA_i_7_.T.X2 + SM_AMIGA_i_7_.C CIIN_0 +.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 186 +-------------------------------------------------------------------------------------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0-----------------1------------------------------------------------------- 01010001000000010101000101001010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------0---------------------------------------------0----------------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------0--------0------------------ 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1-----------------1------------------------------------------------------- 00000100010100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------0--------------------------------------------0----------------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------01------------------------------------------ 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------10----------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1--1---1------0010--1--------------------------------------------------------0------------------ 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------1--------------------------------------------------- 00000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1----------------------------------------------------------------------------------------- 00000000000000000000010000000000000000000000100101001010100101010010010100101010101010101010101010101010101010101010101010101010101010101010101001010101010101010010 +--1--1---0------0010--1--------------------------------------------------------0------------------ 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------0---------0------------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1---------------------------------------------------------------------------------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +--------------------------10-1-------------------------------------------------------------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------01-0-------------------------------------------------------------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------0------------------------------------------------------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0-------------------------------------------------------01---------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1--------------------------------------------------------0---------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0-----0------------------------------------------------------------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1-------1----------------------------------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0---------------------------1--------------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +1------------111---------------0------------------------------------------------------00000000---- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-------------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------0------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000001000000000100000000000100000000000000000000000101000000000000000000000000000000000000000001000000000000000000000000000000 +------------------------1--------1---------------------------1------------------------------------ 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0---------------------------------------------------------00-------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0------------------------------------------------------------------------- 00000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------11-------------------------110------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------10-------------------------010------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------01-------------------------100------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------00-------------------------000------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-----------------------------------------------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0-------------------------1------------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------1-------------------------0------------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0---------------------------1-----------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------1---------------------------0-----------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------------------------------0----------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------------------------1------------------0-----------1----------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------------------------------0-----------------0-----------1----------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------------------------------------------0-0--0-----------1----------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------------------------01------------1-0--------------1---------------------0- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---10-------1------------------1------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0-------1--------------------------------------------------------------0---------------------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----1------------------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----1-------------------------------------01-----------------------------------1----------------- 00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1--------0---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1-----------------------------------0------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0---------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------0------------0------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------00000-------------01----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------10011--------0----10----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1--------1-----------------------------0---------------------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0--------------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0-----------------1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1--------1---------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0---------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------01-------------------------101------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------00-------------------------001------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0--------------------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0-------------------------1-----------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------1-------------------------0-----------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------------0----------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1------------------------------------1---------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1------------------------------------0---------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------10-------------------------011------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0------------------------------------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1----------------------------------0----------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0---------------------------1---------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------1---------------------------0---------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1------------------------------------1--------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1------------------------------------0--------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------11-------------10----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------010--------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------1---------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------1----------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------1-11-------------10----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1--------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1---------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0--------------10----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------01-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1-------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1--------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------010-------------10----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1----------10------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1-----0--1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------------------------------------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------------------0----------------------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +--01--------1-----------1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1--------1---1-------1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1--------1----1------1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1--------1-----0-----1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1--------1------1----1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1--------1---------0-1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000 +------0---------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------11------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------00------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------11-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000 +------0----------------------------1---------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +------1---------------------------1---------------------------------1------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1--------------------------------0------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-----------1------1------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-----------0------01-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-----------1-------0-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-----------01------------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------1-----1------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-----------10-----01-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------1------0-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-----------01------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +----------0-1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +------------1------------------------------10-------------------111------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +--------------------------------------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------1------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +---------------------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +---------------------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 +------------1--------0---------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 +------------1-------0----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 +-0----------1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 +---------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +----------------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +------------1----------00------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 +------------1-----------1--------1------------------0--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 +------------1--------------------------1-------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +------------1-------------------------------------0--1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +------------1------------------------------01----------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +------------1----------------------------------------1-------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +------------1-----------------------------------------0--0---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +------------1--------------------------------------------1------------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +------------1------------------------------01-------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +------------1------------------------------------------1-----------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +------------1------------------------------01------------1--------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +--------------------------------------------------------10---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +---------------------------------------------------------1--------------------------10--------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +------------1------------------------------1-------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +------------1-------------------------------0------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +---1--------1-------------------0-------0----------1-----0----------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +------------1------------------------------1--------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------1-------------------------------0-------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------1------------------------------10--------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------1--------------------------0----------1--1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000 +------------1------------------------------1---------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1-------------------------------0--------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1----------------------------------------1-----1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1------------------------------0--------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1-------------------------------1-------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1------------------------------10-------------------0--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1---------------------------------------------------111------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1------------------------------10-------------------1-0------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +------------1------------------------------10-------------------10-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +------------1----------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +------------1------------------------------10-------------------11-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +------------1-----------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +------0-----1-----------0---------0-01------------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------0-----1-----------0---------0-10------------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1-----------0-----------01------------------------------1-----------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1-----------0-----------10------------------------------1-----------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------0-----1-----------0---------0-01------------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------0-----1-----------0---------0-10------------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1-----------0-----------01------------------------------1-----------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1-----------0-----------10------------------------------1-----------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1--------------------------------------------------------0---------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 +------------1------------------------------01------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000 +------------1---------------------------------------------------------0--------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1------------------------------0---------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +------------1-------------------------------1--------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +------------0-----------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------------------00100--------0----10---------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +--------------------------------------1--0-10---------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +------------1------------------------------01-------------1-------------0------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------------------------------------10---------------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +------------1------------00100--------0----10---------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1-------------------------1--0-10---------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1------------------------------1-----------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1-------------------------------0----------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1------------------------------10---------------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------0-------------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +------------1------------------------------01--------------1--------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +---1--------1-------------------0-------0----------1----------------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +---1--------1-------------------0-------0--01------1-------1--------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 .end diff --git a/Logic/68030_tk.tte b/Logic/68030_tk.tte index cbf1968..5d3c225 100644 --- a/Logic/68030_tk.tte +++ b/Logic/68030_tk.tte @@ -1,36 +1,36 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Oct 06 21:34:55 2016 +#$ DATE Thu Oct 06 22:04:11 2016 #$ MODULE BUS68030 #$ PINS 61 AHIGH_31_ A_DECODE_23_ IPL_2_ FC_1_ AS_030 AS_000 DS_030 UDS_000 - LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 AHIGH_30_ CLK_OSZI - AHIGH_29_ CLK_DIV_OUT AHIGH_28_ AHIGH_27_ FPU_CS AHIGH_26_ FPU_SENSE AHIGH_25_ - DSACK1 AHIGH_24_ DTACK A_DECODE_22_ AVEC A_DECODE_21_ E A_DECODE_20_ VPA - A_DECODE_19_ A_DECODE_18_ RST A_DECODE_17_ RESET A_DECODE_16_ AMIGA_ADDR_ENABLE - AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_1_ IPL_0_ - FC_0_ A_1_ SIZE_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 SIZE_0_ CLK_EXP VMA RW - A_0_ IPL_030_1_ IPL_030_0_ -#$ NODES 44 cpu_est_3_ cpu_est_0_ cpu_est_1_ cpu_est_2_ + LDS_000 nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 AHIGH_30_ CLK_000 AHIGH_29_ + CLK_OSZI AHIGH_28_ CLK_DIV_OUT AHIGH_27_ AHIGH_26_ FPU_CS AHIGH_25_ FPU_SENSE + AHIGH_24_ DSACK1 A_DECODE_22_ DTACK A_DECODE_21_ AVEC A_DECODE_20_ E + A_DECODE_19_ VPA A_DECODE_18_ A_DECODE_17_ RST A_DECODE_16_ RESET + AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH + CIIN IPL_1_ IPL_0_ FC_0_ A_1_ SIZE_1_ IPL_030_2_ RW_000 BG_000 BGACK_030 SIZE_0_ + CLK_EXP VMA RW A_0_ IPL_030_1_ IPL_030_0_ +#$ NODES 45 cpu_est_2_ cpu_est_3_ cpu_est_0_ cpu_est_1_ inst_AMIGA_BUS_ENABLE_DMA_LOW inst_AS_030_D0 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_AS_000_DMA inst_DS_000_DMA CYCLE_DMA_0_ CYCLE_DMA_1_ - inst_VPA_D CLK_000_D_2_ CLK_000_D_3_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ + inst_VPA_D CLK_000_D_2_ CLK_000_D_4_ inst_DTACK_D0 inst_RESET_OUT CLK_000_D_1_ CLK_000_D_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_D IPL_D0_0_ IPL_D0_1_ - IPL_D0_2_ CLK_000_D_4_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_1_ + IPL_D0_2_ CLK_000_D_3_ CLK_000_D_5_ inst_AMIGA_BUS_ENABLE_DMA_HIGH SM_AMIGA_1_ inst_UDS_000_INT inst_DS_000_ENABLE inst_LDS_000_INT SM_AMIGA_6_ SM_AMIGA_4_ SM_AMIGA_0_ RST_DLY_0_ RST_DLY_1_ RST_DLY_2_ inst_CLK_030_H inst_DSACK1_INT inst_AS_000_INT SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_i_7_ CIIN_0 .type f -.i 97 -.o 162 +.i 98 +.o 164 .ilb A_DECODE_23_ IPL_2_ FC_1_ nEXP_SPACE BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI FPU_SENSE DTACK VPA RST A_DECODE_22_ A_DECODE_21_ A_DECODE_20_ A_DECODE_19_ A_DECODE_18_ A_DECODE_17_ A_DECODE_16_ IPL_1_ IPL_0_ FC_0_ A_1_ - BGACK_030.Q VMA.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q + BGACK_030.Q VMA.Q cpu_est_2_.Q cpu_est_3_.Q cpu_est_0_.Q cpu_est_1_.Q inst_AMIGA_BUS_ENABLE_DMA_LOW.Q inst_AS_030_D0.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q inst_AS_000_DMA.Q inst_DS_000_DMA.Q CYCLE_DMA_0_.Q - CYCLE_DMA_1_.Q inst_VPA_D.Q CLK_000_D_2_.Q CLK_000_D_3_.Q inst_DTACK_D0.Q + CYCLE_DMA_1_.Q inst_VPA_D.Q CLK_000_D_2_.Q CLK_000_D_4_.Q inst_DTACK_D0.Q inst_RESET_OUT.Q CLK_000_D_1_.Q CLK_000_D_0_.Q inst_CLK_OUT_PRE_50.Q - inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_4_.Q - inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q SM_AMIGA_1_.Q inst_UDS_000_INT.Q + inst_CLK_OUT_PRE_D.Q IPL_D0_0_.Q IPL_D0_1_.Q IPL_D0_2_.Q CLK_000_D_3_.Q + CLK_000_D_5_.Q inst_AMIGA_BUS_ENABLE_DMA_HIGH.Q SM_AMIGA_1_.Q inst_UDS_000_INT.Q inst_DS_000_ENABLE.Q inst_LDS_000_INT.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q SM_AMIGA_0_.Q SIZE_0_.Q SIZE_1_.Q RW_000.Q RW.Q RST_DLY_0_.Q RST_DLY_1_.Q RST_DLY_2_.Q A_0_.Q inst_CLK_030_H.Q inst_DSACK1_INT.Q inst_AS_000_INT.Q @@ -41,220 +41,222 @@ BERR.PIN RW.PIN CIIN_0 .ob AHIGH_31_ AHIGH_31_.OE AS_030- AS_030.OE AS_000- AS_000.OE DS_030- DS_030.OE UDS_000- UDS_000.OE LDS_000- LDS_000.OE BERR BERR.OE AHIGH_30_ AHIGH_30_.OE - AHIGH_29_ AHIGH_29_.OE CLK_DIV_OUT.D CLK_DIV_OUT.C AHIGH_28_ AHIGH_28_.OE - AHIGH_27_ AHIGH_27_.OE FPU_CS- AHIGH_26_ AHIGH_26_.OE AHIGH_25_ AHIGH_25_.OE - DSACK1- DSACK1.OE AHIGH_24_ AHIGH_24_.OE AVEC E RESET RESET.OE AMIGA_ADDR_ENABLE + AHIGH_29_ AHIGH_29_.OE AHIGH_28_ AHIGH_28_.OE CLK_DIV_OUT.D CLK_DIV_OUT.C + AHIGH_27_ AHIGH_27_.OE AHIGH_26_ AHIGH_26_.OE FPU_CS- AHIGH_25_ AHIGH_25_.OE + AHIGH_24_ AHIGH_24_.OE DSACK1- DSACK1.OE AVEC E RESET RESET.OE AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW- AMIGA_BUS_ENABLE_HIGH CIIN CIIN.OE SIZE_1_.D SIZE_1_.C SIZE_1_.OE IPL_030_2_.D- IPL_030_2_.C RW_000.D- RW_000.C RW_000.OE BG_000.D- BG_000.C BGACK_030.D BGACK_030.C SIZE_0_.D- SIZE_0_.C SIZE_0_.OE CLK_EXP.D CLK_EXP.C VMA.T VMA.C RW.D- RW.C RW.OE A_0_.D A_0_.C - A_0_.OE IPL_030_1_.D- IPL_030_1_.C IPL_030_0_.D- IPL_030_0_.C cpu_est_3_.D - cpu_est_3_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D.X1 - cpu_est_2_.D.X2 cpu_est_2_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D- + A_0_.OE IPL_030_1_.D- IPL_030_1_.C IPL_030_0_.D- IPL_030_0_.C cpu_est_2_.D.X1 + cpu_est_2_.D.X2 cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C cpu_est_0_.D cpu_est_0_.C + cpu_est_1_.D cpu_est_1_.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D- inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_AS_030_D0.D- inst_AS_030_D0.C inst_AS_030_000_SYNC.D- inst_AS_030_000_SYNC.C inst_BGACK_030_INT_D.D- inst_BGACK_030_INT_D.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C - inst_VPA_D.D- inst_VPA_D.C CLK_000_D_2_.D CLK_000_D_2_.C CLK_000_D_3_.D - CLK_000_D_3_.C inst_DTACK_D0.D- inst_DTACK_D0.C inst_RESET_OUT.D + inst_VPA_D.D- inst_VPA_D.C CLK_000_D_2_.D CLK_000_D_2_.C CLK_000_D_4_.D + CLK_000_D_4_.C inst_DTACK_D0.D- inst_DTACK_D0.C inst_RESET_OUT.D inst_RESET_OUT.C CLK_000_D_1_.D CLK_000_D_1_.C CLK_000_D_0_.D CLK_000_D_0_.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C IPL_D0_0_.D- IPL_D0_0_.C IPL_D0_1_.D- IPL_D0_1_.C - IPL_D0_2_.D- IPL_D0_2_.C CLK_000_D_4_.D CLK_000_D_4_.C - inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_1_.D - SM_AMIGA_1_.C inst_UDS_000_INT.D- inst_UDS_000_INT.C inst_DS_000_ENABLE.D - inst_DS_000_ENABLE.C inst_LDS_000_INT.D inst_LDS_000_INT.C SM_AMIGA_6_.D - SM_AMIGA_6_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_0_.D SM_AMIGA_0_.C - RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D.X1 RST_DLY_1_.D.X2 RST_DLY_1_.C - RST_DLY_2_.D RST_DLY_2_.C inst_CLK_030_H.D inst_CLK_030_H.C inst_DSACK1_INT.D- - inst_DSACK1_INT.C inst_AS_000_INT.D- inst_AS_000_INT.C SM_AMIGA_5_.D - SM_AMIGA_5_.C SM_AMIGA_3_.T SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C - SM_AMIGA_i_7_.T.X1 SM_AMIGA_i_7_.T.X2 SM_AMIGA_i_7_.C CIIN_0 -.phase 111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 185 -------------------------------------------------------------------------------------------------- 000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0-----------------1------------------------------------------------------ 010100010000000101000101001010001000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0--------------------------------------------0----------------- 001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------0--------0------------------ 000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1-----------------1------------------------------------------------------ 000001000101000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------0-------------------------------------------0----------------- 000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------01------------------------------------------ 000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------10----------------------------------------- 000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1--1---1------0010--1-------------------------------------------------------0------------------ 000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------1-------------------------------------------------- 000000000000000000100000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1---------------------------------------------------------------------------------------- 000000000000000000010000000000000000000000001001010010101001010100100101010101001010101010101010101010101010101010101010101010101010101010101001010101010101010010 ---1--1---0------0010--1-------------------------------------------------------0------------------ 000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------0---------0------------------ 000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------------------------------------------------------------------------------------------- 000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ---------------------------0-11------------------------------------------------------------------- 000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------1-00------------------------------------------------------------------- 000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------0------------------------------------------------------ 000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0------------------------------------------------------01---------------- 000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1-------------------------------------------------------0---------------- 000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0-----0------------------------------------------------------------------ 000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1-------1---------------------------------------------------------------- 000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0--------------------------1--------------------------------------------- 000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -1------------111---------------0-----------------------------------------------------00000000---- 000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -------------------------------------------------------------------------------------------------1 000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------0------------------------------------------------------------------------------------ 000000000000000000000000000000000000000000010000000001000000000001000000000000000000000001010000000000000000000000000000000000000001000000000000000000000000000000 -------------------------1--------1--------------------------1------------------------------------ 000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0--------------------------------------------------------00-------------- 000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------0------------------------------------------------------------------------ 000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------11-------------------------110----------------------------------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------10-------------------------010----------------------------------------------- 000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------01-------------------------100----------------------------------------------- 000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1-------00-------------------------000----------------------------------------------- 000000000000000000000000000000000000000000000010000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1----------------------------------------------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0-------------------------1-----------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------1-------------------------0-----------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0---------------------------1----------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------1---------------------------0----------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1------------------------------------0---------------------------0------------------- 000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1------------------------------1-----------------0-----------1----------------------- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------------------------------0----------------0-----------1----------------------- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------------------------------------------0-0--0-----------1----------------------- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1------------------------------01-----------1-0--------------1---------------------0- 000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----10-------1------------------1------------1---------------------------------------------------- 000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-------1-------------------------------------------------------------0---------------------- 000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------1------------------1------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------1-------------------------------------01----------------------------------1----------------- 000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1--------0--------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1----------------------------------0------------------------------------- 000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0--------------------------------------------------------00-------------- 000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------0------------0----------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------00000-------------01---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1------------10110--------0----10---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1--------1----------------------------0---------------------------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-------------------------------------------------------0---------------- 000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0-----------------1------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1--------1--------------------------------1------------------------------ 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------0--------------------------------------------------------1--------------- 000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------01-------------------------101----------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------00-------------------------001----------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0-------------------------------------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0-------------------------1----------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------1-------------------------0----------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------------------------------0---------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1------------------------------------1--------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1------------------------------------0--------------------------0-------------------- 000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1-------10-------------------------011----------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1--------0-----------------------------------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1----------------------------------0---------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------0---------------------------1--------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------1---------------------------0--------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --0----------1------------------------------------1-------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1----------1------------------------------------0-------------------------0--------------------- 000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------10-0------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------1----------------0----------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------1-----------------1---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------111-------------10---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1---------------0----------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1----------------1---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------0---------------10---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------01-------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1--------------0----------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1---------------1---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------010--------------10---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------11--------------10---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------1------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1----------10------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------1-----0--1--------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------------------------------------------------------------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-------------------0---------------------------------------------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ---01--------1-----------1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1---1-------1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1----1------1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1-----0-----1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1------1----1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 ----1--------1---------0-1------0-1---------------------------------------0----0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------1------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000 -------0---------------------------1-------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------11----------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------00----------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------1----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------11-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000 -------0----------------------------1--------------------------------------------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 -------1---------------------------1--------------------------------1------------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------1-------------------------------0------------0---------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-----------1------1-----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-----------0------01----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-----------1-------0----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-----------01-----------------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0------------1-----1-----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0-----------10-----01----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 -------------1-----------0------------1------0----------------------------------0----------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 ------------01------------------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1----------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1--------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 -----------0-1------------------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 -------------1-----------------------------1------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 -------------1------------------------------10------------------111------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 ---------------------------------------------1---------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 --------1----------------------------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 ----------------------------------------------0--------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 ----------------------------------------------1--------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 -------------1--------0--------------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 -------------1-------0---------------------------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 --0----------1------------------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 -----------------------------------------1-------------------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 -------------1----------00------------------------------------------------------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 -------------1-----------1--------1-----------------0--------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 -------------1--------------------------1------------1-------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -------------1---------------------------0-----------1-------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -------------1------------------------------01---------------------------1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -------------1---------------------------------------1-------------------1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 -------------1----------------------------------------0--0---------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 -------------1-------------------------------------------1------------------------------------0--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 -------------1------------------------------01------------1--------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 -------------1-----------------------------------------1-----------------------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 -------------1------------------------------01-----------1--------------------------------------1- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 --------------------------------------------------------10---------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 ---------------------------------------------------------1--------------------------10--------0--- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 -------------1------------------------------1------------1---------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 -------------1-------------------------------0-----------1---------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 ----1--------1-------------------0-------0---------1-----0----------------0----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 -------------1------------------------------1-------------1--------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 -------------1-------------------------------0------------1--------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 -------------1------------------------------10-------------------------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 -------------1--------------------------01-----------1-------------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000 -------------1------------------------------1--------------1-------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 -------------1-------------------------------0-------------1-------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 -------------1---------------------------------------1-----1-------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 -------------1------------------------------0-------------------1--------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -------------1-------------------------------1------------------1--------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -------------1------------------------------10------------------0--------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -------------1--------------------------------------------------111------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -------------1------------------------------10------------------1-0------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 -------------1------------------------------10------------------10-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 -------------1---------------------------------------------------1-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -------------1------------------------------10------------------11-------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -------------1----------------------------------------------------1------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 -------0-----1-----------0---------0-01-----------------------------------------0-0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------0-----1-----------0---------0-10-----------------------------------------0-0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------------1-----------0-----------01-----------------------------1-----------0-0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------------1-----------0-----------10-----------------------------1-----------0-0--------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------0-----1-----------0---------0-01-----------------------------------------0--0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------0-----1-----------0---------0-10-----------------------------------------0--0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------------1-----------0-----------01-----------------------------1-----------0--0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------------1-----------0-----------10-----------------------------1-----------0--0-------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 -------------1-------------------------------------------------------0---------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 -------------1------------------------------01-----------1---------------------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000 -------------1--------------------------------------------------------0--------0------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 -------------1------------------------------0--------------------------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 -------------1-------------------------------1-------------------------1-------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 -------------0----------------------------------------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------01000--------0----10--------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 ---------------------------------------1--0-10--------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 -------------1------------------------------01------------1-------------0------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------------------10--------------------------1----------------------0-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 -------------1------------01000--------0----10--------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1-------------------------1--0-10--------------------------1------------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1------------------------------1----------------------------1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1-------------------------------0---------------------------1------------------------ 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------1------------------------------10--------------------------1----------------------0-- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 -------------0------------------------------------------------------------1----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 -------------1------------------------------01-------------1--------------1----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 ----1--------1-------------------0-------0---------1----------------------0----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 ----1--------1-------------------0-------0--01-----1-------1--------------0----------------------- 000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 + IPL_D0_2_.D- IPL_D0_2_.C CLK_000_D_3_.D CLK_000_D_3_.C CLK_000_D_5_.D + CLK_000_D_5_.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D- + inst_AMIGA_BUS_ENABLE_DMA_HIGH.C SM_AMIGA_1_.D SM_AMIGA_1_.C inst_UDS_000_INT.D- + inst_UDS_000_INT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_LDS_000_INT.D + inst_LDS_000_INT.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_4_.D SM_AMIGA_4_.C + SM_AMIGA_0_.D SM_AMIGA_0_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D.X1 + RST_DLY_1_.D.X2 RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C inst_CLK_030_H.D + inst_CLK_030_H.C inst_DSACK1_INT.D- inst_DSACK1_INT.C inst_AS_000_INT.D- + inst_AS_000_INT.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_3_.T SM_AMIGA_3_.C + SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_i_7_.T.X1 SM_AMIGA_i_7_.T.X2 + SM_AMIGA_i_7_.C CIIN_0 +.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 186 +-------------------------------------------------------------------------------------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0-----------------1------------------------------------------------------- 01010001000000010101000101001010000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------0---------------------------------------------0----------------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------0--------0------------------ 00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1-----------------1------------------------------------------------------- 00000100010100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------0--------------------------------------------0----------------- 00000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------01------------------------------------------ 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------10----------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1--1---1------0010--1--------------------------------------------------------0------------------ 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------1--------------------------------------------------- 00000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1----------------------------------------------------------------------------------------- 00000000000000000000010000000000000000000000100101001010100101010010010100101010101010101010101010101010101010101010101010101010101010101010101001010101010101010010 +--1--1---0------0010--1--------------------------------------------------------0------------------ 00000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------0---------0------------------ 00000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1---------------------------------------------------------------------------------------------- 00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +--------------------------10-1-------------------------------------------------------------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------01-0-------------------------------------------------------------------- 00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------0------------------------------------------------------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0-------------------------------------------------------01---------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1--------------------------------------------------------0---------------- 00000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0-----0------------------------------------------------------------------- 00000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1-------1----------------------------------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0---------------------------1--------------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +1------------111---------------0------------------------------------------------------00000000---- 00000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 +-------------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------0------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000001000000000100000000000100000000000000000000000101000000000000000000000000000000000000000001000000000000000000000000000000 +------------------------1--------1---------------------------1------------------------------------ 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0---------------------------------------------------------00-------------- 00000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------0------------------------------------------------------------------------- 00000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------11-------------------------110------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------10-------------------------010------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------01-------------------------100------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-------00-------------------------000------------------------------------------------ 00000000000000000000000000000000000000000000001000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1-----------------------------------------------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0-------------------------1------------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------1-------------------------0------------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0---------------------------1-----------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------1---------------------------0-----------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------------------------------0----------------------------0------------------- 00000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------------------------1------------------0-----------1----------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------------------------------0-----------------0-----------1----------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------------------------------------------0-0--0-----------1----------------------- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------------------------01------------1-0--------------1---------------------0- 00000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---10-------1------------------1------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0-------1--------------------------------------------------------------0---------------------- 00000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----1------------------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----1-------------------------------------01-----------------------------------1----------------- 00000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1--------0---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1-----------------------------------0------------------------------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0---------------------------------------------------------00-------------- 00000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------0------------0------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------00000-------------01----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------10011--------0----10----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1--------1-----------------------------0---------------------------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0--------------------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0-----------------1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1--------1---------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------0---------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------01-------------------------101------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------00-------------------------001------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0--------------------------------------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0-------------------------1-----------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------1-------------------------0-----------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------------0----------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1------------------------------------1---------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1------------------------------------0---------------------------0-------------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1-------10-------------------------011------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1--------0------------------------------------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1----------------------------------0----------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------0---------------------------1---------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------1---------------------------0---------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-0----------1------------------------------------1--------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1----------1------------------------------------0--------------------------0--------------------- 00000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------11-------------10----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------010--------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------1---------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------1----------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------1-11-------------10----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1--------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1---------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------0--------------10----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------01-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1-------------0------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------1--------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------010-------------10----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1----------10------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------1-----0--1---------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1------------------------------------------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-------------------0----------------------------------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +--01--------1-----------1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1--------1---1-------1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1--------1----1------1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1--------1-----0-----1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1--------1------1----1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1--------1---------0-1------0-1----------------------------------------0----0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------1------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000 +------0---------------------------1--------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------11------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------00------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------11-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000 +------0----------------------------1---------------------------------------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +------1---------------------------1---------------------------------1------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------1--------------------------------0------------0---------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-----------1------1------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-----------0------01-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-----------1-------0-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-----------01------------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------1-----1------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0-----------10-----01-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +------------1-----------0------------1------0-----------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000 +-----------01------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000 +----------0-1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000 +------------1-----------------------------1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +------------1------------------------------10-------------------111------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +--------------------------------------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000 +-------1------------------------------------------------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000 +---------------------------------------------0---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +---------------------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000 +------------1--------0---------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000 +------------1-------0----------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 +-0----------1------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000 +---------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000 +----------------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +------------1----------00------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 +------------1-----------1--------1------------------0--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000 +------------1--------------------------1-------------1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +------------1-------------------------------------0--1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +------------1------------------------------01----------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +------------1----------------------------------------1-------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000 +------------1-----------------------------------------0--0---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +------------1--------------------------------------------1------------------------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +------------1------------------------------01-------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +------------1------------------------------------------1-----------------------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +------------1------------------------------01------------1--------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000 +--------------------------------------------------------10---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +---------------------------------------------------------1--------------------------10--------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000 +------------1------------------------------1-------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +------------1-------------------------------0------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +---1--------1-------------------0-------0----------1-----0----------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +------------1------------------------------1--------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------1-------------------------------0-------------1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------1------------------------------10--------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000 +------------1--------------------------0----------1--1-------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000 +------------1------------------------------1---------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1-------------------------------0--------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1----------------------------------------1-----1-------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000 +------------1------------------------------0--------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1-------------------------------1-------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1------------------------------10-------------------0--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1---------------------------------------------------111------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +------------1------------------------------10-------------------1-0------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +------------1------------------------------10-------------------10-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000 +------------1----------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 +------------1------------------------------10-------------------11-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +------------1-----------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000 +------0-----1-----------0---------0-01------------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------0-----1-----------0---------0-10------------------------------------------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1-----------0-----------01------------------------------1-----------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1-----------0-----------10------------------------------1-----------0-0--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------0-----1-----------0---------0-01------------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------0-----1-----------0---------0-10------------------------------------------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1-----------0-----------01------------------------------1-----------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1-----------0-----------10------------------------------1-----------0--0-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +------------1--------------------------------------------------------0---------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 +------------1------------------------------01------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000 +------------1---------------------------------------------------------0--------0------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000 +------------1------------------------------0---------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +------------1-------------------------------1--------------------------1-------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +------------0-----------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------------------00100--------0----10---------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +--------------------------------------1--0-10---------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +------------1------------------------------01-------------1-------------0------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +-------------------------------------------10---------------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 +------------1------------00100--------0----10---------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1-------------------------1--0-10---------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1------------------------------1-----------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1-------------------------------0----------------------------1------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------1------------------------------10---------------------------1----------------------0-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------0-------------------------------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +------------1------------------------------01--------------1--------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +---1--------1-------------------0-------0----------1----------------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000 +---1--------1-------------------0-------0--01------1-------1--------------0----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 .end diff --git a/Logic/68030_tk.vcl b/Logic/68030_tk.vcl index 614fcef..925addc 100644 --- a/Logic/68030_tk.vcl +++ b/Logic/68030_tk.vcl @@ -18,7 +18,7 @@ SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; DATE = 10/6/16; -TIME = 21:35:00; +TIME = 22:04:16; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -144,12 +144,12 @@ Layer = OFF AS_030 = OUTPUT,82,7,-; AS_000 = OUTPUT,42,4,-; RW_000 = BIDIR,80,7,-; -RW = BIDIR,71,6,-; UDS_000 = OUTPUT,32,3,-; LDS_000 = OUTPUT,31,3,-; +A_0_ = BIDIR,69,6,-; +RW = BIDIR,71,6,-; SIZE_1_ = BIDIR,79,7,-; SIZE_0_ = BIDIR,70,6,-; -A_0_ = BIDIR,69,6,-; BERR = OUTPUT,41,4,-; AHIGH_24_ = OUTPUT,19,2,-; AHIGH_25_ = OUTPUT,18,2,-; @@ -179,58 +179,59 @@ AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-; CLK_EXP = OUTPUT,10,1,-; RESET = OUTPUT,3,1,-; RN_BGACK_030 = NODE,-1,7,-; -inst_RESET_OUT = NODE,*,0,-; -CLK_000_D_0_ = NODE,*,1,-; +inst_RESET_OUT = NODE,*,6,-; +CLK_000_D_0_ = NODE,*,3,-; CLK_000_D_1_ = NODE,*,7,-; SM_AMIGA_6_ = NODE,*,5,-; -CLK_000_D_3_ = NODE,*,3,-; -inst_BGACK_030_INT_D = NODE,*,7,-; SM_AMIGA_0_ = NODE,*,0,-; -cpu_est_1_ = NODE,*,6,-; -cpu_est_3_ = NODE,*,3,-; -cpu_est_0_ = NODE,*,6,-; -CLK_000_D_2_ = NODE,*,7,-; +cpu_est_1_ = NODE,*,3,-; +cpu_est_3_ = NODE,*,0,-; +inst_BGACK_030_INT_D = NODE,*,0,-; inst_AS_030_D0 = NODE,*,7,-; cpu_est_2_ = NODE,*,3,-; -inst_DS_000_DMA = NODE,*,6,-; -inst_AS_000_DMA = NODE,*,6,-; +inst_DS_000_DMA = NODE,*,2,-; +inst_AS_000_DMA = NODE,*,2,-; inst_AS_030_000_SYNC = NODE,*,5,-; -RST_DLY_0_ = NODE,*,2,-; -SM_AMIGA_1_ = NODE,*,2,-; +RST_DLY_0_ = NODE,*,6,-; +SM_AMIGA_1_ = NODE,*,0,-; +CYCLE_DMA_1_ = NODE,*,3,-; RN_VMA = NODE,-1,3,-; SM_AMIGA_i_7_ = NODE,*,5,-; -SM_AMIGA_5_ = NODE,*,3,-; -SM_AMIGA_4_ = NODE,*,2,-; -inst_LDS_000_INT = NODE,*,1,-; -inst_DS_000_ENABLE = NODE,*,5,-; -CYCLE_DMA_0_ = NODE,*,0,-; -inst_AS_000_INT = NODE,*,5,-; -inst_DSACK1_INT = NODE,*,0,-; -RST_DLY_2_ = NODE,*,0,-; -RST_DLY_1_ = NODE,*,0,-; -inst_UDS_000_INT = NODE,*,1,-; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,*,0,-; -inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,*,0,-; +SM_AMIGA_5_ = NODE,*,1,-; +SM_AMIGA_4_ = NODE,*,0,-; +inst_LDS_000_INT = NODE,*,2,-; +inst_DS_000_ENABLE = NODE,*,1,-; +CYCLE_DMA_0_ = NODE,*,3,-; +cpu_est_0_ = NODE,*,3,-; +inst_AS_000_INT = NODE,*,2,-; +inst_DSACK1_INT = NODE,*,5,-; +RST_DLY_2_ = NODE,*,6,-; +RST_DLY_1_ = NODE,*,1,-; +inst_UDS_000_INT = NODE,*,5,-; +inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,*,6,-; +inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,*,6,-; +CLK_000_D_3_ = NODE,*,0,-; inst_CLK_OUT_PRE_D = NODE,*,4,-; -inst_VPA_D = NODE,*,0,-; +CLK_000_D_4_ = NODE,*,0,-; +CLK_000_D_2_ = NODE,*,7,-; +inst_VPA_D = NODE,*,2,-; RN_IPL_030_0_ = NODE,-1,1,-; RN_IPL_030_1_ = NODE,-1,1,-; RN_IPL_030_2_ = NODE,-1,1,-; -inst_CLK_030_H = NODE,*,6,-; -SM_AMIGA_2_ = NODE,*,2,-; -SM_AMIGA_3_ = NODE,*,2,-; +inst_CLK_030_H = NODE,*,2,-; +SM_AMIGA_2_ = NODE,*,0,-; +SM_AMIGA_3_ = NODE,*,0,-; RN_RW_000 = NODE,-1,7,-; -CYCLE_DMA_1_ = NODE,*,6,-; RN_A_0_ = NODE,-1,6,-; RN_SIZE_0_ = NODE,-1,6,-; RN_SIZE_1_ = NODE,-1,7,-; RN_RW = NODE,-1,6,-; RN_BG_000 = NODE,-1,3,-; CIIN_0 = NODE,*,4,-; -CLK_000_D_4_ = NODE,*,3,-; +CLK_000_D_5_ = NODE,*,6,-; IPL_D0_2_ = NODE,*,6,-; IPL_D0_1_ = NODE,*,1,-; -IPL_D0_0_ = NODE,*,3,-; +IPL_D0_0_ = NODE,*,0,-; inst_CLK_OUT_PRE_50 = NODE,*,4,-; -inst_DTACK_D0 = NODE,*,2,-; +inst_DTACK_D0 = NODE,*,7,-; CLK_OSZI = INPUT,61,-,-; diff --git a/Logic/68030_tk.vco b/Logic/68030_tk.vco index e7b9250..0bc0969 100644 --- a/Logic/68030_tk.vco +++ b/Logic/68030_tk.vco @@ -18,7 +18,7 @@ SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; DATE = 10/6/16; -TIME = 21:35:00; +TIME = 22:04:16; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -155,32 +155,32 @@ BERR = BIDIR,41, E,-; BG_030 = INPUT,21, C,-; BGACK_000 = INPUT,28, D,-; CLK_030 = INPUT,64,-,-; -CLK_000 = INPUT,11,-,-; AHIGH_30_ = BIDIR,5, B,-; -CLK_OSZI = INPUT,61,-,-; +CLK_000 = INPUT,11,-,-; AHIGH_29_ = BIDIR,6, B,-; -CLK_DIV_OUT = OUTPUT,65, G,-; +CLK_OSZI = INPUT,61,-,-; AHIGH_28_ = BIDIR,15, C,-; +CLK_DIV_OUT = OUTPUT,65, G,-; AHIGH_27_ = BIDIR,16, C,-; -FPU_CS = OUTPUT,78, H,-; AHIGH_26_ = BIDIR,17, C,-; -FPU_SENSE = INPUT,91, A,-; +FPU_CS = OUTPUT,78, H,-; AHIGH_25_ = BIDIR,18, C,-; -DSACK1 = OUTPUT,81, H,-; +FPU_SENSE = INPUT,91, A,-; AHIGH_24_ = BIDIR,19, C,-; -DTACK = INPUT,30, D,-; +DSACK1 = OUTPUT,81, H,-; A_DECODE_22_ = INPUT,84, H,-; -AVEC = OUTPUT,92, A,-; +DTACK = INPUT,30, D,-; A_DECODE_21_ = INPUT,94, A,-; -E = OUTPUT,66, G,-; +AVEC = OUTPUT,92, A,-; A_DECODE_20_ = INPUT,93, A,-; -VPA = INPUT,36,-,-; +E = OUTPUT,66, G,-; A_DECODE_19_ = INPUT,97, A,-; +VPA = INPUT,36,-,-; A_DECODE_18_ = INPUT,95, A,-; -RST = INPUT,86,-,-; A_DECODE_17_ = INPUT,59, F,-; -RESET = OUTPUT,3, B,-; +RST = INPUT,86,-,-; A_DECODE_16_ = INPUT,96, A,-; +RESET = OUTPUT,3, B,-; AMIGA_ADDR_ENABLE = OUTPUT,33, D,-; AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-; AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-; @@ -202,47 +202,48 @@ RW = BIDIR,71, G,-; A_0_ = BIDIR,69, G,-; IPL_030_1_ = OUTPUT,7, B,-; IPL_030_0_ = OUTPUT,8, B,-; -cpu_est_3_ = NODE,13, D,-; -cpu_est_0_ = NODE,9, G,-; -cpu_est_1_ = NODE,5, G,-; cpu_est_2_ = NODE,2, D,-; -inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,6, A,-; -inst_AS_030_D0 = NODE,6, H,-; +cpu_est_3_ = NODE,12, A,-; +cpu_est_0_ = NODE,14, D,-; +cpu_est_1_ = NODE,13, D,-; +inst_AMIGA_BUS_ENABLE_DMA_LOW = NODE,6, G,-; +inst_AS_030_D0 = NODE,13, H,-; inst_AS_030_000_SYNC = NODE,4, F,-; -inst_BGACK_030_INT_D = NODE,13, H,-; -inst_AS_000_DMA = NODE,2, G,-; -inst_DS_000_DMA = NODE,13, G,-; -CYCLE_DMA_0_ = NODE,1, A,-; -CYCLE_DMA_1_ = NODE,10, G,-; -inst_VPA_D = NODE,10, A,-; +inst_BGACK_030_INT_D = NODE,1, A,-; +inst_AS_000_DMA = NODE,13, C,-; +inst_DS_000_DMA = NODE,9, C,-; +CYCLE_DMA_0_ = NODE,10, D,-; +CYCLE_DMA_1_ = NODE,6, D,-; +inst_VPA_D = NODE,10, C,-; CLK_000_D_2_ = NODE,2, H,-; -CLK_000_D_3_ = NODE,9, D,-; -inst_DTACK_D0 = NODE,14, C,-; -inst_RESET_OUT = NODE,8, A,-; +CLK_000_D_4_ = NODE,2, A,-; +inst_DTACK_D0 = NODE,6, H,-; +inst_RESET_OUT = NODE,5, G,-; CLK_000_D_1_ = NODE,5, H,-; -CLK_000_D_0_ = NODE,13, B,-; +CLK_000_D_0_ = NODE,9, D,-; inst_CLK_OUT_PRE_50 = NODE,9, E,-; inst_CLK_OUT_PRE_D = NODE,8, E,-; -IPL_D0_0_ = NODE,14, D,-; +IPL_D0_0_ = NODE,14, A,-; IPL_D0_1_ = NODE,14, B,-; IPL_D0_2_ = NODE,14, G,-; -CLK_000_D_4_ = NODE,10, D,-; -inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,2, A,-; -SM_AMIGA_1_ = NODE,13, C,-; -inst_UDS_000_INT = NODE,10, B,-; -inst_DS_000_ENABLE = NODE,12, F,-; -inst_LDS_000_INT = NODE,6, B,-; +CLK_000_D_3_ = NODE,13, A,-; +CLK_000_D_5_ = NODE,10, G,-; +inst_AMIGA_BUS_ENABLE_DMA_HIGH = NODE,2, G,-; +SM_AMIGA_1_ = NODE,5, A,-; +inst_UDS_000_INT = NODE,1, F,-; +inst_DS_000_ENABLE = NODE,6, B,-; +inst_LDS_000_INT = NODE,2, C,-; SM_AMIGA_6_ = NODE,0, F,-; -SM_AMIGA_4_ = NODE,2, C,-; -SM_AMIGA_0_ = NODE,12, A,-; -RST_DLY_0_ = NODE,9, C,-; -RST_DLY_1_ = NODE,13, A,-; -RST_DLY_2_ = NODE,9, A,-; -inst_CLK_030_H = NODE,6, G,-; -inst_DSACK1_INT = NODE,5, A,-; -inst_AS_000_INT = NODE,1, F,-; -SM_AMIGA_5_ = NODE,6, D,-; -SM_AMIGA_3_ = NODE,10, C,-; -SM_AMIGA_2_ = NODE,6, C,-; +SM_AMIGA_4_ = NODE,9, A,-; +SM_AMIGA_0_ = NODE,8, A,-; +RST_DLY_0_ = NODE,9, G,-; +RST_DLY_1_ = NODE,10, B,-; +RST_DLY_2_ = NODE,13, G,-; +inst_CLK_030_H = NODE,14, C,-; +inst_DSACK1_INT = NODE,12, F,-; +inst_AS_000_INT = NODE,6, C,-; +SM_AMIGA_5_ = NODE,13, B,-; +SM_AMIGA_3_ = NODE,10, A,-; +SM_AMIGA_2_ = NODE,6, A,-; SM_AMIGA_i_7_ = NODE,8, F,-; CIIN_0 = NODE,5, E,-; diff --git a/Logic/68030_tk.xrf b/Logic/68030_tk.xrf index 73e3601..017e754 100644 --- a/Logic/68030_tk.xrf +++ b/Logic/68030_tk.xrf @@ -2,7 +2,7 @@ Signal Name Cross Reference File ispLEVER Classic 2.0.00.17.20.15 -Design '68030_tk' created Thu Oct 06 21:34:55 2016 +Design '68030_tk' created Thu Oct 06 22:04:11 2016 LEGEND: '>' Functional Block Port Separator diff --git a/Logic/BUS68030.bl0 b/Logic/BUS68030.bl0 index 34fce03..e8177c6 100644 --- a/Logic/BUS68030.bl0 +++ b/Logic/BUS68030.bl0 @@ -1,204 +1,204 @@ -#$ DATE Thu Oct 06 21:34:55 2016 +#$ DATE Thu Oct 06 22:04:11 2016 #$ TOOL EDIF2BLIF version IspLever 1.0 #$ MODULE bus68030 -#$ PINS 75 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 SIZE_0_ CLK_000 AHIGH_30_ CLK_OSZI AHIGH_29_ CLK_DIV_OUT AHIGH_28_ CLK_EXP AHIGH_27_ FPU_CS AHIGH_26_ FPU_SENSE AHIGH_25_ DSACK1 AHIGH_24_ DTACK A_DECODE_22_ AVEC A_DECODE_21_ E A_DECODE_20_ VPA A_DECODE_19_ VMA A_DECODE_18_ RST A_DECODE_17_ RESET A_DECODE_16_ RW A_DECODE_15_ AMIGA_ADDR_ENABLE A_DECODE_14_ AMIGA_BUS_DATA_DIR A_DECODE_13_ AMIGA_BUS_ENABLE_LOW A_DECODE_12_ AMIGA_BUS_ENABLE_HIGH A_DECODE_11_ CIIN A_DECODE_10_ A_DECODE_9_ A_DECODE_8_ A_DECODE_7_ A_DECODE_6_ A_DECODE_5_ A_DECODE_4_ A_DECODE_3_ A_DECODE_2_ A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ FC_0_ A_1_ -#$ NODES 601 nEXP_SPACE_i N_171_i CLK_030_H_i FPU_SENSE_i N_121_i AS_030_i N_255_0 AS_000_DMA_i un1_SM_AMIGA_0_sqmuxa_1_0 AS_000_i \ -# N_48_0 AS_000_INT_i N_125_i DSACK1_INT_i N_126_i inst_BGACK_030_INTreg clk_000_d_i_0__n vcc_n_n clk_000_d_i_3__n N_127_i \ -# un5_e clk_000_d_i_1__n N_128_i inst_VMA_INTreg cpu_est_i_2__n gnd_n_n cpu_est_i_3__n RW_c_i un1_amiga_bus_enable_low a_decode_i_16__n \ -# pos_clk_rw_000_int_5_0_n un7_as_030 a_decode_i_18__n N_129_i un1_UDS_000_INT a_decode_i_19__n un1_LDS_000_INT ahigh_i_30__n un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_31__n \ -# un10_ciin_i un1_DS_000_ENABLE_0_sqmuxa ahigh_i_28__n N_261_0 un10_ciin ahigh_i_29__n N_65_0 un21_fpu_cs ahigh_i_26__n N_134_i \ -# un21_berr ahigh_i_27__n N_153_i un6_ds_030 ahigh_i_24__n N_67_0 cpu_est_3_ ahigh_i_25__n un2_as_030_i cpu_est_0_ \ -# N_206_i N_263_i cpu_est_1_ N_207_i N_265_i cpu_est_2_ N_208_i AS_030_000_SYNC_i inst_AMIGA_BUS_ENABLE_DMA_LOW N_84_0 \ -# inst_AS_030_D0 clk_000_d_i_2__n inst_AS_030_000_SYNC N_81_i N_85_i inst_BGACK_030_INT_D un6_ds_030_i N_141_i inst_AS_000_DMA DS_000_DMA_i \ -# un1_DS_000_ENABLE_0_sqmuxa_i inst_DS_000_DMA N_147_i pos_clk_un21_bgack_030_int_i_0_i_n CYCLE_DMA_0_ N_145_i N_269_i CYCLE_DMA_1_ un7_as_030_i N_90_i \ -# inst_VPA_D RESET_OUT_i N_270_i CLK_000_D_2_ AS_030_c N_271_0 CLK_000_D_3_ N_96_0 inst_DTACK_D0 AS_000_c \ -# N_97_0 inst_RESET_OUT N_98_0 CLK_000_D_1_ RW_000_c N_282_i CLK_000_D_0_ N_284_i inst_CLK_OUT_PRE_50 pos_clk_un14_clk_000_ne_i_n \ -# inst_CLK_OUT_PRE_D UDS_000_c un5_e_0 IPL_D0_0_ N_285_i IPL_D0_1_ LDS_000_c N_291_i IPL_D0_2_ N_292_i \ -# CLK_000_D_4_ size_c_0__n N_192_i pos_clk_un6_bg_030_n N_17_i inst_AMIGA_BUS_ENABLE_DMA_HIGH size_c_1__n cpu_est_2_0_2__n pos_clk_ipl_n N_286_i \ -# SM_AMIGA_1_ ahigh_c_24__n N_288_i AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa cpu_est_2_0_1__n inst_UDS_000_INT ahigh_c_25__n N_289_i inst_DS_000_ENABLE N_290_i \ -# inst_LDS_000_INT ahigh_c_26__n pos_clk_un9_clk_000_pe_0_n SM_AMIGA_6_ N_280_i SM_AMIGA_4_ ahigh_c_27__n pos_clk_un10_sm_amiga_i_n SM_AMIGA_0_ a_c_i_0__n \ -# SIZE_DMA_0_ ahigh_c_28__n size_c_i_1__n SIZE_DMA_1_ N_27_i inst_RW_000_INT ahigh_c_29__n N_30_0 inst_RW_000_DMA N_26_i \ -# RST_DLY_0_ ahigh_c_30__n N_29_0 RST_DLY_1_ N_25_i RST_DLY_2_ ahigh_c_31__n N_28_0 inst_A0_DMA ipl_c_i_2__n \ -# pos_clk_un9_clk_000_pe_n N_51_0 inst_CLK_030_H ipl_c_i_1__n pos_clk_rw_000_int_5_n N_50_0 inst_DSACK1_INT ipl_c_i_0__n inst_AS_000_INT N_49_0 \ -# SM_AMIGA_5_ N_4_i SM_AMIGA_3_ N_44_0 SM_AMIGA_2_ N_14_i N_4 N_41_0 N_15_i N_40_0 \ -# N_9 N_16_i N_39_0 N_18_i N_37_0 N_14 N_21_i N_15 N_34_0 N_16 \ -# N_23_i N_18 N_32_0 N_21 LDS_000_INT_i N_23 un1_LDS_000_INT_0 N_25 UDS_000_INT_i N_26 \ -# un1_UDS_000_INT_0 N_27 N_96_0_1 N_96_0_2 N_96_0_3 pos_clk_un21_bgack_030_int_i_0_i_1_n N_84_0_1 a_decode_c_16__n N_84_0_2 N_240_0_1 \ -# a_decode_c_17__n pos_clk_un10_sm_amiga_i_1_n N_289_1 a_decode_c_18__n N_289_2 N_290_1 a_decode_c_19__n N_290_2 pos_clk_un14_clk_000_ne_1_n a_decode_c_20__n \ -# pos_clk_un14_clk_000_ne_2_n N_153_1 a_decode_c_21__n N_153_2 N_153_3 a_decode_c_22__n N_153_4 N_153_5 a_decode_c_23__n un10_ciin_1 \ -# un10_ciin_2 a_c_0__n un10_ciin_3 un10_ciin_4 a_c_1__n un10_ciin_5 un10_ciin_6 SM_AMIGA_i_7_ nEXP_SPACE_c un10_ciin_7 \ -# cpu_est_2_1__n un10_ciin_8 cpu_est_2_2__n BERR_c un10_ciin_9 G_107 un10_ciin_10 G_108 BG_030_c un10_ciin_11 \ -# G_109 N_260_i_1 pos_clk_un21_bgack_030_int_i_0_n BG_000DFFreg N_260_i_2 N_81 N_233_i_1 N_94 N_233_i_2 N_254 \ -# BGACK_000_c N_232_i_1 N_255 N_232_i_2 N_261 CLK_030_c N_247_1 N_65 N_77_1 N_67 \ -# N_83_1 N_269 N_88_1 N_108 CLK_OSZI_c N_142_i_1 N_135 N_146_i_1 N_136 N_234_i_1 \ -# N_145 CLK_OUT_INTreg pos_clk_un6_bg_030_1_n N_278 N_124_1 N_147 un21_berr_1 N_58 FPU_SENSE_c un21_fpu_cs_1 \ -# N_110 N_140_i_1 N_239 IPL_030DFF_0_reg N_154_i_1 N_90 N_152_i_1 N_265 IPL_030DFF_1_reg N_150_i_1 \ -# pos_clk_CYCLE_DMA_5_1_i_x2 N_148_i_1 pos_clk_un21_bgack_030_int_i_0_x2 IPL_030DFF_2_reg N_144_i_1 pos_clk_un19_bgack_030_int_n N_255_0_1 N_280 ipl_c_0__n N_258_i_1 \ -# N_263 N_259_i_1 N_247 ipl_c_1__n N_282_1 N_77 N_284_1 N_289 ipl_c_2__n N_288_1 \ -# N_291 un5_e_0_1 N_290 N_192_i_1 N_286 DTACK_c pos_clk_ipl_1_n N_288 bg_000_0_un3_n N_285 \ -# bg_000_0_un1_n N_17 bg_000_0_un0_n N_292 VPA_c amiga_bus_enable_dma_low_0_un3_n pos_clk_un14_clk_000_ne_n amiga_bus_enable_dma_low_0_un1_n N_282 amiga_bus_enable_dma_low_0_un0_n \ -# N_284 RST_c a0_dma_0_un3_n N_98 a0_dma_0_un1_n N_97 a0_dma_0_un0_n N_84 RW_c rw_000_dma_0_un3_n \ -# N_96 rw_000_dma_0_un1_n N_271 fc_c_0__n rw_000_dma_0_un0_n N_117 bgack_030_int_0_un3_n N_141 fc_c_1__n bgack_030_int_0_un1_n \ -# N_134 bgack_030_int_0_un0_n N_153 ds_000_dma_0_un3_n N_129 AMIGA_BUS_DATA_DIR_c ds_000_dma_0_un1_n N_127 ds_000_dma_0_un0_n N_128 \ -# size_dma_0_1__un3_n N_125 size_dma_0_1__un1_n N_126 size_dma_0_1__un0_n N_124 BG_030_c_i size_dma_0_0__un3_n N_121 pos_clk_un6_bg_030_i_n \ -# size_dma_0_0__un1_n N_171 pos_clk_un9_bg_030_0_n size_dma_0_0__un0_n N_120 N_24_i un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_119 N_31_0 un1_amiga_bus_enable_dma_high_i_m2_0__un1_n \ -# N_118 N_22_i un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_116 N_33_0 cpu_est_0_1__un3_n N_114 N_20_i cpu_est_0_1__un1_n N_115 \ -# N_35_0 cpu_est_0_1__un0_n N_243 N_19_i cpu_est_0_2__un3_n N_240 N_36_0 cpu_est_0_2__un1_n N_88 N_8_i \ -# cpu_est_0_2__un0_n N_89 N_42_0 cpu_est_0_3__un3_n N_82 N_3_i cpu_est_0_3__un1_n N_83 N_45_0 cpu_est_0_3__un0_n \ -# N_78 VPA_c_i ipl_030_0_0__un3_n N_79 N_52_0 ipl_030_0_0__un1_n N_91 DTACK_c_i ipl_030_0_0__un0_n N_244 \ -# N_53_0 ipl_030_0_1__un3_n N_62 ipl_030_0_1__un1_n N_64 N_249_i ipl_030_0_1__un0_n N_59 N_248_i ipl_030_0_2__un3_n \ -# N_61 N_247_i ipl_030_0_2__un1_n N_163 ipl_030_0_2__un0_n N_245 N_77_i uds_000_int_0_un3_n N_242 N_251_i \ -# uds_000_int_0_un1_n N_246 N_76_i uds_000_int_0_un0_n N_248 amiga_bus_enable_dma_high_0_un3_n N_236 N_131_i amiga_bus_enable_dma_high_0_un1_n N_249 \ -# N_130_i amiga_bus_enable_dma_high_0_un0_n N_92 N_264_i as_000_dma_0_un3_n N_251 N_170_i as_000_dma_0_un1_n N_76 pos_clk_un6_bgack_000_0_n \ -# as_000_dma_0_un0_n N_80 pos_clk_rw_000_dma_3_0_n ds_000_enable_0_un3_n pos_clk_a0_dma_3_n N_123_i ds_000_enable_0_un1_n SIZE_DMA_3_sqmuxa N_124_i ds_000_enable_0_un0_n \ -# N_87 AMIGA_BUS_DATA_DIR_c_0 lds_000_int_0_un3_n pos_clk_size_dma_6_1__n N_122_i lds_000_int_0_un1_n pos_clk_size_dma_6_0__n pos_clk_ds_000_dma_4_0_n lds_000_int_0_un0_n N_170 \ -# N_242_i as_030_000_sync_0_un3_n N_122 N_239_i as_030_000_sync_0_un1_n N_123 N_87_i as_030_000_sync_0_un0_n N_130 N_236_0 \ -# rw_000_int_0_un3_n pos_clk_ds_000_dma_4_n N_246_i rw_000_int_0_un1_n pos_clk_rw_000_dma_3_n pos_clk_size_dma_6_0_0__n rw_000_int_0_un0_n pos_clk_un6_bgack_000_n N_245_i vma_int_0_un3_n \ -# N_131 pos_clk_size_dma_6_0_1__n vma_int_0_un1_n N_3 N_91_i vma_int_0_un0_n N_8 N_210_i a_decode_15__n N_19 \ -# pos_clk_un19_bgack_030_int_i_n N_20 N_163_0 a_decode_14__n N_22 N_59_i N_24 N_61_i a_decode_13__n pos_clk_un9_bg_030_n \ -# un1_amiga_bus_enable_low_i N_62_i a_decode_12__n un21_fpu_cs_i N_64_i BGACK_030_INT_i a_decode_11__n AMIGA_BUS_ENABLE_DMA_LOW_i N_244_i N_80_i \ -# a_decode_10__n cycle_dma_i_0__n N_78_i_0 RW_000_i N_79_i a_decode_9__n rst_dly_i_0__n rst_dly_i_1__n N_82_i a_decode_8__n \ -# rst_dly_i_2__n N_83_i LDS_000_i N_55_0 a_decode_7__n UDS_000_i N_88_i sm_amiga_i_2__n N_89_i a_decode_6__n \ -# N_58_i N_240_0 sm_amiga_i_3__n N_243_0 a_decode_5__n cpu_est_i_1__n CLK_030_c_i cpu_est_i_0__n N_254_0 a_decode_4__n \ -# sm_amiga_i_1__n N_114_i N_110_i N_115_i a_decode_3__n a_i_1__n VMA_INT_i N_116_i a_decode_2__n VPA_D_i \ -# N_117_i DTACK_D0_i AS_030_D0_i N_118_i sm_amiga_i_0__n sm_amiga_i_i_7__n N_119_i sm_amiga_i_6__n sm_amiga_i_5__n N_120_i \ -# sm_amiga_i_4__n +#$ PINS 75 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 SIZE_0_ CLK_030 AHIGH_30_ CLK_000 AHIGH_29_ CLK_OSZI AHIGH_28_ CLK_DIV_OUT AHIGH_27_ CLK_EXP AHIGH_26_ FPU_CS AHIGH_25_ FPU_SENSE AHIGH_24_ DSACK1 A_DECODE_22_ DTACK A_DECODE_21_ AVEC A_DECODE_20_ E A_DECODE_19_ VPA A_DECODE_18_ VMA A_DECODE_17_ RST A_DECODE_16_ RESET A_DECODE_15_ RW A_DECODE_14_ AMIGA_ADDR_ENABLE A_DECODE_13_ AMIGA_BUS_DATA_DIR A_DECODE_12_ AMIGA_BUS_ENABLE_LOW A_DECODE_11_ AMIGA_BUS_ENABLE_HIGH A_DECODE_10_ CIIN A_DECODE_9_ A_DECODE_8_ A_DECODE_7_ A_DECODE_6_ A_DECODE_5_ A_DECODE_4_ A_DECODE_3_ A_DECODE_2_ A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ FC_0_ A_1_ +#$ NODES 600 rst_dly_i_1__n N_41_0 sm_amiga_i_5__n a_c_i_0__n rst_dly_i_0__n size_c_i_1__n sm_amiga_i_i_7__n pos_clk_un10_sm_amiga_i_n AS_030_D0_i un1_as_000_i \ +# AS_000_INT_i un10_ciin_i a_i_1__n N_260_0 a_decode_i_16__n N_229_i inst_BGACK_030_INTreg a_decode_i_18__n N_230_i vcc_n_n \ +# a_decode_i_19__n N_298_0 inst_VMA_INTreg ahigh_i_30__n N_48_0 gnd_n_n ahigh_i_31__n N_299_i un1_amiga_bus_enable_low ahigh_i_28__n \ +# N_345_i un7_as_030 ahigh_i_29__n N_349_i un1_UDS_000_INT ahigh_i_26__n un1_DS_000_ENABLE_0_sqmuxa_i un1_LDS_000_INT ahigh_i_27__n N_180_i \ +# un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_24__n N_181_i un10_ciin ahigh_i_25__n N_326_i un21_fpu_cs N_206_i un21_berr N_207_i \ +# N_186_i un6_ds_030 N_208_i N_163_i cpu_est_2_ N_197_0 cpu_est_3_ N_79_i N_213_i cpu_est_0_ \ +# N_78_i N_214_i cpu_est_1_ un6_ds_030_i N_215_i inst_AMIGA_BUS_ENABLE_DMA_LOW N_165_i inst_AS_030_D0 N_169_i N_199_i \ +# inst_AS_030_000_SYNC un7_as_030_i N_191_0 inst_BGACK_030_INT_D AMIGA_BUS_ENABLE_DMA_LOW_i N_275_i inst_AS_000_DMA AS_030_c N_187_0 inst_DS_000_DMA \ +# LDS_000_c_i CYCLE_DMA_0_ AS_000_c UDS_000_c_i CYCLE_DMA_1_ N_184_i inst_VPA_D RW_000_c clk_000_d_i_4__n CLK_000_D_2_ \ +# N_171_i CLK_000_D_4_ AS_030_000_SYNC_i inst_DTACK_D0 UDS_000_c N_161_i inst_RESET_OUT CLK_000_D_1_ LDS_000_c N_113_0 \ +# CLK_000_D_0_ N_338_i inst_CLK_OUT_PRE_50 size_c_0__n N_339_i inst_CLK_OUT_PRE_D IPL_D0_0_ size_c_1__n N_335_i IPL_D0_1_ \ +# N_336_i IPL_D0_2_ ahigh_c_24__n CLK_000_D_3_ N_334_i CLK_000_D_5_ ahigh_c_25__n pos_clk_size_dma_6_0_1__n pos_clk_un6_bg_030_n N_333_i \ +# inst_AMIGA_BUS_ENABLE_DMA_HIGH ahigh_c_26__n pos_clk_size_dma_6_0_0__n pos_clk_ipl_n N_295_i SM_AMIGA_1_ ahigh_c_27__n N_332_i inst_UDS_000_INT inst_DS_000_ENABLE \ +# ahigh_c_28__n N_292_i inst_LDS_000_INT N_302_0 pos_clk_un9_bg_030_n ahigh_c_29__n N_300_0 SM_AMIGA_6_ N_290_i SM_AMIGA_4_ \ +# ahigh_c_30__n SM_AMIGA_0_ N_273_i SIZE_DMA_0_ ahigh_c_31__n SIZE_DMA_1_ N_327_i inst_RW_000_INT inst_RW_000_DMA N_270_i \ +# RST_DLY_0_ RST_DLY_1_ N_269_i RST_DLY_2_ inst_A0_DMA N_267_i pos_clk_a0_dma_3_n N_324_i inst_CLK_030_H pos_clk_rw_000_int_5_n \ +# un1_SM_AMIGA_0_sqmuxa_1_0 inst_DSACK1_INT N_319_i inst_AS_000_INT N_320_i SM_AMIGA_5_ SM_AMIGA_3_ RW_c_i SM_AMIGA_2_ pos_clk_rw_000_int_5_0_n \ +# N_227_i N_297_0 N_15_i N_40_0 N_16_i N_15 N_39_0 N_16 N_19_i N_19 \ +# N_36_0 N_20 N_20_i N_22 N_35_0 N_23 N_22_i N_24 N_33_0 N_23_i \ +# N_32_0 a_decode_c_16__n N_24_i N_31_0 a_decode_c_17__n BG_030_c_i pos_clk_un6_bg_030_i_n a_decode_c_18__n pos_clk_un9_bg_030_0_n N_161_i_1 \ +# a_decode_c_19__n N_161_i_2 N_161_i_3 a_decode_c_20__n N_161_i_4 N_233_i_1 a_decode_c_21__n N_233_i_2 N_180_i_1 a_decode_c_22__n \ +# N_180_i_2 pos_clk_un10_sm_amiga_i_1_n a_decode_c_23__n N_196_0_1 N_188_i_1 a_c_0__n un10_ciin_1 un10_ciin_2 a_c_1__n un10_ciin_3 \ +# un10_ciin_4 nEXP_SPACE_c un10_ciin_5 SM_AMIGA_i_7_ un10_ciin_6 pos_clk_size_dma_6_0__n BERR_c un10_ciin_7 pos_clk_size_dma_6_1__n un10_ciin_8 \ +# G_107 BG_030_c un10_ciin_9 G_108 un10_ciin_10 G_109 BG_000DFFreg un10_ciin_11 N_171_i_1 N_78 \ +# N_171_i_2 N_79 BGACK_000_c N_227_1 N_260 N_227_2 N_139 CLK_030_c N_227_3 N_141 \ +# un21_fpu_cs_1 N_165 un21_berr_1_0 N_169 N_235_i_1 N_297 CLK_OSZI_c N_235_i_2 N_256_1 N_300 \ +# N_256_2 N_302 CLK_OUT_INTreg N_232_i_1 N_113 N_232_i_2 N_305 N_219_1 N_155 FPU_SENSE_c \ +# N_219_2 N_163 N_218_1 N_166 IPL_030DFF_0_reg N_218_2 N_171 N_347_1 N_180 IPL_030DFF_1_reg \ +# N_347_2 N_184 N_136_i_1 N_191 IPL_030DFF_2_reg N_146_i_1 N_199 N_142_i_1 N_205 ipl_c_0__n \ +# N_234_i_1 N_306 N_302_0_1 N_215 ipl_c_1__n N_63_i_1 N_221 N_154_i_1 N_227 ipl_c_2__n \ +# N_152_i_1 N_230 N_148_i_1 N_319 N_144_i_1 N_320 DTACK_c N_140_i_1 N_267 pos_clk_un6_bg_030_1_n \ +# N_324 N_230_1 N_269 N_221_1 N_270 VPA_c N_215_1 N_327 N_306_1 N_273 \ +# pos_clk_ipl_1_n N_275 RST_c ipl_030_0_2__un3_n N_290 ipl_030_0_2__un1_n N_292 ipl_030_0_2__un0_n N_295 RW_c \ +# ipl_030_0_1__un3_n N_332 ipl_030_0_1__un1_n N_333 fc_c_0__n ipl_030_0_1__un0_n N_334 ipl_030_0_0__un3_n N_335 fc_c_1__n \ +# ipl_030_0_0__un1_n N_336 ipl_030_0_0__un0_n N_338 cpu_est_0_3__un3_n N_339 AMIGA_BUS_DATA_DIR_c cpu_est_0_3__un1_n N_350 cpu_est_0_3__un0_n \ +# pos_clk_CYCLE_DMA_5_1_i_x2 vma_int_0_un3_n N_161 vma_int_0_un1_n N_213 vma_int_0_un0_n N_214 VPA_c_i cpu_est_0_1__un3_n N_197 \ +# N_52_0 cpu_est_0_1__un1_n N_159 DTACK_c_i cpu_est_0_1__un0_n N_326 N_53_0 cpu_est_0_2__un3_n un21_berr_1 ipl_c_i_0__n \ +# cpu_est_0_2__un1_n N_181 N_49_0 cpu_est_0_2__un0_n pos_clk_un21_bgack_030_int_i_i_a2_i_x2 ipl_c_i_1__n ds_000_dma_0_un3_n un1_DS_000_ENABLE_0_sqmuxa N_50_0 ds_000_dma_0_un1_n \ +# N_349 ipl_c_i_2__n ds_000_dma_0_un0_n N_345 N_51_0 as_000_dma_0_un3_n N_229 N_25_i as_000_dma_0_un1_n N_14 \ +# N_28_0 as_000_dma_0_un0_n N_21 N_26_i bgack_030_int_0_un3_n N_3 N_29_0 bgack_030_int_0_un1_n N_301 N_27_i \ +# bgack_030_int_0_un0_n N_4 N_30_0 ds_000_enable_0_un3_n N_303 N_222_i ds_000_enable_0_un1_n N_8 N_223_i ds_000_enable_0_un0_n \ +# pos_clk_un6_bgack_000_n N_192_i uds_000_int_0_un3_n N_9 N_231_i uds_000_int_0_un1_n N_65 N_237_i uds_000_int_0_un0_n N_217 \ +# lds_000_int_0_un3_n N_216 N_342_i lds_000_int_0_un1_n N_248 N_341_i lds_000_int_0_un0_n N_198 un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_291 \ +# N_160_0 un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_353 N_164_i un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_256 VMA_INT_i amiga_bus_enable_dma_high_0_un3_n N_18 N_347_i \ +# amiga_bus_enable_dma_high_0_un1_n pos_clk_un9_clk_000_pe_n N_348_i amiga_bus_enable_dma_high_0_un0_n cpu_est_2_1__n N_188_i bg_000_0_un3_n cpu_est_2_2__n N_245_0 bg_000_0_un1_n \ +# N_209 N_194_0 bg_000_0_un0_n N_211 N_196_0 size_dma_0_0__un3_n N_220 size_dma_0_0__un1_n N_222 N_211_i \ +# size_dma_0_0__un0_n N_162 N_209_i size_dma_0_1__un3_n N_224 N_306_i size_dma_0_1__un1_n N_193 N_193_0 size_dma_0_1__un0_n \ +# N_225 N_190_0 as_030_000_sync_0_un3_n N_190 N_183_i as_030_000_sync_0_un1_n N_346 N_162_i as_030_000_sync_0_un0_n N_352 \ +# N_346_i rw_000_int_0_un3_n N_219 N_159_0 rw_000_int_0_un1_n N_218 N_305_i rw_000_int_0_un0_n N_183 N_210_i \ +# rw_000_dma_0_un3_n N_196 N_225_i rw_000_dma_0_un1_n N_188 N_224_i rw_000_dma_0_un0_n N_194 N_296_i a0_dma_0_un3_n \ +# N_347 N_352_i a0_dma_0_un1_n N_348 cpu_est_2_0_2__n a0_dma_0_un0_n N_160 N_220_i amiga_bus_enable_dma_low_0_un3_n N_341 \ +# N_221_i amiga_bus_enable_dma_low_0_un1_n N_342 cpu_est_2_0_1__n amiga_bus_enable_dma_low_0_un0_n N_231 N_219_i a_decode_15__n N_237 N_218_i \ +# N_223 pos_clk_un9_clk_000_pe_0_n a_decode_14__n N_27 clk_000_d_i_2__n N_26 N_157_i a_decode_13__n N_25 N_18_i \ +# un1_amiga_bus_enable_low_i N_37_0 a_decode_12__n un21_fpu_cs_i cpu_est_i_2__n N_217_i a_decode_11__n sm_amiga_i_0__n N_216_i sm_amiga_i_2__n \ +# CLK_030_c_i a_decode_10__n sm_amiga_i_1__n N_198_0 cpu_est_i_0__n N_166_i a_decode_9__n VPA_D_i N_155_i DTACK_D0_i \ +# N_303_0 a_decode_8__n AS_030_i N_291_i DSACK1_INT_i N_301_0 a_decode_7__n cpu_est_i_3__n N_256_i sm_amiga_i_3__n \ +# N_248_i a_decode_6__n cpu_est_i_1__n AMIGA_BUS_DATA_DIR_c_0 clk_000_d_i_1__n N_353_i a_decode_5__n N_350_i_0 pos_clk_un6_bgack_000_0_n rst_dly_i_2__n \ +# N_65_0 a_decode_4__n nEXP_SPACE_i N_3_i AS_000_i N_45_0 a_decode_3__n BGACK_030_INT_i N_4_i sm_amiga_i_6__n \ +# N_44_0 a_decode_2__n clk_000_d_i_0__n N_8_i RW_000_i N_42_0 CLK_030_H_i UDS_000_INT_i AS_000_DMA_i un1_UDS_000_INT_0 \ +# cycle_dma_i_0__n LDS_000_INT_i DS_000_DMA_i un1_LDS_000_INT_0 RESET_OUT_i N_21_i sm_amiga_i_4__n N_34_0 FPU_SENSE_i N_14_i \ +# .model bus68030 .inputs A_DECODE_23_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF \ FPU_SENSE.BLIF DTACK.BLIF VPA.BLIF RST.BLIF A_DECODE_22_.BLIF A_DECODE_21_.BLIF A_DECODE_20_.BLIF A_DECODE_19_.BLIF A_DECODE_18_.BLIF \ A_DECODE_17_.BLIF A_DECODE_16_.BLIF A_DECODE_15_.BLIF A_DECODE_14_.BLIF A_DECODE_13_.BLIF A_DECODE_12_.BLIF A_DECODE_11_.BLIF A_DECODE_10_.BLIF A_DECODE_9_.BLIF \ A_DECODE_8_.BLIF A_DECODE_7_.BLIF A_DECODE_6_.BLIF A_DECODE_5_.BLIF A_DECODE_4_.BLIF A_DECODE_3_.BLIF A_DECODE_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF \ - FC_0_.BLIF A_1_.BLIF SIZE_1_.BLIF AHIGH_31_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF UDS_000.BLIF LDS_000.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF AHIGH_30_.BLIF AHIGH_29_.BLIF AHIGH_28_.BLIF AHIGH_27_.BLIF AHIGH_26_.BLIF AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF nEXP_SPACE_i.BLIF N_171_i.BLIF CLK_030_H_i.BLIF FPU_SENSE_i.BLIF N_121_i.BLIF AS_030_i.BLIF N_255_0.BLIF \ - AS_000_DMA_i.BLIF un1_SM_AMIGA_0_sqmuxa_1_0.BLIF AS_000_i.BLIF N_48_0.BLIF AS_000_INT_i.BLIF N_125_i.BLIF DSACK1_INT_i.BLIF N_126_i.BLIF inst_BGACK_030_INTreg.BLIF \ - clk_000_d_i_0__n.BLIF vcc_n_n.BLIF clk_000_d_i_3__n.BLIF N_127_i.BLIF un5_e.BLIF clk_000_d_i_1__n.BLIF N_128_i.BLIF inst_VMA_INTreg.BLIF cpu_est_i_2__n.BLIF \ - gnd_n_n.BLIF cpu_est_i_3__n.BLIF RW_c_i.BLIF un1_amiga_bus_enable_low.BLIF a_decode_i_16__n.BLIF pos_clk_rw_000_int_5_0_n.BLIF un7_as_030.BLIF a_decode_i_18__n.BLIF N_129_i.BLIF \ - un1_UDS_000_INT.BLIF a_decode_i_19__n.BLIF un1_LDS_000_INT.BLIF ahigh_i_30__n.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF ahigh_i_31__n.BLIF un10_ciin_i.BLIF un1_DS_000_ENABLE_0_sqmuxa.BLIF ahigh_i_28__n.BLIF \ - N_261_0.BLIF un10_ciin.BLIF ahigh_i_29__n.BLIF N_65_0.BLIF un21_fpu_cs.BLIF ahigh_i_26__n.BLIF N_134_i.BLIF un21_berr.BLIF ahigh_i_27__n.BLIF \ - N_153_i.BLIF un6_ds_030.BLIF ahigh_i_24__n.BLIF N_67_0.BLIF cpu_est_3_.BLIF ahigh_i_25__n.BLIF un2_as_030_i.BLIF cpu_est_0_.BLIF N_206_i.BLIF \ - N_263_i.BLIF cpu_est_1_.BLIF N_207_i.BLIF N_265_i.BLIF cpu_est_2_.BLIF N_208_i.BLIF AS_030_000_SYNC_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_84_0.BLIF \ - inst_AS_030_D0.BLIF clk_000_d_i_2__n.BLIF inst_AS_030_000_SYNC.BLIF N_81_i.BLIF N_85_i.BLIF inst_BGACK_030_INT_D.BLIF un6_ds_030_i.BLIF N_141_i.BLIF inst_AS_000_DMA.BLIF \ - DS_000_DMA_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF inst_DS_000_DMA.BLIF N_147_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF CYCLE_DMA_0_.BLIF N_145_i.BLIF N_269_i.BLIF CYCLE_DMA_1_.BLIF \ - un7_as_030_i.BLIF N_90_i.BLIF inst_VPA_D.BLIF RESET_OUT_i.BLIF N_270_i.BLIF CLK_000_D_2_.BLIF AS_030_c.BLIF N_271_0.BLIF CLK_000_D_3_.BLIF \ - N_96_0.BLIF inst_DTACK_D0.BLIF AS_000_c.BLIF N_97_0.BLIF inst_RESET_OUT.BLIF N_98_0.BLIF CLK_000_D_1_.BLIF RW_000_c.BLIF N_282_i.BLIF \ - CLK_000_D_0_.BLIF N_284_i.BLIF inst_CLK_OUT_PRE_50.BLIF pos_clk_un14_clk_000_ne_i_n.BLIF inst_CLK_OUT_PRE_D.BLIF UDS_000_c.BLIF un5_e_0.BLIF IPL_D0_0_.BLIF N_285_i.BLIF \ - IPL_D0_1_.BLIF LDS_000_c.BLIF N_291_i.BLIF IPL_D0_2_.BLIF N_292_i.BLIF CLK_000_D_4_.BLIF size_c_0__n.BLIF N_192_i.BLIF pos_clk_un6_bg_030_n.BLIF \ - N_17_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF size_c_1__n.BLIF cpu_est_2_0_2__n.BLIF pos_clk_ipl_n.BLIF N_286_i.BLIF SM_AMIGA_1_.BLIF ahigh_c_24__n.BLIF N_288_i.BLIF \ - AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF cpu_est_2_0_1__n.BLIF inst_UDS_000_INT.BLIF ahigh_c_25__n.BLIF N_289_i.BLIF inst_DS_000_ENABLE.BLIF N_290_i.BLIF inst_LDS_000_INT.BLIF ahigh_c_26__n.BLIF \ - pos_clk_un9_clk_000_pe_0_n.BLIF SM_AMIGA_6_.BLIF N_280_i.BLIF SM_AMIGA_4_.BLIF ahigh_c_27__n.BLIF pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_0_.BLIF a_c_i_0__n.BLIF SIZE_DMA_0_.BLIF \ - ahigh_c_28__n.BLIF size_c_i_1__n.BLIF SIZE_DMA_1_.BLIF N_27_i.BLIF inst_RW_000_INT.BLIF ahigh_c_29__n.BLIF N_30_0.BLIF inst_RW_000_DMA.BLIF N_26_i.BLIF \ - RST_DLY_0_.BLIF ahigh_c_30__n.BLIF N_29_0.BLIF RST_DLY_1_.BLIF N_25_i.BLIF RST_DLY_2_.BLIF ahigh_c_31__n.BLIF N_28_0.BLIF inst_A0_DMA.BLIF \ - ipl_c_i_2__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF N_51_0.BLIF inst_CLK_030_H.BLIF ipl_c_i_1__n.BLIF pos_clk_rw_000_int_5_n.BLIF N_50_0.BLIF inst_DSACK1_INT.BLIF ipl_c_i_0__n.BLIF \ - inst_AS_000_INT.BLIF N_49_0.BLIF SM_AMIGA_5_.BLIF N_4_i.BLIF SM_AMIGA_3_.BLIF N_44_0.BLIF SM_AMIGA_2_.BLIF N_14_i.BLIF N_4.BLIF \ - N_41_0.BLIF N_15_i.BLIF N_40_0.BLIF N_9.BLIF N_16_i.BLIF N_39_0.BLIF N_18_i.BLIF N_37_0.BLIF N_14.BLIF \ - N_21_i.BLIF N_15.BLIF N_34_0.BLIF N_16.BLIF N_23_i.BLIF N_18.BLIF N_32_0.BLIF N_21.BLIF LDS_000_INT_i.BLIF \ - N_23.BLIF un1_LDS_000_INT_0.BLIF N_25.BLIF UDS_000_INT_i.BLIF N_26.BLIF un1_UDS_000_INT_0.BLIF N_27.BLIF N_96_0_1.BLIF N_96_0_2.BLIF \ - N_96_0_3.BLIF pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF N_84_0_1.BLIF a_decode_c_16__n.BLIF N_84_0_2.BLIF N_240_0_1.BLIF a_decode_c_17__n.BLIF pos_clk_un10_sm_amiga_i_1_n.BLIF N_289_1.BLIF \ - a_decode_c_18__n.BLIF N_289_2.BLIF N_290_1.BLIF a_decode_c_19__n.BLIF N_290_2.BLIF pos_clk_un14_clk_000_ne_1_n.BLIF a_decode_c_20__n.BLIF pos_clk_un14_clk_000_ne_2_n.BLIF N_153_1.BLIF \ - a_decode_c_21__n.BLIF N_153_2.BLIF N_153_3.BLIF a_decode_c_22__n.BLIF N_153_4.BLIF N_153_5.BLIF a_decode_c_23__n.BLIF un10_ciin_1.BLIF un10_ciin_2.BLIF \ - a_c_0__n.BLIF un10_ciin_3.BLIF un10_ciin_4.BLIF a_c_1__n.BLIF un10_ciin_5.BLIF un10_ciin_6.BLIF SM_AMIGA_i_7_.BLIF nEXP_SPACE_c.BLIF un10_ciin_7.BLIF \ - cpu_est_2_1__n.BLIF un10_ciin_8.BLIF cpu_est_2_2__n.BLIF BERR_c.BLIF un10_ciin_9.BLIF G_107.BLIF un10_ciin_10.BLIF G_108.BLIF BG_030_c.BLIF \ - un10_ciin_11.BLIF G_109.BLIF N_260_i_1.BLIF pos_clk_un21_bgack_030_int_i_0_n.BLIF BG_000DFFreg.BLIF N_260_i_2.BLIF N_81.BLIF N_233_i_1.BLIF N_94.BLIF \ - N_233_i_2.BLIF N_254.BLIF BGACK_000_c.BLIF N_232_i_1.BLIF N_255.BLIF N_232_i_2.BLIF N_261.BLIF CLK_030_c.BLIF N_247_1.BLIF \ - N_65.BLIF N_77_1.BLIF N_67.BLIF N_83_1.BLIF N_269.BLIF N_88_1.BLIF N_108.BLIF CLK_OSZI_c.BLIF N_142_i_1.BLIF \ - N_135.BLIF N_146_i_1.BLIF N_136.BLIF N_234_i_1.BLIF N_145.BLIF CLK_OUT_INTreg.BLIF pos_clk_un6_bg_030_1_n.BLIF N_278.BLIF N_124_1.BLIF \ - N_147.BLIF un21_berr_1.BLIF N_58.BLIF FPU_SENSE_c.BLIF un21_fpu_cs_1.BLIF N_110.BLIF N_140_i_1.BLIF N_239.BLIF IPL_030DFF_0_reg.BLIF \ - N_154_i_1.BLIF N_90.BLIF N_152_i_1.BLIF N_265.BLIF IPL_030DFF_1_reg.BLIF N_150_i_1.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_148_i_1.BLIF pos_clk_un21_bgack_030_int_i_0_x2.BLIF \ - IPL_030DFF_2_reg.BLIF N_144_i_1.BLIF pos_clk_un19_bgack_030_int_n.BLIF N_255_0_1.BLIF N_280.BLIF ipl_c_0__n.BLIF N_258_i_1.BLIF N_263.BLIF N_259_i_1.BLIF \ - N_247.BLIF ipl_c_1__n.BLIF N_282_1.BLIF N_77.BLIF N_284_1.BLIF N_289.BLIF ipl_c_2__n.BLIF N_288_1.BLIF N_291.BLIF \ - un5_e_0_1.BLIF N_290.BLIF N_192_i_1.BLIF N_286.BLIF DTACK_c.BLIF pos_clk_ipl_1_n.BLIF N_288.BLIF bg_000_0_un3_n.BLIF N_285.BLIF \ - bg_000_0_un1_n.BLIF N_17.BLIF bg_000_0_un0_n.BLIF N_292.BLIF VPA_c.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF pos_clk_un14_clk_000_ne_n.BLIF amiga_bus_enable_dma_low_0_un1_n.BLIF N_282.BLIF \ - amiga_bus_enable_dma_low_0_un0_n.BLIF N_284.BLIF RST_c.BLIF a0_dma_0_un3_n.BLIF N_98.BLIF a0_dma_0_un1_n.BLIF N_97.BLIF a0_dma_0_un0_n.BLIF N_84.BLIF \ - RW_c.BLIF rw_000_dma_0_un3_n.BLIF N_96.BLIF rw_000_dma_0_un1_n.BLIF N_271.BLIF fc_c_0__n.BLIF rw_000_dma_0_un0_n.BLIF N_117.BLIF bgack_030_int_0_un3_n.BLIF \ - N_141.BLIF fc_c_1__n.BLIF bgack_030_int_0_un1_n.BLIF N_134.BLIF bgack_030_int_0_un0_n.BLIF N_153.BLIF ds_000_dma_0_un3_n.BLIF N_129.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ - ds_000_dma_0_un1_n.BLIF N_127.BLIF ds_000_dma_0_un0_n.BLIF N_128.BLIF size_dma_0_1__un3_n.BLIF N_125.BLIF size_dma_0_1__un1_n.BLIF N_126.BLIF size_dma_0_1__un0_n.BLIF \ - N_124.BLIF BG_030_c_i.BLIF size_dma_0_0__un3_n.BLIF N_121.BLIF pos_clk_un6_bg_030_i_n.BLIF size_dma_0_0__un1_n.BLIF N_171.BLIF pos_clk_un9_bg_030_0_n.BLIF size_dma_0_0__un0_n.BLIF \ - N_120.BLIF N_24_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF N_119.BLIF N_31_0.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF N_118.BLIF N_22_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF \ - N_116.BLIF N_33_0.BLIF cpu_est_0_1__un3_n.BLIF N_114.BLIF N_20_i.BLIF cpu_est_0_1__un1_n.BLIF N_115.BLIF N_35_0.BLIF cpu_est_0_1__un0_n.BLIF \ - N_243.BLIF N_19_i.BLIF cpu_est_0_2__un3_n.BLIF N_240.BLIF N_36_0.BLIF cpu_est_0_2__un1_n.BLIF N_88.BLIF N_8_i.BLIF cpu_est_0_2__un0_n.BLIF \ - N_89.BLIF N_42_0.BLIF cpu_est_0_3__un3_n.BLIF N_82.BLIF N_3_i.BLIF cpu_est_0_3__un1_n.BLIF N_83.BLIF N_45_0.BLIF cpu_est_0_3__un0_n.BLIF \ - N_78.BLIF VPA_c_i.BLIF ipl_030_0_0__un3_n.BLIF N_79.BLIF N_52_0.BLIF ipl_030_0_0__un1_n.BLIF N_91.BLIF DTACK_c_i.BLIF ipl_030_0_0__un0_n.BLIF \ - N_244.BLIF N_53_0.BLIF ipl_030_0_1__un3_n.BLIF N_62.BLIF ipl_030_0_1__un1_n.BLIF N_64.BLIF N_249_i.BLIF ipl_030_0_1__un0_n.BLIF N_59.BLIF \ - N_248_i.BLIF ipl_030_0_2__un3_n.BLIF N_61.BLIF N_247_i.BLIF ipl_030_0_2__un1_n.BLIF N_163.BLIF ipl_030_0_2__un0_n.BLIF N_245.BLIF N_77_i.BLIF \ - uds_000_int_0_un3_n.BLIF N_242.BLIF N_251_i.BLIF uds_000_int_0_un1_n.BLIF N_246.BLIF N_76_i.BLIF uds_000_int_0_un0_n.BLIF N_248.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF \ - N_236.BLIF N_131_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF N_249.BLIF N_130_i.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_92.BLIF N_264_i.BLIF as_000_dma_0_un3_n.BLIF \ - N_251.BLIF N_170_i.BLIF as_000_dma_0_un1_n.BLIF N_76.BLIF pos_clk_un6_bgack_000_0_n.BLIF as_000_dma_0_un0_n.BLIF N_80.BLIF pos_clk_rw_000_dma_3_0_n.BLIF ds_000_enable_0_un3_n.BLIF \ - pos_clk_a0_dma_3_n.BLIF N_123_i.BLIF ds_000_enable_0_un1_n.BLIF SIZE_DMA_3_sqmuxa.BLIF N_124_i.BLIF ds_000_enable_0_un0_n.BLIF N_87.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF lds_000_int_0_un3_n.BLIF \ - pos_clk_size_dma_6_1__n.BLIF N_122_i.BLIF lds_000_int_0_un1_n.BLIF pos_clk_size_dma_6_0__n.BLIF pos_clk_ds_000_dma_4_0_n.BLIF lds_000_int_0_un0_n.BLIF N_170.BLIF N_242_i.BLIF as_030_000_sync_0_un3_n.BLIF \ - N_122.BLIF N_239_i.BLIF as_030_000_sync_0_un1_n.BLIF N_123.BLIF N_87_i.BLIF as_030_000_sync_0_un0_n.BLIF N_130.BLIF N_236_0.BLIF rw_000_int_0_un3_n.BLIF \ - pos_clk_ds_000_dma_4_n.BLIF N_246_i.BLIF rw_000_int_0_un1_n.BLIF pos_clk_rw_000_dma_3_n.BLIF pos_clk_size_dma_6_0_0__n.BLIF rw_000_int_0_un0_n.BLIF pos_clk_un6_bgack_000_n.BLIF N_245_i.BLIF vma_int_0_un3_n.BLIF \ - N_131.BLIF pos_clk_size_dma_6_0_1__n.BLIF vma_int_0_un1_n.BLIF N_3.BLIF N_91_i.BLIF vma_int_0_un0_n.BLIF N_8.BLIF N_210_i.BLIF a_decode_15__n.BLIF \ - N_19.BLIF pos_clk_un19_bgack_030_int_i_n.BLIF N_20.BLIF N_163_0.BLIF a_decode_14__n.BLIF N_22.BLIF N_59_i.BLIF N_24.BLIF N_61_i.BLIF \ - a_decode_13__n.BLIF pos_clk_un9_bg_030_n.BLIF un1_amiga_bus_enable_low_i.BLIF N_62_i.BLIF a_decode_12__n.BLIF un21_fpu_cs_i.BLIF N_64_i.BLIF BGACK_030_INT_i.BLIF a_decode_11__n.BLIF \ - AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_244_i.BLIF N_80_i.BLIF a_decode_10__n.BLIF cycle_dma_i_0__n.BLIF N_78_i_0.BLIF RW_000_i.BLIF N_79_i.BLIF a_decode_9__n.BLIF \ - rst_dly_i_0__n.BLIF rst_dly_i_1__n.BLIF N_82_i.BLIF a_decode_8__n.BLIF rst_dly_i_2__n.BLIF N_83_i.BLIF LDS_000_i.BLIF N_55_0.BLIF a_decode_7__n.BLIF \ - UDS_000_i.BLIF N_88_i.BLIF sm_amiga_i_2__n.BLIF N_89_i.BLIF a_decode_6__n.BLIF N_58_i.BLIF N_240_0.BLIF sm_amiga_i_3__n.BLIF N_243_0.BLIF \ - a_decode_5__n.BLIF cpu_est_i_1__n.BLIF CLK_030_c_i.BLIF cpu_est_i_0__n.BLIF N_254_0.BLIF a_decode_4__n.BLIF sm_amiga_i_1__n.BLIF N_114_i.BLIF N_110_i.BLIF \ - N_115_i.BLIF a_decode_3__n.BLIF a_i_1__n.BLIF VMA_INT_i.BLIF N_116_i.BLIF a_decode_2__n.BLIF VPA_D_i.BLIF N_117_i.BLIF DTACK_D0_i.BLIF \ - AS_030_D0_i.BLIF N_118_i.BLIF sm_amiga_i_0__n.BLIF sm_amiga_i_i_7__n.BLIF N_119_i.BLIF sm_amiga_i_6__n.BLIF sm_amiga_i_5__n.BLIF N_120_i.BLIF sm_amiga_i_4__n.BLIF \ - AS_030.PIN AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN \ - AHIGH_26_.PIN AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN + FC_0_.BLIF A_1_.BLIF SIZE_1_.BLIF AHIGH_31_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF UDS_000.BLIF LDS_000.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF AHIGH_30_.BLIF AHIGH_29_.BLIF AHIGH_28_.BLIF AHIGH_27_.BLIF AHIGH_26_.BLIF AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF rst_dly_i_1__n.BLIF N_41_0.BLIF sm_amiga_i_5__n.BLIF a_c_i_0__n.BLIF rst_dly_i_0__n.BLIF size_c_i_1__n.BLIF sm_amiga_i_i_7__n.BLIF \ + pos_clk_un10_sm_amiga_i_n.BLIF AS_030_D0_i.BLIF un1_as_000_i.BLIF AS_000_INT_i.BLIF un10_ciin_i.BLIF a_i_1__n.BLIF N_260_0.BLIF a_decode_i_16__n.BLIF N_229_i.BLIF \ + inst_BGACK_030_INTreg.BLIF a_decode_i_18__n.BLIF N_230_i.BLIF vcc_n_n.BLIF a_decode_i_19__n.BLIF N_298_0.BLIF inst_VMA_INTreg.BLIF ahigh_i_30__n.BLIF N_48_0.BLIF \ + gnd_n_n.BLIF ahigh_i_31__n.BLIF N_299_i.BLIF un1_amiga_bus_enable_low.BLIF ahigh_i_28__n.BLIF N_345_i.BLIF un7_as_030.BLIF ahigh_i_29__n.BLIF N_349_i.BLIF \ + un1_UDS_000_INT.BLIF ahigh_i_26__n.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF un1_LDS_000_INT.BLIF ahigh_i_27__n.BLIF N_180_i.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF ahigh_i_24__n.BLIF N_181_i.BLIF \ + un10_ciin.BLIF ahigh_i_25__n.BLIF N_326_i.BLIF un21_fpu_cs.BLIF N_206_i.BLIF un21_berr.BLIF N_207_i.BLIF N_186_i.BLIF un6_ds_030.BLIF \ + N_208_i.BLIF N_163_i.BLIF cpu_est_2_.BLIF N_197_0.BLIF cpu_est_3_.BLIF N_79_i.BLIF N_213_i.BLIF cpu_est_0_.BLIF N_78_i.BLIF \ + N_214_i.BLIF cpu_est_1_.BLIF un6_ds_030_i.BLIF N_215_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_165_i.BLIF inst_AS_030_D0.BLIF N_169_i.BLIF N_199_i.BLIF \ + inst_AS_030_000_SYNC.BLIF un7_as_030_i.BLIF N_191_0.BLIF inst_BGACK_030_INT_D.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_275_i.BLIF inst_AS_000_DMA.BLIF AS_030_c.BLIF N_187_0.BLIF \ + inst_DS_000_DMA.BLIF LDS_000_c_i.BLIF CYCLE_DMA_0_.BLIF AS_000_c.BLIF UDS_000_c_i.BLIF CYCLE_DMA_1_.BLIF N_184_i.BLIF inst_VPA_D.BLIF RW_000_c.BLIF \ + clk_000_d_i_4__n.BLIF CLK_000_D_2_.BLIF N_171_i.BLIF CLK_000_D_4_.BLIF AS_030_000_SYNC_i.BLIF inst_DTACK_D0.BLIF UDS_000_c.BLIF N_161_i.BLIF inst_RESET_OUT.BLIF \ + CLK_000_D_1_.BLIF LDS_000_c.BLIF N_113_0.BLIF CLK_000_D_0_.BLIF N_338_i.BLIF inst_CLK_OUT_PRE_50.BLIF size_c_0__n.BLIF N_339_i.BLIF inst_CLK_OUT_PRE_D.BLIF \ + IPL_D0_0_.BLIF size_c_1__n.BLIF N_335_i.BLIF IPL_D0_1_.BLIF N_336_i.BLIF IPL_D0_2_.BLIF ahigh_c_24__n.BLIF CLK_000_D_3_.BLIF N_334_i.BLIF \ + CLK_000_D_5_.BLIF ahigh_c_25__n.BLIF pos_clk_size_dma_6_0_1__n.BLIF pos_clk_un6_bg_030_n.BLIF N_333_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF ahigh_c_26__n.BLIF pos_clk_size_dma_6_0_0__n.BLIF pos_clk_ipl_n.BLIF \ + N_295_i.BLIF SM_AMIGA_1_.BLIF ahigh_c_27__n.BLIF N_332_i.BLIF inst_UDS_000_INT.BLIF inst_DS_000_ENABLE.BLIF ahigh_c_28__n.BLIF N_292_i.BLIF inst_LDS_000_INT.BLIF \ + N_302_0.BLIF pos_clk_un9_bg_030_n.BLIF ahigh_c_29__n.BLIF N_300_0.BLIF SM_AMIGA_6_.BLIF N_290_i.BLIF SM_AMIGA_4_.BLIF ahigh_c_30__n.BLIF SM_AMIGA_0_.BLIF \ + N_273_i.BLIF SIZE_DMA_0_.BLIF ahigh_c_31__n.BLIF SIZE_DMA_1_.BLIF N_327_i.BLIF inst_RW_000_INT.BLIF inst_RW_000_DMA.BLIF N_270_i.BLIF RST_DLY_0_.BLIF \ + RST_DLY_1_.BLIF N_269_i.BLIF RST_DLY_2_.BLIF inst_A0_DMA.BLIF N_267_i.BLIF pos_clk_a0_dma_3_n.BLIF N_324_i.BLIF inst_CLK_030_H.BLIF pos_clk_rw_000_int_5_n.BLIF \ + un1_SM_AMIGA_0_sqmuxa_1_0.BLIF inst_DSACK1_INT.BLIF N_319_i.BLIF inst_AS_000_INT.BLIF N_320_i.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF RW_c_i.BLIF SM_AMIGA_2_.BLIF \ + pos_clk_rw_000_int_5_0_n.BLIF N_227_i.BLIF N_297_0.BLIF N_15_i.BLIF N_40_0.BLIF N_16_i.BLIF N_15.BLIF N_39_0.BLIF N_16.BLIF \ + N_19_i.BLIF N_19.BLIF N_36_0.BLIF N_20.BLIF N_20_i.BLIF N_22.BLIF N_35_0.BLIF N_23.BLIF N_22_i.BLIF \ + N_24.BLIF N_33_0.BLIF N_23_i.BLIF N_32_0.BLIF a_decode_c_16__n.BLIF N_24_i.BLIF N_31_0.BLIF a_decode_c_17__n.BLIF BG_030_c_i.BLIF \ + pos_clk_un6_bg_030_i_n.BLIF a_decode_c_18__n.BLIF pos_clk_un9_bg_030_0_n.BLIF N_161_i_1.BLIF a_decode_c_19__n.BLIF N_161_i_2.BLIF N_161_i_3.BLIF a_decode_c_20__n.BLIF N_161_i_4.BLIF \ + N_233_i_1.BLIF a_decode_c_21__n.BLIF N_233_i_2.BLIF N_180_i_1.BLIF a_decode_c_22__n.BLIF N_180_i_2.BLIF pos_clk_un10_sm_amiga_i_1_n.BLIF a_decode_c_23__n.BLIF N_196_0_1.BLIF \ + N_188_i_1.BLIF a_c_0__n.BLIF un10_ciin_1.BLIF un10_ciin_2.BLIF a_c_1__n.BLIF un10_ciin_3.BLIF un10_ciin_4.BLIF nEXP_SPACE_c.BLIF un10_ciin_5.BLIF \ + SM_AMIGA_i_7_.BLIF un10_ciin_6.BLIF pos_clk_size_dma_6_0__n.BLIF BERR_c.BLIF un10_ciin_7.BLIF pos_clk_size_dma_6_1__n.BLIF un10_ciin_8.BLIF G_107.BLIF BG_030_c.BLIF \ + un10_ciin_9.BLIF G_108.BLIF un10_ciin_10.BLIF G_109.BLIF BG_000DFFreg.BLIF un10_ciin_11.BLIF N_171_i_1.BLIF N_78.BLIF N_171_i_2.BLIF \ + N_79.BLIF BGACK_000_c.BLIF N_227_1.BLIF N_260.BLIF N_227_2.BLIF N_139.BLIF CLK_030_c.BLIF N_227_3.BLIF N_141.BLIF \ + un21_fpu_cs_1.BLIF N_165.BLIF un21_berr_1_0.BLIF N_169.BLIF N_235_i_1.BLIF N_297.BLIF CLK_OSZI_c.BLIF N_235_i_2.BLIF N_256_1.BLIF \ + N_300.BLIF N_256_2.BLIF N_302.BLIF CLK_OUT_INTreg.BLIF N_232_i_1.BLIF N_113.BLIF N_232_i_2.BLIF N_305.BLIF N_219_1.BLIF \ + N_155.BLIF FPU_SENSE_c.BLIF N_219_2.BLIF N_163.BLIF N_218_1.BLIF N_166.BLIF IPL_030DFF_0_reg.BLIF N_218_2.BLIF N_171.BLIF \ + N_347_1.BLIF N_180.BLIF IPL_030DFF_1_reg.BLIF N_347_2.BLIF N_184.BLIF N_136_i_1.BLIF N_191.BLIF IPL_030DFF_2_reg.BLIF N_146_i_1.BLIF \ + N_199.BLIF N_142_i_1.BLIF N_205.BLIF ipl_c_0__n.BLIF N_234_i_1.BLIF N_306.BLIF N_302_0_1.BLIF N_215.BLIF ipl_c_1__n.BLIF \ + N_63_i_1.BLIF N_221.BLIF N_154_i_1.BLIF N_227.BLIF ipl_c_2__n.BLIF N_152_i_1.BLIF N_230.BLIF N_148_i_1.BLIF N_319.BLIF \ + N_144_i_1.BLIF N_320.BLIF DTACK_c.BLIF N_140_i_1.BLIF N_267.BLIF pos_clk_un6_bg_030_1_n.BLIF N_324.BLIF N_230_1.BLIF N_269.BLIF \ + N_221_1.BLIF N_270.BLIF VPA_c.BLIF N_215_1.BLIF N_327.BLIF N_306_1.BLIF N_273.BLIF pos_clk_ipl_1_n.BLIF N_275.BLIF \ + RST_c.BLIF ipl_030_0_2__un3_n.BLIF N_290.BLIF ipl_030_0_2__un1_n.BLIF N_292.BLIF ipl_030_0_2__un0_n.BLIF N_295.BLIF RW_c.BLIF ipl_030_0_1__un3_n.BLIF \ + N_332.BLIF ipl_030_0_1__un1_n.BLIF N_333.BLIF fc_c_0__n.BLIF ipl_030_0_1__un0_n.BLIF N_334.BLIF ipl_030_0_0__un3_n.BLIF N_335.BLIF fc_c_1__n.BLIF \ + ipl_030_0_0__un1_n.BLIF N_336.BLIF ipl_030_0_0__un0_n.BLIF N_338.BLIF cpu_est_0_3__un3_n.BLIF N_339.BLIF AMIGA_BUS_DATA_DIR_c.BLIF cpu_est_0_3__un1_n.BLIF N_350.BLIF \ + cpu_est_0_3__un0_n.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF vma_int_0_un3_n.BLIF N_161.BLIF vma_int_0_un1_n.BLIF N_213.BLIF vma_int_0_un0_n.BLIF N_214.BLIF VPA_c_i.BLIF \ + cpu_est_0_1__un3_n.BLIF N_197.BLIF N_52_0.BLIF cpu_est_0_1__un1_n.BLIF N_159.BLIF DTACK_c_i.BLIF cpu_est_0_1__un0_n.BLIF N_326.BLIF N_53_0.BLIF \ + cpu_est_0_2__un3_n.BLIF un21_berr_1.BLIF ipl_c_i_0__n.BLIF cpu_est_0_2__un1_n.BLIF N_181.BLIF N_49_0.BLIF cpu_est_0_2__un0_n.BLIF pos_clk_un21_bgack_030_int_i_i_a2_i_x2.BLIF ipl_c_i_1__n.BLIF \ + ds_000_dma_0_un3_n.BLIF un1_DS_000_ENABLE_0_sqmuxa.BLIF N_50_0.BLIF ds_000_dma_0_un1_n.BLIF N_349.BLIF ipl_c_i_2__n.BLIF ds_000_dma_0_un0_n.BLIF N_345.BLIF N_51_0.BLIF \ + as_000_dma_0_un3_n.BLIF N_229.BLIF N_25_i.BLIF as_000_dma_0_un1_n.BLIF N_14.BLIF N_28_0.BLIF as_000_dma_0_un0_n.BLIF N_21.BLIF N_26_i.BLIF \ + bgack_030_int_0_un3_n.BLIF N_3.BLIF N_29_0.BLIF bgack_030_int_0_un1_n.BLIF N_301.BLIF N_27_i.BLIF bgack_030_int_0_un0_n.BLIF N_4.BLIF N_30_0.BLIF \ + ds_000_enable_0_un3_n.BLIF N_303.BLIF N_222_i.BLIF ds_000_enable_0_un1_n.BLIF N_8.BLIF N_223_i.BLIF ds_000_enable_0_un0_n.BLIF pos_clk_un6_bgack_000_n.BLIF N_192_i.BLIF \ + uds_000_int_0_un3_n.BLIF N_9.BLIF N_231_i.BLIF uds_000_int_0_un1_n.BLIF N_65.BLIF N_237_i.BLIF uds_000_int_0_un0_n.BLIF N_217.BLIF lds_000_int_0_un3_n.BLIF \ + N_216.BLIF N_342_i.BLIF lds_000_int_0_un1_n.BLIF N_248.BLIF N_341_i.BLIF lds_000_int_0_un0_n.BLIF N_198.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF N_291.BLIF \ + N_160_0.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF N_353.BLIF N_164_i.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_256.BLIF VMA_INT_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF N_18.BLIF \ + N_347_i.BLIF amiga_bus_enable_dma_high_0_un1_n.BLIF pos_clk_un9_clk_000_pe_n.BLIF N_348_i.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF cpu_est_2_1__n.BLIF N_188_i.BLIF bg_000_0_un3_n.BLIF cpu_est_2_2__n.BLIF \ + N_245_0.BLIF bg_000_0_un1_n.BLIF N_209.BLIF N_194_0.BLIF bg_000_0_un0_n.BLIF N_211.BLIF N_196_0.BLIF size_dma_0_0__un3_n.BLIF N_220.BLIF \ + size_dma_0_0__un1_n.BLIF N_222.BLIF N_211_i.BLIF size_dma_0_0__un0_n.BLIF N_162.BLIF N_209_i.BLIF size_dma_0_1__un3_n.BLIF N_224.BLIF N_306_i.BLIF \ + size_dma_0_1__un1_n.BLIF N_193.BLIF N_193_0.BLIF size_dma_0_1__un0_n.BLIF N_225.BLIF N_190_0.BLIF as_030_000_sync_0_un3_n.BLIF N_190.BLIF N_183_i.BLIF \ + as_030_000_sync_0_un1_n.BLIF N_346.BLIF N_162_i.BLIF as_030_000_sync_0_un0_n.BLIF N_352.BLIF N_346_i.BLIF rw_000_int_0_un3_n.BLIF N_219.BLIF N_159_0.BLIF \ + rw_000_int_0_un1_n.BLIF N_218.BLIF N_305_i.BLIF rw_000_int_0_un0_n.BLIF N_183.BLIF N_210_i.BLIF rw_000_dma_0_un3_n.BLIF N_196.BLIF N_225_i.BLIF \ + rw_000_dma_0_un1_n.BLIF N_188.BLIF N_224_i.BLIF rw_000_dma_0_un0_n.BLIF N_194.BLIF N_296_i.BLIF a0_dma_0_un3_n.BLIF N_347.BLIF N_352_i.BLIF \ + a0_dma_0_un1_n.BLIF N_348.BLIF cpu_est_2_0_2__n.BLIF a0_dma_0_un0_n.BLIF N_160.BLIF N_220_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF N_341.BLIF N_221_i.BLIF \ + amiga_bus_enable_dma_low_0_un1_n.BLIF N_342.BLIF cpu_est_2_0_1__n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_231.BLIF N_219_i.BLIF a_decode_15__n.BLIF N_237.BLIF N_218_i.BLIF \ + N_223.BLIF pos_clk_un9_clk_000_pe_0_n.BLIF a_decode_14__n.BLIF N_27.BLIF clk_000_d_i_2__n.BLIF N_26.BLIF N_157_i.BLIF a_decode_13__n.BLIF N_25.BLIF \ + N_18_i.BLIF un1_amiga_bus_enable_low_i.BLIF N_37_0.BLIF a_decode_12__n.BLIF un21_fpu_cs_i.BLIF cpu_est_i_2__n.BLIF N_217_i.BLIF a_decode_11__n.BLIF sm_amiga_i_0__n.BLIF \ + N_216_i.BLIF sm_amiga_i_2__n.BLIF CLK_030_c_i.BLIF a_decode_10__n.BLIF sm_amiga_i_1__n.BLIF N_198_0.BLIF cpu_est_i_0__n.BLIF N_166_i.BLIF a_decode_9__n.BLIF \ + VPA_D_i.BLIF N_155_i.BLIF DTACK_D0_i.BLIF N_303_0.BLIF a_decode_8__n.BLIF AS_030_i.BLIF N_291_i.BLIF DSACK1_INT_i.BLIF N_301_0.BLIF \ + a_decode_7__n.BLIF cpu_est_i_3__n.BLIF N_256_i.BLIF sm_amiga_i_3__n.BLIF N_248_i.BLIF a_decode_6__n.BLIF cpu_est_i_1__n.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF clk_000_d_i_1__n.BLIF \ + N_353_i.BLIF a_decode_5__n.BLIF N_350_i_0.BLIF pos_clk_un6_bgack_000_0_n.BLIF rst_dly_i_2__n.BLIF N_65_0.BLIF a_decode_4__n.BLIF nEXP_SPACE_i.BLIF N_3_i.BLIF \ + AS_000_i.BLIF N_45_0.BLIF a_decode_3__n.BLIF BGACK_030_INT_i.BLIF N_4_i.BLIF sm_amiga_i_6__n.BLIF N_44_0.BLIF a_decode_2__n.BLIF clk_000_d_i_0__n.BLIF \ + N_8_i.BLIF RW_000_i.BLIF N_42_0.BLIF CLK_030_H_i.BLIF UDS_000_INT_i.BLIF AS_000_DMA_i.BLIF un1_UDS_000_INT_0.BLIF cycle_dma_i_0__n.BLIF LDS_000_INT_i.BLIF \ + DS_000_DMA_i.BLIF un1_LDS_000_INT_0.BLIF RESET_OUT_i.BLIF N_21_i.BLIF sm_amiga_i_4__n.BLIF N_34_0.BLIF FPU_SENSE_i.BLIF N_14_i.BLIF AS_030.PIN \ + AS_000.PIN RW_000.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN AHIGH_24_.PIN AHIGH_25_.PIN AHIGH_26_.PIN \ + AHIGH_27_.PIN AHIGH_28_.PIN AHIGH_29_.PIN AHIGH_30_.PIN AHIGH_31_.PIN A_0_.PIN BERR.PIN RW.PIN .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 AVEC E VMA \ - RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D \ - SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C \ - IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D \ - IPL_D0_2_.C SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C CLK_000_D_1_.D CLK_000_D_1_.C CLK_000_D_2_.D CLK_000_D_2_.C CLK_000_D_3_.D CLK_000_D_3_.C CLK_000_D_4_.D CLK_000_D_4_.C \ - CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D \ - cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ - CLK_000_D_0_.D CLK_000_D_0_.C inst_DSACK1_INT.D inst_DSACK1_INT.C inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_030_D0.D inst_AS_030_D0.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D \ - inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C \ - inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D inst_A0_DMA.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_VMA_INTreg.D inst_VMA_INTreg.C inst_RW_000_INT.D \ - inst_RW_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_000_DMA.D inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C \ - inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C pos_clk_un21_bgack_030_int_i_0_x2.X1 pos_clk_un21_bgack_030_int_i_0_x2.X2 G_107.X1 \ - G_107.X2 G_108.X1 G_108.X2 G_109.X1 G_109.X2 pos_clk_CYCLE_DMA_5_1_i_x2.X1 pos_clk_CYCLE_DMA_5_1_i_x2.X2 SIZE_1_ AHIGH_31_ AS_030 AS_000 RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ nEXP_SPACE_i N_171_i CLK_030_H_i FPU_SENSE_i \ - N_121_i AS_030_i N_255_0 AS_000_DMA_i un1_SM_AMIGA_0_sqmuxa_1_0 AS_000_i N_48_0 AS_000_INT_i N_125_i DSACK1_INT_i N_126_i \ - clk_000_d_i_0__n vcc_n_n clk_000_d_i_3__n N_127_i un5_e clk_000_d_i_1__n N_128_i cpu_est_i_2__n gnd_n_n cpu_est_i_3__n RW_c_i \ - un1_amiga_bus_enable_low a_decode_i_16__n pos_clk_rw_000_int_5_0_n un7_as_030 a_decode_i_18__n N_129_i un1_UDS_000_INT a_decode_i_19__n un1_LDS_000_INT ahigh_i_30__n un1_SM_AMIGA_0_sqmuxa_1 \ - ahigh_i_31__n un10_ciin_i un1_DS_000_ENABLE_0_sqmuxa ahigh_i_28__n N_261_0 un10_ciin ahigh_i_29__n N_65_0 un21_fpu_cs ahigh_i_26__n N_134_i \ - un21_berr ahigh_i_27__n N_153_i un6_ds_030 ahigh_i_24__n N_67_0 ahigh_i_25__n un2_as_030_i N_206_i N_263_i N_207_i \ - N_265_i N_208_i AS_030_000_SYNC_i N_84_0 clk_000_d_i_2__n N_81_i N_85_i un6_ds_030_i N_141_i DS_000_DMA_i un1_DS_000_ENABLE_0_sqmuxa_i \ - N_147_i pos_clk_un21_bgack_030_int_i_0_i_n N_145_i N_269_i un7_as_030_i N_90_i RESET_OUT_i N_270_i AS_030_c N_271_0 N_96_0 \ - AS_000_c N_97_0 N_98_0 RW_000_c N_282_i N_284_i pos_clk_un14_clk_000_ne_i_n UDS_000_c un5_e_0 N_285_i LDS_000_c \ - N_291_i N_292_i size_c_0__n N_192_i pos_clk_un6_bg_030_n N_17_i size_c_1__n cpu_est_2_0_2__n pos_clk_ipl_n N_286_i ahigh_c_24__n \ - N_288_i AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa cpu_est_2_0_1__n ahigh_c_25__n N_289_i N_290_i ahigh_c_26__n pos_clk_un9_clk_000_pe_0_n N_280_i ahigh_c_27__n pos_clk_un10_sm_amiga_i_n \ - a_c_i_0__n ahigh_c_28__n size_c_i_1__n N_27_i ahigh_c_29__n N_30_0 N_26_i ahigh_c_30__n N_29_0 N_25_i ahigh_c_31__n \ - N_28_0 ipl_c_i_2__n pos_clk_un9_clk_000_pe_n N_51_0 ipl_c_i_1__n pos_clk_rw_000_int_5_n N_50_0 ipl_c_i_0__n N_49_0 N_4_i N_44_0 \ - N_14_i N_4 N_41_0 N_15_i N_40_0 N_9 N_16_i N_39_0 N_18_i N_37_0 N_14 \ - N_21_i N_15 N_34_0 N_16 N_23_i N_18 N_32_0 N_21 LDS_000_INT_i N_23 un1_LDS_000_INT_0 \ - N_25 UDS_000_INT_i N_26 un1_UDS_000_INT_0 N_27 N_96_0_1 N_96_0_2 N_96_0_3 pos_clk_un21_bgack_030_int_i_0_i_1_n N_84_0_1 a_decode_c_16__n \ - N_84_0_2 N_240_0_1 a_decode_c_17__n pos_clk_un10_sm_amiga_i_1_n N_289_1 a_decode_c_18__n N_289_2 N_290_1 a_decode_c_19__n N_290_2 pos_clk_un14_clk_000_ne_1_n \ - a_decode_c_20__n pos_clk_un14_clk_000_ne_2_n N_153_1 a_decode_c_21__n N_153_2 N_153_3 a_decode_c_22__n N_153_4 N_153_5 a_decode_c_23__n un10_ciin_1 \ - un10_ciin_2 a_c_0__n un10_ciin_3 un10_ciin_4 a_c_1__n un10_ciin_5 un10_ciin_6 nEXP_SPACE_c un10_ciin_7 cpu_est_2_1__n un10_ciin_8 \ - cpu_est_2_2__n BERR_c un10_ciin_9 un10_ciin_10 BG_030_c un10_ciin_11 N_260_i_1 pos_clk_un21_bgack_030_int_i_0_n N_260_i_2 N_81 N_233_i_1 \ - N_94 N_233_i_2 N_254 BGACK_000_c N_232_i_1 N_255 N_232_i_2 N_261 CLK_030_c N_247_1 N_65 \ - N_77_1 N_67 N_83_1 N_269 N_88_1 N_108 CLK_OSZI_c N_142_i_1 N_135 N_146_i_1 N_136 \ - N_234_i_1 N_145 pos_clk_un6_bg_030_1_n N_278 N_124_1 N_147 un21_berr_1 N_58 FPU_SENSE_c un21_fpu_cs_1 N_110 \ - N_140_i_1 N_239 N_154_i_1 N_90 N_152_i_1 N_265 N_150_i_1 N_148_i_1 N_144_i_1 pos_clk_un19_bgack_030_int_n N_255_0_1 \ - N_280 ipl_c_0__n N_258_i_1 N_263 N_259_i_1 N_247 ipl_c_1__n N_282_1 N_77 N_284_1 N_289 \ - ipl_c_2__n N_288_1 N_291 un5_e_0_1 N_290 N_192_i_1 N_286 DTACK_c pos_clk_ipl_1_n N_288 bg_000_0_un3_n \ - N_285 bg_000_0_un1_n N_17 bg_000_0_un0_n N_292 VPA_c amiga_bus_enable_dma_low_0_un3_n pos_clk_un14_clk_000_ne_n amiga_bus_enable_dma_low_0_un1_n N_282 amiga_bus_enable_dma_low_0_un0_n \ - N_284 RST_c a0_dma_0_un3_n N_98 a0_dma_0_un1_n N_97 a0_dma_0_un0_n N_84 RW_c rw_000_dma_0_un3_n N_96 \ - rw_000_dma_0_un1_n N_271 fc_c_0__n rw_000_dma_0_un0_n N_117 bgack_030_int_0_un3_n N_141 fc_c_1__n bgack_030_int_0_un1_n N_134 bgack_030_int_0_un0_n \ - N_153 ds_000_dma_0_un3_n N_129 AMIGA_BUS_DATA_DIR_c ds_000_dma_0_un1_n N_127 ds_000_dma_0_un0_n N_128 size_dma_0_1__un3_n N_125 size_dma_0_1__un1_n \ - N_126 size_dma_0_1__un0_n N_124 BG_030_c_i size_dma_0_0__un3_n N_121 pos_clk_un6_bg_030_i_n size_dma_0_0__un1_n N_171 pos_clk_un9_bg_030_0_n size_dma_0_0__un0_n \ - N_120 N_24_i un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_119 N_31_0 un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_118 N_22_i un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_116 N_33_0 \ - cpu_est_0_1__un3_n N_114 N_20_i cpu_est_0_1__un1_n N_115 N_35_0 cpu_est_0_1__un0_n N_243 N_19_i cpu_est_0_2__un3_n N_240 \ - N_36_0 cpu_est_0_2__un1_n N_88 N_8_i cpu_est_0_2__un0_n N_89 N_42_0 cpu_est_0_3__un3_n N_82 N_3_i cpu_est_0_3__un1_n \ - N_83 N_45_0 cpu_est_0_3__un0_n N_78 VPA_c_i ipl_030_0_0__un3_n N_79 N_52_0 ipl_030_0_0__un1_n N_91 DTACK_c_i \ - ipl_030_0_0__un0_n N_244 N_53_0 ipl_030_0_1__un3_n N_62 ipl_030_0_1__un1_n N_64 N_249_i ipl_030_0_1__un0_n N_59 N_248_i \ - ipl_030_0_2__un3_n N_61 N_247_i ipl_030_0_2__un1_n N_163 ipl_030_0_2__un0_n N_245 N_77_i uds_000_int_0_un3_n N_242 N_251_i \ - uds_000_int_0_un1_n N_246 N_76_i uds_000_int_0_un0_n N_248 amiga_bus_enable_dma_high_0_un3_n N_236 N_131_i amiga_bus_enable_dma_high_0_un1_n N_249 N_130_i \ - amiga_bus_enable_dma_high_0_un0_n N_92 N_264_i as_000_dma_0_un3_n N_251 N_170_i as_000_dma_0_un1_n N_76 pos_clk_un6_bgack_000_0_n as_000_dma_0_un0_n N_80 \ - pos_clk_rw_000_dma_3_0_n ds_000_enable_0_un3_n pos_clk_a0_dma_3_n N_123_i ds_000_enable_0_un1_n SIZE_DMA_3_sqmuxa N_124_i ds_000_enable_0_un0_n N_87 AMIGA_BUS_DATA_DIR_c_0 lds_000_int_0_un3_n \ - pos_clk_size_dma_6_1__n N_122_i lds_000_int_0_un1_n pos_clk_size_dma_6_0__n pos_clk_ds_000_dma_4_0_n lds_000_int_0_un0_n N_170 N_242_i as_030_000_sync_0_un3_n N_122 N_239_i \ - as_030_000_sync_0_un1_n N_123 N_87_i as_030_000_sync_0_un0_n N_130 N_236_0 rw_000_int_0_un3_n pos_clk_ds_000_dma_4_n N_246_i rw_000_int_0_un1_n pos_clk_rw_000_dma_3_n \ - pos_clk_size_dma_6_0_0__n rw_000_int_0_un0_n pos_clk_un6_bgack_000_n N_245_i vma_int_0_un3_n N_131 pos_clk_size_dma_6_0_1__n vma_int_0_un1_n N_3 N_91_i vma_int_0_un0_n \ - N_8 N_210_i a_decode_15__n N_19 pos_clk_un19_bgack_030_int_i_n N_20 N_163_0 a_decode_14__n N_22 N_59_i N_24 \ - N_61_i a_decode_13__n pos_clk_un9_bg_030_n un1_amiga_bus_enable_low_i N_62_i a_decode_12__n un21_fpu_cs_i N_64_i BGACK_030_INT_i a_decode_11__n AMIGA_BUS_ENABLE_DMA_LOW_i \ - N_244_i N_80_i a_decode_10__n cycle_dma_i_0__n N_78_i_0 RW_000_i N_79_i a_decode_9__n rst_dly_i_0__n rst_dly_i_1__n N_82_i \ - a_decode_8__n rst_dly_i_2__n N_83_i LDS_000_i N_55_0 a_decode_7__n UDS_000_i N_88_i sm_amiga_i_2__n N_89_i a_decode_6__n \ - N_58_i N_240_0 sm_amiga_i_3__n N_243_0 a_decode_5__n cpu_est_i_1__n CLK_030_c_i cpu_est_i_0__n N_254_0 a_decode_4__n sm_amiga_i_1__n \ - N_114_i N_110_i N_115_i a_decode_3__n a_i_1__n VMA_INT_i N_116_i a_decode_2__n VPA_D_i N_117_i DTACK_D0_i \ - AS_030_D0_i N_118_i sm_amiga_i_0__n sm_amiga_i_i_7__n N_119_i sm_amiga_i_6__n sm_amiga_i_5__n N_120_i sm_amiga_i_4__n \ + RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C SM_AMIGA_6_.D \ + SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C \ + SM_AMIGA_0_.D SM_AMIGA_0_.C cpu_est_3_.D cpu_est_3_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C IPL_D0_0_.D \ + IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C CLK_000_D_2_.D CLK_000_D_2_.C \ + CLK_000_D_3_.D CLK_000_D_3_.C CLK_000_D_4_.D CLK_000_D_4_.C CLK_000_D_5_.D CLK_000_D_5_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D \ + SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C RST_DLY_0_.D RST_DLY_0_.C \ + RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DSACK1_INT.D inst_DSACK1_INT.C inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_030_D0.D \ + inst_AS_030_D0.C inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D inst_CLK_030_H.C inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ + BG_000DFFreg.D BG_000DFFreg.C inst_AMIGA_BUS_ENABLE_DMA_HIGH.D inst_AMIGA_BUS_ENABLE_DMA_HIGH.C inst_AMIGA_BUS_ENABLE_DMA_LOW.D inst_AMIGA_BUS_ENABLE_DMA_LOW.C inst_UDS_000_INT.D inst_UDS_000_INT.C inst_A0_DMA.D inst_A0_DMA.C inst_RW_000_DMA.D \ + inst_RW_000_DMA.C inst_VMA_INTreg.D inst_VMA_INTreg.C inst_RW_000_INT.D inst_RW_000_INT.C inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_LDS_000_INT.D inst_LDS_000_INT.C inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ + inst_AS_000_DMA.D inst_AS_000_DMA.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C pos_clk_un21_bgack_030_int_i_i_a2_i_x2.X1 \ + pos_clk_un21_bgack_030_int_i_i_a2_i_x2.X2 G_109.X1 G_109.X2 G_108.X1 G_108.X2 G_107.X1 G_107.X2 pos_clk_CYCLE_DMA_5_1_i_x2.X1 pos_clk_CYCLE_DMA_5_1_i_x2.X2 SIZE_1_ AHIGH_31_ AS_030 AS_000 RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ rst_dly_i_1__n N_41_0 \ + sm_amiga_i_5__n a_c_i_0__n rst_dly_i_0__n size_c_i_1__n sm_amiga_i_i_7__n pos_clk_un10_sm_amiga_i_n AS_030_D0_i un1_as_000_i AS_000_INT_i un10_ciin_i a_i_1__n \ + N_260_0 a_decode_i_16__n N_229_i a_decode_i_18__n N_230_i vcc_n_n a_decode_i_19__n N_298_0 ahigh_i_30__n N_48_0 gnd_n_n \ + ahigh_i_31__n N_299_i un1_amiga_bus_enable_low ahigh_i_28__n N_345_i un7_as_030 ahigh_i_29__n N_349_i un1_UDS_000_INT ahigh_i_26__n un1_DS_000_ENABLE_0_sqmuxa_i \ + un1_LDS_000_INT ahigh_i_27__n N_180_i un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_24__n N_181_i un10_ciin ahigh_i_25__n N_326_i un21_fpu_cs N_206_i \ + un21_berr N_207_i N_186_i un6_ds_030 N_208_i N_163_i N_197_0 N_79_i N_213_i N_78_i N_214_i \ + un6_ds_030_i N_215_i N_165_i N_169_i N_199_i un7_as_030_i N_191_0 AMIGA_BUS_ENABLE_DMA_LOW_i N_275_i AS_030_c N_187_0 \ + LDS_000_c_i AS_000_c UDS_000_c_i N_184_i RW_000_c clk_000_d_i_4__n N_171_i AS_030_000_SYNC_i UDS_000_c N_161_i LDS_000_c \ + N_113_0 N_338_i size_c_0__n N_339_i size_c_1__n N_335_i N_336_i ahigh_c_24__n N_334_i ahigh_c_25__n pos_clk_size_dma_6_0_1__n \ + pos_clk_un6_bg_030_n N_333_i ahigh_c_26__n pos_clk_size_dma_6_0_0__n pos_clk_ipl_n N_295_i ahigh_c_27__n N_332_i ahigh_c_28__n N_292_i N_302_0 \ + pos_clk_un9_bg_030_n ahigh_c_29__n N_300_0 N_290_i ahigh_c_30__n N_273_i ahigh_c_31__n N_327_i N_270_i N_269_i N_267_i \ + pos_clk_a0_dma_3_n N_324_i pos_clk_rw_000_int_5_n un1_SM_AMIGA_0_sqmuxa_1_0 N_319_i N_320_i RW_c_i pos_clk_rw_000_int_5_0_n N_227_i N_297_0 N_15_i \ + N_40_0 N_16_i N_15 N_39_0 N_16 N_19_i N_19 N_36_0 N_20 N_20_i N_22 \ + N_35_0 N_23 N_22_i N_24 N_33_0 N_23_i N_32_0 a_decode_c_16__n N_24_i N_31_0 a_decode_c_17__n \ + BG_030_c_i pos_clk_un6_bg_030_i_n a_decode_c_18__n pos_clk_un9_bg_030_0_n N_161_i_1 a_decode_c_19__n N_161_i_2 N_161_i_3 a_decode_c_20__n N_161_i_4 N_233_i_1 \ + a_decode_c_21__n N_233_i_2 N_180_i_1 a_decode_c_22__n N_180_i_2 pos_clk_un10_sm_amiga_i_1_n a_decode_c_23__n N_196_0_1 N_188_i_1 a_c_0__n un10_ciin_1 \ + un10_ciin_2 a_c_1__n un10_ciin_3 un10_ciin_4 nEXP_SPACE_c un10_ciin_5 un10_ciin_6 pos_clk_size_dma_6_0__n BERR_c un10_ciin_7 pos_clk_size_dma_6_1__n \ + un10_ciin_8 BG_030_c un10_ciin_9 un10_ciin_10 un10_ciin_11 N_171_i_1 N_78 N_171_i_2 N_79 BGACK_000_c N_227_1 \ + N_260 N_227_2 N_139 CLK_030_c N_227_3 N_141 un21_fpu_cs_1 N_165 un21_berr_1_0 N_169 N_235_i_1 \ + N_297 CLK_OSZI_c N_235_i_2 N_256_1 N_300 N_256_2 N_302 N_232_i_1 N_113 N_232_i_2 N_305 \ + N_219_1 N_155 FPU_SENSE_c N_219_2 N_163 N_218_1 N_166 N_218_2 N_171 N_347_1 N_180 \ + N_347_2 N_184 N_136_i_1 N_191 N_146_i_1 N_199 N_142_i_1 N_205 ipl_c_0__n N_234_i_1 N_306 \ + N_302_0_1 N_215 ipl_c_1__n N_63_i_1 N_221 N_154_i_1 N_227 ipl_c_2__n N_152_i_1 N_230 N_148_i_1 \ + N_319 N_144_i_1 N_320 DTACK_c N_140_i_1 N_267 pos_clk_un6_bg_030_1_n N_324 N_230_1 N_269 N_221_1 \ + N_270 VPA_c N_215_1 N_327 N_306_1 N_273 pos_clk_ipl_1_n N_275 RST_c ipl_030_0_2__un3_n N_290 \ + ipl_030_0_2__un1_n N_292 ipl_030_0_2__un0_n N_295 RW_c ipl_030_0_1__un3_n N_332 ipl_030_0_1__un1_n N_333 fc_c_0__n ipl_030_0_1__un0_n \ + N_334 ipl_030_0_0__un3_n N_335 fc_c_1__n ipl_030_0_0__un1_n N_336 ipl_030_0_0__un0_n N_338 cpu_est_0_3__un3_n N_339 AMIGA_BUS_DATA_DIR_c \ + cpu_est_0_3__un1_n N_350 cpu_est_0_3__un0_n vma_int_0_un3_n N_161 vma_int_0_un1_n N_213 vma_int_0_un0_n N_214 VPA_c_i cpu_est_0_1__un3_n \ + N_197 N_52_0 cpu_est_0_1__un1_n N_159 DTACK_c_i cpu_est_0_1__un0_n N_326 N_53_0 cpu_est_0_2__un3_n un21_berr_1 ipl_c_i_0__n \ + cpu_est_0_2__un1_n N_181 N_49_0 cpu_est_0_2__un0_n ipl_c_i_1__n ds_000_dma_0_un3_n un1_DS_000_ENABLE_0_sqmuxa N_50_0 ds_000_dma_0_un1_n N_349 ipl_c_i_2__n \ + ds_000_dma_0_un0_n N_345 N_51_0 as_000_dma_0_un3_n N_229 N_25_i as_000_dma_0_un1_n N_14 N_28_0 as_000_dma_0_un0_n N_21 \ + N_26_i bgack_030_int_0_un3_n N_3 N_29_0 bgack_030_int_0_un1_n N_301 N_27_i bgack_030_int_0_un0_n N_4 N_30_0 ds_000_enable_0_un3_n \ + N_303 N_222_i ds_000_enable_0_un1_n N_8 N_223_i ds_000_enable_0_un0_n pos_clk_un6_bgack_000_n N_192_i uds_000_int_0_un3_n N_9 N_231_i \ + uds_000_int_0_un1_n N_65 N_237_i uds_000_int_0_un0_n N_217 lds_000_int_0_un3_n N_216 N_342_i lds_000_int_0_un1_n N_248 N_341_i \ + lds_000_int_0_un0_n N_198 un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_291 N_160_0 un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_353 N_164_i un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_256 VMA_INT_i \ + amiga_bus_enable_dma_high_0_un3_n N_18 N_347_i amiga_bus_enable_dma_high_0_un1_n pos_clk_un9_clk_000_pe_n N_348_i amiga_bus_enable_dma_high_0_un0_n cpu_est_2_1__n N_188_i bg_000_0_un3_n cpu_est_2_2__n \ + N_245_0 bg_000_0_un1_n N_209 N_194_0 bg_000_0_un0_n N_211 N_196_0 size_dma_0_0__un3_n N_220 size_dma_0_0__un1_n N_222 \ + N_211_i size_dma_0_0__un0_n N_162 N_209_i size_dma_0_1__un3_n N_224 N_306_i size_dma_0_1__un1_n N_193 N_193_0 size_dma_0_1__un0_n \ + N_225 N_190_0 as_030_000_sync_0_un3_n N_190 N_183_i as_030_000_sync_0_un1_n N_346 N_162_i as_030_000_sync_0_un0_n N_352 N_346_i \ + rw_000_int_0_un3_n N_219 N_159_0 rw_000_int_0_un1_n N_218 N_305_i rw_000_int_0_un0_n N_183 N_210_i rw_000_dma_0_un3_n N_196 \ + N_225_i rw_000_dma_0_un1_n N_188 N_224_i rw_000_dma_0_un0_n N_194 N_296_i a0_dma_0_un3_n N_347 N_352_i a0_dma_0_un1_n \ + N_348 cpu_est_2_0_2__n a0_dma_0_un0_n N_160 N_220_i amiga_bus_enable_dma_low_0_un3_n N_341 N_221_i amiga_bus_enable_dma_low_0_un1_n N_342 cpu_est_2_0_1__n \ + amiga_bus_enable_dma_low_0_un0_n N_231 N_219_i a_decode_15__n N_237 N_218_i N_223 pos_clk_un9_clk_000_pe_0_n a_decode_14__n N_27 clk_000_d_i_2__n \ + N_26 N_157_i a_decode_13__n N_25 N_18_i un1_amiga_bus_enable_low_i N_37_0 a_decode_12__n un21_fpu_cs_i cpu_est_i_2__n N_217_i \ + a_decode_11__n sm_amiga_i_0__n N_216_i sm_amiga_i_2__n CLK_030_c_i a_decode_10__n sm_amiga_i_1__n N_198_0 cpu_est_i_0__n N_166_i a_decode_9__n \ + VPA_D_i N_155_i DTACK_D0_i N_303_0 a_decode_8__n AS_030_i N_291_i DSACK1_INT_i N_301_0 a_decode_7__n cpu_est_i_3__n \ + N_256_i sm_amiga_i_3__n N_248_i a_decode_6__n cpu_est_i_1__n AMIGA_BUS_DATA_DIR_c_0 clk_000_d_i_1__n N_353_i a_decode_5__n N_350_i_0 pos_clk_un6_bgack_000_0_n \ + rst_dly_i_2__n N_65_0 a_decode_4__n nEXP_SPACE_i N_3_i AS_000_i N_45_0 a_decode_3__n BGACK_030_INT_i N_4_i sm_amiga_i_6__n \ + N_44_0 a_decode_2__n clk_000_d_i_0__n N_8_i RW_000_i N_42_0 CLK_030_H_i UDS_000_INT_i AS_000_DMA_i un1_UDS_000_INT_0 cycle_dma_i_0__n \ + LDS_000_INT_i DS_000_DMA_i un1_LDS_000_INT_0 RESET_OUT_i N_21_i sm_amiga_i_4__n N_34_0 FPU_SENSE_i N_14_i \ AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE \ AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE \ DS_030.OE DSACK1.OE RESET.OE CIIN.OE @@ -206,97 +206,97 @@ 1 1 .names AS_030.PIN AS_030_c 1 1 -.names N_135.BLIF AS_030.OE +.names N_139.BLIF AS_030.OE 1 1 -.names N_145_i.BLIF AS_000 +.names N_169_i.BLIF AS_000 1 1 .names AS_000.PIN AS_000_c 1 1 -.names N_136.BLIF AS_000.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 .names inst_RW_000_INT.BLIF RW_000 1 1 .names RW_000.PIN RW_000_c 1 1 -.names N_136.BLIF RW_000.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 .names un1_UDS_000_INT.BLIF UDS_000 1 1 .names UDS_000.PIN UDS_000_c 1 1 -.names N_136.BLIF UDS_000.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 .names un1_LDS_000_INT.BLIF LDS_000 1 1 .names LDS_000.PIN LDS_000_c 1 1 -.names N_136.BLIF LDS_000.OE +.names un1_as_000_i.BLIF LDS_000.OE 1 1 .names SIZE_DMA_0_.BLIF SIZE_0_ 1 1 .names SIZE_0_.PIN size_c_0__n 1 1 -.names un2_as_030_i.BLIF SIZE_0_.OE +.names N_299_i.BLIF SIZE_0_.OE 1 1 .names SIZE_DMA_1_.BLIF SIZE_1_ 1 1 .names SIZE_1_.PIN size_c_1__n 1 1 -.names un2_as_030_i.BLIF SIZE_1_.OE +.names N_299_i.BLIF SIZE_1_.OE 1 1 .names gnd_n_n.BLIF AHIGH_24_ 1 1 .names AHIGH_24_.PIN ahigh_c_24__n 1 1 -.names N_135.BLIF AHIGH_24_.OE +.names N_139.BLIF AHIGH_24_.OE 1 1 .names gnd_n_n.BLIF AHIGH_25_ 1 1 .names AHIGH_25_.PIN ahigh_c_25__n 1 1 -.names N_135.BLIF AHIGH_25_.OE +.names N_139.BLIF AHIGH_25_.OE 1 1 .names gnd_n_n.BLIF AHIGH_26_ 1 1 .names AHIGH_26_.PIN ahigh_c_26__n 1 1 -.names N_135.BLIF AHIGH_26_.OE +.names N_139.BLIF AHIGH_26_.OE 1 1 .names gnd_n_n.BLIF AHIGH_27_ 1 1 .names AHIGH_27_.PIN ahigh_c_27__n 1 1 -.names N_135.BLIF AHIGH_27_.OE +.names N_139.BLIF AHIGH_27_.OE 1 1 .names gnd_n_n.BLIF AHIGH_28_ 1 1 .names AHIGH_28_.PIN ahigh_c_28__n 1 1 -.names N_135.BLIF AHIGH_28_.OE +.names N_139.BLIF AHIGH_28_.OE 1 1 .names gnd_n_n.BLIF AHIGH_29_ 1 1 .names AHIGH_29_.PIN ahigh_c_29__n 1 1 -.names N_135.BLIF AHIGH_29_.OE +.names N_139.BLIF AHIGH_29_.OE 1 1 .names gnd_n_n.BLIF AHIGH_30_ 1 1 .names AHIGH_30_.PIN ahigh_c_30__n 1 1 -.names N_135.BLIF AHIGH_30_.OE +.names N_139.BLIF AHIGH_30_.OE 1 1 .names gnd_n_n.BLIF AHIGH_31_ 1 1 .names AHIGH_31_.PIN ahigh_c_31__n 1 1 -.names N_135.BLIF AHIGH_31_.OE +.names N_139.BLIF AHIGH_31_.OE 1 1 .names inst_A0_DMA.BLIF A_0_ 1 1 .names A_0_.PIN a_c_0__n 1 1 -.names N_135.BLIF A_0_.OE +.names N_139.BLIF A_0_.OE 1 1 .names gnd_n_n.BLIF BERR 1 1 @@ -308,13 +308,13 @@ 1 1 .names RW.PIN RW_c 1 1 -.names N_278.BLIF RW.OE +.names N_141.BLIF RW.OE 1 1 .names un6_ds_030_i.BLIF DS_030 1 1 -.names N_135.BLIF DS_030.OE +.names N_139.BLIF DS_030.OE 1 1 -.names N_147_i.BLIF DSACK1 +.names N_165_i.BLIF DSACK1 1 1 .names nEXP_SPACE_c.BLIF DSACK1.OE 1 1 @@ -324,1344 +324,1344 @@ 1 1 .names un10_ciin.BLIF CIIN 1 1 -.names N_261.BLIF CIIN.OE +.names N_260.BLIF CIIN.OE 1 1 -.names pos_clk_un10_sm_amiga_i_1_n.BLIF size_c_0__n.BLIF pos_clk_un10_sm_amiga_i_n +.names N_161_i_4.BLIF N_161_i_3.BLIF N_161_i 11 1 -.names RST_DLY_2_.BLIF rst_dly_i_2__n +.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n 0 1 +.names N_213_i.BLIF N_214_i.BLIF N_233_i_1 +11 1 +.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n +11 1 +.names N_215_i.BLIF RST_c.BLIF N_233_i_2 +11 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names N_233_i_1.BLIF N_233_i_2.BLIF RST_DLY_1_.D +11 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 +1- 1 +-1 1 .names CLK_OSZI_c.BLIF SM_AMIGA_6_.C 1 1 -.names N_263_i.BLIF N_291.BLIF N_289_1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_180_i_1 11 1 -.names N_269.BLIF rst_dly_i_2__n.BLIF N_249 +.names N_18_i.BLIF RST_c.BLIF N_37_0 11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_289_2 +.names N_345_i.BLIF pos_clk_un21_bgack_030_int_i_i_a2_i_x2.BLIF N_180_i_2 11 1 -.names N_236.BLIF N_239_i.BLIF N_251 +.names N_305.BLIF cpu_est_0_1__un3_n +0 1 +.names N_180_i_1.BLIF N_180_i_2.BLIF N_180_i 11 1 -.names N_289_1.BLIF N_289_2.BLIF N_289 +.names cpu_est_1_.BLIF N_305.BLIF cpu_est_0_1__un1_n 11 1 -.names N_92.BLIF rst_dly_i_1__n.BLIF N_76 +.names size_c_0__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n 11 1 -.names N_269_i.BLIF N_280_i.BLIF N_290_1 -11 1 -.names N_236.BLIF RST_DLY_0_.BLIF N_78 +.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n 11 1 .names CLK_OSZI_c.BLIF SM_AMIGA_5_.C 1 1 -.names N_291.BLIF VPA_D_i.BLIF N_290_2 +.names pos_clk_un10_sm_amiga_i_1_n.BLIF size_c_i_1__n.BLIF pos_clk_un10_sm_amiga_i_n 11 1 -.names N_92.BLIF rst_dly_i_0__n.BLIF N_79 +.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D +1- 1 +-1 1 +.names N_188.BLIF N_305_i.BLIF N_196_0_1 11 1 -.names N_290_1.BLIF N_290_2.BLIF N_290 -11 1 -.names inst_RESET_OUT.BLIF RST_c.BLIF N_82 -11 1 -.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF pos_clk_un14_clk_000_ne_1_n -11 1 -.names a_c_1__n.BLIF a_i_1__n +.names N_305.BLIF cpu_est_0_2__un3_n 0 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF pos_clk_un14_clk_000_ne_2_n +.names N_196_0_1.BLIF SM_AMIGA_3_.BLIF N_196_0 11 1 -.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_81 +.names cpu_est_2_.BLIF N_305.BLIF cpu_est_0_2__un1_n +11 1 +.names BERR_c.BLIF N_347_i.BLIF N_188_i_1 +11 1 +.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n 11 1 .names CLK_OSZI_c.BLIF SM_AMIGA_4_.C 1 1 -.names pos_clk_un14_clk_000_ne_1_n.BLIF pos_clk_un14_clk_000_ne_2_n.BLIF pos_clk_un14_clk_000_ne_n +.names N_188_i_1.BLIF N_348_i.BLIF N_188_i 11 1 -.names N_110.BLIF N_110_i +.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D +1- 1 +-1 1 +.names N_19.BLIF N_19_i 0 1 -.names AS_030_i.BLIF a_decode_c_17__n.BLIF N_153_1 +.names CLK_000_D_3_.BLIF clk_000_d_i_2__n.BLIF N_157_i 11 1 -.names N_110_i.BLIF sm_amiga_i_3__n.BLIF N_244 +.names N_36_0.BLIF inst_RW_000_DMA.D +0 1 +.names N_159.BLIF N_350.BLIF N_209 11 1 -.names a_decode_i_16__n.BLIF a_decode_i_18__n.BLIF N_153_2 -11 1 -.names N_85_i.BLIF sm_amiga_i_2__n.BLIF N_64 -11 1 -.names fc_c_1__n.BLIF a_decode_i_19__n.BLIF N_153_3 -11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +.names N_20.BLIF N_20_i +0 1 +.names RST_DLY_2_.BLIF rst_dly_i_2__n 0 1 .names CLK_OSZI_c.BLIF SM_AMIGA_3_.C 1 1 -.names N_32_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D +.names N_35_0.BLIF inst_A0_DMA.D 0 1 -.names N_243.BLIF sm_amiga_i_1__n.BLIF N_62 +.names N_305.BLIF rst_dly_i_2__n.BLIF N_211 11 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i +.names N_22.BLIF N_22_i 0 1 -.names N_269_i.BLIF cpu_est_0_.BLIF N_61 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_220 11 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names N_269.BLIF cpu_est_i_0__n.BLIF N_59 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT -0 1 -.names N_240.BLIF N_269_i.BLIF N_58 -11 1 -.names inst_BGACK_030_INT_D.BLIF inst_BGACK_030_INTreg.BLIF N_96_0_1 -11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names AS_030_D0_i.BLIF sm_amiga_i_i_7__n.BLIF N_96_0_2 -11 1 -.names N_58.BLIF N_58_i -0 1 -.names N_96_0_1.BLIF N_96_0_2.BLIF N_96_0_3 -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C -1 1 -.names N_96_0_3.BLIF nEXP_SPACE_c.BLIF N_96_0 -11 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n -0 1 -.names pos_clk_un21_bgack_030_int_i_0_x2.BLIF N_264_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_1_n -11 1 -.names N_84.BLIF sm_amiga_i_i_7__n.BLIF N_116 -11 1 -.names pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF pos_clk_un19_bgack_030_int_n.BLIF pos_clk_un21_bgack_030_int_i_0_i_n -11 1 -.names N_263_i.BLIF sm_amiga_i_1__n.BLIF N_115 -11 1 -.names CLK_000_D_4_.BLIF clk_000_d_i_3__n.BLIF N_84_0_1 -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C -1 1 -.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF N_84_0_2 -11 1 -.names N_90.BLIF sm_amiga_i_0__n.BLIF N_114 -11 1 -.names N_84_0_1.BLIF N_84_0_2.BLIF N_84_0 -11 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names BERR_c.BLIF N_88_i.BLIF N_240_0_1 -11 1 -.names N_263_i.BLIF SM_AMIGA_4_.BLIF N_110 -11 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n -0 1 -.names N_263_i.BLIF SM_AMIGA_2_.BLIF N_243_0 -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C -1 1 -.names N_49_0.BLIF IPL_D0_0_.D -0 1 -.names N_82_i.BLIF N_83_i.BLIF N_55_0 -11 1 -.names N_4.BLIF N_4_i -0 1 -.names N_59_i.BLIF N_61_i.BLIF cpu_est_0_.D -11 1 -.names N_44_0.BLIF inst_AS_000_DMA.D -0 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names N_14.BLIF N_14_i -0 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_89 -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C -1 1 -.names N_41_0.BLIF inst_LDS_000_INT.D -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names N_15.BLIF N_15_i -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names N_40_0.BLIF inst_AS_030_000_SYNC.D -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa -11 1 -.names N_16.BLIF N_16_i -0 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C -1 1 -.names N_39_0.BLIF inst_RW_000_INT.D -0 1 -.names CLK_030_H_i.BLIF N_98.BLIF N_129 -11 1 -.names N_18.BLIF N_18_i -0 1 -.names N_90_i.BLIF RST_c.BLIF N_128 -11 1 -.names N_37_0.BLIF inst_VMA_INTreg.D -0 1 -.names N_147.BLIF RST_c.BLIF N_127 -11 1 -.names N_21.BLIF N_21_i -0 1 -.names N_265_i.BLIF RST_c.BLIF N_126 -11 1 -.names CLK_OSZI_c.BLIF IPL_D0_0_.C -1 1 -.names N_34_0.BLIF inst_UDS_000_INT.D -0 1 -.names N_145.BLIF RST_c.BLIF N_125 -11 1 -.names N_23.BLIF N_23_i -0 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_i -0 1 -.names N_290.BLIF N_290_i -0 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_121 -11 1 -.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n -0 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names CLK_OSZI_c.BLIF IPL_D0_1_.C -1 1 -.names N_280_i.BLIF N_280 -0 1 -.names N_271.BLIF sm_amiga_i_4__n.BLIF N_120 -11 1 -.names a_c_0__n.BLIF a_c_i_0__n -0 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names size_c_1__n.BLIF size_c_i_1__n -0 1 -.names N_265.BLIF sm_amiga_i_5__n.BLIF N_119 -11 1 -.names N_27.BLIF N_27_i -0 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names CLK_OSZI_c.BLIF IPL_D0_2_.C -1 1 -.names N_30_0.BLIF IPL_030DFF_2_reg.D -0 1 -.names N_97.BLIF sm_amiga_i_6__n.BLIF N_118 -11 1 -.names N_26.BLIF N_26_i -0 1 -.names N_263_i.BLIF SM_AMIGA_0_.BLIF N_117 -11 1 -.names N_29_0.BLIF IPL_030DFF_1_reg.D -0 1 -.names CLK_030_c_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_254_0 -11 1 -.names N_25.BLIF N_25_i -0 1 -.names inst_DSACK1_INT.BLIF DSACK1_INT_i -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C -1 1 -.names N_28_0.BLIF IPL_030DFF_0_reg.D -0 1 -.names AS_030_i.BLIF DSACK1_INT_i.BLIF N_147 -11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n -0 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_278 -11 1 -.names N_51_0.BLIF IPL_D0_2_.D -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names CLK_000_D_0_.BLIF CLK_000_D_1_.D -1 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n -0 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF N_145 -11 1 -.names N_50_0.BLIF IPL_D0_1_.D -0 1 -.names N_265_i.BLIF RW_c.BLIF N_141 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_D_1_.C -1 1 -.names N_97_0.BLIF N_97 -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names N_98_0.BLIF N_98 -0 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names N_282.BLIF N_282_i +.names N_33_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D 0 1 .names AS_000_DMA_i.BLIF AS_000_i.BLIF un7_as_030 11 1 -.names CLK_000_D_1_.BLIF CLK_000_D_2_.D +.names N_23.BLIF N_23_i +0 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C 1 1 -.names N_284.BLIF N_284_i +.names N_32_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.D 0 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF N_136 +.names CLK_030_H_i.BLIF N_198.BLIF N_290 11 1 -.names pos_clk_un14_clk_000_ne_n.BLIF pos_clk_un14_clk_000_ne_i_n +.names N_24.BLIF N_24_i 0 1 -.names N_278.BLIF nEXP_SPACE_i.BLIF N_135 +.names RW_000_c.BLIF RW_000_i +0 1 +.names N_31_0.BLIF BG_000DFFreg.D +0 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_291 11 1 -.names CLK_OSZI_c.BLIF CLK_000_D_2_.C +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_292 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C 1 1 -.names un5_e_0.BLIF un5_e +.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n 0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names N_285.BLIF N_285_i -0 1 -.names AS_030_i.BLIF N_96.BLIF N_134 +.names AS_000_c.BLIF N_155_i.BLIF N_353 11 1 -.names N_291.BLIF N_291_i -0 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names CLK_000_D_2_.BLIF CLK_000_D_3_.D -1 1 -.names N_292.BLIF N_292_i -0 1 -.names CLK_000_D_3_.BLIF clk_000_d_i_3__n -0 1 -.names N_17.BLIF N_17_i -0 1 -.names CLK_000_D_3_.BLIF clk_000_d_i_2__n.BLIF N_85_i -11 1 -.names CLK_OSZI_c.BLIF CLK_000_D_3_.C -1 1 -.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n -0 1 -.names N_263_i.BLIF SM_AMIGA_6_.BLIF N_265_i -11 1 -.names N_286.BLIF N_286_i -0 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_0__n -0 1 -.names N_288.BLIF N_288_i -0 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_263_i -11 1 -.names CLK_000_D_3_.BLIF CLK_000_D_4_.D -1 1 -.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n -0 1 -.names N_289.BLIF N_289_i -0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF un2_as_030_i -11 1 -.names CLK_OSZI_c.BLIF CLK_000_D_4_.C -1 1 -.names N_134.BLIF N_134_i -0 1 -.names N_134_i.BLIF N_153_i.BLIF N_67_0 -11 1 -.names N_153.BLIF N_153_i +.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n 0 1 .names AS_030_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF N_65_0 11 1 -.names N_67_0.BLIF N_67 -0 1 -.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_261_0 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_161_i_1 11 1 -.names N_263_i.BLIF N_263 -0 1 -.names N_270_i.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n +.names BGACK_000_c.BLIF N_353_i.BLIF pos_clk_un6_bgack_000_0_n 11 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C +.names a_decode_c_17__n.BLIF a_decode_i_16__n.BLIF N_161_i_2 +11 1 +.names N_248_i.BLIF N_256_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C 1 1 -.names N_265_i.BLIF N_265 +.names N_270.BLIF N_270_i 0 1 -.names N_127_i.BLIF N_128_i.BLIF inst_DSACK1_INT.D +.names N_180_i.BLIF N_291_i.BLIF N_301_0 +11 1 +.names N_269.BLIF N_269_i +0 1 +.names CLK_030_c_i.BLIF N_180_i.BLIF N_303_0 +11 1 +.names N_267.BLIF N_267_i +0 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_0__n +0 1 +.names N_324.BLIF N_324_i +0 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_155_i +11 1 +.names CLK_OSZI_c.BLIF cpu_est_3_.C +1 1 +.names un1_SM_AMIGA_0_sqmuxa_1_0.BLIF un1_SM_AMIGA_0_sqmuxa_1 +0 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names N_319.BLIF N_319_i +0 1 +.names N_302.BLIF ds_000_dma_0_un3_n +0 1 +.names N_320.BLIF N_320_i +0 1 +.names N_301.BLIF N_302.BLIF ds_000_dma_0_un1_n +11 1 +.names RW_c.BLIF RW_c_i +0 1 +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C +1 1 +.names pos_clk_rw_000_int_5_0_n.BLIF pos_clk_rw_000_int_5_n +0 1 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 +1- 1 +-1 1 +.names N_227.BLIF N_227_i +0 1 +.names N_303.BLIF as_000_dma_0_un3_n +0 1 +.names N_297_0.BLIF N_297 +0 1 +.names N_180.BLIF N_303.BLIF as_000_dma_0_un1_n +11 1 +.names N_15.BLIF N_15_i +0 1 +.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_1_reg.C +1 1 +.names N_40_0.BLIF inst_AS_030_000_SYNC.D +0 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_4 +1- 1 +-1 1 +.names N_16.BLIF N_16_i +0 1 +.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 +.names N_39_0.BLIF inst_RW_000_INT.D +0 1 +.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n +11 1 +.names N_339.BLIF N_339_i +0 1 +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFF_2_reg.C +1 1 +.names N_335.BLIF N_335_i +0 1 +.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_8 +1- 1 +-1 1 +.names N_336.BLIF N_336_i +0 1 +.names N_65.BLIF ds_000_enable_0_un3_n +0 1 +.names N_334.BLIF N_334_i +0 1 +.names un1_DS_000_ENABLE_0_sqmuxa.BLIF N_65.BLIF ds_000_enable_0_un1_n +11 1 +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n +0 1 +.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_0_.C +1 1 +.names N_333.BLIF N_333_i +0 1 +.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_9 +1- 1 +-1 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n +0 1 +.names N_9.BLIF RST_c.BLIF inst_DS_000_ENABLE.D +11 1 +.names N_295.BLIF N_295_i +0 1 +.names N_8_i.BLIF RST_c.BLIF N_42_0 +11 1 +.names N_332.BLIF N_332_i +0 1 +.names N_4_i.BLIF RST_c.BLIF N_44_0 +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_1_.C +1 1 +.names N_292.BLIF N_292_i +0 1 +.names N_3_i.BLIF RST_c.BLIF N_45_0 +11 1 +.names N_302_0.BLIF N_302 +0 1 +.names CYCLE_DMA_0_.BLIF N_155_i.BLIF N_217 +11 1 +.names N_300_0.BLIF N_300 +0 1 +.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n +0 1 +.names N_290.BLIF N_290_i +0 1 +.names cycle_dma_i_0__n.BLIF N_155.BLIF N_216 +11 1 +.names CLK_OSZI_c.BLIF IPL_D0_2_.C +1 1 +.names N_273.BLIF N_273_i +0 1 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_248 +11 1 +.names N_327.BLIF N_327_i +0 1 +.names N_155_i.BLIF sm_amiga_i_1__n.BLIF N_324 +11 1 +.names N_213.BLIF N_213_i +0 1 +.names N_155_i.BLIF SM_AMIGA_0_.BLIF N_275 +11 1 +.names N_214.BLIF N_214_i +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names CLK_OSZI_c.BLIF CLK_000_D_0_.C +1 1 +.names N_215.BLIF N_215_i +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF un1_as_000_i +11 1 +.names N_199_i.BLIF N_199 +0 1 +.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_141 +11 1 +.names N_191_0.BLIF N_191 +0 1 +.names N_166_i.BLIF RW_c.BLIF N_349 +11 1 +.names CLK_000_D_0_.BLIF CLK_000_D_1_.D +1 1 +.names N_275.BLIF N_275_i +0 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_345 +11 1 +.names LDS_000_c.BLIF LDS_000_c_i +0 1 +.names N_181.BLIF sm_amiga_i_3__n.BLIF N_339 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_D_1_.C +1 1 +.names UDS_000_c.BLIF UDS_000_c_i +0 1 +.names N_141.BLIF nEXP_SPACE_i.BLIF N_139 +11 1 +.names N_184_i.BLIF N_184 +0 1 +.names inst_RESET_OUT.BLIF RST_c.BLIF N_229 +11 1 +.names CLK_000_D_4_.BLIF clk_000_d_i_4__n +0 1 +.names N_14_i.BLIF RST_c.BLIF N_41_0 +11 1 +.names CLK_000_D_1_.BLIF CLK_000_D_2_.D +1 1 +.names N_171_i.BLIF N_171 +0 1 +.names N_21_i.BLIF RST_c.BLIF N_34_0 11 1 .names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i 0 1 -.names N_125_i.BLIF N_126_i.BLIF inst_AS_000_INT.D +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 11 1 -.names N_84_0.BLIF N_84 -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names CLK_000_D_2_.BLIF clk_000_d_i_2__n -0 1 -.names N_265.BLIF N_270_i.BLIF un1_SM_AMIGA_0_sqmuxa_1_0 -11 1 -.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C +.names CLK_OSZI_c.BLIF CLK_000_D_2_.C 1 1 -.names N_141.BLIF N_141_i +.names N_161_i.BLIF N_161 0 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_291 +.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +11 1 +.names N_113_0.BLIF N_113 +0 1 +.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n +0 1 +.names N_338.BLIF N_338_i +0 1 +.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n +11 1 +.names CLK_000_D_2_.BLIF CLK_000_D_3_.D +1 1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names un10_ciin.BLIF un10_ciin_i +0 1 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_21 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF CLK_000_D_3_.C +1 1 +.names N_260_0.BLIF N_260 +0 1 +.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n +0 1 +.names N_229.BLIF N_229_i +0 1 +.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n +11 1 +.names N_230.BLIF N_230_i +0 1 +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names CLK_000_D_3_.BLIF CLK_000_D_4_.D +1 1 +.names N_298_0.BLIF inst_RESET_OUT.D +0 1 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 +1- 1 +-1 1 +.names N_48_0.BLIF inst_AS_030_D0.D +0 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names CLK_OSZI_c.BLIF CLK_000_D_4_.C +1 1 +.names N_345.BLIF N_345_i +0 1 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 +11 1 +.names N_349.BLIF N_349_i +0 1 +.names N_197.BLIF sm_amiga_i_4__n.BLIF N_270 11 1 .names un1_DS_000_ENABLE_0_sqmuxa_i.BLIF un1_DS_000_ENABLE_0_sqmuxa 0 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_286 -11 1 -.names pos_clk_un21_bgack_030_int_i_0_i_n.BLIF pos_clk_un21_bgack_030_int_i_0_n +.names RST_DLY_1_.BLIF rst_dly_i_1__n 0 1 -.names N_280_i.BLIF cpu_est_i_2__n.BLIF N_17 -11 1 -.names N_269_i.BLIF N_269 +.names CLK_000_D_4_.BLIF CLK_000_D_5_.D +1 1 +.names N_180_i.BLIF N_180 0 1 -.names N_280.BLIF cpu_est_2_.BLIF N_285 +.names N_186_i.BLIF rst_dly_i_1__n.BLIF N_214 +11 1 +.names N_181_i.BLIF N_181 +0 1 +.names N_159.BLIF N_163_i.BLIF N_213 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_D_5_.C +1 1 +.names N_326.BLIF N_326_i +0 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names N_163_i.BLIF N_163 +0 1 +.names AS_030_i.BLIF BGACK_000_c.BLIF un21_berr_1 +11 1 +.names N_197_0.BLIF N_197 +0 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names N_3.BLIF N_3_i +0 1 +.names N_155_i.BLIF SM_AMIGA_4_.BLIF N_181_i +11 1 +.names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C +1 1 +.names N_45_0.BLIF inst_DS_000_DMA.D +0 1 +.names N_181.BLIF N_349_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i +11 1 +.names N_4.BLIF N_4_i +0 1 +.names N_44_0.BLIF inst_AS_000_DMA.D +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_299_i +11 1 +.names N_8.BLIF N_8_i +0 1 +.names AS_030_i.BLIF RST_c.BLIF N_48_0 +11 1 +.names CLK_OSZI_c.BLIF CYCLE_DMA_1_.C +1 1 +.names N_42_0.BLIF inst_BGACK_030_INTreg.D +0 1 +.names N_229_i.BLIF N_230_i.BLIF N_298_0 +11 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_260_0 +11 1 +.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT +0 1 +.names inst_RESET_OUT.BLIF RESET_OUT_i +0 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names N_275_i.BLIF SM_AMIGA_i_7_.BLIF N_187_0 11 1 .names CLK_OSZI_c.BLIF SIZE_DMA_0_.C 1 1 -.names N_90_i.BLIF N_90 +.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT 0 1 -.names cpu_est_3_.BLIF cpu_est_i_3__n +.names N_171_i.BLIF sm_amiga_i_i_7__n.BLIF N_191_0 +11 1 +.names N_21.BLIF N_21_i 0 1 -.names N_271_0.BLIF N_271 -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names N_96_0.BLIF N_96 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_199_i +11 1 +.names N_34_0.BLIF inst_UDS_000_INT.D 0 1 .names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n 0 1 -.names N_171.BLIF N_171_i +.names N_14.BLIF N_14_i 0 1 .names inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un1_n 11 1 .names CLK_OSZI_c.BLIF SIZE_DMA_1_.C 1 1 -.names N_121.BLIF N_121_i +.names N_41_0.BLIF inst_LDS_000_INT.D 0 1 .names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n 11 1 -.names N_255_0.BLIF N_255 +.names a_c_0__n.BLIF a_c_i_0__n 0 1 -.names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_108 +.names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_205 1- 1 -1 1 -.names un1_SM_AMIGA_0_sqmuxa_1_0.BLIF un1_SM_AMIGA_0_sqmuxa_1 +.names N_217.BLIF N_217_i 0 1 -.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_98_0 -11 1 -.names N_48_0.BLIF inst_AS_030_D0.D +.names inst_AS_030_D0.BLIF AS_030_D0_i +0 1 +.names N_216.BLIF N_216_i +0 1 +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n 0 1 -.names N_84_0.BLIF sm_amiga_i_i_7__n.BLIF N_97_0 -11 1 .names CLK_OSZI_c.BLIF cpu_est_0_.C 1 1 -.names N_125.BLIF N_125_i -0 1 -.names N_269_i.BLIF SM_AMIGA_5_.BLIF N_271_0 -11 1 -.names N_126.BLIF N_126_i -0 1 -.names N_117_i.BLIF SM_AMIGA_i_7_.BLIF N_270_i -11 1 -.names N_127.BLIF N_127_i -0 1 -.names N_85_i.BLIF SM_AMIGA_1_.BLIF N_90_i -11 1 -.names N_128.BLIF N_128_i -0 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_1__n -0 1 -.names CLK_OSZI_c.BLIF cpu_est_1_.C -1 1 -.names RW_c.BLIF RW_c_i -0 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_269_i -11 1 -.names pos_clk_rw_000_int_5_0_n.BLIF pos_clk_rw_000_int_5_n -0 1 -.names N_110_i.BLIF N_141_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i -11 1 -.names N_129.BLIF N_129_i -0 1 -.names ahigh_c_28__n.BLIF ahigh_i_28__n -0 1 -.names un10_ciin.BLIF un10_ciin_i -0 1 -.names ahigh_c_29__n.BLIF ahigh_i_29__n -0 1 -.names CLK_OSZI_c.BLIF cpu_est_2_.C -1 1 -.names N_261_0.BLIF N_261 -0 1 -.names ahigh_c_30__n.BLIF ahigh_i_30__n -0 1 -.names N_65_0.BLIF N_65 -0 1 -.names ahigh_c_31__n.BLIF ahigh_i_31__n -0 1 -.names N_83.BLIF N_83_i -0 1 -.names a_decode_c_18__n.BLIF a_decode_i_18__n -0 1 -.names N_55_0.BLIF inst_RESET_OUT.D -0 1 -.names a_decode_c_19__n.BLIF a_decode_i_19__n -0 1 -.names CLK_OSZI_c.BLIF cpu_est_3_.C -1 1 -.names N_88.BLIF N_88_i -0 1 -.names N_89.BLIF N_89_i -0 1 -.names N_240_0.BLIF N_240 -0 1 -.names N_243_0.BLIF N_243 -0 1 -.names a_decode_c_16__n.BLIF a_decode_i_16__n -0 1 -.names CLK_OSZI_c.BLIF RST_DLY_0_.C -1 1 .names CLK_030_c.BLIF CLK_030_c_i 0 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_280_i +.names N_305_i.BLIF SM_AMIGA_5_.BLIF N_197_0 11 1 -.names N_254_0.BLIF N_254 +.names N_198_0.BLIF N_198 0 1 -.names N_289_i.BLIF N_290_i.BLIF pos_clk_un9_clk_000_pe_0_n +.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_163_i 11 1 -.names N_114.BLIF N_114_i +.names N_166_i.BLIF N_166 0 1 -.names N_286_i.BLIF N_288_i.BLIF cpu_est_2_0_1__n +.names N_305.BLIF RST_c.BLIF N_186_i 11 1 -.names N_115.BLIF N_115_i +.names N_155_i.BLIF N_155 0 1 -.names N_17_i.BLIF N_285_i.BLIF cpu_est_2_0_2__n +.names N_186_i.BLIF N_326_i.BLIF SM_AMIGA_5_.D +11 1 +.names CLK_OSZI_c.BLIF cpu_est_1_.C +1 1 +.names N_303_0.BLIF N_303 +0 1 +.names N_163_i.BLIF RST_DLY_2_.BLIF N_350 +11 1 +.names N_291.BLIF N_291_i +0 1 +.names RST_DLY_0_.BLIF rst_dly_i_0__n +0 1 +.names N_301_0.BLIF N_301 +0 1 +.names N_186_i.BLIF rst_dly_i_0__n.BLIF N_332 +11 1 +.names N_256.BLIF N_256_i +0 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names CLK_OSZI_c.BLIF cpu_est_2_.C +1 1 +.names N_248.BLIF N_248_i +0 1 +.names N_166.BLIF sm_amiga_i_5__n.BLIF N_326 +11 1 +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c +0 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 +.names N_353.BLIF N_353_i +0 1 +.names a_c_1__n.BLIF a_i_1__n +0 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_79 +11 1 +.names CLK_OSZI_c.BLIF RST_DLY_0_.C +1 1 +.names N_65_0.BLIF N_65 +0 1 +.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_78 +11 1 +.names N_159_0.BLIF N_159 +0 1 +.names inst_AS_000_INT.BLIF AS_000_INT_i +0 1 +.names N_305_i.BLIF N_305 +0 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF N_169 +11 1 +.names N_225.BLIF N_225_i +0 1 +.names AS_030_i.BLIF N_227_i.BLIF N_297_0 11 1 .names CLK_OSZI_c.BLIF RST_DLY_1_.C 1 1 -.names N_116.BLIF N_116_i +.names N_224.BLIF N_224_i 0 1 -.names cpu_est_0_.BLIF cpu_est_i_2__n.BLIF N_292 +.names N_187_0.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n 11 1 -.names N_117.BLIF N_117_i +.names N_352.BLIF N_352_i 0 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF inst_BGACK_030_INT_D.D +.names N_319_i.BLIF N_320_i.BLIF inst_AS_000_INT.D +11 1 +.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n 0 1 -.names N_118.BLIF N_118_i -0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 -.names N_119.BLIF N_119_i -0 1 -.names G_107.BLIF N_206_i +.names N_166.BLIF N_187_0.BLIF un1_SM_AMIGA_0_sqmuxa_1_0 +11 1 +.names N_220.BLIF N_220_i 0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_300_0 +11 1 .names CLK_OSZI_c.BLIF RST_DLY_2_.C 1 1 -.names N_120.BLIF N_120_i +.names N_221.BLIF N_221_i 0 1 -.names G_108.BLIF N_207_i +.names N_333_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n 0 1 -.names N_246.BLIF N_246_i +.names N_334_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +11 1 +.names N_219.BLIF N_219_i 0 1 -.names G_109.BLIF N_208_i +.names N_199_i.BLIF RST_c.BLIF N_113_0 +11 1 +.names N_218.BLIF N_218_i 0 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names ahigh_c_24__n.BLIF ahigh_i_24__n -0 1 -.names N_245.BLIF N_245_i -0 1 -.names ahigh_c_25__n.BLIF ahigh_i_25__n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_D_0_.C +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_184_i +11 1 +.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C 1 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n +.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n 0 1 .names ahigh_c_26__n.BLIF ahigh_i_26__n 0 1 -.names N_91.BLIF N_91_i +.names CLK_000_D_2_.BLIF clk_000_d_i_2__n 0 1 .names ahigh_c_27__n.BLIF ahigh_i_27__n 0 1 -.names pos_clk_un19_bgack_030_int_i_n.BLIF pos_clk_un19_bgack_030_int_n +.names N_18.BLIF N_18_i 0 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_51_0 -11 1 -.names N_163_0.BLIF N_163 +.names ahigh_c_28__n.BLIF ahigh_i_28__n +0 1 +.names N_37_0.BLIF inst_VMA_INTreg.D +0 1 +.names ahigh_c_29__n.BLIF ahigh_i_29__n 0 1 -.names N_25_i.BLIF RST_c.BLIF N_28_0 -11 1 .names CLK_OSZI_c.BLIF inst_DSACK1_INT.C 1 1 -.names N_59.BLIF N_59_i +.names inst_VMA_INTreg.BLIF VMA_INT_i 0 1 -.names N_26_i.BLIF RST_c.BLIF N_29_0 -11 1 -.names N_61.BLIF N_61_i +.names ahigh_c_30__n.BLIF ahigh_i_30__n 0 1 -.names N_27_i.BLIF RST_c.BLIF N_30_0 -11 1 -.names N_62.BLIF N_62_i -0 1 -.names N_269.BLIF cpu_est_0_1__un3_n -0 1 -.names N_64.BLIF N_64_i -0 1 -.names cpu_est_1_.BLIF N_269.BLIF cpu_est_0_1__un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C -1 1 -.names N_244.BLIF N_244_i -0 1 -.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names N_78.BLIF N_78_i_0 -0 1 -.names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names N_79.BLIF N_79_i -0 1 -.names N_269.BLIF cpu_est_0_2__un3_n -0 1 -.names N_82.BLIF N_82_i -0 1 -.names cpu_est_2_.BLIF N_269.BLIF cpu_est_0_2__un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_AS_030_D0.C -1 1 .names A_DECODE_16_.BLIF a_decode_c_16__n 1 1 -.names N_76.BLIF N_76_i +.names N_347.BLIF N_347_i +0 1 +.names ahigh_c_31__n.BLIF ahigh_i_31__n 0 1 -.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 .names A_DECODE_17_.BLIF a_decode_c_17__n 1 1 -.names N_131.BLIF N_131_i +.names N_348.BLIF N_348_i +0 1 +.names a_decode_c_18__n.BLIF a_decode_i_18__n 0 1 -.names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D -1- 1 --1 1 .names A_DECODE_18_.BLIF a_decode_c_18__n 1 1 -.names N_130.BLIF N_130_i +.names N_188_i.BLIF N_188 0 1 -.names N_269.BLIF cpu_est_0_3__un3_n +.names a_decode_c_19__n.BLIF a_decode_i_19__n 0 1 +.names CLK_OSZI_c.BLIF inst_AS_000_INT.C +1 1 .names A_DECODE_19_.BLIF a_decode_c_19__n 1 1 -.names N_170.BLIF N_170_i +.names N_245_0.BLIF inst_BGACK_030_INT_D.D +0 1 +.names a_decode_c_16__n.BLIF a_decode_i_16__n 0 1 -.names cpu_est_3_.BLIF N_269.BLIF cpu_est_0_3__un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_VPA_D.C -1 1 .names A_DECODE_20_.BLIF a_decode_c_20__n 1 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +.names N_194_0.BLIF N_194 0 1 -.names N_192_i.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +.names N_169.BLIF RST_c.BLIF N_319 11 1 .names A_DECODE_21_.BLIF a_decode_c_21__n 1 1 -.names pos_clk_rw_000_dma_3_0_n.BLIF pos_clk_rw_000_dma_3_n +.names N_196_0.BLIF N_196 0 1 -.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D -1- 1 --1 1 +.names N_166_i.BLIF RST_c.BLIF N_320 +11 1 .names A_DECODE_22_.BLIF a_decode_c_22__n 1 1 -.names N_123.BLIF N_123_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +.names N_211.BLIF N_211_i 0 1 +.names N_191.BLIF sm_amiga_i_6__n.BLIF N_327 +11 1 +.names CLK_OSZI_c.BLIF inst_AS_030_D0.C +1 1 .names A_DECODE_23_.BLIF a_decode_c_23__n 1 1 -.names N_124.BLIF N_124_i +.names N_209.BLIF N_209_i 0 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +.names N_171.BLIF sm_amiga_i_i_7__n.BLIF N_273 11 1 -.names CLK_OSZI_c.BLIF inst_DTACK_D0.C -1 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c +.names N_306.BLIF N_306_i 0 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +.names BGACK_030_INT_i.BLIF N_184.BLIF N_333 11 1 .names A_1_.BLIF a_c_1__n 1 1 -.names N_122.BLIF N_122_i +.names N_193_0.BLIF N_193 0 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_25 -1- 1 --1 1 +.names BGACK_030_INT_i.BLIF N_184_i.BLIF N_334 +11 1 .names nEXP_SPACE.BLIF nEXP_SPACE_c 1 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n +.names N_190_0.BLIF N_190 0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names N_242_i.BLIF N_242 +.names CLK_OSZI_c.BLIF inst_VPA_D.C +1 1 +.names N_183_i.BLIF N_183 0 1 -.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +.names G_107.BLIF N_206_i +0 1 +.names BG_030.BLIF BG_030_c +1 1 +.names N_162_i.BLIF N_162 +0 1 +.names G_108.BLIF N_207_i +0 1 +.names BG_000DFFreg.BLIF BG_000 +1 1 +.names N_346.BLIF N_346_i +0 1 +.names G_109.BLIF N_208_i +0 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030 +1 1 +.names ipl_c_2__n.BLIF ipl_c_i_2__n +0 1 +.names ahigh_c_24__n.BLIF ahigh_i_24__n +0 1 +.names CLK_OSZI_c.BLIF inst_DTACK_D0.C +1 1 +.names BGACK_000.BLIF BGACK_000_c +1 1 +.names N_51_0.BLIF IPL_D0_2_.D +0 1 +.names ahigh_c_25__n.BLIF ahigh_i_25__n +0 1 +.names CLK_030.BLIF CLK_030_c +1 1 +.names N_25.BLIF N_25_i +0 1 +.names N_79.BLIF N_79_i +0 1 +.names CLK_000.BLIF CLK_000_D_0_.D +1 1 +.names N_28_0.BLIF IPL_030DFF_0_reg.D +0 1 +.names N_199.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 +.names CLK_OSZI.BLIF CLK_OSZI_c +1 1 +.names N_26.BLIF N_26_i +0 1 +.names N_79_i.BLIF N_199.BLIF amiga_bus_enable_dma_high_0_un1_n 11 1 .names CLK_OSZI_c.BLIF inst_CLK_030_H.C 1 1 -.names BG_030.BLIF BG_030_c -1 1 -.names N_239_i.BLIF N_239 -0 1 -.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n -11 1 -.names BG_000DFFreg.BLIF BG_000 -1 1 -.names N_87.BLIF N_87_i -0 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_26 -1- 1 --1 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -.names N_236_0.BLIF N_236 -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n -0 1 -.names BGACK_000.BLIF BGACK_000_c -1 1 -.names N_19.BLIF N_19_i -0 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_RESET_OUT.C -1 1 -.names CLK_030.BLIF CLK_030_c -1 1 -.names N_36_0.BLIF inst_RW_000_DMA.D -0 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names CLK_000.BLIF CLK_000_D_0_.D -1 1 -.names N_8.BLIF N_8_i -0 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_27 -1- 1 --1 1 -.names CLK_OSZI.BLIF CLK_OSZI_c -1 1 -.names N_42_0.BLIF inst_BGACK_030_INTreg.D -0 1 -.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n -0 1 .names CLK_OUT_INTreg.BLIF CLK_DIV_OUT 1 1 -.names N_3.BLIF N_3_i +.names N_29_0.BLIF IPL_030DFF_1_reg.D 0 1 -.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n 11 1 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C -1 1 .names CLK_OUT_INTreg.BLIF CLK_EXP 1 1 -.names N_45_0.BLIF inst_DS_000_DMA.D -0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names un21_fpu_cs_i.BLIF FPU_CS -1 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_21 -1- 1 --1 1 -.names FPU_SENSE.BLIF FPU_SENSE_c -1 1 -.names N_52_0.BLIF inst_VPA_D.D -0 1 -.names N_81.BLIF N_81_i -0 1 -.names IPL_030DFF_0_reg.BLIF IPL_030_0_ -1 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names N_94.BLIF amiga_bus_enable_dma_high_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF BG_000DFFreg.C -1 1 -.names IPL_030DFF_1_reg.BLIF IPL_030_1_ -1 1 -.names N_53_0.BLIF inst_DTACK_D0.D -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_94.BLIF amiga_bus_enable_dma_high_0_un1_n -11 1 -.names IPL_030DFF_2_reg.BLIF IPL_030_2_ -1 1 -.names N_249.BLIF N_249_i -0 1 -.names N_81_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n -11 1 -.names IPL_0_.BLIF ipl_c_0__n -1 1 -.names N_248.BLIF N_248_i +.names N_27.BLIF N_27_i 0 1 .names amiga_bus_enable_dma_high_0_un1_n.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF N_23 1- 1 -1 1 -.names IPL_1_.BLIF ipl_c_1__n +.names un21_fpu_cs_i.BLIF FPU_CS 1 1 -.names N_247.BLIF N_247_i +.names N_30_0.BLIF IPL_030DFF_2_reg.D 0 1 -.names N_9.BLIF RST_c.BLIF inst_DS_000_ENABLE.D -11 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C -1 1 -.names IPL_2_.BLIF ipl_c_2__n -1 1 -.names N_77.BLIF N_77_i -0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 -11 1 -.names N_251.BLIF N_251_i -0 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 -11 1 -.names DTACK.BLIF DTACK_c -1 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names N_23_i.BLIF RST_c.BLIF N_32_0 -11 1 -.names vcc_n_n.BLIF AVEC -1 1 -.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n -0 1 -.names N_21_i.BLIF RST_c.BLIF N_34_0 -11 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C -1 1 -.names un5_e.BLIF E -1 1 -.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n -0 1 -.names N_18_i.BLIF RST_c.BLIF N_37_0 -11 1 -.names VPA.BLIF VPA_c -1 1 -.names N_24.BLIF N_24_i -0 1 -.names N_16_i.BLIF RST_c.BLIF N_39_0 -11 1 -.names inst_VMA_INTreg.BLIF VMA -1 1 -.names N_31_0.BLIF BG_000DFFreg.D -0 1 -.names N_15_i.BLIF RST_c.BLIF N_40_0 -11 1 -.names RST.BLIF RST_c -1 1 -.names N_22.BLIF N_22_i -0 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 -11 1 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C -1 1 -.names N_33_0.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.D -0 1 -.names N_4_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names N_20.BLIF N_20_i -0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_49_0 -11 1 -.names FC_0_.BLIF fc_c_0__n -1 1 -.names N_35_0.BLIF inst_A0_DMA.D -0 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_50_0 -11 1 -.names FC_1_.BLIF fc_c_1__n -1 1 -.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un9_bg_030_0_n -11 1 -.names inst_RESET_OUT.BLIF RESET_OUT_i -0 1 -.names CLK_OSZI_c.BLIF inst_A0_DMA.C -1 1 -.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE -1 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names un7_as_030.BLIF un7_as_030_i -0 1 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names N_145.BLIF N_145_i -0 1 -.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF un1_amiga_bus_enable_low -11 1 -.names N_147.BLIF N_147_i -0 1 -.names N_108.BLIF AMIGA_BUS_ENABLE_HIGH -1 1 -.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i -0 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -.names un21_fpu_cs.BLIF un21_fpu_cs_i -0 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names pos_clk_ipl_1_n.BLIF N_207_i.BLIF pos_clk_ipl_n -11 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_53_0 -11 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names N_129_i.BLIF RST_c.BLIF N_258_i_1 -11 1 -.names RST_c.BLIF VPA_c_i.BLIF N_52_0 -11 1 -.names N_254.BLIF as_000_dma_0_un3_n -0 1 -.names N_258_i_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF inst_CLK_030_H.D -11 1 -.names N_3_i.BLIF RST_c.BLIF N_45_0 -11 1 -.names pos_clk_un21_bgack_030_int_i_0_n.BLIF N_254.BLIF as_000_dma_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -.names pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_264_i.BLIF N_259_i_1 -11 1 -.names N_8_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names N_259_i_1.BLIF RST_c.BLIF CYCLE_DMA_1_.D -11 1 -.names N_19_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_4 -1- 1 --1 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_282_1 -11 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names N_65.BLIF ds_000_enable_0_un3_n -0 1 -.names N_282_1.BLIF cpu_est_i_3__n.BLIF N_282 -11 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names un1_DS_000_ENABLE_0_sqmuxa.BLIF N_65.BLIF ds_000_enable_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -.names N_292.BLIF cpu_est_3_.BLIF N_284_1 -11 1 -.names N_24_i.BLIF RST_c.BLIF N_31_0 -11 1 -.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n -11 1 -.names N_284_1.BLIF cpu_est_i_1__n.BLIF N_284 -11 1 .names pos_clk_un9_bg_030_n.BLIF bg_000_0_un3_n 0 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_9 -1- 1 --1 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_288_1 -11 1 +.names FPU_SENSE.BLIF FPU_SENSE_c +1 1 +.names N_222.BLIF N_222_i +0 1 .names BG_030_c.BLIF pos_clk_un9_bg_030_n.BLIF bg_000_0_un1_n 11 1 -.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n +.names CLK_OSZI_c.BLIF inst_RESET_OUT.C +1 1 +.names IPL_030DFF_0_reg.BLIF IPL_030_0_ +1 1 +.names N_223.BLIF N_223_i 0 1 -.names N_288_1.BLIF cpu_est_i_3__n.BLIF N_288 -11 1 .names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n 11 1 -.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C +.names IPL_030DFF_1_reg.BLIF IPL_030_1_ 1 1 -.names pos_clk_un14_clk_000_ne_i_n.BLIF N_282_i.BLIF un5_e_0_1 -11 1 +.names N_231.BLIF N_231_i +0 1 .names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_24 1- 1 -1 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names un5_e_0_1.BLIF N_284_i.BLIF un5_e_0 -11 1 -.names N_80.BLIF N_80_i +.names IPL_030DFF_2_reg.BLIF IPL_030_2_ +1 1 +.names N_237.BLIF N_237_i 0 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 +.names N_24_i.BLIF RST_c.BLIF N_31_0 +11 1 +.names IPL_0_.BLIF ipl_c_0__n +1 1 +.names N_342.BLIF N_342_i +0 1 +.names N_23_i.BLIF RST_c.BLIF N_32_0 +11 1 +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +1 1 +.names IPL_1_.BLIF ipl_c_1__n +1 1 +.names N_341.BLIF N_341_i +0 1 +.names N_22_i.BLIF RST_c.BLIF N_33_0 +11 1 +.names IPL_2_.BLIF ipl_c_2__n +1 1 +.names N_160_0.BLIF N_160 +0 1 +.names N_20_i.BLIF RST_c.BLIF N_35_0 +11 1 +.names VPA_c.BLIF VPA_c_i +0 1 +.names N_19_i.BLIF RST_c.BLIF N_36_0 +11 1 +.names DTACK.BLIF DTACK_c +1 1 +.names N_52_0.BLIF inst_VPA_D.D +0 1 +.names N_16_i.BLIF RST_c.BLIF N_39_0 +11 1 +.names CLK_OSZI_c.BLIF BG_000DFFreg.C +1 1 +.names vcc_n_n.BLIF AVEC +1 1 +.names DTACK_c.BLIF DTACK_c_i +0 1 +.names N_15_i.BLIF RST_c.BLIF N_40_0 +11 1 +.names N_296_i.BLIF E +1 1 +.names N_53_0.BLIF inst_DTACK_D0.D +0 1 +.names N_113.BLIF size_dma_0_0__un3_n +0 1 +.names VPA.BLIF VPA_c +1 1 +.names ipl_c_0__n.BLIF ipl_c_i_0__n +0 1 +.names pos_clk_size_dma_6_0__n.BLIF N_113.BLIF size_dma_0_0__un1_n +11 1 +.names inst_VMA_INTreg.BLIF VMA +1 1 +.names N_49_0.BLIF IPL_D0_0_.D +0 1 +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n +11 1 +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.C +1 1 +.names RST.BLIF RST_c +1 1 +.names ipl_c_1__n.BLIF ipl_c_i_1__n +0 1 +.names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 -1 1 -.names N_285_i.BLIF N_291_i.BLIF N_192_i_1 -11 1 -.names N_94.BLIF amiga_bus_enable_dma_low_0_un3_n +.names N_50_0.BLIF IPL_D0_1_.D 0 1 -.names N_67.BLIF as_030_000_sync_0_un3_n +.names N_113.BLIF size_dma_0_1__un3_n 0 1 -.names N_192_i_1.BLIF N_292_i.BLIF N_192_i +.names ipl_c_i_2__n.BLIF RST_c.BLIF N_51_0 11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_94.BLIF amiga_bus_enable_dma_low_0_un1_n +.names pos_clk_size_dma_6_1__n.BLIF N_113.BLIF size_dma_0_1__un1_n 11 1 -.names inst_AS_030_000_SYNC.BLIF N_67.BLIF as_030_000_sync_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C +.names FC_0_.BLIF fc_c_0__n 1 1 -.names N_208_i.BLIF N_206_i.BLIF pos_clk_ipl_1_n +.names ipl_c_i_1__n.BLIF RST_c.BLIF N_50_0 11 1 -.names N_80_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n 11 1 -.names AS_030_c.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n -11 1 -.names un21_fpu_cs_1.BLIF FPU_SENSE_i.BLIF un21_fpu_cs -11 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 -1- 1 --1 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_15 -1- 1 --1 1 -.names N_114_i.BLIF N_115_i.BLIF N_140_i_1 -11 1 -.names N_94.BLIF a0_dma_0_un3_n -0 1 -.names un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un3_n -0 1 -.names N_140_i_1.BLIF RST_c.BLIF SM_AMIGA_0_.D -11 1 -.names inst_A0_DMA.BLIF N_94.BLIF a0_dma_0_un1_n -11 1 -.names pos_clk_rw_000_int_5_n.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.C 1 1 -.names N_116_i.BLIF N_117_i.BLIF N_154_i_1 -11 1 -.names pos_clk_a0_dma_3_n.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names N_154_i_1.BLIF RST_c.BLIF SM_AMIGA_i_7_.D -11 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_16 -1- 1 --1 1 -.names N_118_i.BLIF N_265.BLIF N_152_i_1 -11 1 -.names N_94.BLIF rw_000_dma_0_un3_n -0 1 -.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names N_152_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D -11 1 -.names inst_RW_000_DMA.BLIF N_94.BLIF rw_000_dma_0_un1_n -11 1 -.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C +.names FC_1_.BLIF fc_c_1__n 1 1 -.names N_119_i.BLIF N_269.BLIF N_150_i_1 -11 1 -.names pos_clk_rw_000_dma_3_n.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names N_150_i_1.BLIF RST_c.BLIF SM_AMIGA_5_.D -11 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 -1- 1 --1 1 -.names N_120_i.BLIF N_263.BLIF N_148_i_1 -11 1 -.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names vcc_n_n -1 -.names N_148_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D -11 1 -.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names gnd_n_n -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -.names N_171_i.BLIF N_263.BLIF N_144_i_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n -11 1 -.names A_DECODE_15_.BLIF a_decode_15__n -1 1 -.names N_144_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D -11 1 -.names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_8 -1- 1 --1 1 -.names A_DECODE_14_.BLIF a_decode_14__n -1 1 -.names N_121_i.BLIF RW_000_i.BLIF N_255_0_1 -11 1 -.names N_255.BLIF ds_000_dma_0_un3_n -0 1 -.names A_DECODE_13_.BLIF a_decode_13__n -1 1 -.names N_255_0_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_255_0 -11 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_255.BLIF ds_000_dma_0_un1_n -11 1 -.names A_DECODE_12_.BLIF a_decode_12__n -1 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C -1 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_88_1 -11 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names A_DECODE_11_.BLIF a_decode_11__n -1 1 -.names N_88_1.BLIF pos_clk_un14_clk_000_ne_n.BLIF N_88 -11 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 -1- 1 --1 1 -.names A_DECODE_10_.BLIF a_decode_10__n -1 1 -.names N_62_i.BLIF N_64_i.BLIF N_142_i_1 -11 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_122 -11 1 -.names A_DECODE_9_.BLIF a_decode_9__n -1 1 -.names N_142_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names A_DECODE_8_.BLIF a_decode_8__n -1 1 -.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C -1 1 -.names N_58_i.BLIF N_244_i.BLIF N_146_i_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_123 -11 1 -.names A_DECODE_7_.BLIF a_decode_7__n -1 1 -.names N_146_i_1.BLIF RST_c.BLIF SM_AMIGA_3_.D -11 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n -0 1 -.names A_DECODE_6_.BLIF a_decode_6__n -1 1 -.names N_78_i_0.BLIF N_79_i.BLIF N_234_i_1 -11 1 -.names cycle_dma_i_0__n.BLIF N_263.BLIF N_130 -11 1 -.names A_DECODE_5_.BLIF a_decode_5__n -1 1 -.names N_234_i_1.BLIF RST_c.BLIF RST_DLY_0_.D -11 1 -.names AS_000_c.BLIF N_263_i.BLIF N_170 -11 1 -.names A_DECODE_4_.BLIF a_decode_4__n -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C -1 1 -.names nEXP_SPACE_c.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n -11 1 -.names N_122_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF pos_clk_ds_000_dma_4_0_n -11 1 -.names A_DECODE_3_.BLIF a_decode_3__n -1 1 -.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n -11 1 -.names N_123_i.BLIF N_124_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names A_DECODE_2_.BLIF a_decode_2__n -1 1 -.names N_264_i.BLIF RW_000_c.BLIF N_124_1 -11 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF pos_clk_rw_000_dma_3_0_n -11 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D -1 1 -.names N_124_1.BLIF nEXP_SPACE_i.BLIF N_124 -11 1 -.names BGACK_000_c.BLIF N_170_i.BLIF pos_clk_un6_bgack_000_0_n -11 1 -.names N_153.BLIF BGACK_000_c.BLIF un21_berr_1 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_264_i -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C -1 1 -.names un21_berr_1.BLIF FPU_SENSE_c.BLIF un21_berr -11 1 -.names N_153.BLIF BGACK_000_c.BLIF un21_fpu_cs_1 -11 1 -.names CYCLE_DMA_0_.BLIF N_263_i.BLIF N_131 -11 1 -.names N_130_i.BLIF N_131_i.BLIF N_260_i_1 -11 1 -.names SIZE_DMA_3_sqmuxa.BLIF size_dma_0_1__un3_n -0 1 -.names CYCLE_DMA_0_.BLIF pos_clk_un21_bgack_030_int_i_0_x2.X1 -1 1 -.names N_264_i.BLIF RST_c.BLIF N_260_i_2 -11 1 -.names SIZE_DMA_1_.BLIF SIZE_DMA_3_sqmuxa.BLIF size_dma_0_1__un1_n -11 1 -.names N_260_i_1.BLIF N_260_i_2.BLIF CYCLE_DMA_0_.D -11 1 -.names pos_clk_size_dma_6_1__n.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n -11 1 -.names CYCLE_DMA_1_.BLIF pos_clk_un21_bgack_030_int_i_0_x2.X2 -1 1 -.names N_76_i.BLIF N_77_i.BLIF N_233_i_1 +.names ipl_c_i_0__n.BLIF RST_c.BLIF N_49_0 11 1 .names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D 1- 1 -1 1 -.names N_251_i.BLIF RST_c.BLIF N_233_i_2 -11 1 -.names SIZE_DMA_3_sqmuxa.BLIF size_dma_0_0__un3_n -0 1 -.names N_233_i_1.BLIF N_233_i_2.BLIF RST_DLY_1_.D -11 1 -.names SIZE_DMA_0_.BLIF SIZE_DMA_3_sqmuxa.BLIF size_dma_0_0__un1_n -11 1 -.names IPL_D0_0_.BLIF G_107.X1 +.names gnd_n_n.BLIF AMIGA_ADDR_ENABLE 1 1 -.names N_247_i.BLIF N_248_i.BLIF N_232_i_1 +.names DTACK_c_i.BLIF RST_c.BLIF N_53_0 11 1 -.names pos_clk_size_dma_6_0__n.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR +1 1 +.names RST_c.BLIF VPA_c_i.BLIF N_52_0 11 1 -.names N_249_i.BLIF RST_c.BLIF N_232_i_2 +.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF un1_amiga_bus_enable_low 11 1 -.names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D +.names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW +1 1 +.names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i +0 1 +.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un9_bg_030_0_n +11 1 +.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C +1 1 +.names N_205.BLIF AMIGA_BUS_ENABLE_HIGH +1 1 +.names un21_fpu_cs.BLIF un21_fpu_cs_i +0 1 +.names un7_as_030.BLIF un7_as_030_i +0 1 +.names N_183.BLIF sm_amiga_i_0__n.BLIF N_267 +11 1 +.names N_169.BLIF N_169_i +0 1 +.names N_144_i_1.BLIF RST_c.BLIF SM_AMIGA_2_.D +11 1 +.names N_165.BLIF RST_c.BLIF N_237 +11 1 +.names N_165.BLIF N_165_i +0 1 +.names N_267_i.BLIF N_324_i.BLIF N_140_i_1 +11 1 +.names N_183_i.BLIF RST_c.BLIF N_231 +11 1 +.names un6_ds_030.BLIF un6_ds_030_i +0 1 +.names CLK_OSZI_c.BLIF inst_A0_DMA.C +1 1 +.names N_140_i_1.BLIF RST_c.BLIF SM_AMIGA_0_.D +11 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names N_297.BLIF as_030_000_sync_0_un3_n +0 1 +.names nEXP_SPACE_c.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n +11 1 +.names N_160.BLIF cpu_est_i_2__n.BLIF N_223 +11 1 +.names AS_030_c.BLIF N_297.BLIF as_030_000_sync_0_un1_n +11 1 +.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n +11 1 +.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n +11 1 +.names N_305_i.BLIF N_350.BLIF N_230_1 +11 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_15 1- 1 -1 1 -.names ipl_c_0__n.BLIF G_107.X2 +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +1 1 +.names N_230_1.BLIF RST_c.BLIF N_230 +11 1 +.names un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un3_n +0 1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_221_1 +11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +0 1 +.names pos_clk_rw_000_int_5_n.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un1_n +11 1 +.names N_221_1.BLIF cpu_est_i_3__n.BLIF N_221 +11 1 +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n +11 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names N_210_i.BLIF rst_dly_i_0__n.BLIF N_215_1 +11 1 +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_16 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C +1 1 +.names N_215_1.BLIF rst_dly_i_1__n.BLIF N_215 +11 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_27 +1- 1 +-1 1 +.names N_199.BLIF rw_000_dma_0_un3_n +0 1 +.names N_163.BLIF N_210_i.BLIF N_306_1 +11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n +0 1 +.names N_300.BLIF N_199.BLIF rw_000_dma_0_un1_n +11 1 +.names N_306_1.BLIF rst_dly_i_2__n.BLIF N_306 +11 1 +.names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n +11 1 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names N_208_i.BLIF N_206_i.BLIF pos_clk_ipl_1_n +11 1 +.names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n +11 1 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C +1 1 +.names pos_clk_ipl_1_n.BLIF N_207_i.BLIF pos_clk_ipl_n +11 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_26 +1- 1 +-1 1 +.names N_199.BLIF a0_dma_0_un3_n +0 1 +.names N_335_i.BLIF N_336_i.BLIF N_142_i_1 +11 1 +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n +0 1 +.names pos_clk_a0_dma_3_n.BLIF N_199.BLIF a0_dma_0_un1_n +11 1 +.names N_142_i_1.BLIF RST_c.BLIF SM_AMIGA_1_.D +11 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names N_295_i.BLIF N_332_i.BLIF N_234_i_1 +11 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C +1 1 +.names N_234_i_1.BLIF RST_c.BLIF RST_DLY_0_.D +11 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_25 +1- 1 +-1 1 +.names N_78.BLIF N_78_i +0 1 +.names N_180_i.BLIF N_292_i.BLIF N_302_0_1 +11 1 +.names N_305.BLIF cpu_est_0_3__un3_n +0 1 +.names N_199.BLIF amiga_bus_enable_dma_low_0_un3_n +0 1 +.names N_302_0_1.BLIF RW_000_i.BLIF N_302_0 +11 1 +.names cpu_est_3_.BLIF N_305.BLIF cpu_est_0_3__un1_n +11 1 +.names N_78_i.BLIF N_199.BLIF amiga_bus_enable_dma_low_0_un1_n +11 1 +.names N_180_i.BLIF N_290_i.BLIF N_63_i_1 +11 1 +.names N_192_i.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +11 1 +.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C +1 1 +.names N_63_i_1.BLIF RST_c.BLIF inst_CLK_030_H.D +11 1 +.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D +1- 1 +-1 1 +.names amiga_bus_enable_dma_low_0_un1_n.BLIF amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 +1- 1 +-1 1 +.names N_273_i.BLIF N_275_i.BLIF N_154_i_1 +11 1 +.names N_27_i.BLIF RST_c.BLIF N_30_0 +11 1 +.names vcc_n_n +1 +.names N_154_i_1.BLIF RST_c.BLIF SM_AMIGA_i_7_.D +11 1 +.names N_26_i.BLIF RST_c.BLIF N_29_0 +11 1 +.names gnd_n_n +.names N_166.BLIF N_327_i.BLIF N_152_i_1 +11 1 +.names N_25_i.BLIF RST_c.BLIF N_28_0 +11 1 +.names A_DECODE_15_.BLIF a_decode_15__n +1 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +1 1 +.names N_152_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D +11 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names A_DECODE_14_.BLIF a_decode_14__n +1 1 +.names N_155.BLIF N_270_i.BLIF N_148_i_1 +11 1 +.names inst_DSACK1_INT.BLIF DSACK1_INT_i +0 1 +.names A_DECODE_13_.BLIF a_decode_13__n +1 1 +.names N_148_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D +11 1 +.names AS_030_i.BLIF DSACK1_INT_i.BLIF N_165 +11 1 +.names A_DECODE_12_.BLIF a_decode_12__n +1 1 +.names N_155.BLIF N_269_i.BLIF N_144_i_1 +11 1 +.names inst_DTACK_D0.BLIF DTACK_D0_i +0 1 +.names A_DECODE_11_.BLIF a_decode_11__n +1 1 +.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C +1 1 +.names N_306_i.BLIF RST_c.BLIF N_232_i_2 +11 1 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_348 +11 1 +.names A_DECODE_10_.BLIF a_decode_10__n 1 1 .names N_232_i_1.BLIF N_232_i_2.BLIF RST_DLY_2_.D 11 1 -.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_80 -11 1 -.names N_210_i.BLIF N_239.BLIF N_247_1 -11 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names N_247_1.BLIF rst_dly_i_2__n.BLIF N_247 -11 1 -.names N_94.BLIF RST_c.BLIF SIZE_DMA_3_sqmuxa -11 1 -.names IPL_D0_1_.BLIF G_108.X1 -1 1 -.names N_210_i.BLIF rst_dly_i_0__n.BLIF N_77_1 -11 1 -.names N_210_i.BLIF N_269_i.BLIF N_87 -11 1 -.names N_77_1.BLIF rst_dly_i_1__n.BLIF N_77 -11 1 -.names N_239_i.BLIF RST_DLY_2_.BLIF N_91 -11 1 -.names ipl_c_1__n.BLIF G_108.X2 -1 1 -.names N_91.BLIF N_269_i.BLIF N_83_1 -11 1 -.names N_269.BLIF RST_c.BLIF N_92 -11 1 -.names N_83_1.BLIF RST_c.BLIF N_83 -11 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_94 -11 1 -.names N_153_1.BLIF N_153_2.BLIF N_153_4 -11 1 -.names N_91_i.BLIF RST_c.BLIF N_210_i -11 1 -.names IPL_D0_2_.BLIF G_109.X1 -1 1 -.names N_153_3.BLIF fc_c_0__n.BLIF N_153_5 -11 1 -.names N_245_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names N_153_4.BLIF N_153_5.BLIF N_153 -11 1 -.names N_246_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names ipl_c_2__n.BLIF G_109.X2 -1 1 -.names ahigh_i_24__n.BLIF ahigh_i_25__n.BLIF un10_ciin_1 -11 1 -.names N_87_i.BLIF RST_c.BLIF N_236_0 -11 1 -.names ahigh_i_26__n.BLIF ahigh_i_27__n.BLIF un10_ciin_2 -11 1 -.names RST_DLY_0_.BLIF rst_dly_i_0__n +.names inst_VPA_D.BLIF VPA_D_i 0 1 -.names ahigh_i_28__n.BLIF ahigh_i_29__n.BLIF un10_ciin_3 +.names A_DECODE_9_.BLIF a_decode_9__n +1 1 +.names N_305_i.BLIF N_352.BLIF N_219_1 11 1 -.names RST_DLY_1_.BLIF rst_dly_i_1__n +.names N_305_i.BLIF cpu_est_0_.BLIF N_342 +11 1 +.names A_DECODE_8_.BLIF a_decode_8__n +1 1 +.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_219_2 +11 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n 0 1 -.names CYCLE_DMA_1_.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X1 +.names A_DECODE_7_.BLIF a_decode_7__n 1 1 -.names ahigh_i_30__n.BLIF ahigh_i_31__n.BLIF un10_ciin_4 -11 1 -.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_239_i -11 1 -.names a_decode_c_23__n.BLIF AS_030_D0_i.BLIF un10_ciin_5 -11 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF N_242_i -11 1 -.names N_131.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X2 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C 1 1 -.names a_decode_c_20__n.BLIF a_decode_c_21__n.BLIF un10_ciin_6 +.names N_219_1.BLIF N_219_2.BLIF N_219 11 1 -.names N_58.BLIF SM_AMIGA_3_.BLIF N_163_0 +.names N_305.BLIF cpu_est_i_0__n.BLIF N_341 11 1 -.names un10_ciin_1.BLIF un10_ciin_2.BLIF un10_ciin_7 +.names A_DECODE_6_.BLIF a_decode_6__n +1 1 +.names N_155_i.BLIF N_164_i.BLIF N_218_1 +11 1 +.names N_188.BLIF N_305_i.BLIF N_338 +11 1 +.names A_DECODE_5_.BLIF a_decode_5__n +1 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_218_2 +11 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names A_DECODE_4_.BLIF a_decode_4__n +1 1 +.names N_218_1.BLIF N_218_2.BLIF N_218 +11 1 +.names N_194.BLIF sm_amiga_i_1__n.BLIF N_335 +11 1 +.names A_DECODE_3_.BLIF a_decode_3__n +1 1 +.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C +1 1 +.names N_160_0.BLIF N_164_i.BLIF N_347_1 11 1 .names SM_AMIGA_2_.BLIF sm_amiga_i_2__n 0 1 +.names A_DECODE_2_.BLIF a_decode_2__n +1 1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF N_347_2 +11 1 +.names N_196.BLIF sm_amiga_i_2__n.BLIF N_269 +11 1 +.names N_347_1.BLIF N_347_2.BLIF N_347 +11 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_136_i_1 +11 1 +.names N_346_i.BLIF RST_c.BLIF N_159_0 +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C +1 1 +.names N_136_i_1.BLIF N_245_0.BLIF CYCLE_DMA_1_.D +11 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_162_i +11 1 +.names N_338_i.BLIF N_339_i.BLIF N_146_i_1 +11 1 +.names N_157_i.BLIF SM_AMIGA_1_.BLIF N_183_i +11 1 +.names N_146_i_1.BLIF RST_c.BLIF SM_AMIGA_3_.D +11 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_D.D +1 1 +.names AS_030_D0_i.BLIF N_161.BLIF N_227_1 +11 1 +.names cpu_est_1_.BLIF cpu_est_i_3__n.BLIF N_190_0 +11 1 +.names N_199_i.BLIF sm_amiga_i_i_7__n.BLIF N_227_2 +11 1 +.names cpu_est_3_.BLIF cpu_est_i_1__n.BLIF N_193_0 +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C +1 1 +.names N_227_1.BLIF N_227_2.BLIF N_227_3 +11 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names N_227_3.BLIF nEXP_SPACE_c.BLIF N_227 +11 1 +.names N_155_i.BLIF SM_AMIGA_2_.BLIF N_194_0 +11 1 +.names un21_berr_1.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 +11 1 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_245_0 +11 1 +.names CYCLE_DMA_0_.BLIF pos_clk_un21_bgack_030_int_i_i_a2_i_x2.X1 +1 1 +.names un21_fpu_cs_1.BLIF N_161_i.BLIF un21_fpu_cs +11 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_164_i +11 1 +.names un21_berr_1.BLIF FPU_SENSE_c.BLIF un21_berr_1_0 +11 1 +.names cpu_est_3_.BLIF cpu_est_i_3__n +0 1 +.names CYCLE_DMA_1_.BLIF pos_clk_un21_bgack_030_int_i_i_a2_i_x2.X2 +1 1 +.names un21_berr_1_0.BLIF N_161_i.BLIF un21_berr +11 1 +.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_160_0 +11 1 +.names AS_000_i.BLIF N_216_i.BLIF N_235_i_1 +11 1 +.names N_341_i.BLIF N_342_i.BLIF cpu_est_0_.D +11 1 +.names N_217_i.BLIF N_245_0.BLIF N_235_i_2 +11 1 +.names N_231_i.BLIF N_237_i.BLIF inst_DSACK1_INT.D +11 1 +.names IPL_D0_2_.BLIF G_109.X1 +1 1 +.names N_235_i_1.BLIF N_235_i_2.BLIF CYCLE_DMA_0_.D +11 1 +.names N_222_i.BLIF N_223_i.BLIF N_192_i +11 1 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_256_1 +11 1 +.names N_162.BLIF cpu_est_2_.BLIF N_222 +11 1 +.names ipl_c_2__n.BLIF G_109.X2 +1 1 +.names RW_000_c.BLIF nEXP_SPACE_i.BLIF N_256_2 +11 1 +.names N_193.BLIF cpu_est_i_2__n.BLIF N_224 +11 1 +.names N_256_1.BLIF N_256_2.BLIF N_256 +11 1 +.names N_190.BLIF cpu_est_2_.BLIF N_225 +11 1 +.names N_209_i.BLIF N_211_i.BLIF N_232_i_1 +11 1 +.names N_159.BLIF RST_DLY_0_.BLIF N_295 +11 1 +.names IPL_D0_1_.BLIF G_108.X1 +1 1 +.names ahigh_i_24__n.BLIF ahigh_i_25__n.BLIF un10_ciin_1 +11 1 +.names N_157_i.BLIF sm_amiga_i_2__n.BLIF N_336 +11 1 +.names ahigh_i_26__n.BLIF ahigh_i_27__n.BLIF un10_ciin_2 +11 1 +.names N_350.BLIF N_350_i_0 +0 1 +.names ipl_c_1__n.BLIF G_108.X2 +1 1 +.names ahigh_i_28__n.BLIF ahigh_i_29__n.BLIF un10_ciin_3 +11 1 +.names N_305_i.BLIF N_350_i_0.BLIF N_346 +11 1 +.names ahigh_i_30__n.BLIF ahigh_i_31__n.BLIF un10_ciin_4 +11 1 +.names N_162_i.BLIF cpu_est_i_2__n.BLIF N_352 +11 1 +.names a_decode_c_23__n.BLIF AS_030_D0_i.BLIF un10_ciin_5 +11 1 +.names N_218_i.BLIF N_219_i.BLIF pos_clk_un9_clk_000_pe_0_n +11 1 +.names IPL_D0_0_.BLIF G_107.X1 +1 1 +.names a_decode_c_20__n.BLIF a_decode_c_21__n.BLIF un10_ciin_6 +11 1 +.names N_220_i.BLIF N_221_i.BLIF cpu_est_2_0_1__n +11 1 +.names un10_ciin_1.BLIF un10_ciin_2.BLIF un10_ciin_7 +11 1 +.names N_222_i.BLIF N_352_i.BLIF cpu_est_2_0_2__n +11 1 +.names ipl_c_0__n.BLIF G_107.X2 +1 1 .names un10_ciin_3.BLIF un10_ciin_4.BLIF un10_ciin_8 11 1 -.names N_163.BLIF sm_amiga_i_2__n.BLIF N_171 +.names N_224_i.BLIF N_225_i.BLIF N_296_i 11 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D -1 1 .names un10_ciin_5.BLIF un10_ciin_6.BLIF un10_ciin_9 11 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 +.names N_350_i_0.BLIF RST_c.BLIF N_210_i +11 1 .names un10_ciin_7.BLIF un10_ciin_8.BLIF un10_ciin_10 11 1 -.names UDS_000_c.BLIF UDS_000_i +.names CLK_000_D_1_.BLIF clk_000_d_i_1__n 0 1 +.names CYCLE_DMA_1_.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X1 +1 1 .names un10_ciin_9.BLIF a_decode_c_22__n.BLIF un10_ciin_11 11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un19_bgack_030_int_i_n +.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_305_i 11 1 .names un10_ciin_10.BLIF un10_ciin_11.BLIF un10_ciin 11 1 -.names BGACK_030_INT_i.BLIF N_242_i.BLIF N_245 +.names N_155_i.BLIF SM_AMIGA_6_.BLIF N_166_i 11 1 -.names N_240_0_1.BLIF N_89_i.BLIF N_240_0 +.names N_217.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.X2 +1 1 +.names AS_030_000_SYNC_i.BLIF CLK_000_D_5_.BLIF N_171_i_1 11 1 -.names BGACK_030_INT_i.BLIF N_242.BLIF N_246 +.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_198_0 11 1 -.names size_c_i_1__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n +.names clk_000_d_i_4__n.BLIF nEXP_SPACE_c.BLIF N_171_i_2 11 1 -.names N_91.BLIF N_236.BLIF N_248 +.names N_171_i_1.BLIF N_171_i_2.BLIF N_171_i 11 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D +1 1 +.names a_decode_i_18__n.BLIF a_decode_i_19__n.BLIF N_161_i_3 +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names N_161_i_1.BLIF N_161_i_2.BLIF N_161_i_4 +11 1 +.names nEXP_SPACE_c.BLIF nEXP_SPACE_i +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C +1 1 .end diff --git a/Logic/BUS68030.bl1 b/Logic/BUS68030.bl1 index 5422309..8a83527 100644 --- a/Logic/BUS68030.bl1 +++ b/Logic/BUS68030.bl1 @@ -1,113 +1,109 @@ #$ TOOL ispLEVER Classic 2.0.00.17.20.15 -#$ DATE Thu Oct 06 21:34:55 2016 +#$ DATE Thu Oct 06 22:04:11 2016 #$ MODULE bus68030 #$ PINS 75 SIZE_1_ AHIGH_31_ A_DECODE_23_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 \ -# DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 SIZE_0_ \ -# CLK_000 AHIGH_30_ CLK_OSZI AHIGH_29_ CLK_DIV_OUT AHIGH_28_ CLK_EXP AHIGH_27_ FPU_CS \ -# AHIGH_26_ FPU_SENSE AHIGH_25_ DSACK1 AHIGH_24_ DTACK A_DECODE_22_ AVEC A_DECODE_21_ E \ -# A_DECODE_20_ VPA A_DECODE_19_ VMA A_DECODE_18_ RST A_DECODE_17_ RESET A_DECODE_16_ RW \ -# A_DECODE_15_ AMIGA_ADDR_ENABLE A_DECODE_14_ AMIGA_BUS_DATA_DIR A_DECODE_13_ \ -# AMIGA_BUS_ENABLE_LOW A_DECODE_12_ AMIGA_BUS_ENABLE_HIGH A_DECODE_11_ CIIN \ -# A_DECODE_10_ A_DECODE_9_ A_DECODE_8_ A_DECODE_7_ A_DECODE_6_ A_DECODE_5_ A_DECODE_4_ \ +# DS_030 UDS_000 LDS_000 nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 SIZE_0_ CLK_030 \ +# AHIGH_30_ CLK_000 AHIGH_29_ CLK_OSZI AHIGH_28_ CLK_DIV_OUT AHIGH_27_ CLK_EXP AHIGH_26_ \ +# FPU_CS AHIGH_25_ FPU_SENSE AHIGH_24_ DSACK1 A_DECODE_22_ DTACK A_DECODE_21_ AVEC \ +# A_DECODE_20_ E A_DECODE_19_ VPA A_DECODE_18_ VMA A_DECODE_17_ RST A_DECODE_16_ RESET \ +# A_DECODE_15_ RW A_DECODE_14_ AMIGA_ADDR_ENABLE A_DECODE_13_ AMIGA_BUS_DATA_DIR \ +# A_DECODE_12_ AMIGA_BUS_ENABLE_LOW A_DECODE_11_ AMIGA_BUS_ENABLE_HIGH A_DECODE_10_ \ +# CIIN A_DECODE_9_ A_DECODE_8_ A_DECODE_7_ A_DECODE_6_ A_DECODE_5_ A_DECODE_4_ \ # A_DECODE_3_ A_DECODE_2_ A_0_ IPL_030_1_ IPL_030_0_ IPL_1_ IPL_0_ FC_0_ A_1_ -#$ NODES 601 nEXP_SPACE_i N_171_i CLK_030_H_i FPU_SENSE_i N_121_i AS_030_i N_255_0 \ -# AS_000_DMA_i un1_SM_AMIGA_0_sqmuxa_1_0 AS_000_i N_48_0 AS_000_INT_i N_125_i \ -# DSACK1_INT_i N_126_i inst_BGACK_030_INTreg clk_000_d_i_0__n vcc_n_n \ -# clk_000_d_i_3__n N_127_i un5_e clk_000_d_i_1__n N_128_i inst_VMA_INTreg \ -# cpu_est_i_2__n gnd_n_n cpu_est_i_3__n RW_c_i un1_amiga_bus_enable_low \ -# a_decode_i_16__n pos_clk_rw_000_int_5_0_n un7_as_030 a_decode_i_18__n N_129_i \ -# un1_UDS_000_INT a_decode_i_19__n un1_LDS_000_INT ahigh_i_30__n \ -# un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_31__n un10_ciin_i un1_DS_000_ENABLE_0_sqmuxa \ -# ahigh_i_28__n N_261_0 un10_ciin ahigh_i_29__n N_65_0 un21_fpu_cs ahigh_i_26__n \ -# N_134_i un21_berr ahigh_i_27__n N_153_i un6_ds_030 ahigh_i_24__n N_67_0 cpu_est_3_ \ -# ahigh_i_25__n un2_as_030_i cpu_est_0_ N_206_i N_263_i cpu_est_1_ N_207_i N_265_i \ -# cpu_est_2_ N_208_i AS_030_000_SYNC_i inst_AMIGA_BUS_ENABLE_DMA_LOW N_84_0 \ -# inst_AS_030_D0 clk_000_d_i_2__n inst_AS_030_000_SYNC N_81_i N_85_i \ -# inst_BGACK_030_INT_D un6_ds_030_i N_141_i inst_AS_000_DMA DS_000_DMA_i \ -# un1_DS_000_ENABLE_0_sqmuxa_i inst_DS_000_DMA N_147_i \ -# pos_clk_un21_bgack_030_int_i_0_i_n CYCLE_DMA_0_ N_145_i N_269_i CYCLE_DMA_1_ \ -# un7_as_030_i N_90_i inst_VPA_D RESET_OUT_i N_270_i CLK_000_D_2_ AS_030_c N_271_0 \ -# CLK_000_D_3_ N_96_0 inst_DTACK_D0 AS_000_c N_97_0 inst_RESET_OUT N_98_0 CLK_000_D_1_ \ -# RW_000_c N_282_i CLK_000_D_0_ N_284_i inst_CLK_OUT_PRE_50 \ -# pos_clk_un14_clk_000_ne_i_n inst_CLK_OUT_PRE_D UDS_000_c un5_e_0 IPL_D0_0_ N_285_i \ -# IPL_D0_1_ LDS_000_c N_291_i IPL_D0_2_ N_292_i CLK_000_D_4_ size_c_0__n N_192_i \ -# pos_clk_un6_bg_030_n N_17_i inst_AMIGA_BUS_ENABLE_DMA_HIGH size_c_1__n \ -# cpu_est_2_0_2__n pos_clk_ipl_n N_286_i SM_AMIGA_1_ ahigh_c_24__n N_288_i \ -# AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa cpu_est_2_0_1__n inst_UDS_000_INT \ -# ahigh_c_25__n N_289_i inst_DS_000_ENABLE N_290_i inst_LDS_000_INT ahigh_c_26__n \ -# pos_clk_un9_clk_000_pe_0_n SM_AMIGA_6_ N_280_i SM_AMIGA_4_ ahigh_c_27__n \ -# pos_clk_un10_sm_amiga_i_n SM_AMIGA_0_ a_c_i_0__n SIZE_DMA_0_ ahigh_c_28__n \ -# size_c_i_1__n SIZE_DMA_1_ N_27_i inst_RW_000_INT ahigh_c_29__n N_30_0 \ -# inst_RW_000_DMA N_26_i RST_DLY_0_ ahigh_c_30__n N_29_0 RST_DLY_1_ N_25_i RST_DLY_2_ \ -# ahigh_c_31__n N_28_0 inst_A0_DMA ipl_c_i_2__n pos_clk_un9_clk_000_pe_n N_51_0 \ -# inst_CLK_030_H ipl_c_i_1__n pos_clk_rw_000_int_5_n N_50_0 inst_DSACK1_INT \ -# ipl_c_i_0__n inst_AS_000_INT N_49_0 SM_AMIGA_5_ N_4_i SM_AMIGA_3_ N_44_0 SM_AMIGA_2_ \ -# N_14_i N_4 N_41_0 N_15_i N_40_0 N_9 N_16_i N_39_0 N_18_i N_37_0 N_14 N_21_i N_15 N_34_0 N_16 \ -# N_23_i N_18 N_32_0 N_21 LDS_000_INT_i N_23 un1_LDS_000_INT_0 N_25 UDS_000_INT_i N_26 \ -# un1_UDS_000_INT_0 N_27 N_96_0_1 N_96_0_2 N_96_0_3 \ -# pos_clk_un21_bgack_030_int_i_0_i_1_n N_84_0_1 a_decode_c_16__n N_84_0_2 N_240_0_1 \ -# a_decode_c_17__n pos_clk_un10_sm_amiga_i_1_n N_289_1 a_decode_c_18__n N_289_2 \ -# N_290_1 a_decode_c_19__n N_290_2 pos_clk_un14_clk_000_ne_1_n a_decode_c_20__n \ -# pos_clk_un14_clk_000_ne_2_n N_153_1 a_decode_c_21__n N_153_2 N_153_3 \ -# a_decode_c_22__n N_153_4 N_153_5 a_decode_c_23__n un10_ciin_1 un10_ciin_2 a_c_0__n \ -# un10_ciin_3 un10_ciin_4 a_c_1__n un10_ciin_5 un10_ciin_6 SM_AMIGA_i_7_ nEXP_SPACE_c \ -# un10_ciin_7 cpu_est_2_1__n un10_ciin_8 cpu_est_2_2__n BERR_c un10_ciin_9 G_107 \ -# un10_ciin_10 G_108 BG_030_c un10_ciin_11 G_109 N_260_i_1 \ -# pos_clk_un21_bgack_030_int_i_0_n BG_000DFFreg N_260_i_2 N_81 N_233_i_1 N_94 \ -# N_233_i_2 N_254 BGACK_000_c N_232_i_1 N_255 N_232_i_2 N_261 CLK_030_c N_247_1 N_65 \ -# N_77_1 N_67 N_83_1 N_269 N_88_1 N_108 CLK_OSZI_c N_142_i_1 N_135 N_146_i_1 N_136 \ -# N_234_i_1 N_145 CLK_OUT_INTreg pos_clk_un6_bg_030_1_n N_278 N_124_1 N_147 un21_berr_1 \ -# N_58 FPU_SENSE_c un21_fpu_cs_1 N_110 N_140_i_1 N_239 IPL_030DFF_0_reg N_154_i_1 N_90 \ -# N_152_i_1 N_265 IPL_030DFF_1_reg N_150_i_1 pos_clk_CYCLE_DMA_5_1_i_x2 N_148_i_1 \ -# pos_clk_un21_bgack_030_int_i_0_x2 IPL_030DFF_2_reg N_144_i_1 \ -# pos_clk_un19_bgack_030_int_n N_255_0_1 N_280 ipl_c_0__n N_258_i_1 N_263 N_259_i_1 \ -# N_247 ipl_c_1__n N_282_1 N_77 N_284_1 N_289 ipl_c_2__n N_288_1 N_291 un5_e_0_1 N_290 \ -# N_192_i_1 N_286 DTACK_c pos_clk_ipl_1_n N_288 bg_000_0_un3_n N_285 bg_000_0_un1_n N_17 \ -# bg_000_0_un0_n N_292 VPA_c amiga_bus_enable_dma_low_0_un3_n \ -# pos_clk_un14_clk_000_ne_n amiga_bus_enable_dma_low_0_un1_n N_282 \ -# amiga_bus_enable_dma_low_0_un0_n N_284 RST_c a0_dma_0_un3_n N_98 a0_dma_0_un1_n N_97 \ -# a0_dma_0_un0_n N_84 RW_c rw_000_dma_0_un3_n N_96 rw_000_dma_0_un1_n N_271 fc_c_0__n \ -# rw_000_dma_0_un0_n N_117 bgack_030_int_0_un3_n N_141 fc_c_1__n \ -# bgack_030_int_0_un1_n N_134 bgack_030_int_0_un0_n N_153 ds_000_dma_0_un3_n N_129 \ -# AMIGA_BUS_DATA_DIR_c ds_000_dma_0_un1_n N_127 ds_000_dma_0_un0_n N_128 \ -# size_dma_0_1__un3_n N_125 size_dma_0_1__un1_n N_126 size_dma_0_1__un0_n N_124 \ -# BG_030_c_i size_dma_0_0__un3_n N_121 pos_clk_un6_bg_030_i_n size_dma_0_0__un1_n \ -# N_171 pos_clk_un9_bg_030_0_n size_dma_0_0__un0_n N_120 N_24_i \ -# un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_119 N_31_0 \ -# un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_118 N_22_i \ -# un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_116 N_33_0 cpu_est_0_1__un3_n N_114 \ -# N_20_i cpu_est_0_1__un1_n N_115 N_35_0 cpu_est_0_1__un0_n N_243 N_19_i \ -# cpu_est_0_2__un3_n N_240 N_36_0 cpu_est_0_2__un1_n N_88 N_8_i cpu_est_0_2__un0_n N_89 \ -# N_42_0 cpu_est_0_3__un3_n N_82 N_3_i cpu_est_0_3__un1_n N_83 N_45_0 \ -# cpu_est_0_3__un0_n N_78 VPA_c_i ipl_030_0_0__un3_n N_79 N_52_0 ipl_030_0_0__un1_n \ -# N_91 DTACK_c_i ipl_030_0_0__un0_n N_244 N_53_0 ipl_030_0_1__un3_n N_62 \ -# ipl_030_0_1__un1_n N_64 N_249_i ipl_030_0_1__un0_n N_59 N_248_i ipl_030_0_2__un3_n \ -# N_61 N_247_i ipl_030_0_2__un1_n N_163 ipl_030_0_2__un0_n N_245 N_77_i \ -# uds_000_int_0_un3_n N_242 N_251_i uds_000_int_0_un1_n N_246 N_76_i \ -# uds_000_int_0_un0_n N_248 amiga_bus_enable_dma_high_0_un3_n N_236 N_131_i \ -# amiga_bus_enable_dma_high_0_un1_n N_249 N_130_i amiga_bus_enable_dma_high_0_un0_n \ -# N_92 N_264_i as_000_dma_0_un3_n N_251 N_170_i as_000_dma_0_un1_n N_76 \ -# pos_clk_un6_bgack_000_0_n as_000_dma_0_un0_n N_80 pos_clk_rw_000_dma_3_0_n \ -# ds_000_enable_0_un3_n pos_clk_a0_dma_3_n N_123_i ds_000_enable_0_un1_n \ -# SIZE_DMA_3_sqmuxa N_124_i ds_000_enable_0_un0_n N_87 AMIGA_BUS_DATA_DIR_c_0 \ -# lds_000_int_0_un3_n pos_clk_size_dma_6_1__n N_122_i lds_000_int_0_un1_n \ -# pos_clk_size_dma_6_0__n pos_clk_ds_000_dma_4_0_n lds_000_int_0_un0_n N_170 N_242_i \ -# as_030_000_sync_0_un3_n N_122 N_239_i as_030_000_sync_0_un1_n N_123 N_87_i \ -# as_030_000_sync_0_un0_n N_130 N_236_0 rw_000_int_0_un3_n pos_clk_ds_000_dma_4_n \ -# N_246_i rw_000_int_0_un1_n pos_clk_rw_000_dma_3_n pos_clk_size_dma_6_0_0__n \ -# rw_000_int_0_un0_n pos_clk_un6_bgack_000_n N_245_i vma_int_0_un3_n N_131 \ -# pos_clk_size_dma_6_0_1__n vma_int_0_un1_n N_3 N_91_i vma_int_0_un0_n N_8 N_210_i \ -# a_decode_15__n N_19 pos_clk_un19_bgack_030_int_i_n N_20 N_163_0 a_decode_14__n N_22 \ -# N_59_i N_24 N_61_i a_decode_13__n pos_clk_un9_bg_030_n un1_amiga_bus_enable_low_i \ -# N_62_i a_decode_12__n un21_fpu_cs_i N_64_i BGACK_030_INT_i a_decode_11__n \ -# AMIGA_BUS_ENABLE_DMA_LOW_i N_244_i N_80_i a_decode_10__n cycle_dma_i_0__n N_78_i_0 \ -# RW_000_i N_79_i a_decode_9__n rst_dly_i_0__n rst_dly_i_1__n N_82_i a_decode_8__n \ -# rst_dly_i_2__n N_83_i LDS_000_i N_55_0 a_decode_7__n UDS_000_i N_88_i sm_amiga_i_2__n \ -# N_89_i a_decode_6__n N_58_i N_240_0 sm_amiga_i_3__n N_243_0 a_decode_5__n \ -# cpu_est_i_1__n CLK_030_c_i cpu_est_i_0__n N_254_0 a_decode_4__n sm_amiga_i_1__n \ -# N_114_i N_110_i N_115_i a_decode_3__n a_i_1__n VMA_INT_i N_116_i a_decode_2__n VPA_D_i \ -# N_117_i DTACK_D0_i AS_030_D0_i N_118_i sm_amiga_i_0__n sm_amiga_i_i_7__n N_119_i \ -# sm_amiga_i_6__n sm_amiga_i_5__n N_120_i sm_amiga_i_4__n +#$ NODES 600 rst_dly_i_1__n N_41_0 sm_amiga_i_5__n a_c_i_0__n rst_dly_i_0__n \ +# size_c_i_1__n sm_amiga_i_i_7__n pos_clk_un10_sm_amiga_i_n AS_030_D0_i un1_as_000_i \ +# AS_000_INT_i un10_ciin_i a_i_1__n N_260_0 a_decode_i_16__n N_229_i \ +# inst_BGACK_030_INTreg a_decode_i_18__n N_230_i vcc_n_n a_decode_i_19__n N_298_0 \ +# inst_VMA_INTreg ahigh_i_30__n N_48_0 gnd_n_n ahigh_i_31__n N_299_i \ +# un1_amiga_bus_enable_low ahigh_i_28__n N_345_i un7_as_030 ahigh_i_29__n N_349_i \ +# un1_UDS_000_INT ahigh_i_26__n un1_DS_000_ENABLE_0_sqmuxa_i un1_LDS_000_INT \ +# ahigh_i_27__n N_180_i un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_24__n N_181_i un10_ciin \ +# ahigh_i_25__n N_326_i un21_fpu_cs N_206_i un21_berr N_207_i N_186_i un6_ds_030 N_208_i \ +# N_163_i cpu_est_2_ N_197_0 cpu_est_3_ N_79_i N_213_i cpu_est_0_ N_78_i N_214_i \ +# cpu_est_1_ un6_ds_030_i N_215_i inst_AMIGA_BUS_ENABLE_DMA_LOW N_165_i \ +# inst_AS_030_D0 N_169_i N_199_i inst_AS_030_000_SYNC un7_as_030_i N_191_0 \ +# inst_BGACK_030_INT_D AMIGA_BUS_ENABLE_DMA_LOW_i N_275_i inst_AS_000_DMA AS_030_c \ +# N_187_0 inst_DS_000_DMA LDS_000_c_i CYCLE_DMA_0_ AS_000_c UDS_000_c_i CYCLE_DMA_1_ \ +# N_184_i inst_VPA_D RW_000_c clk_000_d_i_4__n CLK_000_D_2_ N_171_i CLK_000_D_4_ \ +# AS_030_000_SYNC_i inst_DTACK_D0 UDS_000_c N_161_i inst_RESET_OUT CLK_000_D_1_ \ +# LDS_000_c N_113_0 CLK_000_D_0_ N_338_i inst_CLK_OUT_PRE_50 size_c_0__n N_339_i \ +# inst_CLK_OUT_PRE_D IPL_D0_0_ size_c_1__n N_335_i IPL_D0_1_ N_336_i IPL_D0_2_ \ +# ahigh_c_24__n CLK_000_D_3_ N_334_i CLK_000_D_5_ ahigh_c_25__n \ +# pos_clk_size_dma_6_0_1__n pos_clk_un6_bg_030_n N_333_i \ +# inst_AMIGA_BUS_ENABLE_DMA_HIGH ahigh_c_26__n pos_clk_size_dma_6_0_0__n \ +# pos_clk_ipl_n N_295_i SM_AMIGA_1_ ahigh_c_27__n N_332_i inst_UDS_000_INT \ +# inst_DS_000_ENABLE ahigh_c_28__n N_292_i inst_LDS_000_INT N_302_0 \ +# pos_clk_un9_bg_030_n ahigh_c_29__n N_300_0 SM_AMIGA_6_ N_290_i SM_AMIGA_4_ \ +# ahigh_c_30__n SM_AMIGA_0_ N_273_i SIZE_DMA_0_ ahigh_c_31__n SIZE_DMA_1_ N_327_i \ +# inst_RW_000_INT inst_RW_000_DMA N_270_i RST_DLY_0_ RST_DLY_1_ N_269_i RST_DLY_2_ \ +# inst_A0_DMA N_267_i pos_clk_a0_dma_3_n N_324_i inst_CLK_030_H \ +# pos_clk_rw_000_int_5_n un1_SM_AMIGA_0_sqmuxa_1_0 inst_DSACK1_INT N_319_i \ +# inst_AS_000_INT N_320_i SM_AMIGA_5_ SM_AMIGA_3_ RW_c_i SM_AMIGA_2_ \ +# pos_clk_rw_000_int_5_0_n N_227_i N_297_0 N_15_i N_40_0 N_16_i N_15 N_39_0 N_16 N_19_i \ +# N_19 N_36_0 N_20 N_20_i N_22 N_35_0 N_23 N_22_i N_24 N_33_0 N_23_i N_32_0 a_decode_c_16__n \ +# N_24_i N_31_0 a_decode_c_17__n BG_030_c_i pos_clk_un6_bg_030_i_n a_decode_c_18__n \ +# pos_clk_un9_bg_030_0_n N_161_i_1 a_decode_c_19__n N_161_i_2 N_161_i_3 \ +# a_decode_c_20__n N_161_i_4 N_233_i_1 a_decode_c_21__n N_233_i_2 N_180_i_1 \ +# a_decode_c_22__n N_180_i_2 pos_clk_un10_sm_amiga_i_1_n a_decode_c_23__n N_196_0_1 \ +# N_188_i_1 a_c_0__n un10_ciin_1 un10_ciin_2 a_c_1__n un10_ciin_3 un10_ciin_4 \ +# nEXP_SPACE_c un10_ciin_5 SM_AMIGA_i_7_ un10_ciin_6 pos_clk_size_dma_6_0__n BERR_c \ +# un10_ciin_7 pos_clk_size_dma_6_1__n un10_ciin_8 G_107 BG_030_c un10_ciin_9 G_108 \ +# un10_ciin_10 G_109 BG_000DFFreg un10_ciin_11 N_171_i_1 N_78 N_171_i_2 N_79 BGACK_000_c \ +# N_227_1 N_260 N_227_2 N_139 CLK_030_c N_227_3 N_141 un21_fpu_cs_1 N_165 un21_berr_1_0 \ +# N_169 N_235_i_1 N_297 CLK_OSZI_c N_235_i_2 N_256_1 N_300 N_256_2 N_302 CLK_OUT_INTreg \ +# N_232_i_1 N_113 N_232_i_2 N_305 N_219_1 N_155 FPU_SENSE_c N_219_2 N_163 N_218_1 N_166 \ +# IPL_030DFF_0_reg N_218_2 N_171 N_347_1 N_180 IPL_030DFF_1_reg N_347_2 N_184 N_136_i_1 \ +# N_191 IPL_030DFF_2_reg N_146_i_1 N_199 N_142_i_1 N_205 ipl_c_0__n N_234_i_1 N_306 \ +# N_302_0_1 N_215 ipl_c_1__n N_63_i_1 N_221 N_154_i_1 N_227 ipl_c_2__n N_152_i_1 N_230 \ +# N_148_i_1 N_319 N_144_i_1 N_320 DTACK_c N_140_i_1 N_267 pos_clk_un6_bg_030_1_n N_324 \ +# N_230_1 N_269 N_221_1 N_270 VPA_c N_215_1 N_327 N_306_1 N_273 pos_clk_ipl_1_n N_275 RST_c \ +# ipl_030_0_2__un3_n N_290 ipl_030_0_2__un1_n N_292 ipl_030_0_2__un0_n N_295 RW_c \ +# ipl_030_0_1__un3_n N_332 ipl_030_0_1__un1_n N_333 fc_c_0__n ipl_030_0_1__un0_n N_334 \ +# ipl_030_0_0__un3_n N_335 fc_c_1__n ipl_030_0_0__un1_n N_336 ipl_030_0_0__un0_n N_338 \ +# cpu_est_0_3__un3_n N_339 AMIGA_BUS_DATA_DIR_c cpu_est_0_3__un1_n N_350 \ +# cpu_est_0_3__un0_n pos_clk_CYCLE_DMA_5_1_i_x2 vma_int_0_un3_n N_161 \ +# vma_int_0_un1_n N_213 vma_int_0_un0_n N_214 VPA_c_i cpu_est_0_1__un3_n N_197 N_52_0 \ +# cpu_est_0_1__un1_n N_159 DTACK_c_i cpu_est_0_1__un0_n N_326 N_53_0 \ +# cpu_est_0_2__un3_n un21_berr_1 ipl_c_i_0__n cpu_est_0_2__un1_n N_181 N_49_0 \ +# cpu_est_0_2__un0_n pos_clk_un21_bgack_030_int_i_i_a2_i_x2 ipl_c_i_1__n \ +# ds_000_dma_0_un3_n un1_DS_000_ENABLE_0_sqmuxa N_50_0 ds_000_dma_0_un1_n N_349 \ +# ipl_c_i_2__n ds_000_dma_0_un0_n N_345 N_51_0 as_000_dma_0_un3_n N_229 N_25_i \ +# as_000_dma_0_un1_n N_14 N_28_0 as_000_dma_0_un0_n N_21 N_26_i bgack_030_int_0_un3_n \ +# N_3 N_29_0 bgack_030_int_0_un1_n N_301 N_27_i bgack_030_int_0_un0_n N_4 N_30_0 \ +# ds_000_enable_0_un3_n N_303 N_222_i ds_000_enable_0_un1_n N_8 N_223_i \ +# ds_000_enable_0_un0_n pos_clk_un6_bgack_000_n N_192_i uds_000_int_0_un3_n N_9 \ +# N_231_i uds_000_int_0_un1_n N_65 N_237_i uds_000_int_0_un0_n N_217 \ +# lds_000_int_0_un3_n N_216 N_342_i lds_000_int_0_un1_n N_248 N_341_i \ +# lds_000_int_0_un0_n N_198 un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_291 \ +# N_160_0 un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_353 N_164_i \ +# un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_256 VMA_INT_i \ +# amiga_bus_enable_dma_high_0_un3_n N_18 N_347_i amiga_bus_enable_dma_high_0_un1_n \ +# pos_clk_un9_clk_000_pe_n N_348_i amiga_bus_enable_dma_high_0_un0_n cpu_est_2_1__n \ +# N_188_i bg_000_0_un3_n cpu_est_2_2__n N_245_0 bg_000_0_un1_n N_209 N_194_0 \ +# bg_000_0_un0_n N_211 N_196_0 size_dma_0_0__un3_n N_220 size_dma_0_0__un1_n N_222 \ +# N_211_i size_dma_0_0__un0_n N_162 N_209_i size_dma_0_1__un3_n N_224 N_306_i \ +# size_dma_0_1__un1_n N_193 N_193_0 size_dma_0_1__un0_n N_225 N_190_0 \ +# as_030_000_sync_0_un3_n N_190 N_183_i as_030_000_sync_0_un1_n N_346 N_162_i \ +# as_030_000_sync_0_un0_n N_352 N_346_i rw_000_int_0_un3_n N_219 N_159_0 \ +# rw_000_int_0_un1_n N_218 N_305_i rw_000_int_0_un0_n N_183 N_210_i rw_000_dma_0_un3_n \ +# N_196 N_225_i rw_000_dma_0_un1_n N_188 N_224_i rw_000_dma_0_un0_n N_194 N_296_i \ +# a0_dma_0_un3_n N_347 N_352_i a0_dma_0_un1_n N_348 cpu_est_2_0_2__n a0_dma_0_un0_n \ +# N_160 N_220_i amiga_bus_enable_dma_low_0_un3_n N_341 N_221_i \ +# amiga_bus_enable_dma_low_0_un1_n N_342 cpu_est_2_0_1__n \ +# amiga_bus_enable_dma_low_0_un0_n N_231 N_219_i a_decode_15__n N_237 N_218_i N_223 \ +# pos_clk_un9_clk_000_pe_0_n a_decode_14__n N_27 clk_000_d_i_2__n N_26 N_157_i \ +# a_decode_13__n N_25 N_18_i un1_amiga_bus_enable_low_i N_37_0 a_decode_12__n \ +# un21_fpu_cs_i cpu_est_i_2__n N_217_i a_decode_11__n sm_amiga_i_0__n N_216_i \ +# sm_amiga_i_2__n CLK_030_c_i a_decode_10__n sm_amiga_i_1__n N_198_0 cpu_est_i_0__n \ +# N_166_i a_decode_9__n VPA_D_i N_155_i DTACK_D0_i N_303_0 a_decode_8__n AS_030_i \ +# N_291_i DSACK1_INT_i N_301_0 a_decode_7__n cpu_est_i_3__n N_256_i sm_amiga_i_3__n \ +# N_248_i a_decode_6__n cpu_est_i_1__n AMIGA_BUS_DATA_DIR_c_0 clk_000_d_i_1__n \ +# N_353_i a_decode_5__n N_350_i_0 pos_clk_un6_bgack_000_0_n rst_dly_i_2__n N_65_0 \ +# a_decode_4__n nEXP_SPACE_i N_3_i AS_000_i N_45_0 a_decode_3__n BGACK_030_INT_i N_4_i \ +# sm_amiga_i_6__n N_44_0 a_decode_2__n clk_000_d_i_0__n N_8_i RW_000_i N_42_0 \ +# CLK_030_H_i UDS_000_INT_i AS_000_DMA_i un1_UDS_000_INT_0 cycle_dma_i_0__n \ +# LDS_000_INT_i DS_000_DMA_i un1_LDS_000_INT_0 RESET_OUT_i N_21_i sm_amiga_i_4__n \ +# N_34_0 FPU_SENSE_i N_14_i .model bus68030 .inputs A_DECODE_23_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BG_030.BLIF \ BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF FPU_SENSE.BLIF \ @@ -120,177 +116,173 @@ A_DECODE_4_.BLIF A_DECODE_3_.BLIF A_DECODE_2_.BLIF IPL_1_.BLIF IPL_0_.BLIF \ FC_0_.BLIF A_1_.BLIF SIZE_1_.BLIF AHIGH_31_.BLIF AS_030.BLIF AS_000.BLIF \ RW_000.BLIF UDS_000.BLIF LDS_000.BLIF BERR.BLIF RW.BLIF SIZE_0_.BLIF \ AHIGH_30_.BLIF AHIGH_29_.BLIF AHIGH_28_.BLIF AHIGH_27_.BLIF AHIGH_26_.BLIF \ -AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF nEXP_SPACE_i.BLIF N_171_i.BLIF \ -CLK_030_H_i.BLIF FPU_SENSE_i.BLIF N_121_i.BLIF AS_030_i.BLIF N_255_0.BLIF \ -AS_000_DMA_i.BLIF un1_SM_AMIGA_0_sqmuxa_1_0.BLIF AS_000_i.BLIF N_48_0.BLIF \ -AS_000_INT_i.BLIF N_125_i.BLIF DSACK1_INT_i.BLIF N_126_i.BLIF \ -inst_BGACK_030_INTreg.BLIF clk_000_d_i_0__n.BLIF vcc_n_n.BLIF \ -clk_000_d_i_3__n.BLIF N_127_i.BLIF un5_e.BLIF clk_000_d_i_1__n.BLIF \ -N_128_i.BLIF inst_VMA_INTreg.BLIF cpu_est_i_2__n.BLIF gnd_n_n.BLIF \ -cpu_est_i_3__n.BLIF RW_c_i.BLIF un1_amiga_bus_enable_low.BLIF \ -a_decode_i_16__n.BLIF pos_clk_rw_000_int_5_0_n.BLIF un7_as_030.BLIF \ -a_decode_i_18__n.BLIF N_129_i.BLIF un1_UDS_000_INT.BLIF a_decode_i_19__n.BLIF \ -un1_LDS_000_INT.BLIF ahigh_i_30__n.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF \ -ahigh_i_31__n.BLIF un10_ciin_i.BLIF un1_DS_000_ENABLE_0_sqmuxa.BLIF \ -ahigh_i_28__n.BLIF N_261_0.BLIF un10_ciin.BLIF ahigh_i_29__n.BLIF N_65_0.BLIF \ -un21_fpu_cs.BLIF ahigh_i_26__n.BLIF N_134_i.BLIF un21_berr.BLIF \ -ahigh_i_27__n.BLIF N_153_i.BLIF un6_ds_030.BLIF ahigh_i_24__n.BLIF N_67_0.BLIF \ -cpu_est_3_.BLIF ahigh_i_25__n.BLIF un2_as_030_i.BLIF cpu_est_0_.BLIF \ -N_206_i.BLIF N_263_i.BLIF cpu_est_1_.BLIF N_207_i.BLIF N_265_i.BLIF \ -cpu_est_2_.BLIF N_208_i.BLIF AS_030_000_SYNC_i.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_84_0.BLIF inst_AS_030_D0.BLIF \ -clk_000_d_i_2__n.BLIF inst_AS_030_000_SYNC.BLIF N_81_i.BLIF N_85_i.BLIF \ -inst_BGACK_030_INT_D.BLIF un6_ds_030_i.BLIF N_141_i.BLIF inst_AS_000_DMA.BLIF \ -DS_000_DMA_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF inst_DS_000_DMA.BLIF \ -N_147_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF CYCLE_DMA_0_.BLIF \ -N_145_i.BLIF N_269_i.BLIF CYCLE_DMA_1_.BLIF un7_as_030_i.BLIF N_90_i.BLIF \ -inst_VPA_D.BLIF RESET_OUT_i.BLIF N_270_i.BLIF CLK_000_D_2_.BLIF AS_030_c.BLIF \ -N_271_0.BLIF CLK_000_D_3_.BLIF N_96_0.BLIF inst_DTACK_D0.BLIF AS_000_c.BLIF \ -N_97_0.BLIF inst_RESET_OUT.BLIF N_98_0.BLIF CLK_000_D_1_.BLIF RW_000_c.BLIF \ -N_282_i.BLIF CLK_000_D_0_.BLIF N_284_i.BLIF inst_CLK_OUT_PRE_50.BLIF \ -pos_clk_un14_clk_000_ne_i_n.BLIF inst_CLK_OUT_PRE_D.BLIF UDS_000_c.BLIF \ -un5_e_0.BLIF IPL_D0_0_.BLIF N_285_i.BLIF IPL_D0_1_.BLIF LDS_000_c.BLIF \ -N_291_i.BLIF IPL_D0_2_.BLIF N_292_i.BLIF CLK_000_D_4_.BLIF size_c_0__n.BLIF \ -N_192_i.BLIF pos_clk_un6_bg_030_n.BLIF N_17_i.BLIF \ -inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF size_c_1__n.BLIF cpu_est_2_0_2__n.BLIF \ -pos_clk_ipl_n.BLIF N_286_i.BLIF SM_AMIGA_1_.BLIF ahigh_c_24__n.BLIF \ -N_288_i.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF cpu_est_2_0_1__n.BLIF \ -inst_UDS_000_INT.BLIF ahigh_c_25__n.BLIF N_289_i.BLIF inst_DS_000_ENABLE.BLIF \ -N_290_i.BLIF inst_LDS_000_INT.BLIF ahigh_c_26__n.BLIF \ -pos_clk_un9_clk_000_pe_0_n.BLIF SM_AMIGA_6_.BLIF N_280_i.BLIF SM_AMIGA_4_.BLIF \ -ahigh_c_27__n.BLIF pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_0_.BLIF \ -a_c_i_0__n.BLIF SIZE_DMA_0_.BLIF ahigh_c_28__n.BLIF size_c_i_1__n.BLIF \ -SIZE_DMA_1_.BLIF N_27_i.BLIF inst_RW_000_INT.BLIF ahigh_c_29__n.BLIF \ -N_30_0.BLIF inst_RW_000_DMA.BLIF N_26_i.BLIF RST_DLY_0_.BLIF \ -ahigh_c_30__n.BLIF N_29_0.BLIF RST_DLY_1_.BLIF N_25_i.BLIF RST_DLY_2_.BLIF \ -ahigh_c_31__n.BLIF N_28_0.BLIF inst_A0_DMA.BLIF ipl_c_i_2__n.BLIF \ -pos_clk_un9_clk_000_pe_n.BLIF N_51_0.BLIF inst_CLK_030_H.BLIF \ -ipl_c_i_1__n.BLIF pos_clk_rw_000_int_5_n.BLIF N_50_0.BLIF inst_DSACK1_INT.BLIF \ -ipl_c_i_0__n.BLIF inst_AS_000_INT.BLIF N_49_0.BLIF SM_AMIGA_5_.BLIF N_4_i.BLIF \ -SM_AMIGA_3_.BLIF N_44_0.BLIF SM_AMIGA_2_.BLIF N_14_i.BLIF N_4.BLIF N_41_0.BLIF \ -N_15_i.BLIF N_40_0.BLIF N_9.BLIF N_16_i.BLIF N_39_0.BLIF N_18_i.BLIF \ -N_37_0.BLIF N_14.BLIF N_21_i.BLIF N_15.BLIF N_34_0.BLIF N_16.BLIF N_23_i.BLIF \ -N_18.BLIF N_32_0.BLIF N_21.BLIF LDS_000_INT_i.BLIF N_23.BLIF \ -un1_LDS_000_INT_0.BLIF N_25.BLIF UDS_000_INT_i.BLIF N_26.BLIF \ -un1_UDS_000_INT_0.BLIF N_27.BLIF N_96_0_1.BLIF N_96_0_2.BLIF N_96_0_3.BLIF \ -pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF N_84_0_1.BLIF a_decode_c_16__n.BLIF \ -N_84_0_2.BLIF N_240_0_1.BLIF a_decode_c_17__n.BLIF \ -pos_clk_un10_sm_amiga_i_1_n.BLIF N_289_1.BLIF a_decode_c_18__n.BLIF \ -N_289_2.BLIF N_290_1.BLIF a_decode_c_19__n.BLIF N_290_2.BLIF \ -pos_clk_un14_clk_000_ne_1_n.BLIF a_decode_c_20__n.BLIF \ -pos_clk_un14_clk_000_ne_2_n.BLIF N_153_1.BLIF a_decode_c_21__n.BLIF \ -N_153_2.BLIF N_153_3.BLIF a_decode_c_22__n.BLIF N_153_4.BLIF N_153_5.BLIF \ -a_decode_c_23__n.BLIF un10_ciin_1.BLIF un10_ciin_2.BLIF a_c_0__n.BLIF \ -un10_ciin_3.BLIF un10_ciin_4.BLIF a_c_1__n.BLIF un10_ciin_5.BLIF \ -un10_ciin_6.BLIF SM_AMIGA_i_7_.BLIF nEXP_SPACE_c.BLIF un10_ciin_7.BLIF \ -cpu_est_2_1__n.BLIF un10_ciin_8.BLIF cpu_est_2_2__n.BLIF BERR_c.BLIF \ -un10_ciin_9.BLIF G_107.BLIF un10_ciin_10.BLIF G_108.BLIF BG_030_c.BLIF \ -un10_ciin_11.BLIF G_109.BLIF N_260_i_1.BLIF \ -pos_clk_un21_bgack_030_int_i_0_n.BLIF BG_000DFFreg.BLIF N_260_i_2.BLIF \ -N_81.BLIF N_233_i_1.BLIF N_94.BLIF N_233_i_2.BLIF N_254.BLIF BGACK_000_c.BLIF \ -N_232_i_1.BLIF N_255.BLIF N_232_i_2.BLIF N_261.BLIF CLK_030_c.BLIF \ -N_247_1.BLIF N_65.BLIF N_77_1.BLIF N_67.BLIF N_83_1.BLIF N_269.BLIF \ -N_88_1.BLIF N_108.BLIF CLK_OSZI_c.BLIF N_142_i_1.BLIF N_135.BLIF \ -N_146_i_1.BLIF N_136.BLIF N_234_i_1.BLIF N_145.BLIF CLK_OUT_INTreg.BLIF \ -pos_clk_un6_bg_030_1_n.BLIF N_278.BLIF N_124_1.BLIF N_147.BLIF \ -un21_berr_1.BLIF N_58.BLIF FPU_SENSE_c.BLIF un21_fpu_cs_1.BLIF N_110.BLIF \ -N_140_i_1.BLIF N_239.BLIF IPL_030DFF_0_reg.BLIF N_154_i_1.BLIF N_90.BLIF \ -N_152_i_1.BLIF N_265.BLIF IPL_030DFF_1_reg.BLIF N_150_i_1.BLIF \ -pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_148_i_1.BLIF \ -pos_clk_un21_bgack_030_int_i_0_x2.BLIF IPL_030DFF_2_reg.BLIF N_144_i_1.BLIF \ -pos_clk_un19_bgack_030_int_n.BLIF N_255_0_1.BLIF N_280.BLIF ipl_c_0__n.BLIF \ -N_258_i_1.BLIF N_263.BLIF N_259_i_1.BLIF N_247.BLIF ipl_c_1__n.BLIF \ -N_282_1.BLIF N_77.BLIF N_284_1.BLIF N_289.BLIF ipl_c_2__n.BLIF N_288_1.BLIF \ -N_291.BLIF un5_e_0_1.BLIF N_290.BLIF N_192_i_1.BLIF N_286.BLIF DTACK_c.BLIF \ -pos_clk_ipl_1_n.BLIF N_288.BLIF bg_000_0_un3_n.BLIF N_285.BLIF \ -bg_000_0_un1_n.BLIF N_17.BLIF bg_000_0_un0_n.BLIF N_292.BLIF VPA_c.BLIF \ -amiga_bus_enable_dma_low_0_un3_n.BLIF pos_clk_un14_clk_000_ne_n.BLIF \ -amiga_bus_enable_dma_low_0_un1_n.BLIF N_282.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_284.BLIF RST_c.BLIF \ -a0_dma_0_un3_n.BLIF N_98.BLIF a0_dma_0_un1_n.BLIF N_97.BLIF \ -a0_dma_0_un0_n.BLIF N_84.BLIF RW_c.BLIF rw_000_dma_0_un3_n.BLIF N_96.BLIF \ -rw_000_dma_0_un1_n.BLIF N_271.BLIF fc_c_0__n.BLIF rw_000_dma_0_un0_n.BLIF \ -N_117.BLIF bgack_030_int_0_un3_n.BLIF N_141.BLIF fc_c_1__n.BLIF \ -bgack_030_int_0_un1_n.BLIF N_134.BLIF bgack_030_int_0_un0_n.BLIF N_153.BLIF \ -ds_000_dma_0_un3_n.BLIF N_129.BLIF AMIGA_BUS_DATA_DIR_c.BLIF \ -ds_000_dma_0_un1_n.BLIF N_127.BLIF ds_000_dma_0_un0_n.BLIF N_128.BLIF \ -size_dma_0_1__un3_n.BLIF N_125.BLIF size_dma_0_1__un1_n.BLIF N_126.BLIF \ -size_dma_0_1__un0_n.BLIF N_124.BLIF BG_030_c_i.BLIF size_dma_0_0__un3_n.BLIF \ -N_121.BLIF pos_clk_un6_bg_030_i_n.BLIF size_dma_0_0__un1_n.BLIF N_171.BLIF \ -pos_clk_un9_bg_030_0_n.BLIF size_dma_0_0__un0_n.BLIF N_120.BLIF N_24_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF N_119.BLIF N_31_0.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF N_118.BLIF N_22_i.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_116.BLIF N_33_0.BLIF \ -cpu_est_0_1__un3_n.BLIF N_114.BLIF N_20_i.BLIF cpu_est_0_1__un1_n.BLIF \ -N_115.BLIF N_35_0.BLIF cpu_est_0_1__un0_n.BLIF N_243.BLIF N_19_i.BLIF \ -cpu_est_0_2__un3_n.BLIF N_240.BLIF N_36_0.BLIF cpu_est_0_2__un1_n.BLIF \ -N_88.BLIF N_8_i.BLIF cpu_est_0_2__un0_n.BLIF N_89.BLIF N_42_0.BLIF \ -cpu_est_0_3__un3_n.BLIF N_82.BLIF N_3_i.BLIF cpu_est_0_3__un1_n.BLIF N_83.BLIF \ -N_45_0.BLIF cpu_est_0_3__un0_n.BLIF N_78.BLIF VPA_c_i.BLIF \ -ipl_030_0_0__un3_n.BLIF N_79.BLIF N_52_0.BLIF ipl_030_0_0__un1_n.BLIF \ -N_91.BLIF DTACK_c_i.BLIF ipl_030_0_0__un0_n.BLIF N_244.BLIF N_53_0.BLIF \ -ipl_030_0_1__un3_n.BLIF N_62.BLIF ipl_030_0_1__un1_n.BLIF N_64.BLIF \ -N_249_i.BLIF ipl_030_0_1__un0_n.BLIF N_59.BLIF N_248_i.BLIF \ -ipl_030_0_2__un3_n.BLIF N_61.BLIF N_247_i.BLIF ipl_030_0_2__un1_n.BLIF \ -N_163.BLIF ipl_030_0_2__un0_n.BLIF N_245.BLIF N_77_i.BLIF \ -uds_000_int_0_un3_n.BLIF N_242.BLIF N_251_i.BLIF uds_000_int_0_un1_n.BLIF \ -N_246.BLIF N_76_i.BLIF uds_000_int_0_un0_n.BLIF N_248.BLIF \ -amiga_bus_enable_dma_high_0_un3_n.BLIF N_236.BLIF N_131_i.BLIF \ -amiga_bus_enable_dma_high_0_un1_n.BLIF N_249.BLIF N_130_i.BLIF \ -amiga_bus_enable_dma_high_0_un0_n.BLIF N_92.BLIF N_264_i.BLIF \ -as_000_dma_0_un3_n.BLIF N_251.BLIF N_170_i.BLIF as_000_dma_0_un1_n.BLIF \ -N_76.BLIF pos_clk_un6_bgack_000_0_n.BLIF as_000_dma_0_un0_n.BLIF N_80.BLIF \ -pos_clk_rw_000_dma_3_0_n.BLIF ds_000_enable_0_un3_n.BLIF \ -pos_clk_a0_dma_3_n.BLIF N_123_i.BLIF ds_000_enable_0_un1_n.BLIF \ -SIZE_DMA_3_sqmuxa.BLIF N_124_i.BLIF ds_000_enable_0_un0_n.BLIF N_87.BLIF \ -AMIGA_BUS_DATA_DIR_c_0.BLIF lds_000_int_0_un3_n.BLIF \ -pos_clk_size_dma_6_1__n.BLIF N_122_i.BLIF lds_000_int_0_un1_n.BLIF \ -pos_clk_size_dma_6_0__n.BLIF pos_clk_ds_000_dma_4_0_n.BLIF \ -lds_000_int_0_un0_n.BLIF N_170.BLIF N_242_i.BLIF as_030_000_sync_0_un3_n.BLIF \ -N_122.BLIF N_239_i.BLIF as_030_000_sync_0_un1_n.BLIF N_123.BLIF N_87_i.BLIF \ -as_030_000_sync_0_un0_n.BLIF N_130.BLIF N_236_0.BLIF rw_000_int_0_un3_n.BLIF \ -pos_clk_ds_000_dma_4_n.BLIF N_246_i.BLIF rw_000_int_0_un1_n.BLIF \ -pos_clk_rw_000_dma_3_n.BLIF pos_clk_size_dma_6_0_0__n.BLIF \ -rw_000_int_0_un0_n.BLIF pos_clk_un6_bgack_000_n.BLIF N_245_i.BLIF \ -vma_int_0_un3_n.BLIF N_131.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ -vma_int_0_un1_n.BLIF N_3.BLIF N_91_i.BLIF vma_int_0_un0_n.BLIF N_8.BLIF \ -N_210_i.BLIF a_decode_15__n.BLIF N_19.BLIF pos_clk_un19_bgack_030_int_i_n.BLIF \ -N_20.BLIF N_163_0.BLIF a_decode_14__n.BLIF N_22.BLIF N_59_i.BLIF N_24.BLIF \ -N_61_i.BLIF a_decode_13__n.BLIF pos_clk_un9_bg_030_n.BLIF \ -un1_amiga_bus_enable_low_i.BLIF N_62_i.BLIF a_decode_12__n.BLIF \ -un21_fpu_cs_i.BLIF N_64_i.BLIF BGACK_030_INT_i.BLIF a_decode_11__n.BLIF \ -AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_244_i.BLIF N_80_i.BLIF a_decode_10__n.BLIF \ -cycle_dma_i_0__n.BLIF N_78_i_0.BLIF RW_000_i.BLIF N_79_i.BLIF \ -a_decode_9__n.BLIF rst_dly_i_0__n.BLIF rst_dly_i_1__n.BLIF N_82_i.BLIF \ -a_decode_8__n.BLIF rst_dly_i_2__n.BLIF N_83_i.BLIF LDS_000_i.BLIF N_55_0.BLIF \ -a_decode_7__n.BLIF UDS_000_i.BLIF N_88_i.BLIF sm_amiga_i_2__n.BLIF N_89_i.BLIF \ -a_decode_6__n.BLIF N_58_i.BLIF N_240_0.BLIF sm_amiga_i_3__n.BLIF N_243_0.BLIF \ -a_decode_5__n.BLIF cpu_est_i_1__n.BLIF CLK_030_c_i.BLIF cpu_est_i_0__n.BLIF \ -N_254_0.BLIF a_decode_4__n.BLIF sm_amiga_i_1__n.BLIF N_114_i.BLIF N_110_i.BLIF \ -N_115_i.BLIF a_decode_3__n.BLIF a_i_1__n.BLIF VMA_INT_i.BLIF N_116_i.BLIF \ -a_decode_2__n.BLIF VPA_D_i.BLIF N_117_i.BLIF DTACK_D0_i.BLIF AS_030_D0_i.BLIF \ -N_118_i.BLIF sm_amiga_i_0__n.BLIF sm_amiga_i_i_7__n.BLIF N_119_i.BLIF \ -sm_amiga_i_6__n.BLIF sm_amiga_i_5__n.BLIF N_120_i.BLIF sm_amiga_i_4__n.BLIF \ -AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF AHIGH_24_.PIN.BLIF \ -AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF AHIGH_27_.PIN.BLIF AHIGH_28_.PIN.BLIF \ -AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF AHIGH_31_.PIN.BLIF A_0_.PIN.BLIF \ -BERR.PIN.BLIF RW.PIN.BLIF +AHIGH_25_.BLIF AHIGH_24_.BLIF A_0_.BLIF rst_dly_i_1__n.BLIF N_41_0.BLIF \ +sm_amiga_i_5__n.BLIF a_c_i_0__n.BLIF rst_dly_i_0__n.BLIF size_c_i_1__n.BLIF \ +sm_amiga_i_i_7__n.BLIF pos_clk_un10_sm_amiga_i_n.BLIF AS_030_D0_i.BLIF \ +un1_as_000_i.BLIF AS_000_INT_i.BLIF un10_ciin_i.BLIF a_i_1__n.BLIF \ +N_260_0.BLIF a_decode_i_16__n.BLIF N_229_i.BLIF inst_BGACK_030_INTreg.BLIF \ +a_decode_i_18__n.BLIF N_230_i.BLIF vcc_n_n.BLIF a_decode_i_19__n.BLIF \ +N_298_0.BLIF inst_VMA_INTreg.BLIF ahigh_i_30__n.BLIF N_48_0.BLIF gnd_n_n.BLIF \ +ahigh_i_31__n.BLIF N_299_i.BLIF un1_amiga_bus_enable_low.BLIF \ +ahigh_i_28__n.BLIF N_345_i.BLIF un7_as_030.BLIF ahigh_i_29__n.BLIF \ +N_349_i.BLIF un1_UDS_000_INT.BLIF ahigh_i_26__n.BLIF \ +un1_DS_000_ENABLE_0_sqmuxa_i.BLIF un1_LDS_000_INT.BLIF ahigh_i_27__n.BLIF \ +N_180_i.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF ahigh_i_24__n.BLIF N_181_i.BLIF \ +un10_ciin.BLIF ahigh_i_25__n.BLIF N_326_i.BLIF un21_fpu_cs.BLIF N_206_i.BLIF \ +un21_berr.BLIF N_207_i.BLIF N_186_i.BLIF un6_ds_030.BLIF N_208_i.BLIF \ +N_163_i.BLIF cpu_est_2_.BLIF N_197_0.BLIF cpu_est_3_.BLIF N_79_i.BLIF \ +N_213_i.BLIF cpu_est_0_.BLIF N_78_i.BLIF N_214_i.BLIF cpu_est_1_.BLIF \ +un6_ds_030_i.BLIF N_215_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_165_i.BLIF \ +inst_AS_030_D0.BLIF N_169_i.BLIF N_199_i.BLIF inst_AS_030_000_SYNC.BLIF \ +un7_as_030_i.BLIF N_191_0.BLIF inst_BGACK_030_INT_D.BLIF \ +AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF N_275_i.BLIF inst_AS_000_DMA.BLIF \ +AS_030_c.BLIF N_187_0.BLIF inst_DS_000_DMA.BLIF LDS_000_c_i.BLIF \ +CYCLE_DMA_0_.BLIF AS_000_c.BLIF UDS_000_c_i.BLIF CYCLE_DMA_1_.BLIF \ +N_184_i.BLIF inst_VPA_D.BLIF RW_000_c.BLIF clk_000_d_i_4__n.BLIF \ +CLK_000_D_2_.BLIF N_171_i.BLIF CLK_000_D_4_.BLIF AS_030_000_SYNC_i.BLIF \ +inst_DTACK_D0.BLIF UDS_000_c.BLIF N_161_i.BLIF inst_RESET_OUT.BLIF \ +CLK_000_D_1_.BLIF LDS_000_c.BLIF N_113_0.BLIF CLK_000_D_0_.BLIF N_338_i.BLIF \ +inst_CLK_OUT_PRE_50.BLIF size_c_0__n.BLIF N_339_i.BLIF inst_CLK_OUT_PRE_D.BLIF \ +IPL_D0_0_.BLIF size_c_1__n.BLIF N_335_i.BLIF IPL_D0_1_.BLIF N_336_i.BLIF \ +IPL_D0_2_.BLIF ahigh_c_24__n.BLIF CLK_000_D_3_.BLIF N_334_i.BLIF \ +CLK_000_D_5_.BLIF ahigh_c_25__n.BLIF pos_clk_size_dma_6_0_1__n.BLIF \ +pos_clk_un6_bg_030_n.BLIF N_333_i.BLIF inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +ahigh_c_26__n.BLIF pos_clk_size_dma_6_0_0__n.BLIF pos_clk_ipl_n.BLIF \ +N_295_i.BLIF SM_AMIGA_1_.BLIF ahigh_c_27__n.BLIF N_332_i.BLIF \ +inst_UDS_000_INT.BLIF inst_DS_000_ENABLE.BLIF ahigh_c_28__n.BLIF N_292_i.BLIF \ +inst_LDS_000_INT.BLIF N_302_0.BLIF pos_clk_un9_bg_030_n.BLIF \ +ahigh_c_29__n.BLIF N_300_0.BLIF SM_AMIGA_6_.BLIF N_290_i.BLIF SM_AMIGA_4_.BLIF \ +ahigh_c_30__n.BLIF SM_AMIGA_0_.BLIF N_273_i.BLIF SIZE_DMA_0_.BLIF \ +ahigh_c_31__n.BLIF SIZE_DMA_1_.BLIF N_327_i.BLIF inst_RW_000_INT.BLIF \ +inst_RW_000_DMA.BLIF N_270_i.BLIF RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_269_i.BLIF \ +RST_DLY_2_.BLIF inst_A0_DMA.BLIF N_267_i.BLIF pos_clk_a0_dma_3_n.BLIF \ +N_324_i.BLIF inst_CLK_030_H.BLIF pos_clk_rw_000_int_5_n.BLIF \ +un1_SM_AMIGA_0_sqmuxa_1_0.BLIF inst_DSACK1_INT.BLIF N_319_i.BLIF \ +inst_AS_000_INT.BLIF N_320_i.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF \ +RW_c_i.BLIF SM_AMIGA_2_.BLIF pos_clk_rw_000_int_5_0_n.BLIF N_227_i.BLIF \ +N_297_0.BLIF N_15_i.BLIF N_40_0.BLIF N_16_i.BLIF N_15.BLIF N_39_0.BLIF \ +N_16.BLIF N_19_i.BLIF N_19.BLIF N_36_0.BLIF N_20.BLIF N_20_i.BLIF N_22.BLIF \ +N_35_0.BLIF N_23.BLIF N_22_i.BLIF N_24.BLIF N_33_0.BLIF N_23_i.BLIF \ +N_32_0.BLIF a_decode_c_16__n.BLIF N_24_i.BLIF N_31_0.BLIF \ +a_decode_c_17__n.BLIF BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF \ +a_decode_c_18__n.BLIF pos_clk_un9_bg_030_0_n.BLIF N_161_i_1.BLIF \ +a_decode_c_19__n.BLIF N_161_i_2.BLIF N_161_i_3.BLIF a_decode_c_20__n.BLIF \ +N_161_i_4.BLIF N_233_i_1.BLIF a_decode_c_21__n.BLIF N_233_i_2.BLIF \ +N_180_i_1.BLIF a_decode_c_22__n.BLIF N_180_i_2.BLIF \ +pos_clk_un10_sm_amiga_i_1_n.BLIF a_decode_c_23__n.BLIF N_196_0_1.BLIF \ +N_188_i_1.BLIF a_c_0__n.BLIF un10_ciin_1.BLIF un10_ciin_2.BLIF a_c_1__n.BLIF \ +un10_ciin_3.BLIF un10_ciin_4.BLIF nEXP_SPACE_c.BLIF un10_ciin_5.BLIF \ +SM_AMIGA_i_7_.BLIF un10_ciin_6.BLIF pos_clk_size_dma_6_0__n.BLIF BERR_c.BLIF \ +un10_ciin_7.BLIF pos_clk_size_dma_6_1__n.BLIF un10_ciin_8.BLIF G_107.BLIF \ +BG_030_c.BLIF un10_ciin_9.BLIF G_108.BLIF un10_ciin_10.BLIF G_109.BLIF \ +BG_000DFFreg.BLIF un10_ciin_11.BLIF N_171_i_1.BLIF N_78.BLIF N_171_i_2.BLIF \ +N_79.BLIF BGACK_000_c.BLIF N_227_1.BLIF N_260.BLIF N_227_2.BLIF N_139.BLIF \ +CLK_030_c.BLIF N_227_3.BLIF N_141.BLIF un21_fpu_cs_1.BLIF N_165.BLIF \ +un21_berr_1_0.BLIF N_169.BLIF N_235_i_1.BLIF N_297.BLIF CLK_OSZI_c.BLIF \ +N_235_i_2.BLIF N_256_1.BLIF N_300.BLIF N_256_2.BLIF N_302.BLIF \ +CLK_OUT_INTreg.BLIF N_232_i_1.BLIF N_113.BLIF N_232_i_2.BLIF N_305.BLIF \ +N_219_1.BLIF N_155.BLIF FPU_SENSE_c.BLIF N_219_2.BLIF N_163.BLIF N_218_1.BLIF \ +N_166.BLIF IPL_030DFF_0_reg.BLIF N_218_2.BLIF N_171.BLIF N_347_1.BLIF \ +N_180.BLIF IPL_030DFF_1_reg.BLIF N_347_2.BLIF N_184.BLIF N_136_i_1.BLIF \ +N_191.BLIF IPL_030DFF_2_reg.BLIF N_146_i_1.BLIF N_199.BLIF N_142_i_1.BLIF \ +N_205.BLIF ipl_c_0__n.BLIF N_234_i_1.BLIF N_306.BLIF N_302_0_1.BLIF N_215.BLIF \ +ipl_c_1__n.BLIF N_63_i_1.BLIF N_221.BLIF N_154_i_1.BLIF N_227.BLIF \ +ipl_c_2__n.BLIF N_152_i_1.BLIF N_230.BLIF N_148_i_1.BLIF N_319.BLIF \ +N_144_i_1.BLIF N_320.BLIF DTACK_c.BLIF N_140_i_1.BLIF N_267.BLIF \ +pos_clk_un6_bg_030_1_n.BLIF N_324.BLIF N_230_1.BLIF N_269.BLIF N_221_1.BLIF \ +N_270.BLIF VPA_c.BLIF N_215_1.BLIF N_327.BLIF N_306_1.BLIF N_273.BLIF \ +pos_clk_ipl_1_n.BLIF N_275.BLIF RST_c.BLIF ipl_030_0_2__un3_n.BLIF N_290.BLIF \ +ipl_030_0_2__un1_n.BLIF N_292.BLIF ipl_030_0_2__un0_n.BLIF N_295.BLIF \ +RW_c.BLIF ipl_030_0_1__un3_n.BLIF N_332.BLIF ipl_030_0_1__un1_n.BLIF \ +N_333.BLIF fc_c_0__n.BLIF ipl_030_0_1__un0_n.BLIF N_334.BLIF \ +ipl_030_0_0__un3_n.BLIF N_335.BLIF fc_c_1__n.BLIF ipl_030_0_0__un1_n.BLIF \ +N_336.BLIF ipl_030_0_0__un0_n.BLIF N_338.BLIF cpu_est_0_3__un3_n.BLIF \ +N_339.BLIF AMIGA_BUS_DATA_DIR_c.BLIF cpu_est_0_3__un1_n.BLIF N_350.BLIF \ +cpu_est_0_3__un0_n.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF vma_int_0_un3_n.BLIF \ +N_161.BLIF vma_int_0_un1_n.BLIF N_213.BLIF vma_int_0_un0_n.BLIF N_214.BLIF \ +VPA_c_i.BLIF cpu_est_0_1__un3_n.BLIF N_197.BLIF N_52_0.BLIF \ +cpu_est_0_1__un1_n.BLIF N_159.BLIF DTACK_c_i.BLIF cpu_est_0_1__un0_n.BLIF \ +N_326.BLIF N_53_0.BLIF cpu_est_0_2__un3_n.BLIF un21_berr_1.BLIF \ +ipl_c_i_0__n.BLIF cpu_est_0_2__un1_n.BLIF N_181.BLIF N_49_0.BLIF \ +cpu_est_0_2__un0_n.BLIF pos_clk_un21_bgack_030_int_i_i_a2_i_x2.BLIF \ +ipl_c_i_1__n.BLIF ds_000_dma_0_un3_n.BLIF un1_DS_000_ENABLE_0_sqmuxa.BLIF \ +N_50_0.BLIF ds_000_dma_0_un1_n.BLIF N_349.BLIF ipl_c_i_2__n.BLIF \ +ds_000_dma_0_un0_n.BLIF N_345.BLIF N_51_0.BLIF as_000_dma_0_un3_n.BLIF \ +N_229.BLIF N_25_i.BLIF as_000_dma_0_un1_n.BLIF N_14.BLIF N_28_0.BLIF \ +as_000_dma_0_un0_n.BLIF N_21.BLIF N_26_i.BLIF bgack_030_int_0_un3_n.BLIF \ +N_3.BLIF N_29_0.BLIF bgack_030_int_0_un1_n.BLIF N_301.BLIF N_27_i.BLIF \ +bgack_030_int_0_un0_n.BLIF N_4.BLIF N_30_0.BLIF ds_000_enable_0_un3_n.BLIF \ +N_303.BLIF N_222_i.BLIF ds_000_enable_0_un1_n.BLIF N_8.BLIF N_223_i.BLIF \ +ds_000_enable_0_un0_n.BLIF pos_clk_un6_bgack_000_n.BLIF N_192_i.BLIF \ +uds_000_int_0_un3_n.BLIF N_9.BLIF N_231_i.BLIF uds_000_int_0_un1_n.BLIF \ +N_65.BLIF N_237_i.BLIF uds_000_int_0_un0_n.BLIF N_217.BLIF \ +lds_000_int_0_un3_n.BLIF N_216.BLIF N_342_i.BLIF lds_000_int_0_un1_n.BLIF \ +N_248.BLIF N_341_i.BLIF lds_000_int_0_un0_n.BLIF N_198.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF N_291.BLIF N_160_0.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF N_353.BLIF N_164_i.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_256.BLIF VMA_INT_i.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF N_18.BLIF N_347_i.BLIF \ +amiga_bus_enable_dma_high_0_un1_n.BLIF pos_clk_un9_clk_000_pe_n.BLIF \ +N_348_i.BLIF amiga_bus_enable_dma_high_0_un0_n.BLIF cpu_est_2_1__n.BLIF \ +N_188_i.BLIF bg_000_0_un3_n.BLIF cpu_est_2_2__n.BLIF N_245_0.BLIF \ +bg_000_0_un1_n.BLIF N_209.BLIF N_194_0.BLIF bg_000_0_un0_n.BLIF N_211.BLIF \ +N_196_0.BLIF size_dma_0_0__un3_n.BLIF N_220.BLIF size_dma_0_0__un1_n.BLIF \ +N_222.BLIF N_211_i.BLIF size_dma_0_0__un0_n.BLIF N_162.BLIF N_209_i.BLIF \ +size_dma_0_1__un3_n.BLIF N_224.BLIF N_306_i.BLIF size_dma_0_1__un1_n.BLIF \ +N_193.BLIF N_193_0.BLIF size_dma_0_1__un0_n.BLIF N_225.BLIF N_190_0.BLIF \ +as_030_000_sync_0_un3_n.BLIF N_190.BLIF N_183_i.BLIF \ +as_030_000_sync_0_un1_n.BLIF N_346.BLIF N_162_i.BLIF \ +as_030_000_sync_0_un0_n.BLIF N_352.BLIF N_346_i.BLIF rw_000_int_0_un3_n.BLIF \ +N_219.BLIF N_159_0.BLIF rw_000_int_0_un1_n.BLIF N_218.BLIF N_305_i.BLIF \ +rw_000_int_0_un0_n.BLIF N_183.BLIF N_210_i.BLIF rw_000_dma_0_un3_n.BLIF \ +N_196.BLIF N_225_i.BLIF rw_000_dma_0_un1_n.BLIF N_188.BLIF N_224_i.BLIF \ +rw_000_dma_0_un0_n.BLIF N_194.BLIF N_296_i.BLIF a0_dma_0_un3_n.BLIF N_347.BLIF \ +N_352_i.BLIF a0_dma_0_un1_n.BLIF N_348.BLIF cpu_est_2_0_2__n.BLIF \ +a0_dma_0_un0_n.BLIF N_160.BLIF N_220_i.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF N_341.BLIF N_221_i.BLIF \ +amiga_bus_enable_dma_low_0_un1_n.BLIF N_342.BLIF cpu_est_2_0_1__n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_231.BLIF N_219_i.BLIF \ +a_decode_15__n.BLIF N_237.BLIF N_218_i.BLIF N_223.BLIF \ +pos_clk_un9_clk_000_pe_0_n.BLIF a_decode_14__n.BLIF N_27.BLIF \ +clk_000_d_i_2__n.BLIF N_26.BLIF N_157_i.BLIF a_decode_13__n.BLIF N_25.BLIF \ +N_18_i.BLIF un1_amiga_bus_enable_low_i.BLIF N_37_0.BLIF a_decode_12__n.BLIF \ +un21_fpu_cs_i.BLIF cpu_est_i_2__n.BLIF N_217_i.BLIF a_decode_11__n.BLIF \ +sm_amiga_i_0__n.BLIF N_216_i.BLIF sm_amiga_i_2__n.BLIF CLK_030_c_i.BLIF \ +a_decode_10__n.BLIF sm_amiga_i_1__n.BLIF N_198_0.BLIF cpu_est_i_0__n.BLIF \ +N_166_i.BLIF a_decode_9__n.BLIF VPA_D_i.BLIF N_155_i.BLIF DTACK_D0_i.BLIF \ +N_303_0.BLIF a_decode_8__n.BLIF AS_030_i.BLIF N_291_i.BLIF DSACK1_INT_i.BLIF \ +N_301_0.BLIF a_decode_7__n.BLIF cpu_est_i_3__n.BLIF N_256_i.BLIF \ +sm_amiga_i_3__n.BLIF N_248_i.BLIF a_decode_6__n.BLIF cpu_est_i_1__n.BLIF \ +AMIGA_BUS_DATA_DIR_c_0.BLIF clk_000_d_i_1__n.BLIF N_353_i.BLIF \ +a_decode_5__n.BLIF N_350_i_0.BLIF pos_clk_un6_bgack_000_0_n.BLIF \ +rst_dly_i_2__n.BLIF N_65_0.BLIF a_decode_4__n.BLIF nEXP_SPACE_i.BLIF \ +N_3_i.BLIF AS_000_i.BLIF N_45_0.BLIF a_decode_3__n.BLIF BGACK_030_INT_i.BLIF \ +N_4_i.BLIF sm_amiga_i_6__n.BLIF N_44_0.BLIF a_decode_2__n.BLIF \ +clk_000_d_i_0__n.BLIF N_8_i.BLIF RW_000_i.BLIF N_42_0.BLIF CLK_030_H_i.BLIF \ +UDS_000_INT_i.BLIF AS_000_DMA_i.BLIF un1_UDS_000_INT_0.BLIF \ +cycle_dma_i_0__n.BLIF LDS_000_INT_i.BLIF DS_000_DMA_i.BLIF \ +un1_LDS_000_INT_0.BLIF RESET_OUT_i.BLIF N_21_i.BLIF sm_amiga_i_4__n.BLIF \ +N_34_0.BLIF FPU_SENSE_i.BLIF N_14_i.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ +RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF \ +SIZE_1_.PIN.BLIF AHIGH_24_.PIN.BLIF AHIGH_25_.PIN.BLIF AHIGH_26_.PIN.BLIF \ +AHIGH_27_.PIN.BLIF AHIGH_28_.PIN.BLIF AHIGH_29_.PIN.BLIF AHIGH_30_.PIN.BLIF \ +AHIGH_31_.PIN.BLIF A_0_.PIN.BLIF BERR.PIN.BLIF RW.PIN.BLIF .outputs IPL_030_2_ DS_030 BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 \ AVEC E VMA RESET AMIGA_ADDR_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW \ -AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_6_.D SM_AMIGA_6_.C \ -SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D \ -SM_AMIGA_3_.C SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C \ -SM_AMIGA_0_.D SM_AMIGA_0_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C \ +AMIGA_BUS_ENABLE_HIGH CIIN IPL_030_1_ IPL_030_0_ SM_AMIGA_i_7_.D \ +SM_AMIGA_i_7_.C SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_5_.D SM_AMIGA_5_.C \ +SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_2_.D \ +SM_AMIGA_2_.C SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_0_.D SM_AMIGA_0_.C \ +cpu_est_3_.D cpu_est_3_.C IPL_030DFF_0_reg.D IPL_030DFF_0_reg.C \ IPL_030DFF_1_reg.D IPL_030DFF_1_reg.C IPL_030DFF_2_reg.D IPL_030DFF_2_reg.C \ IPL_D0_0_.D IPL_D0_0_.C IPL_D0_1_.D IPL_D0_1_.C IPL_D0_2_.D IPL_D0_2_.C \ -SM_AMIGA_i_7_.D SM_AMIGA_i_7_.C CLK_000_D_1_.D CLK_000_D_1_.C CLK_000_D_2_.D \ +CLK_000_D_0_.D CLK_000_D_0_.C CLK_000_D_1_.D CLK_000_D_1_.C CLK_000_D_2_.D \ CLK_000_D_2_.C CLK_000_D_3_.D CLK_000_D_3_.C CLK_000_D_4_.D CLK_000_D_4_.C \ -CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D CYCLE_DMA_1_.C SIZE_DMA_0_.D \ -SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C cpu_est_0_.D cpu_est_0_.C \ -cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C cpu_est_3_.D cpu_est_3_.C \ +CLK_000_D_5_.D CLK_000_D_5_.C CYCLE_DMA_0_.D CYCLE_DMA_0_.C CYCLE_DMA_1_.D \ +CYCLE_DMA_1_.C SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_1_.D SIZE_DMA_1_.C \ +cpu_est_0_.D cpu_est_0_.C cpu_est_1_.D cpu_est_1_.C cpu_est_2_.D cpu_est_2_.C \ RST_DLY_0_.D RST_DLY_0_.C RST_DLY_1_.D RST_DLY_1_.C RST_DLY_2_.D RST_DLY_2_.C \ -CLK_000_D_0_.D CLK_000_D_0_.C inst_DSACK1_INT.D inst_DSACK1_INT.C \ +inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DSACK1_INT.D inst_DSACK1_INT.C \ inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_030_D0.D inst_AS_030_D0.C \ inst_VPA_D.D inst_VPA_D.C inst_DTACK_D0.D inst_DTACK_D0.C inst_CLK_030_H.D \ inst_CLK_030_H.C inst_RESET_OUT.D inst_RESET_OUT.C inst_DS_000_ENABLE.D \ @@ -302,111 +294,108 @@ inst_RW_000_DMA.D inst_RW_000_DMA.C inst_VMA_INTreg.D inst_VMA_INTreg.C \ inst_RW_000_INT.D inst_RW_000_INT.C inst_AS_030_000_SYNC.D \ inst_AS_030_000_SYNC.C inst_LDS_000_INT.D inst_LDS_000_INT.C \ inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_AS_000_DMA.D \ -inst_AS_000_DMA.C inst_DS_000_DMA.D inst_DS_000_DMA.C inst_BGACK_030_INT_D.D \ -inst_BGACK_030_INT_D.C CLK_OUT_INTreg.D CLK_OUT_INTreg.C inst_CLK_OUT_PRE_50.D \ -inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C SIZE_1_ \ -AHIGH_31_ AS_030 AS_000 RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ \ -AHIGH_29_ AHIGH_28_ AHIGH_27_ AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ nEXP_SPACE_i \ -N_171_i CLK_030_H_i FPU_SENSE_i N_121_i AS_030_i N_255_0 AS_000_DMA_i \ -un1_SM_AMIGA_0_sqmuxa_1_0 AS_000_i N_48_0 AS_000_INT_i N_125_i DSACK1_INT_i \ -N_126_i clk_000_d_i_0__n vcc_n_n clk_000_d_i_3__n N_127_i un5_e \ -clk_000_d_i_1__n N_128_i cpu_est_i_2__n gnd_n_n cpu_est_i_3__n RW_c_i \ -un1_amiga_bus_enable_low a_decode_i_16__n pos_clk_rw_000_int_5_0_n un7_as_030 \ -a_decode_i_18__n N_129_i un1_UDS_000_INT a_decode_i_19__n un1_LDS_000_INT \ -ahigh_i_30__n un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_31__n un10_ciin_i \ -un1_DS_000_ENABLE_0_sqmuxa ahigh_i_28__n N_261_0 un10_ciin ahigh_i_29__n \ -N_65_0 un21_fpu_cs ahigh_i_26__n N_134_i un21_berr ahigh_i_27__n N_153_i \ -un6_ds_030 ahigh_i_24__n N_67_0 ahigh_i_25__n un2_as_030_i N_206_i N_263_i \ -N_207_i N_265_i N_208_i AS_030_000_SYNC_i N_84_0 clk_000_d_i_2__n N_81_i \ -N_85_i un6_ds_030_i N_141_i DS_000_DMA_i un1_DS_000_ENABLE_0_sqmuxa_i N_147_i \ -pos_clk_un21_bgack_030_int_i_0_i_n N_145_i N_269_i un7_as_030_i N_90_i \ -RESET_OUT_i N_270_i AS_030_c N_271_0 N_96_0 AS_000_c N_97_0 N_98_0 RW_000_c \ -N_282_i N_284_i pos_clk_un14_clk_000_ne_i_n UDS_000_c un5_e_0 N_285_i \ -LDS_000_c N_291_i N_292_i size_c_0__n N_192_i pos_clk_un6_bg_030_n N_17_i \ -size_c_1__n cpu_est_2_0_2__n pos_clk_ipl_n N_286_i ahigh_c_24__n N_288_i \ -AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa cpu_est_2_0_1__n ahigh_c_25__n N_289_i \ -N_290_i ahigh_c_26__n pos_clk_un9_clk_000_pe_0_n N_280_i ahigh_c_27__n \ -pos_clk_un10_sm_amiga_i_n a_c_i_0__n ahigh_c_28__n size_c_i_1__n N_27_i \ -ahigh_c_29__n N_30_0 N_26_i ahigh_c_30__n N_29_0 N_25_i ahigh_c_31__n N_28_0 \ -ipl_c_i_2__n pos_clk_un9_clk_000_pe_n N_51_0 ipl_c_i_1__n \ -pos_clk_rw_000_int_5_n N_50_0 ipl_c_i_0__n N_49_0 N_4_i N_44_0 N_14_i N_4 \ -N_41_0 N_15_i N_40_0 N_9 N_16_i N_39_0 N_18_i N_37_0 N_14 N_21_i N_15 N_34_0 \ -N_16 N_23_i N_18 N_32_0 N_21 LDS_000_INT_i N_23 un1_LDS_000_INT_0 N_25 \ -UDS_000_INT_i N_26 un1_UDS_000_INT_0 N_27 N_96_0_1 N_96_0_2 N_96_0_3 \ -pos_clk_un21_bgack_030_int_i_0_i_1_n N_84_0_1 a_decode_c_16__n N_84_0_2 \ -N_240_0_1 a_decode_c_17__n pos_clk_un10_sm_amiga_i_1_n N_289_1 \ -a_decode_c_18__n N_289_2 N_290_1 a_decode_c_19__n N_290_2 \ -pos_clk_un14_clk_000_ne_1_n a_decode_c_20__n pos_clk_un14_clk_000_ne_2_n \ -N_153_1 a_decode_c_21__n N_153_2 N_153_3 a_decode_c_22__n N_153_4 N_153_5 \ -a_decode_c_23__n un10_ciin_1 un10_ciin_2 a_c_0__n un10_ciin_3 un10_ciin_4 \ -a_c_1__n un10_ciin_5 un10_ciin_6 nEXP_SPACE_c un10_ciin_7 cpu_est_2_1__n \ -un10_ciin_8 cpu_est_2_2__n BERR_c un10_ciin_9 un10_ciin_10 BG_030_c \ -un10_ciin_11 N_260_i_1 pos_clk_un21_bgack_030_int_i_0_n N_260_i_2 N_81 \ -N_233_i_1 N_94 N_233_i_2 N_254 BGACK_000_c N_232_i_1 N_255 N_232_i_2 N_261 \ -CLK_030_c N_247_1 N_65 N_77_1 N_67 N_83_1 N_269 N_88_1 N_108 CLK_OSZI_c \ -N_142_i_1 N_135 N_146_i_1 N_136 N_234_i_1 N_145 pos_clk_un6_bg_030_1_n N_278 \ -N_124_1 N_147 un21_berr_1 N_58 FPU_SENSE_c un21_fpu_cs_1 N_110 N_140_i_1 N_239 \ -N_154_i_1 N_90 N_152_i_1 N_265 N_150_i_1 N_148_i_1 N_144_i_1 \ -pos_clk_un19_bgack_030_int_n N_255_0_1 N_280 ipl_c_0__n N_258_i_1 N_263 \ -N_259_i_1 N_247 ipl_c_1__n N_282_1 N_77 N_284_1 N_289 ipl_c_2__n N_288_1 N_291 \ -un5_e_0_1 N_290 N_192_i_1 N_286 DTACK_c pos_clk_ipl_1_n N_288 bg_000_0_un3_n \ -N_285 bg_000_0_un1_n N_17 bg_000_0_un0_n N_292 VPA_c \ -amiga_bus_enable_dma_low_0_un3_n pos_clk_un14_clk_000_ne_n \ -amiga_bus_enable_dma_low_0_un1_n N_282 amiga_bus_enable_dma_low_0_un0_n N_284 \ -RST_c a0_dma_0_un3_n N_98 a0_dma_0_un1_n N_97 a0_dma_0_un0_n N_84 RW_c \ -rw_000_dma_0_un3_n N_96 rw_000_dma_0_un1_n N_271 fc_c_0__n rw_000_dma_0_un0_n \ -N_117 bgack_030_int_0_un3_n N_141 fc_c_1__n bgack_030_int_0_un1_n N_134 \ -bgack_030_int_0_un0_n N_153 ds_000_dma_0_un3_n N_129 AMIGA_BUS_DATA_DIR_c \ -ds_000_dma_0_un1_n N_127 ds_000_dma_0_un0_n N_128 size_dma_0_1__un3_n N_125 \ -size_dma_0_1__un1_n N_126 size_dma_0_1__un0_n N_124 BG_030_c_i \ -size_dma_0_0__un3_n N_121 pos_clk_un6_bg_030_i_n size_dma_0_0__un1_n N_171 \ -pos_clk_un9_bg_030_0_n size_dma_0_0__un0_n N_120 N_24_i \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_119 N_31_0 \ -un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_118 N_22_i \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_116 N_33_0 cpu_est_0_1__un3_n \ -N_114 N_20_i cpu_est_0_1__un1_n N_115 N_35_0 cpu_est_0_1__un0_n N_243 N_19_i \ -cpu_est_0_2__un3_n N_240 N_36_0 cpu_est_0_2__un1_n N_88 N_8_i \ -cpu_est_0_2__un0_n N_89 N_42_0 cpu_est_0_3__un3_n N_82 N_3_i \ -cpu_est_0_3__un1_n N_83 N_45_0 cpu_est_0_3__un0_n N_78 VPA_c_i \ -ipl_030_0_0__un3_n N_79 N_52_0 ipl_030_0_0__un1_n N_91 DTACK_c_i \ -ipl_030_0_0__un0_n N_244 N_53_0 ipl_030_0_1__un3_n N_62 ipl_030_0_1__un1_n \ -N_64 N_249_i ipl_030_0_1__un0_n N_59 N_248_i ipl_030_0_2__un3_n N_61 N_247_i \ -ipl_030_0_2__un1_n N_163 ipl_030_0_2__un0_n N_245 N_77_i uds_000_int_0_un3_n \ -N_242 N_251_i uds_000_int_0_un1_n N_246 N_76_i uds_000_int_0_un0_n N_248 \ -amiga_bus_enable_dma_high_0_un3_n N_236 N_131_i \ -amiga_bus_enable_dma_high_0_un1_n N_249 N_130_i \ -amiga_bus_enable_dma_high_0_un0_n N_92 N_264_i as_000_dma_0_un3_n N_251 \ -N_170_i as_000_dma_0_un1_n N_76 pos_clk_un6_bgack_000_0_n as_000_dma_0_un0_n \ -N_80 pos_clk_rw_000_dma_3_0_n ds_000_enable_0_un3_n pos_clk_a0_dma_3_n N_123_i \ -ds_000_enable_0_un1_n SIZE_DMA_3_sqmuxa N_124_i ds_000_enable_0_un0_n N_87 \ -AMIGA_BUS_DATA_DIR_c_0 lds_000_int_0_un3_n pos_clk_size_dma_6_1__n N_122_i \ -lds_000_int_0_un1_n pos_clk_size_dma_6_0__n pos_clk_ds_000_dma_4_0_n \ -lds_000_int_0_un0_n N_170 N_242_i as_030_000_sync_0_un3_n N_122 N_239_i \ -as_030_000_sync_0_un1_n N_123 N_87_i as_030_000_sync_0_un0_n N_130 N_236_0 \ -rw_000_int_0_un3_n pos_clk_ds_000_dma_4_n N_246_i rw_000_int_0_un1_n \ -pos_clk_rw_000_dma_3_n pos_clk_size_dma_6_0_0__n rw_000_int_0_un0_n \ -pos_clk_un6_bgack_000_n N_245_i vma_int_0_un3_n N_131 \ -pos_clk_size_dma_6_0_1__n vma_int_0_un1_n N_3 N_91_i vma_int_0_un0_n N_8 \ -N_210_i a_decode_15__n N_19 pos_clk_un19_bgack_030_int_i_n N_20 N_163_0 \ -a_decode_14__n N_22 N_59_i N_24 N_61_i a_decode_13__n pos_clk_un9_bg_030_n \ -un1_amiga_bus_enable_low_i N_62_i a_decode_12__n un21_fpu_cs_i N_64_i \ -BGACK_030_INT_i a_decode_11__n AMIGA_BUS_ENABLE_DMA_LOW_i N_244_i N_80_i \ -a_decode_10__n cycle_dma_i_0__n N_78_i_0 RW_000_i N_79_i a_decode_9__n \ -rst_dly_i_0__n rst_dly_i_1__n N_82_i a_decode_8__n rst_dly_i_2__n N_83_i \ -LDS_000_i N_55_0 a_decode_7__n UDS_000_i N_88_i sm_amiga_i_2__n N_89_i \ -a_decode_6__n N_58_i N_240_0 sm_amiga_i_3__n N_243_0 a_decode_5__n \ -cpu_est_i_1__n CLK_030_c_i cpu_est_i_0__n N_254_0 a_decode_4__n \ -sm_amiga_i_1__n N_114_i N_110_i N_115_i a_decode_3__n a_i_1__n VMA_INT_i \ -N_116_i a_decode_2__n VPA_D_i N_117_i DTACK_D0_i AS_030_D0_i N_118_i \ -sm_amiga_i_0__n sm_amiga_i_i_7__n N_119_i sm_amiga_i_6__n sm_amiga_i_5__n \ -N_120_i sm_amiga_i_4__n AS_030.OE AS_000.OE RW_000.OE UDS_000.OE LDS_000.OE \ -SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE AHIGH_26_.OE AHIGH_27_.OE \ -AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE A_0_.OE BERR.OE RW.OE \ -DS_030.OE DSACK1.OE RESET.OE CIIN.OE G_107 G_108 G_109 \ -pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 +inst_AS_000_DMA.C inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C \ +CLK_OUT_INTreg.D CLK_OUT_INTreg.C inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ +inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C SIZE_1_ AHIGH_31_ AS_030 AS_000 \ +RW_000 UDS_000 LDS_000 BERR RW SIZE_0_ AHIGH_30_ AHIGH_29_ AHIGH_28_ AHIGH_27_ \ +AHIGH_26_ AHIGH_25_ AHIGH_24_ A_0_ rst_dly_i_1__n N_41_0 sm_amiga_i_5__n \ +a_c_i_0__n rst_dly_i_0__n size_c_i_1__n sm_amiga_i_i_7__n \ +pos_clk_un10_sm_amiga_i_n AS_030_D0_i un1_as_000_i AS_000_INT_i un10_ciin_i \ +a_i_1__n N_260_0 a_decode_i_16__n N_229_i a_decode_i_18__n N_230_i vcc_n_n \ +a_decode_i_19__n N_298_0 ahigh_i_30__n N_48_0 gnd_n_n ahigh_i_31__n N_299_i \ +un1_amiga_bus_enable_low ahigh_i_28__n N_345_i un7_as_030 ahigh_i_29__n \ +N_349_i un1_UDS_000_INT ahigh_i_26__n un1_DS_000_ENABLE_0_sqmuxa_i \ +un1_LDS_000_INT ahigh_i_27__n N_180_i un1_SM_AMIGA_0_sqmuxa_1 ahigh_i_24__n \ +N_181_i un10_ciin ahigh_i_25__n N_326_i un21_fpu_cs N_206_i un21_berr N_207_i \ +N_186_i un6_ds_030 N_208_i N_163_i N_197_0 N_79_i N_213_i N_78_i N_214_i \ +un6_ds_030_i N_215_i N_165_i N_169_i N_199_i un7_as_030_i N_191_0 \ +AMIGA_BUS_ENABLE_DMA_LOW_i N_275_i AS_030_c N_187_0 LDS_000_c_i AS_000_c \ +UDS_000_c_i N_184_i RW_000_c clk_000_d_i_4__n N_171_i AS_030_000_SYNC_i \ +UDS_000_c N_161_i LDS_000_c N_113_0 N_338_i size_c_0__n N_339_i size_c_1__n \ +N_335_i N_336_i ahigh_c_24__n N_334_i ahigh_c_25__n pos_clk_size_dma_6_0_1__n \ +pos_clk_un6_bg_030_n N_333_i ahigh_c_26__n pos_clk_size_dma_6_0_0__n \ +pos_clk_ipl_n N_295_i ahigh_c_27__n N_332_i ahigh_c_28__n N_292_i N_302_0 \ +pos_clk_un9_bg_030_n ahigh_c_29__n N_300_0 N_290_i ahigh_c_30__n N_273_i \ +ahigh_c_31__n N_327_i N_270_i N_269_i N_267_i pos_clk_a0_dma_3_n N_324_i \ +pos_clk_rw_000_int_5_n un1_SM_AMIGA_0_sqmuxa_1_0 N_319_i N_320_i RW_c_i \ +pos_clk_rw_000_int_5_0_n N_227_i N_297_0 N_15_i N_40_0 N_16_i N_15 N_39_0 N_16 \ +N_19_i N_19 N_36_0 N_20 N_20_i N_22 N_35_0 N_23 N_22_i N_24 N_33_0 N_23_i \ +N_32_0 a_decode_c_16__n N_24_i N_31_0 a_decode_c_17__n BG_030_c_i \ +pos_clk_un6_bg_030_i_n a_decode_c_18__n pos_clk_un9_bg_030_0_n N_161_i_1 \ +a_decode_c_19__n N_161_i_2 N_161_i_3 a_decode_c_20__n N_161_i_4 N_233_i_1 \ +a_decode_c_21__n N_233_i_2 N_180_i_1 a_decode_c_22__n N_180_i_2 \ +pos_clk_un10_sm_amiga_i_1_n a_decode_c_23__n N_196_0_1 N_188_i_1 a_c_0__n \ +un10_ciin_1 un10_ciin_2 a_c_1__n un10_ciin_3 un10_ciin_4 nEXP_SPACE_c \ +un10_ciin_5 un10_ciin_6 pos_clk_size_dma_6_0__n BERR_c un10_ciin_7 \ +pos_clk_size_dma_6_1__n un10_ciin_8 BG_030_c un10_ciin_9 un10_ciin_10 \ +un10_ciin_11 N_171_i_1 N_78 N_171_i_2 N_79 BGACK_000_c N_227_1 N_260 N_227_2 \ +N_139 CLK_030_c N_227_3 N_141 un21_fpu_cs_1 N_165 un21_berr_1_0 N_169 \ +N_235_i_1 N_297 CLK_OSZI_c N_235_i_2 N_256_1 N_300 N_256_2 N_302 N_232_i_1 \ +N_113 N_232_i_2 N_305 N_219_1 N_155 FPU_SENSE_c N_219_2 N_163 N_218_1 N_166 \ +N_218_2 N_171 N_347_1 N_180 N_347_2 N_184 N_136_i_1 N_191 N_146_i_1 N_199 \ +N_142_i_1 N_205 ipl_c_0__n N_234_i_1 N_306 N_302_0_1 N_215 ipl_c_1__n N_63_i_1 \ +N_221 N_154_i_1 N_227 ipl_c_2__n N_152_i_1 N_230 N_148_i_1 N_319 N_144_i_1 \ +N_320 DTACK_c N_140_i_1 N_267 pos_clk_un6_bg_030_1_n N_324 N_230_1 N_269 \ +N_221_1 N_270 VPA_c N_215_1 N_327 N_306_1 N_273 pos_clk_ipl_1_n N_275 RST_c \ +ipl_030_0_2__un3_n N_290 ipl_030_0_2__un1_n N_292 ipl_030_0_2__un0_n N_295 \ +RW_c ipl_030_0_1__un3_n N_332 ipl_030_0_1__un1_n N_333 fc_c_0__n \ +ipl_030_0_1__un0_n N_334 ipl_030_0_0__un3_n N_335 fc_c_1__n ipl_030_0_0__un1_n \ +N_336 ipl_030_0_0__un0_n N_338 cpu_est_0_3__un3_n N_339 AMIGA_BUS_DATA_DIR_c \ +cpu_est_0_3__un1_n N_350 cpu_est_0_3__un0_n vma_int_0_un3_n N_161 \ +vma_int_0_un1_n N_213 vma_int_0_un0_n N_214 VPA_c_i cpu_est_0_1__un3_n N_197 \ +N_52_0 cpu_est_0_1__un1_n N_159 DTACK_c_i cpu_est_0_1__un0_n N_326 N_53_0 \ +cpu_est_0_2__un3_n un21_berr_1 ipl_c_i_0__n cpu_est_0_2__un1_n N_181 N_49_0 \ +cpu_est_0_2__un0_n ipl_c_i_1__n ds_000_dma_0_un3_n un1_DS_000_ENABLE_0_sqmuxa \ +N_50_0 ds_000_dma_0_un1_n N_349 ipl_c_i_2__n ds_000_dma_0_un0_n N_345 N_51_0 \ +as_000_dma_0_un3_n N_229 N_25_i as_000_dma_0_un1_n N_14 N_28_0 \ +as_000_dma_0_un0_n N_21 N_26_i bgack_030_int_0_un3_n N_3 N_29_0 \ +bgack_030_int_0_un1_n N_301 N_27_i bgack_030_int_0_un0_n N_4 N_30_0 \ +ds_000_enable_0_un3_n N_303 N_222_i ds_000_enable_0_un1_n N_8 N_223_i \ +ds_000_enable_0_un0_n pos_clk_un6_bgack_000_n N_192_i uds_000_int_0_un3_n N_9 \ +N_231_i uds_000_int_0_un1_n N_65 N_237_i uds_000_int_0_un0_n N_217 \ +lds_000_int_0_un3_n N_216 N_342_i lds_000_int_0_un1_n N_248 N_341_i \ +lds_000_int_0_un0_n N_198 un1_amiga_bus_enable_dma_high_i_m2_0__un3_n N_291 \ +N_160_0 un1_amiga_bus_enable_dma_high_i_m2_0__un1_n N_353 N_164_i \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n N_256 VMA_INT_i \ +amiga_bus_enable_dma_high_0_un3_n N_18 N_347_i \ +amiga_bus_enable_dma_high_0_un1_n pos_clk_un9_clk_000_pe_n N_348_i \ +amiga_bus_enable_dma_high_0_un0_n cpu_est_2_1__n N_188_i bg_000_0_un3_n \ +cpu_est_2_2__n N_245_0 bg_000_0_un1_n N_209 N_194_0 bg_000_0_un0_n N_211 \ +N_196_0 size_dma_0_0__un3_n N_220 size_dma_0_0__un1_n N_222 N_211_i \ +size_dma_0_0__un0_n N_162 N_209_i size_dma_0_1__un3_n N_224 N_306_i \ +size_dma_0_1__un1_n N_193 N_193_0 size_dma_0_1__un0_n N_225 N_190_0 \ +as_030_000_sync_0_un3_n N_190 N_183_i as_030_000_sync_0_un1_n N_346 N_162_i \ +as_030_000_sync_0_un0_n N_352 N_346_i rw_000_int_0_un3_n N_219 N_159_0 \ +rw_000_int_0_un1_n N_218 N_305_i rw_000_int_0_un0_n N_183 N_210_i \ +rw_000_dma_0_un3_n N_196 N_225_i rw_000_dma_0_un1_n N_188 N_224_i \ +rw_000_dma_0_un0_n N_194 N_296_i a0_dma_0_un3_n N_347 N_352_i a0_dma_0_un1_n \ +N_348 cpu_est_2_0_2__n a0_dma_0_un0_n N_160 N_220_i \ +amiga_bus_enable_dma_low_0_un3_n N_341 N_221_i \ +amiga_bus_enable_dma_low_0_un1_n N_342 cpu_est_2_0_1__n \ +amiga_bus_enable_dma_low_0_un0_n N_231 N_219_i a_decode_15__n N_237 N_218_i \ +N_223 pos_clk_un9_clk_000_pe_0_n a_decode_14__n N_27 clk_000_d_i_2__n N_26 \ +N_157_i a_decode_13__n N_25 N_18_i un1_amiga_bus_enable_low_i N_37_0 \ +a_decode_12__n un21_fpu_cs_i cpu_est_i_2__n N_217_i a_decode_11__n \ +sm_amiga_i_0__n N_216_i sm_amiga_i_2__n CLK_030_c_i a_decode_10__n \ +sm_amiga_i_1__n N_198_0 cpu_est_i_0__n N_166_i a_decode_9__n VPA_D_i N_155_i \ +DTACK_D0_i N_303_0 a_decode_8__n AS_030_i N_291_i DSACK1_INT_i N_301_0 \ +a_decode_7__n cpu_est_i_3__n N_256_i sm_amiga_i_3__n N_248_i a_decode_6__n \ +cpu_est_i_1__n AMIGA_BUS_DATA_DIR_c_0 clk_000_d_i_1__n N_353_i a_decode_5__n \ +N_350_i_0 pos_clk_un6_bgack_000_0_n rst_dly_i_2__n N_65_0 a_decode_4__n \ +nEXP_SPACE_i N_3_i AS_000_i N_45_0 a_decode_3__n BGACK_030_INT_i N_4_i \ +sm_amiga_i_6__n N_44_0 a_decode_2__n clk_000_d_i_0__n N_8_i RW_000_i N_42_0 \ +CLK_030_H_i UDS_000_INT_i AS_000_DMA_i un1_UDS_000_INT_0 cycle_dma_i_0__n \ +LDS_000_INT_i DS_000_DMA_i un1_LDS_000_INT_0 RESET_OUT_i N_21_i \ +sm_amiga_i_4__n N_34_0 FPU_SENSE_i N_14_i AS_030.OE AS_000.OE RW_000.OE \ +UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE AHIGH_24_.OE AHIGH_25_.OE \ +AHIGH_26_.OE AHIGH_27_.OE AHIGH_28_.OE AHIGH_29_.OE AHIGH_30_.OE AHIGH_31_.OE \ +A_0_.OE BERR.OE RW.OE DS_030.OE DSACK1.OE RESET.OE CIIN.OE G_107 G_108 G_109 \ +pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_i_a2_i_x2 +.names N_154_i_1.BLIF RST_c.BLIF SM_AMIGA_i_7_.D +11 1 .names N_152_i_1.BLIF RST_c.BLIF SM_AMIGA_6_.D 11 1 -.names N_150_i_1.BLIF RST_c.BLIF SM_AMIGA_5_.D +.names N_186_i.BLIF N_326_i.BLIF SM_AMIGA_5_.D 11 1 .names N_148_i_1.BLIF RST_c.BLIF SM_AMIGA_4_.D 11 1 @@ -418,6 +407,9 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 11 1 .names N_140_i_1.BLIF RST_c.BLIF SM_AMIGA_0_.D 11 1 +.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D +1- 1 +-1 1 .names N_28_0.BLIF IPL_030DFF_0_reg.D 0 1 .names N_29_0.BLIF IPL_030DFF_1_reg.D @@ -430,11 +422,9 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 0 1 .names N_51_0.BLIF IPL_D0_2_.D 0 1 -.names N_154_i_1.BLIF RST_c.BLIF SM_AMIGA_i_7_.D +.names N_235_i_1.BLIF N_235_i_2.BLIF CYCLE_DMA_0_.D 11 1 -.names N_260_i_1.BLIF N_260_i_2.BLIF CYCLE_DMA_0_.D -11 1 -.names N_259_i_1.BLIF RST_c.BLIF CYCLE_DMA_1_.D +.names N_136_i_1.BLIF N_245_0.BLIF CYCLE_DMA_1_.D 11 1 .names size_dma_0_0__un1_n.BLIF size_dma_0_0__un0_n.BLIF SIZE_DMA_0_.D 1- 1 @@ -442,7 +432,7 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 .names size_dma_0_1__un1_n.BLIF size_dma_0_1__un0_n.BLIF SIZE_DMA_1_.D 1- 1 -1 1 -.names N_59_i.BLIF N_61_i.BLIF cpu_est_0_.D +.names N_341_i.BLIF N_342_i.BLIF cpu_est_0_.D 11 1 .names cpu_est_0_1__un1_n.BLIF cpu_est_0_1__un0_n.BLIF cpu_est_1_.D 1- 1 @@ -450,18 +440,17 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 .names cpu_est_0_2__un1_n.BLIF cpu_est_0_2__un0_n.BLIF cpu_est_2_.D 1- 1 -1 1 -.names cpu_est_0_3__un1_n.BLIF cpu_est_0_3__un0_n.BLIF cpu_est_3_.D -1- 1 --1 1 .names N_234_i_1.BLIF RST_c.BLIF RST_DLY_0_.D 11 1 .names N_233_i_1.BLIF N_233_i_2.BLIF RST_DLY_1_.D 11 1 .names N_232_i_1.BLIF N_232_i_2.BLIF RST_DLY_2_.D 11 1 -.names N_127_i.BLIF N_128_i.BLIF inst_DSACK1_INT.D +.names N_45_0.BLIF inst_DS_000_DMA.D +0 1 +.names N_231_i.BLIF N_237_i.BLIF inst_DSACK1_INT.D 11 1 -.names N_125_i.BLIF N_126_i.BLIF inst_AS_000_INT.D +.names N_319_i.BLIF N_320_i.BLIF inst_AS_000_INT.D 11 1 .names N_48_0.BLIF inst_AS_030_D0.D 0 1 @@ -469,9 +458,9 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 0 1 .names N_53_0.BLIF inst_DTACK_D0.D 0 1 -.names N_258_i_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF inst_CLK_030_H.D +.names N_63_i_1.BLIF RST_c.BLIF inst_CLK_030_H.D 11 1 -.names N_55_0.BLIF inst_RESET_OUT.D +.names N_298_0.BLIF inst_RESET_OUT.D 0 1 .names N_9.BLIF RST_c.BLIF inst_DS_000_ENABLE.D 11 1 @@ -499,360 +488,303 @@ pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk_un21_bgack_030_int_i_0_x2 0 1 .names N_44_0.BLIF inst_AS_000_DMA.D 0 1 -.names N_45_0.BLIF inst_DS_000_DMA.D -0 1 -.names AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa.BLIF inst_BGACK_030_INT_D.D +.names N_245_0.BLIF inst_BGACK_030_INT_D.D 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names nEXP_SPACE_c.BLIF nEXP_SPACE_i +.names RST_DLY_1_.BLIF rst_dly_i_1__n 0 1 -.names N_171.BLIF N_171_i -0 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i -0 1 -.names FPU_SENSE_c.BLIF FPU_SENSE_i -0 1 -.names N_121.BLIF N_121_i -0 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names N_255_0_1.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_255_0 +.names N_14_i.BLIF RST_c.BLIF N_41_0 11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n 0 1 -.names N_265.BLIF N_270_i.BLIF un1_SM_AMIGA_0_sqmuxa_1_0 -11 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names AS_030_i.BLIF RST_c.BLIF N_48_0 -11 1 -.names inst_AS_000_INT.BLIF AS_000_INT_i -0 1 -.names N_125.BLIF N_125_i -0 1 -.names inst_DSACK1_INT.BLIF DSACK1_INT_i -0 1 -.names N_126.BLIF N_126_i -0 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_0__n -0 1 -.names vcc_n_n - 1 -.names CLK_000_D_3_.BLIF clk_000_d_i_3__n -0 1 -.names N_127.BLIF N_127_i -0 1 -.names un5_e_0.BLIF un5_e -0 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_1__n -0 1 -.names N_128.BLIF N_128_i -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names gnd_n_n -.names cpu_est_3_.BLIF cpu_est_i_3__n -0 1 -.names RW_c.BLIF RW_c_i -0 1 -.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ -un1_amiga_bus_enable_low -11 1 -.names a_decode_c_16__n.BLIF a_decode_i_16__n -0 1 -.names N_270_i.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n -11 1 -.names AS_000_DMA_i.BLIF AS_000_i.BLIF un7_as_030 -11 1 -.names a_decode_c_18__n.BLIF a_decode_i_18__n -0 1 -.names N_129.BLIF N_129_i -0 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT -0 1 -.names a_decode_c_19__n.BLIF a_decode_i_19__n -0 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 -.names ahigh_c_30__n.BLIF ahigh_i_30__n -0 1 -.names un1_SM_AMIGA_0_sqmuxa_1_0.BLIF un1_SM_AMIGA_0_sqmuxa_1 -0 1 -.names ahigh_c_31__n.BLIF ahigh_i_31__n -0 1 -.names un10_ciin.BLIF un10_ciin_i -0 1 -.names un1_DS_000_ENABLE_0_sqmuxa_i.BLIF un1_DS_000_ENABLE_0_sqmuxa -0 1 -.names ahigh_c_28__n.BLIF ahigh_i_28__n -0 1 -.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_261_0 -11 1 -.names un10_ciin_10.BLIF un10_ciin_11.BLIF un10_ciin -11 1 -.names ahigh_c_29__n.BLIF ahigh_i_29__n -0 1 -.names AS_030_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF N_65_0 -11 1 -.names un21_fpu_cs_1.BLIF FPU_SENSE_i.BLIF un21_fpu_cs -11 1 -.names ahigh_c_26__n.BLIF ahigh_i_26__n -0 1 -.names N_134.BLIF N_134_i -0 1 -.names un21_berr_1.BLIF FPU_SENSE_c.BLIF un21_berr -11 1 -.names ahigh_c_27__n.BLIF ahigh_i_27__n -0 1 -.names N_153.BLIF N_153_i -0 1 -.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 -11 1 -.names ahigh_c_24__n.BLIF ahigh_i_24__n -0 1 -.names N_134_i.BLIF N_153_i.BLIF N_67_0 -11 1 -.names ahigh_c_25__n.BLIF ahigh_i_25__n -0 1 -.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF un2_as_030_i -11 1 -.names G_107.BLIF N_206_i -0 1 -.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_263_i -11 1 -.names G_108.BLIF N_207_i -0 1 -.names N_263_i.BLIF SM_AMIGA_6_.BLIF N_265_i -11 1 -.names G_109.BLIF N_208_i -0 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names N_84_0_1.BLIF N_84_0_2.BLIF N_84_0 -11 1 -.names CLK_000_D_2_.BLIF clk_000_d_i_2__n -0 1 -.names N_81.BLIF N_81_i -0 1 -.names CLK_000_D_3_.BLIF clk_000_d_i_2__n.BLIF N_85_i -11 1 -.names un6_ds_030.BLIF un6_ds_030_i -0 1 -.names N_141.BLIF N_141_i -0 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_i -0 1 -.names N_110_i.BLIF N_141_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i -11 1 -.names N_147.BLIF N_147_i -0 1 -.names pos_clk_un21_bgack_030_int_i_0_i_1_n.BLIF \ -pos_clk_un19_bgack_030_int_n.BLIF pos_clk_un21_bgack_030_int_i_0_i_n -11 1 -.names N_145.BLIF N_145_i -0 1 -.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_269_i -11 1 -.names un7_as_030.BLIF un7_as_030_i -0 1 -.names N_85_i.BLIF SM_AMIGA_1_.BLIF N_90_i -11 1 -.names inst_RESET_OUT.BLIF RESET_OUT_i -0 1 -.names N_117_i.BLIF SM_AMIGA_i_7_.BLIF N_270_i -11 1 -.names N_269_i.BLIF SM_AMIGA_5_.BLIF N_271_0 -11 1 -.names N_96_0_3.BLIF nEXP_SPACE_c.BLIF N_96_0 -11 1 -.names N_84_0.BLIF sm_amiga_i_i_7__n.BLIF N_97_0 -11 1 -.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_98_0 -11 1 -.names N_282.BLIF N_282_i -0 1 -.names N_284.BLIF N_284_i -0 1 -.names pos_clk_un14_clk_000_ne_n.BLIF pos_clk_un14_clk_000_ne_i_n -0 1 -.names un5_e_0_1.BLIF N_284_i.BLIF un5_e_0 -11 1 -.names N_285.BLIF N_285_i -0 1 -.names N_291.BLIF N_291_i -0 1 -.names N_292.BLIF N_292_i -0 1 -.names N_192_i_1.BLIF N_292_i.BLIF N_192_i -11 1 -.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n -11 1 -.names N_17.BLIF N_17_i -0 1 -.names N_17_i.BLIF N_285_i.BLIF cpu_est_2_0_2__n -11 1 -.names pos_clk_ipl_1_n.BLIF N_207_i.BLIF pos_clk_ipl_n -11 1 -.names N_286.BLIF N_286_i -0 1 -.names N_288.BLIF N_288_i -0 1 -.names BGACK_030_INT_i.BLIF RST_c.BLIF AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa -11 1 -.names N_286_i.BLIF N_288_i.BLIF cpu_est_2_0_1__n -11 1 -.names N_289.BLIF N_289_i -0 1 -.names N_290.BLIF N_290_i -0 1 -.names N_289_i.BLIF N_290_i.BLIF pos_clk_un9_clk_000_pe_0_n -11 1 -.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_280_i -11 1 -.names pos_clk_un10_sm_amiga_i_1_n.BLIF size_c_0__n.BLIF \ -pos_clk_un10_sm_amiga_i_n -11 1 .names a_c_0__n.BLIF a_c_i_0__n 0 1 +.names RST_DLY_0_.BLIF rst_dly_i_0__n +0 1 .names size_c_1__n.BLIF size_c_i_1__n 0 1 -.names N_27.BLIF N_27_i +.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n 0 1 -.names N_27_i.BLIF RST_c.BLIF N_30_0 +.names pos_clk_un10_sm_amiga_i_1_n.BLIF size_c_i_1__n.BLIF \ +pos_clk_un10_sm_amiga_i_n 11 1 -.names N_26.BLIF N_26_i +.names inst_AS_030_D0.BLIF AS_030_D0_i 0 1 -.names N_26_i.BLIF RST_c.BLIF N_29_0 +.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF un1_as_000_i 11 1 -.names N_25.BLIF N_25_i +.names inst_AS_000_INT.BLIF AS_000_INT_i 0 1 -.names N_25_i.BLIF RST_c.BLIF N_28_0 +.names un10_ciin.BLIF un10_ciin_i +0 1 +.names a_c_1__n.BLIF a_i_1__n +0 1 +.names nEXP_SPACE_i.BLIF un10_ciin_i.BLIF N_260_0 11 1 -.names ipl_c_2__n.BLIF ipl_c_i_2__n +.names a_decode_c_16__n.BLIF a_decode_i_16__n 0 1 -.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n +.names N_229.BLIF N_229_i 0 1 -.names ipl_c_i_2__n.BLIF RST_c.BLIF N_51_0 +.names a_decode_c_18__n.BLIF a_decode_i_18__n +0 1 +.names N_230.BLIF N_230_i +0 1 +.names vcc_n_n + 1 +.names a_decode_c_19__n.BLIF a_decode_i_19__n +0 1 +.names N_229_i.BLIF N_230_i.BLIF N_298_0 11 1 -.names ipl_c_1__n.BLIF ipl_c_i_1__n +.names ahigh_c_30__n.BLIF ahigh_i_30__n +0 1 +.names AS_030_i.BLIF RST_c.BLIF N_48_0 +11 1 +.names gnd_n_n +.names ahigh_c_31__n.BLIF ahigh_i_31__n +0 1 +.names BGACK_030_INT_i.BLIF nEXP_SPACE_i.BLIF N_299_i +11 1 +.names AMIGA_BUS_ENABLE_DMA_LOW_i.BLIF BGACK_030_INT_i.BLIF \ +un1_amiga_bus_enable_low +11 1 +.names ahigh_c_28__n.BLIF ahigh_i_28__n +0 1 +.names N_345.BLIF N_345_i +0 1 +.names AS_000_DMA_i.BLIF AS_000_i.BLIF un7_as_030 +11 1 +.names ahigh_c_29__n.BLIF ahigh_i_29__n +0 1 +.names N_349.BLIF N_349_i +0 1 +.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT +0 1 +.names ahigh_c_26__n.BLIF ahigh_i_26__n +0 1 +.names N_181.BLIF N_349_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i +11 1 +.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT +0 1 +.names ahigh_c_27__n.BLIF ahigh_i_27__n +0 1 +.names N_180_i_1.BLIF N_180_i_2.BLIF N_180_i +11 1 +.names un1_SM_AMIGA_0_sqmuxa_1_0.BLIF un1_SM_AMIGA_0_sqmuxa_1 +0 1 +.names ahigh_c_24__n.BLIF ahigh_i_24__n +0 1 +.names N_155_i.BLIF SM_AMIGA_4_.BLIF N_181_i +11 1 +.names un10_ciin_10.BLIF un10_ciin_11.BLIF un10_ciin +11 1 +.names ahigh_c_25__n.BLIF ahigh_i_25__n +0 1 +.names N_326.BLIF N_326_i +0 1 +.names un21_fpu_cs_1.BLIF N_161_i.BLIF un21_fpu_cs +11 1 +.names G_107.BLIF N_206_i +0 1 +.names un21_berr_1_0.BLIF N_161_i.BLIF un21_berr +11 1 +.names G_108.BLIF N_207_i +0 1 +.names N_305.BLIF RST_c.BLIF N_186_i +11 1 +.names AS_000_i.BLIF DS_000_DMA_i.BLIF un6_ds_030 +11 1 +.names G_109.BLIF N_208_i +0 1 +.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_163_i +11 1 +.names N_305_i.BLIF SM_AMIGA_5_.BLIF N_197_0 +11 1 +.names N_79.BLIF N_79_i +0 1 +.names N_213.BLIF N_213_i +0 1 +.names N_78.BLIF N_78_i +0 1 +.names N_214.BLIF N_214_i +0 1 +.names un6_ds_030.BLIF un6_ds_030_i +0 1 +.names N_215.BLIF N_215_i +0 1 +.names N_165.BLIF N_165_i +0 1 +.names N_169.BLIF N_169_i +0 1 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_199_i +11 1 +.names un7_as_030.BLIF un7_as_030_i +0 1 +.names N_171_i.BLIF sm_amiga_i_i_7__n.BLIF N_191_0 +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i +0 1 +.names N_275.BLIF N_275_i +0 1 +.names N_275_i.BLIF SM_AMIGA_i_7_.BLIF N_187_0 +11 1 +.names LDS_000_c.BLIF LDS_000_c_i +0 1 +.names UDS_000_c.BLIF UDS_000_c_i +0 1 +.names LDS_000_c_i.BLIF UDS_000_c_i.BLIF N_184_i +11 1 +.names CLK_000_D_4_.BLIF clk_000_d_i_4__n +0 1 +.names N_171_i_1.BLIF N_171_i_2.BLIF N_171_i +11 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names N_161_i_4.BLIF N_161_i_3.BLIF N_161_i +11 1 +.names N_199_i.BLIF RST_c.BLIF N_113_0 +11 1 +.names N_338.BLIF N_338_i +0 1 +.names N_339.BLIF N_339_i +0 1 +.names N_335.BLIF N_335_i +0 1 +.names N_336.BLIF N_336_i +0 1 +.names N_334.BLIF N_334_i +0 1 +.names N_334_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n +11 1 +.names pos_clk_un6_bg_030_1_n.BLIF CLK_000_D_0_.BLIF pos_clk_un6_bg_030_n +11 1 +.names N_333.BLIF N_333_i +0 1 +.names N_333_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n +11 1 +.names pos_clk_ipl_1_n.BLIF N_207_i.BLIF pos_clk_ipl_n +11 1 +.names N_295.BLIF N_295_i +0 1 +.names N_332.BLIF N_332_i +0 1 +.names N_292.BLIF N_292_i +0 1 +.names N_302_0_1.BLIF RW_000_i.BLIF N_302_0 +11 1 +.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n +0 1 +.names BGACK_030_INT_i.BLIF RW_000_i.BLIF N_300_0 +11 1 +.names N_290.BLIF N_290_i +0 1 +.names N_273.BLIF N_273_i +0 1 +.names N_327.BLIF N_327_i +0 1 +.names N_270.BLIF N_270_i +0 1 +.names N_269.BLIF N_269_i +0 1 +.names N_267.BLIF N_267_i +0 1 +.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n +11 1 +.names N_324.BLIF N_324_i 0 1 .names pos_clk_rw_000_int_5_0_n.BLIF pos_clk_rw_000_int_5_n 0 1 -.names ipl_c_i_1__n.BLIF RST_c.BLIF N_50_0 +.names N_166.BLIF N_187_0.BLIF un1_SM_AMIGA_0_sqmuxa_1_0 11 1 -.names ipl_c_0__n.BLIF ipl_c_i_0__n +.names N_319.BLIF N_319_i 0 1 -.names ipl_c_i_0__n.BLIF RST_c.BLIF N_49_0 +.names N_320.BLIF N_320_i +0 1 +.names RW_c.BLIF RW_c_i +0 1 +.names N_187_0.BLIF RW_c_i.BLIF pos_clk_rw_000_int_5_0_n 11 1 -.names N_4.BLIF N_4_i +.names N_227.BLIF N_227_i 0 1 -.names N_4_i.BLIF RST_c.BLIF N_44_0 -11 1 -.names N_14.BLIF N_14_i -0 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_4 -1- 1 --1 1 -.names N_14_i.BLIF RST_c.BLIF N_41_0 +.names AS_030_i.BLIF N_227_i.BLIF N_297_0 11 1 .names N_15.BLIF N_15_i 0 1 .names N_15_i.BLIF RST_c.BLIF N_40_0 11 1 -.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_9 -1- 1 --1 1 .names N_16.BLIF N_16_i 0 1 -.names N_16_i.BLIF RST_c.BLIF N_39_0 -11 1 -.names N_18.BLIF N_18_i -0 1 -.names N_18_i.BLIF RST_c.BLIF N_37_0 -11 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 -1- 1 --1 1 -.names N_21.BLIF N_21_i -0 1 .names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF N_15 1- 1 -1 1 -.names N_21_i.BLIF RST_c.BLIF N_34_0 +.names N_16_i.BLIF RST_c.BLIF N_39_0 11 1 .names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF N_16 1- 1 -1 1 -.names N_23.BLIF N_23_i +.names N_19.BLIF N_19_i 0 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 1- 1 -1 1 -.names N_23_i.BLIF RST_c.BLIF N_32_0 +.names N_19_i.BLIF RST_c.BLIF N_36_0 11 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_21 +.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 1- 1 -1 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i +.names N_20.BLIF N_20_i 0 1 +.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ +amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 +1- 1 +-1 1 +.names N_20_i.BLIF RST_c.BLIF N_35_0 +11 1 .names amiga_bus_enable_dma_high_0_un1_n.BLIF \ amiga_bus_enable_dma_high_0_un0_n.BLIF N_23 1- 1 -1 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 -11 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_25 -1- 1 --1 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i +.names N_22.BLIF N_22_i 0 1 -.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_26 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_24 1- 1 -1 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +.names N_22_i.BLIF RST_c.BLIF N_33_0 11 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_27 -1- 1 --1 1 -.names inst_BGACK_030_INT_D.BLIF inst_BGACK_030_INTreg.BLIF N_96_0_1 +.names N_23.BLIF N_23_i +0 1 +.names N_23_i.BLIF RST_c.BLIF N_32_0 11 1 -.names AS_030_D0_i.BLIF sm_amiga_i_i_7__n.BLIF N_96_0_2 +.names N_24.BLIF N_24_i +0 1 +.names N_24_i.BLIF RST_c.BLIF N_31_0 11 1 -.names N_96_0_1.BLIF N_96_0_2.BLIF N_96_0_3 +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n +0 1 +.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un9_bg_030_0_n 11 1 -.names pos_clk_un21_bgack_030_int_i_0_x2.BLIF N_264_i.BLIF \ -pos_clk_un21_bgack_030_int_i_0_i_1_n +.names fc_c_0__n.BLIF fc_c_1__n.BLIF N_161_i_1 11 1 -.names CLK_000_D_4_.BLIF clk_000_d_i_3__n.BLIF N_84_0_1 +.names a_decode_c_17__n.BLIF a_decode_i_16__n.BLIF N_161_i_2 11 1 -.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF N_84_0_2 +.names a_decode_i_18__n.BLIF a_decode_i_19__n.BLIF N_161_i_3 11 1 -.names BERR_c.BLIF N_88_i.BLIF N_240_0_1 +.names N_161_i_1.BLIF N_161_i_2.BLIF N_161_i_4 11 1 -.names size_c_i_1__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n +.names N_213_i.BLIF N_214_i.BLIF N_233_i_1 11 1 -.names N_263_i.BLIF N_291.BLIF N_289_1 +.names N_215_i.BLIF RST_c.BLIF N_233_i_2 11 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_289_2 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_180_i_1 11 1 -.names N_269_i.BLIF N_280_i.BLIF N_290_1 +.names N_345_i.BLIF pos_clk_un21_bgack_030_int_i_i_a2_i_x2.BLIF N_180_i_2 11 1 -.names N_291.BLIF VPA_D_i.BLIF N_290_2 +.names size_c_0__n.BLIF a_c_i_0__n.BLIF pos_clk_un10_sm_amiga_i_1_n 11 1 -.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF pos_clk_un14_clk_000_ne_1_n +.names N_188.BLIF N_305_i.BLIF N_196_0_1 11 1 -.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF pos_clk_un14_clk_000_ne_2_n -11 1 -.names AS_030_i.BLIF a_decode_c_17__n.BLIF N_153_1 -11 1 -.names a_decode_i_16__n.BLIF a_decode_i_18__n.BLIF N_153_2 -11 1 -.names fc_c_1__n.BLIF a_decode_i_19__n.BLIF N_153_3 -11 1 -.names N_153_1.BLIF N_153_2.BLIF N_153_4 -11 1 -.names N_153_3.BLIF fc_c_0__n.BLIF N_153_5 +.names BERR_c.BLIF N_347_i.BLIF N_188_i_1 11 1 .names ahigh_i_24__n.BLIF ahigh_i_25__n.BLIF un10_ciin_1 11 1 @@ -866,656 +798,699 @@ pos_clk_un21_bgack_030_int_i_0_i_1_n 11 1 .names a_decode_c_20__n.BLIF a_decode_c_21__n.BLIF un10_ciin_6 11 1 +.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n +0 1 .names un10_ciin_1.BLIF un10_ciin_2.BLIF un10_ciin_7 11 1 -.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n +.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n 0 1 .names un10_ciin_3.BLIF un10_ciin_4.BLIF un10_ciin_8 11 1 -.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n -0 1 .names un10_ciin_5.BLIF un10_ciin_6.BLIF un10_ciin_9 11 1 .names un10_ciin_7.BLIF un10_ciin_8.BLIF un10_ciin_10 11 1 .names un10_ciin_9.BLIF a_decode_c_22__n.BLIF un10_ciin_11 11 1 -.names N_130_i.BLIF N_131_i.BLIF N_260_i_1 +.names AS_030_000_SYNC_i.BLIF CLK_000_D_5_.BLIF N_171_i_1 11 1 -.names pos_clk_un21_bgack_030_int_i_0_i_n.BLIF \ -pos_clk_un21_bgack_030_int_i_0_n +.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_78 +11 1 +.names clk_000_d_i_4__n.BLIF nEXP_SPACE_c.BLIF N_171_i_2 +11 1 +.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_79 +11 1 +.names AS_030_D0_i.BLIF N_161.BLIF N_227_1 +11 1 +.names N_260_0.BLIF N_260 0 1 -.names N_264_i.BLIF RST_c.BLIF N_260_i_2 +.names N_199_i.BLIF sm_amiga_i_i_7__n.BLIF N_227_2 11 1 -.names a_i_1__n.BLIF BGACK_030_INT_i.BLIF N_81 +.names N_141.BLIF nEXP_SPACE_i.BLIF N_139 11 1 -.names N_76_i.BLIF N_77_i.BLIF N_233_i_1 +.names N_227_1.BLIF N_227_2.BLIF N_227_3 11 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF N_94 +.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_141 11 1 -.names N_251_i.BLIF RST_c.BLIF N_233_i_2 +.names un21_berr_1.BLIF FPU_SENSE_i.BLIF un21_fpu_cs_1 11 1 -.names N_254_0.BLIF N_254 +.names AS_030_i.BLIF DSACK1_INT_i.BLIF N_165 +11 1 +.names un21_berr_1.BLIF FPU_SENSE_c.BLIF un21_berr_1_0 +11 1 +.names AS_000_INT_i.BLIF AS_030_i.BLIF N_169 +11 1 +.names AS_000_i.BLIF N_216_i.BLIF N_235_i_1 +11 1 +.names N_297_0.BLIF N_297 0 1 -.names N_247_i.BLIF N_248_i.BLIF N_232_i_1 +.names N_217_i.BLIF N_245_0.BLIF N_235_i_2 11 1 -.names N_255_0.BLIF N_255 -0 1 -.names N_249_i.BLIF RST_c.BLIF N_232_i_2 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_256_1 11 1 -.names N_261_0.BLIF N_261 +.names N_300_0.BLIF N_300 0 1 -.names N_210_i.BLIF N_239.BLIF N_247_1 +.names RW_000_c.BLIF nEXP_SPACE_i.BLIF N_256_2 11 1 -.names N_65_0.BLIF N_65 +.names N_302_0.BLIF N_302 0 1 -.names N_210_i.BLIF rst_dly_i_0__n.BLIF N_77_1 +.names N_209_i.BLIF N_211_i.BLIF N_232_i_1 11 1 -.names N_67_0.BLIF N_67 +.names N_113_0.BLIF N_113 0 1 -.names N_91.BLIF N_269_i.BLIF N_83_1 +.names N_306_i.BLIF RST_c.BLIF N_232_i_2 11 1 -.names N_269_i.BLIF N_269 +.names N_305_i.BLIF N_305 0 1 -.names VMA_INT_i.BLIF VPA_D_i.BLIF N_88_1 +.names N_305_i.BLIF N_352.BLIF N_219_1 +11 1 +.names N_155_i.BLIF N_155 +0 1 +.names VPA_D_i.BLIF cpu_est_i_3__n.BLIF N_219_2 +11 1 +.names N_163_i.BLIF N_163 +0 1 +.names N_155_i.BLIF N_164_i.BLIF N_218_1 +11 1 +.names N_166_i.BLIF N_166 +0 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_3__n.BLIF N_218_2 +11 1 +.names N_171_i.BLIF N_171 +0 1 +.names N_160_0.BLIF N_164_i.BLIF N_347_1 +11 1 +.names N_180_i.BLIF N_180 +0 1 +.names VMA_INT_i.BLIF VPA_D_i.BLIF N_347_2 +11 1 +.names N_184_i.BLIF N_184 +0 1 +.names AS_000_i.BLIF pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_136_i_1 +11 1 +.names N_191_0.BLIF N_191 +0 1 +.names N_338_i.BLIF N_339_i.BLIF N_146_i_1 +11 1 +.names N_199_i.BLIF N_199 +0 1 +.names N_335_i.BLIF N_336_i.BLIF N_142_i_1 11 1 .names un1_amiga_bus_enable_dma_high_i_m2_0__un1_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_108 +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n.BLIF N_205 1- 1 -1 1 -.names N_62_i.BLIF N_64_i.BLIF N_142_i_1 +.names N_295_i.BLIF N_332_i.BLIF N_234_i_1 11 1 -.names N_278.BLIF nEXP_SPACE_i.BLIF N_135 +.names N_306_1.BLIF rst_dly_i_2__n.BLIF N_306 11 1 -.names N_58_i.BLIF N_244_i.BLIF N_146_i_1 +.names N_180_i.BLIF N_292_i.BLIF N_302_0_1 11 1 -.names inst_BGACK_030_INTreg.BLIF inst_RESET_OUT.BLIF N_136 +.names N_215_1.BLIF rst_dly_i_1__n.BLIF N_215 11 1 -.names N_78_i_0.BLIF N_79_i.BLIF N_234_i_1 +.names N_180_i.BLIF N_290_i.BLIF N_63_i_1 11 1 -.names AS_000_INT_i.BLIF AS_030_i.BLIF N_145 +.names N_221_1.BLIF cpu_est_i_3__n.BLIF N_221 +11 1 +.names N_273_i.BLIF N_275_i.BLIF N_154_i_1 +11 1 +.names N_227_3.BLIF nEXP_SPACE_c.BLIF N_227 +11 1 +.names N_166.BLIF N_327_i.BLIF N_152_i_1 +11 1 +.names N_230_1.BLIF RST_c.BLIF N_230 +11 1 +.names N_155.BLIF N_270_i.BLIF N_148_i_1 +11 1 +.names N_169.BLIF RST_c.BLIF N_319 +11 1 +.names N_155.BLIF N_269_i.BLIF N_144_i_1 +11 1 +.names N_166_i.BLIF RST_c.BLIF N_320 +11 1 +.names N_267_i.BLIF N_324_i.BLIF N_140_i_1 +11 1 +.names N_183.BLIF sm_amiga_i_0__n.BLIF N_267 11 1 .names nEXP_SPACE_c.BLIF inst_AS_030_D0.BLIF pos_clk_un6_bg_030_1_n 11 1 -.names BGACK_030_INT_i.BLIF inst_RESET_OUT.BLIF N_278 +.names N_155_i.BLIF sm_amiga_i_1__n.BLIF N_324 11 1 -.names N_264_i.BLIF RW_000_c.BLIF N_124_1 +.names N_305_i.BLIF N_350.BLIF N_230_1 11 1 -.names AS_030_i.BLIF DSACK1_INT_i.BLIF N_147 +.names N_196.BLIF sm_amiga_i_2__n.BLIF N_269 11 1 -.names N_153.BLIF BGACK_000_c.BLIF un21_berr_1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_221_1 11 1 -.names N_240.BLIF N_269_i.BLIF N_58 +.names N_197.BLIF sm_amiga_i_4__n.BLIF N_270 11 1 -.names N_153.BLIF BGACK_000_c.BLIF un21_fpu_cs_1 +.names N_210_i.BLIF rst_dly_i_0__n.BLIF N_215_1 11 1 -.names N_263_i.BLIF SM_AMIGA_4_.BLIF N_110 +.names N_191.BLIF sm_amiga_i_6__n.BLIF N_327 11 1 -.names N_114_i.BLIF N_115_i.BLIF N_140_i_1 +.names N_163.BLIF N_210_i.BLIF N_306_1 11 1 -.names N_239_i.BLIF N_239 -0 1 -.names N_116_i.BLIF N_117_i.BLIF N_154_i_1 -11 1 -.names N_90_i.BLIF N_90 -0 1 -.names N_118_i.BLIF N_265.BLIF N_152_i_1 -11 1 -.names N_265_i.BLIF N_265 -0 1 -.names N_119_i.BLIF N_269.BLIF N_150_i_1 -11 1 -.names N_120_i.BLIF N_263.BLIF N_148_i_1 -11 1 -.names N_171_i.BLIF N_263.BLIF N_144_i_1 -11 1 -.names pos_clk_un19_bgack_030_int_i_n.BLIF pos_clk_un19_bgack_030_int_n -0 1 -.names N_121_i.BLIF RW_000_i.BLIF N_255_0_1 -11 1 -.names N_280_i.BLIF N_280 -0 1 -.names N_129_i.BLIF RST_c.BLIF N_258_i_1 -11 1 -.names N_263_i.BLIF N_263 -0 1 -.names pos_clk_CYCLE_DMA_5_1_i_x2.BLIF N_264_i.BLIF N_259_i_1 -11 1 -.names N_247_1.BLIF rst_dly_i_2__n.BLIF N_247 -11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_282_1 -11 1 -.names N_77_1.BLIF rst_dly_i_1__n.BLIF N_77 -11 1 -.names N_292.BLIF cpu_est_3_.BLIF N_284_1 -11 1 -.names N_289_1.BLIF N_289_2.BLIF N_289 -11 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_288_1 -11 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_291 -11 1 -.names pos_clk_un14_clk_000_ne_i_n.BLIF N_282_i.BLIF un5_e_0_1 -11 1 -.names N_290_1.BLIF N_290_2.BLIF N_290 -11 1 -.names N_285_i.BLIF N_291_i.BLIF N_192_i_1 -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_286 +.names N_171.BLIF sm_amiga_i_i_7__n.BLIF N_273 11 1 .names N_208_i.BLIF N_206_i.BLIF pos_clk_ipl_1_n 11 1 -.names N_288_1.BLIF cpu_est_i_3__n.BLIF N_288 +.names N_155_i.BLIF SM_AMIGA_0_.BLIF N_275 11 1 -.names pos_clk_un9_bg_030_n.BLIF bg_000_0_un3_n +.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n 0 1 -.names N_280.BLIF cpu_est_2_.BLIF N_285 +.names CLK_030_H_i.BLIF N_198.BLIF N_290 11 1 -.names BG_030_c.BLIF pos_clk_un9_bg_030_n.BLIF bg_000_0_un1_n +.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n 11 1 -.names N_280_i.BLIF cpu_est_i_2__n.BLIF N_17 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_292 11 1 -.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n 11 1 -.names cpu_est_0_.BLIF cpu_est_i_2__n.BLIF N_292 -11 1 -.names N_94.BLIF amiga_bus_enable_dma_low_0_un3_n -0 1 -.names pos_clk_un14_clk_000_ne_1_n.BLIF pos_clk_un14_clk_000_ne_2_n.BLIF \ -pos_clk_un14_clk_000_ne_n -11 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF N_94.BLIF \ -amiga_bus_enable_dma_low_0_un1_n -11 1 -.names N_282_1.BLIF cpu_est_i_3__n.BLIF N_282 -11 1 -.names N_80_i.BLIF amiga_bus_enable_dma_low_0_un3_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n -11 1 -.names N_284_1.BLIF cpu_est_i_1__n.BLIF N_284 -11 1 -.names N_94.BLIF a0_dma_0_un3_n -0 1 -.names N_98_0.BLIF N_98 -0 1 -.names inst_A0_DMA.BLIF N_94.BLIF a0_dma_0_un1_n -11 1 -.names N_97_0.BLIF N_97 -0 1 -.names pos_clk_a0_dma_3_n.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n -11 1 -.names N_84_0.BLIF N_84 -0 1 -.names N_94.BLIF rw_000_dma_0_un3_n -0 1 -.names N_96_0.BLIF N_96 -0 1 -.names inst_RW_000_DMA.BLIF N_94.BLIF rw_000_dma_0_un1_n -11 1 -.names N_271_0.BLIF N_271 -0 1 -.names pos_clk_rw_000_dma_3_n.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names N_263_i.BLIF SM_AMIGA_0_.BLIF N_117 -11 1 -.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names N_265_i.BLIF RW_c.BLIF N_141 -11 1 -.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names AS_030_i.BLIF N_96.BLIF N_134 -11 1 -.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ -bgack_030_int_0_un0_n -11 1 -.names N_153_4.BLIF N_153_5.BLIF N_153 -11 1 -.names N_255.BLIF ds_000_dma_0_un3_n -0 1 -.names CLK_030_H_i.BLIF N_98.BLIF N_129 -11 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names pos_clk_ds_000_dma_4_n.BLIF N_255.BLIF ds_000_dma_0_un1_n -11 1 -.names N_147.BLIF RST_c.BLIF N_127 -11 1 -.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names N_90_i.BLIF RST_c.BLIF N_128 -11 1 -.names SIZE_DMA_3_sqmuxa.BLIF size_dma_0_1__un3_n -0 1 -.names N_145.BLIF RST_c.BLIF N_125 -11 1 -.names SIZE_DMA_1_.BLIF SIZE_DMA_3_sqmuxa.BLIF size_dma_0_1__un1_n -11 1 -.names N_265_i.BLIF RST_c.BLIF N_126 -11 1 -.names pos_clk_size_dma_6_1__n.BLIF size_dma_0_1__un3_n.BLIF \ -size_dma_0_1__un0_n -11 1 -.names N_124_1.BLIF nEXP_SPACE_i.BLIF N_124 -11 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names SIZE_DMA_3_sqmuxa.BLIF size_dma_0_0__un3_n -0 1 -.names inst_CLK_030_H.BLIF CLK_030_c.BLIF N_121 -11 1 -.names pos_clk_un6_bg_030_n.BLIF pos_clk_un6_bg_030_i_n -0 1 -.names SIZE_DMA_0_.BLIF SIZE_DMA_3_sqmuxa.BLIF size_dma_0_0__un1_n -11 1 -.names N_163.BLIF sm_amiga_i_2__n.BLIF N_171 -11 1 -.names BG_030_c_i.BLIF pos_clk_un6_bg_030_i_n.BLIF pos_clk_un9_bg_030_0_n -11 1 -.names pos_clk_size_dma_6_0__n.BLIF size_dma_0_0__un3_n.BLIF \ -size_dma_0_0__un0_n -11 1 -.names N_271.BLIF sm_amiga_i_4__n.BLIF N_120 -11 1 -.names N_24.BLIF N_24_i -0 1 -.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n -0 1 -.names N_265.BLIF sm_amiga_i_5__n.BLIF N_119 -11 1 -.names N_24_i.BLIF RST_c.BLIF N_31_0 -11 1 -.names inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INTreg.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un1_n -11 1 -.names N_97.BLIF sm_amiga_i_6__n.BLIF N_118 -11 1 -.names N_22.BLIF N_22_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ -un1_amiga_bus_enable_dma_high_i_m2_0__un0_n -11 1 -.names N_84.BLIF sm_amiga_i_i_7__n.BLIF N_116 -11 1 -.names N_22_i.BLIF RST_c.BLIF N_33_0 -11 1 -.names N_269.BLIF cpu_est_0_1__un3_n -0 1 -.names N_90.BLIF sm_amiga_i_0__n.BLIF N_114 -11 1 -.names N_20.BLIF N_20_i -0 1 -.names cpu_est_1_.BLIF N_269.BLIF cpu_est_0_1__un1_n -11 1 -.names N_263_i.BLIF sm_amiga_i_1__n.BLIF N_115 -11 1 -.names N_20_i.BLIF RST_c.BLIF N_35_0 -11 1 -.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n -11 1 -.names N_243_0.BLIF N_243 -0 1 -.names N_19.BLIF N_19_i -0 1 -.names N_269.BLIF cpu_est_0_2__un3_n -0 1 -.names N_240_0.BLIF N_240 -0 1 -.names N_19_i.BLIF RST_c.BLIF N_36_0 -11 1 -.names cpu_est_2_.BLIF N_269.BLIF cpu_est_0_2__un1_n -11 1 -.names N_88_1.BLIF pos_clk_un14_clk_000_ne_n.BLIF N_88 -11 1 -.names N_8.BLIF N_8_i -0 1 -.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n -11 1 -.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_89 -11 1 -.names N_8_i.BLIF RST_c.BLIF N_42_0 -11 1 -.names N_269.BLIF cpu_est_0_3__un3_n -0 1 -.names inst_RESET_OUT.BLIF RST_c.BLIF N_82 -11 1 -.names N_3.BLIF N_3_i -0 1 -.names cpu_est_3_.BLIF N_269.BLIF cpu_est_0_3__un1_n -11 1 -.names N_83_1.BLIF RST_c.BLIF N_83 -11 1 -.names N_3_i.BLIF RST_c.BLIF N_45_0 -11 1 -.names N_192_i.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n -11 1 -.names N_236.BLIF RST_DLY_0_.BLIF N_78 -11 1 -.names VPA_c.BLIF VPA_c_i -0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n -0 1 -.names N_92.BLIF rst_dly_i_0__n.BLIF N_79 -11 1 -.names RST_c.BLIF VPA_c_i.BLIF N_52_0 -11 1 -.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n -11 1 -.names N_239_i.BLIF RST_DLY_2_.BLIF N_91 -11 1 -.names DTACK_c.BLIF DTACK_c_i -0 1 -.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n -11 1 -.names N_110_i.BLIF sm_amiga_i_3__n.BLIF N_244 -11 1 -.names DTACK_c_i.BLIF RST_c.BLIF N_53_0 +.names N_159.BLIF RST_DLY_0_.BLIF N_295 11 1 .names pos_clk_ipl_n.BLIF ipl_030_0_1__un3_n 0 1 -.names N_243.BLIF sm_amiga_i_1__n.BLIF N_62 +.names N_186_i.BLIF rst_dly_i_0__n.BLIF N_332 11 1 .names ipl_c_1__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_1__un1_n 11 1 -.names N_85_i.BLIF sm_amiga_i_2__n.BLIF N_64 +.names BGACK_030_INT_i.BLIF N_184.BLIF N_333 11 1 -.names N_249.BLIF N_249_i -0 1 .names IPL_030DFF_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n 11 1 -.names N_269.BLIF cpu_est_i_0__n.BLIF N_59 +.names BGACK_030_INT_i.BLIF N_184_i.BLIF N_334 11 1 -.names N_248.BLIF N_248_i +.names pos_clk_ipl_n.BLIF ipl_030_0_0__un3_n 0 1 -.names pos_clk_ipl_n.BLIF ipl_030_0_2__un3_n +.names N_194.BLIF sm_amiga_i_1__n.BLIF N_335 +11 1 +.names ipl_c_0__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_0__un1_n +11 1 +.names N_157_i.BLIF sm_amiga_i_2__n.BLIF N_336 +11 1 +.names IPL_030DFF_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names N_188.BLIF N_305_i.BLIF N_338 +11 1 +.names N_305.BLIF cpu_est_0_3__un3_n 0 1 -.names N_269_i.BLIF cpu_est_0_.BLIF N_61 +.names N_181.BLIF sm_amiga_i_3__n.BLIF N_339 11 1 -.names N_247.BLIF N_247_i +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c 0 1 -.names ipl_c_2__n.BLIF pos_clk_ipl_n.BLIF ipl_030_0_2__un1_n +.names cpu_est_3_.BLIF N_305.BLIF cpu_est_0_3__un1_n 11 1 -.names N_163_0.BLIF N_163 +.names N_163_i.BLIF RST_DLY_2_.BLIF N_350 +11 1 +.names N_192_i.BLIF cpu_est_0_3__un3_n.BLIF cpu_est_0_3__un0_n +11 1 +.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n 0 1 -.names IPL_030DFF_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names BGACK_030_INT_i.BLIF N_242_i.BLIF N_245 -11 1 -.names N_77.BLIF N_77_i +.names N_161_i.BLIF N_161 0 1 -.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n +.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n +11 1 +.names N_159.BLIF N_163_i.BLIF N_213 +11 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names N_186_i.BLIF rst_dly_i_1__n.BLIF N_214 +11 1 +.names VPA_c.BLIF VPA_c_i 0 1 -.names N_242_i.BLIF N_242 +.names N_305.BLIF cpu_est_0_1__un3_n 0 1 -.names N_251.BLIF N_251_i +.names N_197_0.BLIF N_197 0 1 -.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n +.names RST_c.BLIF VPA_c_i.BLIF N_52_0 11 1 -.names BGACK_030_INT_i.BLIF N_242.BLIF N_246 +.names cpu_est_1_.BLIF N_305.BLIF cpu_est_0_1__un1_n 11 1 -.names N_76.BLIF N_76_i +.names N_159_0.BLIF N_159 0 1 -.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names N_91.BLIF N_236.BLIF N_248 -11 1 -.names N_94.BLIF amiga_bus_enable_dma_high_0_un3_n +.names DTACK_c.BLIF DTACK_c_i 0 1 -.names N_236_0.BLIF N_236 +.names cpu_est_2_1__n.BLIF cpu_est_0_1__un3_n.BLIF cpu_est_0_1__un0_n +11 1 +.names N_166.BLIF sm_amiga_i_5__n.BLIF N_326 +11 1 +.names DTACK_c_i.BLIF RST_c.BLIF N_53_0 +11 1 +.names N_305.BLIF cpu_est_0_2__un3_n 0 1 -.names N_131.BLIF N_131_i +.names AS_030_i.BLIF BGACK_000_c.BLIF un21_berr_1 +11 1 +.names ipl_c_0__n.BLIF ipl_c_i_0__n 0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF N_94.BLIF \ -amiga_bus_enable_dma_high_0_un1_n +.names cpu_est_2_.BLIF N_305.BLIF cpu_est_0_2__un1_n 11 1 -.names N_269.BLIF rst_dly_i_2__n.BLIF N_249 -11 1 -.names N_130.BLIF N_130_i +.names N_181_i.BLIF N_181 0 1 -.names N_81_i.BLIF amiga_bus_enable_dma_high_0_un3_n.BLIF \ -amiga_bus_enable_dma_high_0_un0_n +.names ipl_c_i_0__n.BLIF RST_c.BLIF N_49_0 11 1 -.names N_269.BLIF RST_c.BLIF N_92 +.names cpu_est_2_2__n.BLIF cpu_est_0_2__un3_n.BLIF cpu_est_0_2__un0_n 11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_264_i -11 1 -.names N_254.BLIF as_000_dma_0_un3_n +.names ipl_c_1__n.BLIF ipl_c_i_1__n 0 1 -.names N_236.BLIF N_239_i.BLIF N_251 -11 1 -.names N_170.BLIF N_170_i +.names N_302.BLIF ds_000_dma_0_un3_n 0 1 -.names pos_clk_un21_bgack_030_int_i_0_n.BLIF N_254.BLIF as_000_dma_0_un1_n +.names un1_DS_000_ENABLE_0_sqmuxa_i.BLIF un1_DS_000_ENABLE_0_sqmuxa +0 1 +.names ipl_c_i_1__n.BLIF RST_c.BLIF N_50_0 11 1 -.names N_92.BLIF rst_dly_i_1__n.BLIF N_76 +.names N_301.BLIF N_302.BLIF ds_000_dma_0_un1_n 11 1 -.names BGACK_000_c.BLIF N_170_i.BLIF pos_clk_un6_bgack_000_0_n +.names N_166_i.BLIF RW_c.BLIF N_349 +11 1 +.names ipl_c_2__n.BLIF ipl_c_i_2__n +0 1 +.names inst_DS_000_DMA.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF N_345 +11 1 +.names ipl_c_i_2__n.BLIF RST_c.BLIF N_51_0 +11 1 +.names N_303.BLIF as_000_dma_0_un3_n +0 1 +.names inst_RESET_OUT.BLIF RST_c.BLIF N_229 +11 1 +.names N_25.BLIF N_25_i +0 1 +.names N_180.BLIF N_303.BLIF as_000_dma_0_un1_n +11 1 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF N_14 +1- 1 +-1 1 +.names N_25_i.BLIF RST_c.BLIF N_28_0 11 1 .names inst_AS_000_DMA.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n 11 1 -.names a_c_1__n.BLIF BGACK_030_INT_i.BLIF N_80 -11 1 -.names BGACK_030_INT_i.BLIF RW_000_i.BLIF pos_clk_rw_000_dma_3_0_n -11 1 -.names N_65.BLIF ds_000_enable_0_un3_n +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF N_21 +1- 1 +-1 1 +.names N_26.BLIF N_26_i 0 1 -.names BGACK_030_INT_i.BLIF UDS_000_c.BLIF pos_clk_a0_dma_3_n -11 1 -.names N_123.BLIF N_123_i +.names pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n 0 1 -.names un1_DS_000_ENABLE_0_sqmuxa.BLIF N_65.BLIF ds_000_enable_0_un1_n -11 1 -.names N_94.BLIF RST_c.BLIF SIZE_DMA_3_sqmuxa -11 1 -.names N_124.BLIF N_124_i -0 1 -.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ -ds_000_enable_0_un0_n -11 1 -.names N_210_i.BLIF N_269_i.BLIF N_87 -11 1 -.names N_123_i.BLIF N_124_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n -0 1 -.names pos_clk_size_dma_6_0_1__n.BLIF pos_clk_size_dma_6_1__n -0 1 -.names N_122.BLIF N_122_i -0 1 -.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n -11 1 -.names pos_clk_size_dma_6_0_0__n.BLIF pos_clk_size_dma_6_0__n -0 1 -.names N_122_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF \ -pos_clk_ds_000_dma_4_0_n -11 1 -.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names AS_000_c.BLIF N_263_i.BLIF N_170 -11 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF N_242_i -11 1 -.names N_67.BLIF as_030_000_sync_0_un3_n -0 1 -.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_122 -11 1 -.names RST_DLY_0_.BLIF RST_DLY_1_.BLIF N_239_i -11 1 -.names inst_AS_030_000_SYNC.BLIF N_67.BLIF as_030_000_sync_0_un1_n -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_123 -11 1 -.names N_87.BLIF N_87_i -0 1 -.names AS_030_c.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n -11 1 -.names cycle_dma_i_0__n.BLIF N_263.BLIF N_130 -11 1 -.names N_87_i.BLIF RST_c.BLIF N_236_0 -11 1 -.names un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un3_n -0 1 -.names pos_clk_ds_000_dma_4_0_n.BLIF pos_clk_ds_000_dma_4_n -0 1 -.names N_246.BLIF N_246_i -0 1 -.names pos_clk_rw_000_int_5_n.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF \ -rw_000_int_0_un1_n -11 1 -.names pos_clk_rw_000_dma_3_0_n.BLIF pos_clk_rw_000_dma_3_n -0 1 -.names N_246_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_0__n -11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n -11 1 -.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n -0 1 -.names N_245.BLIF N_245_i -0 1 -.names pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un3_n -0 1 -.names CYCLE_DMA_0_.BLIF N_263_i.BLIF N_131 -11 1 -.names N_245_i.BLIF RST_c.BLIF pos_clk_size_dma_6_0_1__n -11 1 -.names cpu_est_i_1__n.BLIF pos_clk_un9_clk_000_pe_n.BLIF vma_int_0_un1_n -11 1 .names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF N_3 1- 1 -1 1 -.names N_91.BLIF N_91_i +.names N_26_i.BLIF RST_c.BLIF N_29_0 +11 1 +.names BGACK_000_c.BLIF pos_clk_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n +11 1 +.names N_301_0.BLIF N_301 0 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +.names N_27.BLIF N_27_i +0 1 +.names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ +bgack_030_int_0_un0_n +11 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF N_4 +1- 1 +-1 1 +.names N_27_i.BLIF RST_c.BLIF N_30_0 +11 1 +.names N_65.BLIF ds_000_enable_0_un3_n +0 1 +.names N_303_0.BLIF N_303 +0 1 +.names N_222.BLIF N_222_i +0 1 +.names un1_DS_000_ENABLE_0_sqmuxa.BLIF N_65.BLIF ds_000_enable_0_un1_n 11 1 .names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF N_8 1- 1 -1 1 -.names N_91_i.BLIF RST_c.BLIF N_210_i -11 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF N_19 -1- 1 --1 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF pos_clk_un19_bgack_030_int_i_n -11 1 -.names a0_dma_0_un1_n.BLIF a0_dma_0_un0_n.BLIF N_20 -1- 1 --1 1 -.names N_58.BLIF SM_AMIGA_3_.BLIF N_163_0 -11 1 -.names amiga_bus_enable_dma_low_0_un1_n.BLIF \ -amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 -1- 1 --1 1 -.names N_59.BLIF N_59_i +.names N_223.BLIF N_223_i 0 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF N_24 +.names inst_DS_000_ENABLE.BLIF ds_000_enable_0_un3_n.BLIF \ +ds_000_enable_0_un0_n +11 1 +.names pos_clk_un6_bgack_000_0_n.BLIF pos_clk_un6_bgack_000_n +0 1 +.names N_222_i.BLIF N_223_i.BLIF N_192_i +11 1 +.names SM_AMIGA_6_.BLIF uds_000_int_0_un3_n +0 1 +.names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF N_9 1- 1 -1 1 -.names N_61.BLIF N_61_i +.names N_231.BLIF N_231_i 0 1 -.names pos_clk_un9_bg_030_0_n.BLIF pos_clk_un9_bg_030_n +.names a_c_0__n.BLIF SM_AMIGA_6_.BLIF uds_000_int_0_un1_n +11 1 +.names N_65_0.BLIF N_65 +0 1 +.names N_237.BLIF N_237_i +0 1 +.names inst_UDS_000_INT.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names CYCLE_DMA_0_.BLIF N_155_i.BLIF N_217 +11 1 +.names SM_AMIGA_6_.BLIF lds_000_int_0_un3_n +0 1 +.names cycle_dma_i_0__n.BLIF N_155.BLIF N_216 +11 1 +.names N_342.BLIF N_342_i +0 1 +.names pos_clk_un10_sm_amiga_i_n.BLIF SM_AMIGA_6_.BLIF lds_000_int_0_un1_n +11 1 +.names inst_BGACK_030_INTreg.BLIF RW_000_i.BLIF N_248 +11 1 +.names N_341.BLIF N_341_i +0 1 +.names inst_LDS_000_INT.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names N_198_0.BLIF N_198 +0 1 +.names inst_BGACK_030_INTreg.BLIF un1_amiga_bus_enable_dma_high_i_m2_0__un3_n +0 1 +.names inst_AS_000_DMA.BLIF RW_000_i.BLIF N_291 +11 1 +.names cpu_est_3_.BLIF cpu_est_i_0__n.BLIF N_160_0 +11 1 +.names inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INTreg.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un1_n +11 1 +.names AS_000_c.BLIF N_155_i.BLIF N_353 +11 1 +.names cpu_est_i_1__n.BLIF cpu_est_i_2__n.BLIF N_164_i +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un3_n.BLIF \ +un1_amiga_bus_enable_dma_high_i_m2_0__un0_n +11 1 +.names N_256_1.BLIF N_256_2.BLIF N_256 +11 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names N_199.BLIF amiga_bus_enable_dma_high_0_un3_n +0 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF N_18 +1- 1 +-1 1 +.names N_347.BLIF N_347_i +0 1 +.names N_79_i.BLIF N_199.BLIF amiga_bus_enable_dma_high_0_un1_n +11 1 +.names pos_clk_un9_clk_000_pe_0_n.BLIF pos_clk_un9_clk_000_pe_n +0 1 +.names N_348.BLIF N_348_i +0 1 +.names inst_AMIGA_BUS_ENABLE_DMA_HIGH.BLIF \ +amiga_bus_enable_dma_high_0_un3_n.BLIF amiga_bus_enable_dma_high_0_un0_n +11 1 +.names cpu_est_2_0_1__n.BLIF cpu_est_2_1__n +0 1 +.names N_188_i_1.BLIF N_348_i.BLIF N_188_i +11 1 +.names pos_clk_un9_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names cpu_est_2_0_2__n.BLIF cpu_est_2_2__n +0 1 +.names BGACK_030_INT_i.BLIF RST_c.BLIF N_245_0 +11 1 +.names BG_030_c.BLIF pos_clk_un9_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names N_159.BLIF N_350.BLIF N_209 +11 1 +.names N_155_i.BLIF SM_AMIGA_2_.BLIF N_194_0 +11 1 +.names BG_000DFFreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names N_305.BLIF rst_dly_i_2__n.BLIF N_211 +11 1 +.names N_196_0_1.BLIF SM_AMIGA_3_.BLIF N_196_0 +11 1 +.names N_113.BLIF size_dma_0_0__un3_n +0 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_220 +11 1 +.names pos_clk_size_dma_6_0__n.BLIF N_113.BLIF size_dma_0_0__un1_n +11 1 +.names N_162.BLIF cpu_est_2_.BLIF N_222 +11 1 +.names N_211.BLIF N_211_i +0 1 +.names SIZE_DMA_0_.BLIF size_dma_0_0__un3_n.BLIF size_dma_0_0__un0_n +11 1 +.names N_162_i.BLIF N_162 +0 1 +.names N_209.BLIF N_209_i +0 1 +.names N_113.BLIF size_dma_0_1__un3_n +0 1 +.names N_193.BLIF cpu_est_i_2__n.BLIF N_224 +11 1 +.names N_306.BLIF N_306_i +0 1 +.names pos_clk_size_dma_6_1__n.BLIF N_113.BLIF size_dma_0_1__un1_n +11 1 +.names N_193_0.BLIF N_193 +0 1 +.names cpu_est_3_.BLIF cpu_est_i_1__n.BLIF N_193_0 +11 1 +.names SIZE_DMA_1_.BLIF size_dma_0_1__un3_n.BLIF size_dma_0_1__un0_n +11 1 +.names N_190.BLIF cpu_est_2_.BLIF N_225 +11 1 +.names cpu_est_1_.BLIF cpu_est_i_3__n.BLIF N_190_0 +11 1 +.names N_297.BLIF as_030_000_sync_0_un3_n +0 1 +.names N_190_0.BLIF N_190 +0 1 +.names N_157_i.BLIF SM_AMIGA_1_.BLIF N_183_i +11 1 +.names AS_030_c.BLIF N_297.BLIF as_030_000_sync_0_un1_n +11 1 +.names N_305_i.BLIF N_350_i_0.BLIF N_346 +11 1 +.names cpu_est_0_.BLIF cpu_est_1_.BLIF N_162_i +11 1 +.names inst_AS_030_000_SYNC.BLIF as_030_000_sync_0_un3_n.BLIF \ +as_030_000_sync_0_un0_n +11 1 +.names N_162_i.BLIF cpu_est_i_2__n.BLIF N_352 +11 1 +.names N_346.BLIF N_346_i +0 1 +.names un1_SM_AMIGA_0_sqmuxa_1.BLIF rw_000_int_0_un3_n +0 1 +.names N_219_1.BLIF N_219_2.BLIF N_219 +11 1 +.names N_346_i.BLIF RST_c.BLIF N_159_0 +11 1 +.names pos_clk_rw_000_int_5_n.BLIF un1_SM_AMIGA_0_sqmuxa_1.BLIF \ +rw_000_int_0_un1_n +11 1 +.names N_218_1.BLIF N_218_2.BLIF N_218 +11 1 +.names CLK_000_D_1_.BLIF clk_000_d_i_0__n.BLIF N_305_i +11 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names N_183_i.BLIF N_183 +0 1 +.names N_350_i_0.BLIF RST_c.BLIF N_210_i +11 1 +.names N_199.BLIF rw_000_dma_0_un3_n +0 1 +.names N_196_0.BLIF N_196 +0 1 +.names N_225.BLIF N_225_i +0 1 +.names N_300.BLIF N_199.BLIF rw_000_dma_0_un1_n +11 1 +.names N_188_i.BLIF N_188 +0 1 +.names N_224.BLIF N_224_i +0 1 +.names inst_RW_000_DMA.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names N_194_0.BLIF N_194 +0 1 +.names N_224_i.BLIF N_225_i.BLIF N_296_i +11 1 +.names N_199.BLIF a0_dma_0_un3_n +0 1 +.names N_347_1.BLIF N_347_2.BLIF N_347 +11 1 +.names N_352.BLIF N_352_i +0 1 +.names pos_clk_a0_dma_3_n.BLIF N_199.BLIF a0_dma_0_un1_n +11 1 +.names DTACK_D0_i.BLIF inst_VPA_D.BLIF N_348 +11 1 +.names N_222_i.BLIF N_352_i.BLIF cpu_est_2_0_2__n +11 1 +.names inst_A0_DMA.BLIF a0_dma_0_un3_n.BLIF a0_dma_0_un0_n +11 1 +.names N_160_0.BLIF N_160 +0 1 +.names N_220.BLIF N_220_i +0 1 +.names N_199.BLIF amiga_bus_enable_dma_low_0_un3_n +0 1 +.names N_305.BLIF cpu_est_i_0__n.BLIF N_341 +11 1 +.names N_221.BLIF N_221_i +0 1 +.names N_78_i.BLIF N_199.BLIF amiga_bus_enable_dma_low_0_un1_n +11 1 +.names N_305_i.BLIF cpu_est_0_.BLIF N_342 +11 1 +.names N_220_i.BLIF N_221_i.BLIF cpu_est_2_0_1__n +11 1 +.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF \ +amiga_bus_enable_dma_low_0_un3_n.BLIF amiga_bus_enable_dma_low_0_un0_n +11 1 +.names N_183_i.BLIF RST_c.BLIF N_231 +11 1 +.names N_219.BLIF N_219_i +0 1 +.names N_165.BLIF RST_c.BLIF N_237 +11 1 +.names N_218.BLIF N_218_i +0 1 +.names N_160.BLIF cpu_est_i_2__n.BLIF N_223 +11 1 +.names N_218_i.BLIF N_219_i.BLIF pos_clk_un9_clk_000_pe_0_n +11 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF N_27 +1- 1 +-1 1 +.names CLK_000_D_2_.BLIF clk_000_d_i_2__n +0 1 +.names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF N_26 +1- 1 +-1 1 +.names CLK_000_D_3_.BLIF clk_000_d_i_2__n.BLIF N_157_i +11 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF N_25 +1- 1 +-1 1 +.names N_18.BLIF N_18_i 0 1 .names un1_amiga_bus_enable_low.BLIF un1_amiga_bus_enable_low_i 0 1 -.names N_62.BLIF N_62_i -0 1 +.names N_18_i.BLIF RST_c.BLIF N_37_0 +11 1 .names un21_fpu_cs.BLIF un21_fpu_cs_i 0 1 -.names N_64.BLIF N_64_i +.names cpu_est_2_.BLIF cpu_est_i_2__n 0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names inst_AMIGA_BUS_ENABLE_DMA_LOW.BLIF AMIGA_BUS_ENABLE_DMA_LOW_i -0 1 -.names N_244.BLIF N_244_i -0 1 -.names N_80.BLIF N_80_i -0 1 -.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n -0 1 -.names N_78.BLIF N_78_i_0 -0 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_79.BLIF N_79_i -0 1 -.names RST_DLY_0_.BLIF rst_dly_i_0__n -0 1 -.names RST_DLY_1_.BLIF rst_dly_i_1__n -0 1 -.names N_82.BLIF N_82_i -0 1 -.names RST_DLY_2_.BLIF rst_dly_i_2__n -0 1 -.names N_83.BLIF N_83_i -0 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names N_82_i.BLIF N_83_i.BLIF N_55_0 -11 1 -.names UDS_000_c.BLIF UDS_000_i -0 1 -.names N_88.BLIF N_88_i -0 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names N_89.BLIF N_89_i -0 1 -.names N_58.BLIF N_58_i -0 1 -.names N_240_0_1.BLIF N_89_i.BLIF N_240_0 -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names N_263_i.BLIF SM_AMIGA_2_.BLIF N_243_0 -11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names CLK_030_c.BLIF CLK_030_c_i -0 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names CLK_030_c_i.BLIF pos_clk_un21_bgack_030_int_i_0_i_n.BLIF N_254_0 -11 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names N_114.BLIF N_114_i -0 1 -.names N_110.BLIF N_110_i -0 1 -.names N_115.BLIF N_115_i -0 1 -.names a_c_1__n.BLIF a_i_1__n -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names N_116.BLIF N_116_i -0 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names N_117.BLIF N_117_i -0 1 -.names inst_DTACK_D0.BLIF DTACK_D0_i -0 1 -.names inst_AS_030_D0.BLIF AS_030_D0_i -0 1 -.names N_118.BLIF N_118_i +.names N_217.BLIF N_217_i 0 1 .names SM_AMIGA_0_.BLIF sm_amiga_i_0__n 0 1 -.names SM_AMIGA_i_7_.BLIF sm_amiga_i_i_7__n +.names N_216.BLIF N_216_i 0 1 -.names N_119.BLIF N_119_i +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names CLK_030_c.BLIF CLK_030_c_i +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names AS_000_DMA_i.BLIF CLK_030_c_i.BLIF N_198_0 +11 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names N_155_i.BLIF SM_AMIGA_6_.BLIF N_166_i +11 1 +.names inst_VPA_D.BLIF VPA_D_i +0 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_1__n.BLIF N_155_i +11 1 +.names inst_DTACK_D0.BLIF DTACK_D0_i +0 1 +.names CLK_030_c_i.BLIF N_180_i.BLIF N_303_0 +11 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names N_291.BLIF N_291_i +0 1 +.names inst_DSACK1_INT.BLIF DSACK1_INT_i +0 1 +.names N_180_i.BLIF N_291_i.BLIF N_301_0 +11 1 +.names cpu_est_3_.BLIF cpu_est_i_3__n +0 1 +.names N_256.BLIF N_256_i +0 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names N_248.BLIF N_248_i +0 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names N_248_i.BLIF N_256_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names CLK_000_D_1_.BLIF clk_000_d_i_1__n +0 1 +.names N_353.BLIF N_353_i +0 1 +.names N_350.BLIF N_350_i_0 +0 1 +.names BGACK_000_c.BLIF N_353_i.BLIF pos_clk_un6_bgack_000_0_n +11 1 +.names RST_DLY_2_.BLIF rst_dly_i_2__n +0 1 +.names AS_030_i.BLIF un1_DS_000_ENABLE_0_sqmuxa_i.BLIF N_65_0 +11 1 +.names nEXP_SPACE_c.BLIF nEXP_SPACE_i +0 1 +.names N_3.BLIF N_3_i +0 1 +.names AS_000_c.BLIF AS_000_i +0 1 +.names N_3_i.BLIF RST_c.BLIF N_45_0 +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i +0 1 +.names N_4.BLIF N_4_i 0 1 .names SM_AMIGA_6_.BLIF sm_amiga_i_6__n 0 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +.names N_4_i.BLIF RST_c.BLIF N_44_0 +11 1 +.names CLK_000_D_0_.BLIF clk_000_d_i_0__n 0 1 -.names N_120.BLIF N_120_i +.names N_8.BLIF N_8_i +0 1 +.names RW_000_c.BLIF RW_000_i +0 1 +.names N_8_i.BLIF RST_c.BLIF N_42_0 +11 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_i +0 1 +.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +11 1 +.names CYCLE_DMA_0_.BLIF cycle_dma_i_0__n +0 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_i +0 1 +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 +11 1 +.names inst_RESET_OUT.BLIF RESET_OUT_i +0 1 +.names N_21.BLIF N_21_i 0 1 .names SM_AMIGA_4_.BLIF sm_amiga_i_4__n 0 1 +.names N_21_i.BLIF RST_c.BLIF N_34_0 +11 1 +.names FPU_SENSE_c.BLIF FPU_SENSE_i +0 1 +.names N_14.BLIF N_14_i +0 1 .names IPL_030DFF_2_reg.BLIF IPL_030_2_ 1 1 0 0 @@ -1537,13 +1512,13 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names un21_fpu_cs_i.BLIF FPU_CS 1 1 0 0 -.names N_147_i.BLIF DSACK1 +.names N_165_i.BLIF DSACK1 1 1 0 0 .names vcc_n_n.BLIF AVEC 1 1 0 0 -.names un5_e.BLIF E +.names N_296_i.BLIF E 1 1 0 0 .names inst_VMA_INTreg.BLIF VMA @@ -1561,7 +1536,7 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names un1_amiga_bus_enable_low_i.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names N_108.BLIF AMIGA_BUS_ENABLE_HIGH +.names N_205.BLIF AMIGA_BUS_ENABLE_HIGH 1 1 0 0 .names un10_ciin.BLIF CIIN @@ -1573,6 +1548,9 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names IPL_030DFF_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF SM_AMIGA_6_.C 1 1 0 0 @@ -1594,6 +1572,9 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF SM_AMIGA_0_.C 1 1 0 0 +.names CLK_OSZI_c.BLIF cpu_est_3_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF IPL_030DFF_0_reg.C 1 1 0 0 @@ -1612,7 +1593,10 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF IPL_D0_2_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_i_7_.C +.names CLK_000.BLIF CLK_000_D_0_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_D_0_.C 1 1 0 0 .names CLK_000_D_0_.BLIF CLK_000_D_1_.D @@ -1639,6 +1623,12 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF CLK_000_D_4_.C 1 1 0 0 +.names CLK_000_D_4_.BLIF CLK_000_D_5_.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_D_5_.C +1 1 +0 0 .names CLK_OSZI_c.BLIF CYCLE_DMA_0_.C 1 1 0 0 @@ -1660,9 +1650,6 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF cpu_est_2_.C 1 1 0 0 -.names CLK_OSZI_c.BLIF cpu_est_3_.C -1 1 -0 0 .names CLK_OSZI_c.BLIF RST_DLY_0_.C 1 1 0 0 @@ -1672,10 +1659,7 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF RST_DLY_2_.C 1 1 0 0 -.names CLK_000.BLIF CLK_000_D_0_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_D_0_.C +.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C 1 1 0 0 .names CLK_OSZI_c.BLIF inst_DSACK1_INT.C @@ -1738,9 +1722,6 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names CLK_OSZI_c.BLIF inst_AS_000_DMA.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C 1 1 0 0 @@ -1768,7 +1749,7 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names un7_as_030_i.BLIF AS_030 1 1 0 0 -.names N_145_i.BLIF AS_000 +.names N_169_i.BLIF AS_000 1 1 0 0 .names inst_RW_000_INT.BLIF RW_000 @@ -1978,61 +1959,61 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names A_DECODE_2_.BLIF a_decode_2__n 1 1 0 0 -.names N_135.BLIF AS_030.OE +.names N_139.BLIF AS_030.OE 1 1 0 0 -.names N_136.BLIF AS_000.OE +.names un1_as_000_i.BLIF AS_000.OE 1 1 0 0 -.names N_136.BLIF RW_000.OE +.names un1_as_000_i.BLIF RW_000.OE 1 1 0 0 -.names N_136.BLIF UDS_000.OE +.names un1_as_000_i.BLIF UDS_000.OE 1 1 0 0 -.names N_136.BLIF LDS_000.OE +.names un1_as_000_i.BLIF LDS_000.OE 1 1 0 0 -.names un2_as_030_i.BLIF SIZE_0_.OE +.names N_299_i.BLIF SIZE_0_.OE 1 1 0 0 -.names un2_as_030_i.BLIF SIZE_1_.OE +.names N_299_i.BLIF SIZE_1_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_24_.OE +.names N_139.BLIF AHIGH_24_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_25_.OE +.names N_139.BLIF AHIGH_25_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_26_.OE +.names N_139.BLIF AHIGH_26_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_27_.OE +.names N_139.BLIF AHIGH_27_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_28_.OE +.names N_139.BLIF AHIGH_28_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_29_.OE +.names N_139.BLIF AHIGH_29_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_30_.OE +.names N_139.BLIF AHIGH_30_.OE 1 1 0 0 -.names N_135.BLIF AHIGH_31_.OE +.names N_139.BLIF AHIGH_31_.OE 1 1 0 0 -.names N_135.BLIF A_0_.OE +.names N_139.BLIF A_0_.OE 1 1 0 0 .names un21_berr.BLIF BERR.OE 1 1 0 0 -.names N_278.BLIF RW.OE +.names N_141.BLIF RW.OE 1 1 0 0 -.names N_135.BLIF DS_030.OE +.names N_139.BLIF DS_030.OE 1 1 0 0 .names nEXP_SPACE_c.BLIF DSACK1.OE @@ -2041,7 +2022,7 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 .names RESET_OUT_i.BLIF RESET.OE 1 1 0 0 -.names N_261.BLIF CIIN.OE +.names N_260.BLIF CIIN.OE 1 1 0 0 .names IPL_D0_0_.BLIF ipl_c_0__n.BLIF G_107 @@ -2059,12 +2040,13 @@ amiga_bus_enable_dma_low_0_un0_n.BLIF N_22 10 1 11 0 00 0 -.names CYCLE_DMA_1_.BLIF N_131.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 +.names CYCLE_DMA_1_.BLIF N_217.BLIF pos_clk_CYCLE_DMA_5_1_i_x2 01 1 10 1 11 0 00 0 -.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF pos_clk_un21_bgack_030_int_i_0_x2 +.names CYCLE_DMA_0_.BLIF CYCLE_DMA_1_.BLIF \ +pos_clk_un21_bgack_030_int_i_i_a2_i_x2 01 1 10 1 11 0 diff --git a/Logic/BUS68030.edi b/Logic/BUS68030.edi index 9be7bc7..b7bcb4b 100644 --- a/Logic/BUS68030.edi +++ b/Logic/BUS68030.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2016 10 6 21 34 50) + (timeStamp 2016 10 6 22 4 6) (author "Synopsys, Inc.") (program "Synplify Pro" (version "I-2014.03LC , mapper maplat, Build 923R")) ) @@ -140,6 +140,8 @@ (port CIIN (direction OUTPUT)) ) (contents + (instance (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance (rename SM_AMIGA_6 "SM_AMIGA[6]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename SM_AMIGA_5 "SM_AMIGA[5]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -154,6 +156,8 @@ ) (instance (rename SM_AMIGA_0 "SM_AMIGA[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) + (instance (rename cpu_est_3 "cpu_est[3]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance (rename IPL_030DFF_0 "IPL_030DFF[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename IPL_030DFF_1 "IPL_030DFF[1]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -166,7 +170,7 @@ ) (instance (rename IPL_D0_2 "IPL_D0[2]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance (rename CLK_000_D_0 "CLK_000_D[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename CLK_000_D_1 "CLK_000_D[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -176,6 +180,8 @@ ) (instance (rename CLK_000_D_4 "CLK_000_D[4]") (viewRef prim (cellRef DFF (libraryRef mach))) ) + (instance (rename CLK_000_D_5 "CLK_000_D[5]") (viewRef prim (cellRef DFF (libraryRef mach))) + ) (instance (rename CYCLE_DMA_0 "CYCLE_DMA[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename CYCLE_DMA_1 "CYCLE_DMA[1]") (viewRef prim (cellRef DFF (libraryRef mach))) @@ -190,15 +196,13 @@ ) (instance (rename cpu_est_2 "cpu_est[2]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename cpu_est_3 "cpu_est[3]") (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance (rename RST_DLY_0 "RST_DLY[0]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename RST_DLY_1 "RST_DLY[1]") (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance (rename RST_DLY_2 "RST_DLY[2]") (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance (rename CLK_000_D_0 "CLK_000_D[0]") (viewRef prim (cellRef DFF (libraryRef mach))) + (instance DS_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance DSACK1_INT (viewRef prim (cellRef DFF (libraryRef mach))) ) @@ -240,8 +244,6 @@ ) (instance AS_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) ) - (instance DS_000_DMA (viewRef prim (cellRef DFF (libraryRef mach))) - ) (instance BGACK_030_INT_D (viewRef prim (cellRef DFF (libraryRef mach))) ) (instance CLK_OUT_INT (viewRef prim (cellRef DFF (libraryRef mach))) @@ -325,467 +327,344 @@ (instance AMIGA_BUS_ENABLE_LOW (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_HIGH (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance CIIN (viewRef prim (cellRef BUFTH (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_2 "SM_AMIGA_srsts_i_0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_0 "SM_AMIGA_srsts_i_0_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_0 "SM_AMIGA_srsts_i_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bg_030_0_a2_0_a2_1 "pos_clk.un6_bg_030_0_a2_0_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bg_030_0_a2_0_a2 "pos_clk.un6_bg_030_0_a2_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_i_a2_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_i_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_a2_0_1_1 "cpu_est_2_0_0_a2_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_a2_0_1 "cpu_est_2_0_0_a2_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_a2_1_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a2_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_110_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance G_110 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_1 "SM_AMIGA_srsts_i_0_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1 "SM_AMIGA_srsts_i_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e0_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e0_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_0_a2_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_2_0_a2_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_2_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_1_0 "SM_AMIGA_nss_i_i_0_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_0 "SM_AMIGA_nss_i_i_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_6 "SM_AMIGA_srsts_i_0_0_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_6 "SM_AMIGA_srsts_i_0_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_4 "SM_AMIGA_srsts_i_0_0_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_4 "SM_AMIGA_srsts_i_0_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_2 "SM_AMIGA_srsts_i_0_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_a2_0_1 "pos_clk.un9_clk_000_pe_0_0_a2_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_a2_0_2 "pos_clk.un9_clk_000_pe_0_0_a2_0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_a2_0 "pos_clk.un9_clk_000_pe_0_0_a2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_a2_1 "pos_clk.un9_clk_000_pe_0_0_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_a2_2 "pos_clk.un9_clk_000_pe_0_0_a2_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_a2 "pos_clk.un9_clk_000_pe_0_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_1_1_3 "SM_AMIGA_srsts_i_0_0_a2_1_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_1_2_3 "SM_AMIGA_srsts_i_0_0_a2_1_2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_1_3 "SM_AMIGA_srsts_i_0_0_a2_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_CYCLE_DMA_5_1_i_1 "pos_clk.CYCLE_DMA_5_1_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_CYCLE_DMA_5_1_i "pos_clk.CYCLE_DMA_5_1_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_a3_0_1_1 "cpu_est_2_0_0_a3_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_a3_0_1 "cpu_est_2_0_0_a3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un5_e_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_i_0_1_3 "cpu_est_2_i_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_i_0_3 "cpu_est_2_i_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_110_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_fpu_cs_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_0 "SM_AMIGA_srsts_i_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_0 "SM_AMIGA_srsts_i_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_1_0 "SM_AMIGA_nss_i_i_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_0 "SM_AMIGA_nss_i_i_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_6 "SM_AMIGA_srsts_i_0_1[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_6 "SM_AMIGA_srsts_i_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_5 "SM_AMIGA_srsts_i_0_1[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_5 "SM_AMIGA_srsts_i_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_4 "SM_AMIGA_srsts_i_0_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_4 "SM_AMIGA_srsts_i_0[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_2 "SM_AMIGA_srsts_i_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_2 "SM_AMIGA_srsts_i_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_a2_0_1_3 "SM_AMIGA_srsts_i_o3_i_a2_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_a2_0_3 "SM_AMIGA_srsts_i_o3_i_a2_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_1 "SM_AMIGA_srsts_i_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1 "SM_AMIGA_srsts_i_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_1_3 "SM_AMIGA_srsts_i_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_3 "SM_AMIGA_srsts_i_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e0_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e0_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030_0_a2_1 "pos_clk.un6_bg_030_0_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030_0_a2 "pos_clk.un6_bg_030_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_iv_0_a2_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_iv_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_berr_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_berr_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un21_fpu_cs_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i_1 "pos_clk.CYCLE_DMA_5_0_i_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i_2 "pos_clk.CYCLE_DMA_5_0_i_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i "pos_clk.CYCLE_DMA_5_0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_a2_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_a2_1_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_0_a2_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_a2_0_4 "pos_clk.un34_as_030_d0_i_a2_0_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_a2_0_5 "pos_clk.un34_as_030_d0_i_a2_0_5") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_a2_0 "pos_clk.un34_as_030_d0_i_a2_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2_9 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2_10 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2_11 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un10_ciin_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_o2_3 "SM_AMIGA_srsts_i_o3_i_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_1_3 "SM_AMIGA_srsts_i_0_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_3 "SM_AMIGA_srsts_i_0_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i_a2_1 "pos_clk.un34_as_030_d0_i_i_a2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i_a2_2 "pos_clk.un34_as_030_d0_i_i_a2_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i_a2_3 "pos_clk.un34_as_030_d0_i_i_a2_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i_a2 "pos_clk.un34_as_030_d0_i_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_fpu_cs_0_a2_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_fpu_cs_0_a2_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_berr_0_a2_0_a2_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un21_berr_0_a2_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_1 "pos_clk.CYCLE_DMA_5_0_i_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_2 "pos_clk.CYCLE_DMA_5_0_i_0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_0 "pos_clk.CYCLE_DMA_5_0_i_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_iv_0_0_a2_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_iv_0_0_a2_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_iv_0_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2_9 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2_10 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2_11 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_o2_1_0 "SM_AMIGA_nss_i_i_0_0_o2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_o2_2_0 "SM_AMIGA_nss_i_i_0_0_o2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_o2_0 "SM_AMIGA_nss_i_i_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i_o2_3 "pos_clk.un34_as_030_d0_i_i_o2_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i_o2_4 "pos_clk.un34_as_030_d0_i_i_o2_4") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i_o2 "pos_clk.un34_as_030_d0_i_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_i_a2_i_o2_1 "pos_clk.un21_bgack_030_int_i_i_a2_i_o2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_i_a2_i_o2_2 "pos_clk.un21_bgack_030_int_i_i_a2_i_o2_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_i_a2_i_o2 "pos_clk.un21_bgack_030_int_i_i_a2_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un10_sm_amiga_1 "pos_clk.un10_sm_amiga_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename pos_clk_un10_sm_amiga "pos_clk.un10_sm_amiga") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0_a3_1 "pos_clk.un9_clk_000_pe_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0_a3_2 "pos_clk.un9_clk_000_pe_0_a3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0_a3 "pos_clk.un9_clk_000_pe_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0_a3_0_1 "pos_clk.un9_clk_000_pe_0_a3_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0_a3_0_2 "pos_clk.un9_clk_000_pe_0_a3_0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0_a3_0 "pos_clk.un9_clk_000_pe_0_a3_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un14_clk_000_ne_0_a2_0_a3_1 "pos_clk.un14_clk_000_ne_0_a2_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un14_clk_000_ne_0_a2_0_a3_2 "pos_clk.un14_clk_000_ne_0_a2_0_a3_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un14_clk_000_ne_0_a2_0_a3 "pos_clk.un14_clk_000_ne_0_a2_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_a2_0_1 "pos_clk.un34_as_030_d0_i_a2_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_a2_0_2 "pos_clk.un34_as_030_d0_i_a2_0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_a2_0_3 "pos_clk.un34_as_030_d0_i_a2_0_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_1_2 "SM_AMIGA_srsts_i_0_0_o2_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_2 "SM_AMIGA_srsts_i_0_0_o2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_0_1_3 "SM_AMIGA_srsts_i_0_0_o2_0_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_0_3 "SM_AMIGA_srsts_i_0_0_o2_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_19_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RW_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_20_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance A0_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_22_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_LOW_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_23_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_DMA_HIGH_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_o2_1 "pos_clk.un34_as_030_d0_i_o2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_o2_2 "pos_clk.un34_as_030_d0_i_o2_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_o2_3 "pos_clk.un34_as_030_d0_i_o2_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_o2 "pos_clk.un34_as_030_d0_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_1 "pos_clk.un21_bgack_030_int_i_0_o2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un21_bgack_030_int_i_0_o2 "pos_clk.un21_bgack_030_int_i_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o2_1_0 "SM_AMIGA_nss_i_i_0_o2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o2_2_0 "SM_AMIGA_nss_i_i_0_o2_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o2_0 "SM_AMIGA_nss_i_i_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_o2_1_3 "SM_AMIGA_srsts_i_o3_i_o2_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_c_i_0 "IPL_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_0 "IPL_D0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_4_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_14_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance LDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_24_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance BG_000_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance BG_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un6_bg_030_i "pos_clk.un6_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un9_bg_030_i "pos_clk.un9_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i_o2_1 "pos_clk.un34_as_030_d0_i_i_o2_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i_o2_2 "pos_clk.un34_as_030_d0_i_i_o2_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_270_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_269_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_267_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_324_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_0_sqmuxa_1_0_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_319_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_320_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RW_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_RW_000_INT_5_0_0_i "pos_clk.RW_000_INT_5_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_227_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i_i "pos_clk.un34_as_030_d0_i_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_15_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_030_000_SYNC_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_16_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance RW_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_18_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VMA_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_21_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_23_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_290_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0_i "pos_clk.un9_clk_000_pe_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_i_0_o2_i_3 "cpu_est_2_i_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_c_i_0 "A_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_27_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_2 "IPL_030_1_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_26_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_1 "IPL_030_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_25_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_1_i_0 "IPL_030_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_c_i_2 "IPL_c_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_2 "IPL_D0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_c_i_1 "IPL_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_i_1 "IPL_D0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_0_i_6 "SM_AMIGA_srsts_i_0_o2_0_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_282_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_284_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un14_clk_000_ne_i "pos_clk.un14_clk_000_ne_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un5_e_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_285_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_291_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_339_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_335_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_336_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_334_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_i_1 "pos_clk.SIZE_DMA_6_0_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_333_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_i_0 "pos_clk.SIZE_DMA_6_0_0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_295_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_332_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_292_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_17_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_i_2 "cpu_est_2_0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_286_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_288_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_i_1 "cpu_est_2_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_289_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_134_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_153_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_i "pos_clk.un34_as_030_d0_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_PE_0_o3_i_o2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_i_6 "SM_AMIGA_srsts_i_0_o2_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_0_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_RW_000_DMA_3_i_a2_i_i "pos_clk.RW_000_DMA_3_i_a2_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_290_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_273_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_327_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_213_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_214_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_215_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un5_bgack_030_int_d_i_0_a2_i_o2_i "pos_clk.un5_bgack_030_int_d_i_0_a2_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_6 "SM_AMIGA_srsts_i_0_0_o2_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_275_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_o2_i_0 "pos_clk.SIZE_DMA_6_0_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename CLK_000_D_i_4 "CLK_000_D_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_o2_i_0 "SM_AMIGA_nss_i_i_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_o2_i_0 "SM_AMIGA_nss_i_i_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename CLK_000_D_i_2 "CLK_000_D_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_141_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_DS_000_ENABLE_0_sqmuxa_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un21_bgack_030_int_i_0_o2_i "pos_clk.un21_bgack_030_int_i_0_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_156_i_0_o2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_i_0 "SM_AMIGA_srsts_i_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_i_4 "SM_AMIGA_srsts_i_0_o2_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_o2_i "pos_clk.un34_as_030_d0_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_171_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_121_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_1_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_D0_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_125_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_126_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_127_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_128_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_RW_000_INT_5_0_i "pos_clk.RW_000_INT_5_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_129_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i_o2_i "pos_clk.un34_as_030_d0_i_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_0_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_338_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un10_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un13_ciin_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_83_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RESET_OUT_2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_88_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_89_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_o2_i_3 "SM_AMIGA_srsts_i_o3_i_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_i_1 "SM_AMIGA_srsts_i_0_o2_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_114_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_115_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_116_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_117_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_118_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_119_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_120_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_246_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_i_0 "pos_clk.SIZE_DMA_6_0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_245_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_i_1 "pos_clk.SIZE_DMA_6_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_91_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un19_bgack_030_int_i_0 "pos_clk.un19_bgack_030_int_i_0") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_2 "SM_AMIGA_srsts_i_o3_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_59_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_61_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_62_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_64_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_244_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_78_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_79_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_82_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_76_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_131_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_130_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_170_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un6_bgack_000_0_i "pos_clk.un6_bgack_000_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_RW_000_DMA_3_0_i "pos_clk.RW_000_DMA_3_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_123_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_124_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_iv_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_122_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0_i "pos_clk.DS_000_DMA_4_f0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_o2_i_0 "pos_clk.SIZE_DMA_6_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_o2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_87_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_19_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RW_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_8_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BGACK_030_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un13_ciin_i_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_229_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_230_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RESET_OUT_2_i_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_030_D0_0_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_345_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_349_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_DS_000_ENABLE_0_sqmuxa_0_o2_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_i_a2_i_o2_i "pos_clk.un21_bgack_030_int_i_i_a2_i_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_3 "SM_AMIGA_srsts_i_0_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_326_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_4 "SM_AMIGA_srsts_i_0_0_o2_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_3_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance DS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_4_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_8_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance BGACK_030_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_21_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_14_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_c_i_0 "A_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_217_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_216_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_2_0_a2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_0_sqmuxa_1_0_1_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_261_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_1_sqmuxa_0_a2_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_291_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0_i_a2_i_i "pos_clk.DS_000_DMA_4_f0_i_a2_i_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_256_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_248_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_iv_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_353_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un6_bgack_000_0_0_i "pos_clk.un6_bgack_000_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_000_NE_0_o3_i_a2_0_o2_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_225_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_224_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_352_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_i_2 "cpu_est_2_0_0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_220_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_221_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_i_1 "cpu_est_2_0_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_219_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_218_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_i "pos_clk.un9_clk_000_pe_0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename CLK_000_D_i_2 "CLK_000_D_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_18_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VMA_INT_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_347_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_348_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_0_i_3 "SM_AMIGA_srsts_i_0_0_o2_0_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_o2_i "pos_clk.CYCLE_DMA_5_0_i_0_o2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_1 "SM_AMIGA_srsts_i_0_0_o2_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_2 "SM_AMIGA_srsts_i_0_0_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_211_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_209_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_306_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un5_e_0_i_o2_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un5_e_0_i_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_i_0 "SM_AMIGA_srsts_i_0_0_o2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_o2_i_2 "cpu_est_2_0_0_0_o2_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_346_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_c_i_2 "IPL_c_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_D0_0_i_2 "IPL_D0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_25_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_1_i_0 "IPL_030_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_26_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_1_i_1 "IPL_030_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_27_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_1_i_2 "IPL_030_1_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_222_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_223_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_231_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_237_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_342_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_341_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_0_o2_i_3 "cpu_est_2_i_0_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance VPA_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance VPA_D_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance DTACK_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance DTACK_D0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_249_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_248_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_247_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_77_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_251_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BG_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un6_bg_030_i "pos_clk.un6_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un9_bg_030_i "pos_clk.un9_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_24_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BG_000_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_22_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_20_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance A0_DMA_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un9_bg_030 "pos_clk.un9_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_amiga_bus_enable_low (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_amiga_bus_enable_low_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un21_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_c_i_0 "IPL_c_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_D0_0_i_0 "IPL_D0_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_c_i_1 "IPL_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_D0_0_i_1 "IPL_D0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_D0_0_2 "IPL_D0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_D0_0_1 "IPL_D0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_D0_0_0 "IPL_D0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance DTACK_D0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance VPA_D_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BGACK_030_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RW_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance A0_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_LOW_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BG_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_80_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_r "AMIGA_BUS_ENABLE_DMA_LOW_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_m "AMIGA_BUS_ENABLE_DMA_LOW_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_n "AMIGA_BUS_ENABLE_DMA_LOW_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_p "AMIGA_BUS_ENABLE_DMA_LOW_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_r "A0_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A0_DMA_0_m "A0_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_n "A0_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A0_DMA_0_p "A0_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_DMA_0_p "RW_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0_a2 "pos_clk.DS_000_DMA_4_f0_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_226 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_iv_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename CYCLE_DMA_i_0 "CYCLE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_0_i_a2 "pos_clk.CYCLE_DMA_5_0_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bgack_000_0_a2 "pos_clk.un6_bgack_000_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_DS_000_DMA_4_f0_0 "pos_clk.DS_000_DMA_4_f0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_iv_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RW_000_DMA_3_0 "pos_clk.RW_000_DMA_3_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un6_bgack_000_0 "pos_clk.un6_bgack_000_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_o2 "pos_clk.CYCLE_DMA_5_1_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_CYCLE_DMA_5_1_i_x2 "pos_clk.CYCLE_DMA_5_1_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_102 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__r "SIZE_DMA_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__m "SIZE_DMA_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__n "SIZE_DMA_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_1__p "SIZE_DMA_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__r "SIZE_DMA_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__m "SIZE_DMA_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__n "SIZE_DMA_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_DMA_0_0__p "SIZE_DMA_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_A0_DMA_3_0_a2 "pos_clk.A0_DMA_3_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SIZE_DMA_3_sqmuxa_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_a2_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_1_sqmuxa_i_0_117_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_a2_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un5_bgack_030_int_d_i_0_a2 "pos_clk.un5_bgack_030_int_d_i_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_1_sqmuxa_i_0_117_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_1 "pos_clk.SIZE_DMA_6_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_0 "pos_clk.SIZE_DMA_6_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RST_DLY_i_0 "RST_DLY_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RST_DLY_i_1 "RST_DLY_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_o2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_o2_0 "pos_clk.SIZE_DMA_6_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_2 "SM_AMIGA_srsts_i_o3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_a4_2 "SM_AMIGA_srsts_i_a4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_227 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_228 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un19_bgack_030_int "pos_clk.un19_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_a2_1 "pos_clk.SIZE_DMA_6_0_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_SIZE_DMA_6_0_0_a2_0 "pos_clk.SIZE_DMA_6_0_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e2_i_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RST_DLY_i_2 "RST_DLY_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_DLY_e2_i_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e1_i_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e0_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RST_DLY_e0_i_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_i_1 "A_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_110_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_3 "SM_AMIGA_srsts_i_0_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_0_1 "SM_AMIGA_srsts_i_0_a2_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_1 "SM_AMIGA_srsts_i_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_amiga_bus_enable_low_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un21_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_0 "SM_AMIGA_srsts_i_0_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_0_i_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_0_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_0_a2_3 "cpu_est_2_i_0_0_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_109 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_108 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_107 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__r "IPL_030_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__r "IPL_030_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_1__m "IPL_030_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__n "IPL_030_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__p "IPL_030_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename IPL_030_0_0__r "IPL_030_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_0__m "IPL_030_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_0__n "IPL_030_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_0__p "IPL_030_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__r "cpu_est_0_3_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_0_3__m "cpu_est_0_3_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__n "cpu_est_0_3_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_3__p "cpu_est_0_3_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename IPL_030_1_2 "IPL_030_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_1_1 "IPL_030_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_1_0 "IPL_030_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_218 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DSACK1_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_DSACK1_INT_1_i_a2_0_a2 "pos_clk.DSACK1_INT_1_i_a2_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DTACK_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_2_3 "SM_AMIGA_srsts_i_0_0_a2_2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename cpu_est_0_0_a2_0_0 "cpu_est_0_0_a2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename cpu_est_0_0_a2_0 "cpu_est_0_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_a2_3 "SM_AMIGA_srsts_i_o3_i_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_58_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a2_0 "SM_AMIGA_nss_i_i_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_0_0 "SM_AMIGA_srsts_i_0_a2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_3 "SM_AMIGA_srsts_i_0_0_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_1 "SM_AMIGA_srsts_i_0_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_2 "SM_AMIGA_srsts_i_0_0_a2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_0 "SM_AMIGA_srsts_i_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_ENABLE_0_sqmuxa_0_o3_i_o2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_1 "SM_AMIGA_srsts_i_0_o2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_0_0 "cpu_est_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DTACK_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_i_a2_1_3 "SM_AMIGA_srsts_i_o3_i_a2_1[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_H_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_0_i_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_0_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_0_i_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_0_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance nEXP_SPACE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_2_sqmuxa_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_4 "SM_AMIGA_srsts_i_0_a2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_5 "SM_AMIGA_srsts_i_0_a2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_a2_6 "SM_AMIGA_srsts_i_0_a2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_nss_i_i_0_a2_0_0 "SM_AMIGA_nss_i_i_0_a2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_DSACK1_INT_1_i_a2 "pos_clk.DSACK1_INT_1_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_rw_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_AS_000_INT_1_i_a2 "pos_clk.AS_000_INT_1_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_DS_000_ENABLE_0_sqmuxa_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_231 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un7_as_030_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_as_000_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un4_as_030_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_230 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i_a2 "pos_clk.un34_as_030_d0_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance FPU_SENSE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename CLK_000_D_i_3 "CLK_000_D_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_o3_0_i_o2_1 "SM_AMIGA_srsts_i_o3_0_i_o2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_6 "SM_AMIGA_srsts_i_0_o2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename CLK_000_D_i_0 "CLK_000_D_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_PE_0_o3_i_o2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un21_bgack_030_int_i_0_x2 "pos_clk.un21_bgack_030_int_i_0_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance un2_as_030_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un34_as_030_d0_i "pos_clk.un34_as_030_d0_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1_sqmuxa_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un13_ciin_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_RW_000_INT_5_0 "pos_clk.RW_000_INT_5_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_0_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_0_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_D0_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_1_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_i_0_a2_3 "cpu_est_2_i_0_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_a3_1 "cpu_est_2_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_a3_2 "cpu_est_2_0_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_i_0_a3_3 "cpu_est_2_i_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_o2_2 "cpu_est_2_0_0_0_o2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_0 "SM_AMIGA_srsts_i_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un5_e_0_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_0_i_o2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_1 "SM_AMIGA_srsts_i_0_0_o2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_o2 "pos_clk.CYCLE_DMA_5_0_i_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0_o2 "pos_clk.un9_clk_000_pe_0_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance CLK_030_H_2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_0_6 "SM_AMIGA_srsts_i_0_o2_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_4 "SM_AMIGA_srsts_i_0_o2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_1_0_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_srsts_i_0_o2_0 "SM_AMIGA_srsts_i_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_0_o2_3 "cpu_est_2_i_0_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_0_0_0 "cpu_est_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_0_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_i_0_0_3 "cpu_est_2_i_0_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_a2_2 "cpu_est_2_0_0_0_a2[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_0_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_0_i_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e0_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_0_1 "SM_AMIGA_srsts_i_0_0_a2_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_350_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a2_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_a2_0_2 "cpu_est_2_0_0_a2_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_clk_000_pe_0_0 "pos_clk.un9_clk_000_pe_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_1 "cpu_est_2_0_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_2 "cpu_est_2_0_0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_e_0_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_1_sqmuxa_i_0_117_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename CLK_000_D_i_1 "CLK_000_D_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_156_i_0_o2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_DS_000_ENABLE_0_sqmuxa_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_235 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_236 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_233 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_234 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_DECODE_i_18 "A_DECODE_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_DECODE_i_19 "A_DECODE_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance G_107 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_108 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_109 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance (rename A_DECODE_i_16 "A_DECODE_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_2_i_0_o2_3 "cpu_est_2_i_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename pos_clk_un9_clk_000_pe_0 "pos_clk.un9_clk_000_pe_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_1 "cpu_est_2_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_0_0_2 "cpu_est_2_0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_2_i_0_a2_0_3 "cpu_est_2_i_0_a2_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_PRE_50_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_206_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_207_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_208_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_239 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_240 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_237 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_238 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_D0_0_2 "IPL_D0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_1_0 "IPL_030_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_1_1 "IPL_030_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_1_2 "IPL_030_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_NE_0_o3_i_a2_0_o2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_0_sqmuxa_1_0_1_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_2_0_a2_i_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_1_i_x2 "pos_clk.CYCLE_DMA_5_1_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance I_220 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance nEXP_SPACE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance VMA_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_1__r "cpu_est_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename cpu_est_0_1__m "cpu_est_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_1__n "cpu_est_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -794,62 +673,174 @@ (instance (rename cpu_est_0_2__m "cpu_est_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_2__n "cpu_est_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename cpu_est_0_2__p "cpu_est_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__r "cpu_est_0_3_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_0_3__m "cpu_est_0_3_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__n "cpu_est_0_3_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_0_3__p "cpu_est_0_3_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__r "IPL_030_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_0__m "IPL_030_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__n "IPL_030_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_0__p "IPL_030_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__r "IPL_030_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_1__m "IPL_030_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__n "IPL_030_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__p "IPL_030_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__r "IPL_030_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_r "UDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_p "UDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_81_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_r "AMIGA_BUS_ENABLE_DMA_HIGH_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_m "AMIGA_BUS_ENABLE_DMA_HIGH_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_n "AMIGA_BUS_ENABLE_DMA_HIGH_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_p "AMIGA_BUS_ENABLE_DMA_HIGH_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance DS_000_ENABLE_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_UDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_LDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_DMA_HIGH_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance UDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VMA_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RW_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance LDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_0 "IPL_D0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_D0_0_1 "IPL_D0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance RESET_OUT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un7_as_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_145_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_147_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_ds_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un6_ds_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_o3_0_1 "SM_AMIGA_srsts_i_o3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RST_DLY_i_2 "RST_DLY_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_2_0_0_0_a2_1 "cpu_est_2_0_0_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un7_as_030_0_a2_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_2_0_a2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_221 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0_i_a2_i_a2 "pos_clk.DS_000_DMA_4_f0_i_a2_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_2_sqmuxa_0_a2_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bgack_000_0_0_a2 "pos_clk.un6_bgack_000_0_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1_sqmuxa_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un6_bgack_000_0_0 "pos_clk.un6_bgack_000_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_iv_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_DS_000_DMA_4_f0_i_a2_i "pos_clk.DS_000_DMA_4_f0_i_a2_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_1_sqmuxa_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename CLK_000_D_i_0 "CLK_000_D_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_261_i_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename DS_000_ENABLE_0_p "DS_000_ENABLE_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance DS_000_ENABLE_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance BGACK_030_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_102 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename CYCLE_DMA_i_0 "CYCLE_DMA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_CYCLE_DMA_5_0_i_0_a2 "pos_clk.CYCLE_DMA_5_0_i_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_iv_0_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_0_0 "SM_AMIGA_srsts_i_0_0_a2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_a2_0_0 "SM_AMIGA_nss_i_i_0_0_a2_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_as_000_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_rw_i_a2_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_DS_000_ENABLE_0_sqmuxa_0_o2_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_i_a2_i_a2 "pos_clk.un21_bgack_030_int_i_i_a2_i_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_0_3 "SM_AMIGA_srsts_i_0_0_a2_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un4_as_030_i_a2_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_i_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance LDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance UDS_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_LDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_UDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_r "UDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_p "UDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_r "LDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance DS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_ds_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_4 "SM_AMIGA_srsts_i_0_0_a2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RST_DLY_i_1 "RST_DLY_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e1_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance FPU_SENSE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un21_berr_0_a2_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_3 "SM_AMIGA_srsts_i_0_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_DS_000_ENABLE_0_sqmuxa_0_o2_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un21_bgack_030_int_i_i_a2_i_x2 "pos_clk.un21_bgack_030_int_i_i_a2_i_x2") (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance un2_as_030_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_D0_0_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_2_i_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un13_ciin_i_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_RW_000_INT_5_0_0_o2 "pos_clk.RW_000_INT_5_0_0_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_6 "SM_AMIGA_srsts_i_0_0_o2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un5_bgack_030_int_d_i_0_a2_i_o2 "pos_clk.un5_bgack_030_int_d_i_0_a2_i_o2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance AS_030_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_o2_4 "SM_AMIGA_srsts_i_0_0_o2[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLY_e2_i_0_o2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RST_DLYlde_i_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_5 "SM_AMIGA_srsts_i_0_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RESET_OUT_1_sqmuxa_i_0_117_1_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RST_DLY_i_0 "RST_DLY_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_DLY_e0_i_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_5 "SM_AMIGA_srsts_i_0_0_a2[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_A0_DMA_3_0_a2_0_a2 "pos_clk.A0_DMA_3_0_a2_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_1 "A_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a2 "pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename pos_clk_AS_000_INT_1_i_a2_0_a2 "pos_clk.AS_000_INT_1_i_a2_0_a2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un34_as_030_d0_i_i "pos_clk.un34_as_030_d0_i_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RW_000_INT_5_0_0 "pos_clk.RW_000_INT_5_0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_0_i_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_0_sqmuxa_1_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_RW_000_DMA_3_i_a2_i "pos_clk.RW_000_DMA_3_i_a2_i") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_0 "pos_clk.SIZE_DMA_6_0_0_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_1 "pos_clk.SIZE_DMA_6_0_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance SIZE_DMA_3_sqmuxa_0_a2_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_o2_0 "pos_clk.SIZE_DMA_6_0_0_0_o2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_227 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_228 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_225 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_226 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_223 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_224 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_DECODE_i_18 "A_DECODE_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_DECODE_i_19 "A_DECODE_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_DECODE_i_16 "A_DECODE_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_INT_0_i_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_INT_0_i_0_a2_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_srsts_i_0_0_a2_6 "SM_AMIGA_srsts_i_0_0_a2[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_nss_i_i_0_0_a2_0 "SM_AMIGA_nss_i_i_0_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_a2_0 "pos_clk.SIZE_DMA_6_0_0_0_a2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_SIZE_DMA_6_0_0_0_a2_1 "pos_clk.SIZE_DMA_6_0_0_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_OUT_PRE_50_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_206_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_207_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_208_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_229 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_230 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_79_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_r "AMIGA_BUS_ENABLE_DMA_HIGH_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_m "AMIGA_BUS_ENABLE_DMA_HIGH_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_n "AMIGA_BUS_ENABLE_DMA_HIGH_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_p "AMIGA_BUS_ENABLE_DMA_HIGH_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance BG_000_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_HIGH_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_LOW_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance A0_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RW_000_DMA_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance RW_000_INT_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__r "SIZE_DMA_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__m "SIZE_DMA_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__n "SIZE_DMA_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_0__p "SIZE_DMA_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_1__r "SIZE_DMA_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_1__m "SIZE_DMA_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_1__n "SIZE_DMA_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SIZE_DMA_0_1__p "SIZE_DMA_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_DMA_LOW_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_amiga_bus_enable_low (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename pos_clk_un9_bg_030 "pos_clk.un9_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un7_as_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_169_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_165_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_ds_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_r "AS_030_000_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_m "AS_030_000_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_n "AS_030_000_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) @@ -858,29 +849,33 @@ (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_DMA_0_p "RW_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_r "A0_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A0_DMA_0_m "A0_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_n "A0_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A0_DMA_0_p "A0_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance N_78_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_r "AMIGA_BUS_ENABLE_DMA_LOW_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_m "AMIGA_BUS_ENABLE_DMA_LOW_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_n "AMIGA_BUS_ENABLE_DMA_LOW_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_DMA_LOW_0_p "AMIGA_BUS_ENABLE_DMA_LOW_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (net BGACK_030_INT (joined (portRef Q (instanceRef BGACK_030_INT)) (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r)) - (portRef I0 (instanceRef un1_as_000_i_a2)) - (portRef I0 (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a2)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_a2)) + (portRef I0 (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a2_i_o2)) + (portRef I0 (instanceRef un1_as_000_0_0)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2)) (portRef I0 (instanceRef BGACK_030_INT_0_n)) (portRef I0 (instanceRef BGACK_030_INT_i)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_o2_1)) (portRef I0 (instanceRef BGACK_030)) )) (net VCC (joined (portRef I0 (instanceRef AVEC)) )) - (net un5_e (joined - (portRef O (instanceRef un5_e_0_i)) - (portRef I0 (instanceRef E)) - )) (net VMA_INT (joined (portRef Q (instanceRef VMA_INT)) (portRef I0 (instanceRef VMA_INT_0_n)) @@ -905,7 +900,7 @@ (portRef I0 (instanceRef un1_amiga_bus_enable_low_i)) )) (net un7_as_030 (joined - (portRef O (instanceRef un7_as_030_0_a2)) + (portRef O (instanceRef un7_as_030_0_a2_0_a2)) (portRef I0 (instanceRef un7_as_030_i)) )) (net un1_UDS_000_INT (joined @@ -917,87 +912,81 @@ (portRef I0 (instanceRef LDS_000)) )) (net un1_SM_AMIGA_0_sqmuxa_1 (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_0_i)) + (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_i)) (portRef I1 (instanceRef RW_000_INT_0_m)) (portRef I0 (instanceRef RW_000_INT_0_r)) )) - (net un1_DS_000_ENABLE_0_sqmuxa (joined - (portRef O (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2_i)) - (portRef I0 (instanceRef DS_000_ENABLE_0_m)) - )) (net un10_ciin (joined - (portRef O (instanceRef un10_ciin_0_a2)) + (portRef O (instanceRef un13_ciin_i_0_0_a2)) (portRef I0 (instanceRef un10_ciin_i)) (portRef I0 (instanceRef CIIN)) )) (net un21_fpu_cs (joined - (portRef O (instanceRef un21_fpu_cs_0_a2)) + (portRef O (instanceRef un21_fpu_cs_0_a2_0_a2)) (portRef I0 (instanceRef un21_fpu_cs_i)) )) (net un21_berr (joined - (portRef O (instanceRef un21_berr_0_a2)) + (portRef O (instanceRef un21_berr_0_a2_0_a2)) (portRef OE (instanceRef BERR)) )) (net un6_ds_030 (joined (portRef O (instanceRef un6_ds_030)) (portRef I0 (instanceRef un6_ds_030_i)) )) - (net (rename cpu_est_3 "cpu_est[3]") (joined - (portRef Q (instanceRef cpu_est_3)) - (portRef I0 (instanceRef cpu_est_0_3__m)) - (portRef I0 (instanceRef cpu_est_i_3)) - (portRef I0 (instanceRef pos_clk_un14_clk_000_ne_0_a2_0_a3_1)) - (portRef I1 (instanceRef un5_e_0_a3_0_1)) - )) - (net (rename cpu_est_0 "cpu_est[0]") (joined - (portRef Q (instanceRef cpu_est_0)) - (portRef I0 (instanceRef cpu_est_2_i_0_a2_0_3)) - (portRef I0 (instanceRef cpu_est_2_i_0_o2_3)) - (portRef I0 (instanceRef cpu_est_i_0)) - (portRef I1 (instanceRef cpu_est_0_0_a2_0_0)) - (portRef I0 (instanceRef cpu_est_2_0_0_a3_0_1_1)) - )) - (net (rename cpu_est_1 "cpu_est[1]") (joined - (portRef Q (instanceRef cpu_est_1)) - (portRef I0 (instanceRef cpu_est_0_1__m)) - (portRef I1 (instanceRef cpu_est_2_i_0_o2_3)) - (portRef I0 (instanceRef cpu_est_2_0_0_a3_1)) - (portRef I0 (instanceRef cpu_est_i_1)) - (portRef I0 (instanceRef un5_e_0_a3_1)) - )) (net (rename cpu_est_2 "cpu_est[2]") (joined (portRef Q (instanceRef cpu_est_2)) (portRef I0 (instanceRef cpu_est_0_2__m)) + (portRef I1 (instanceRef un5_e_0_i_a2_0)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_a2_2)) (portRef I0 (instanceRef cpu_est_i_2)) - (portRef I1 (instanceRef cpu_est_2_i_0_a3_3)) - (portRef I1 (instanceRef un5_e_0_a3_1)) + )) + (net (rename cpu_est_3 "cpu_est[3]") (joined + (portRef Q (instanceRef cpu_est_3)) + (portRef I0 (instanceRef cpu_est_2_i_0_0_o2_3)) + (portRef I0 (instanceRef cpu_est_i_3)) + (portRef I0 (instanceRef un5_e_0_i_o2_0)) + (portRef I0 (instanceRef cpu_est_0_3__m)) + )) + (net (rename cpu_est_0 "cpu_est[0]") (joined + (portRef Q (instanceRef cpu_est_0)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_o2_2)) + (portRef I0 (instanceRef cpu_est_i_0)) + (portRef I1 (instanceRef cpu_est_0_0_a2_0_0)) + (portRef I0 (instanceRef cpu_est_2_0_0_a2_0_1_1)) + )) + (net (rename cpu_est_1 "cpu_est[1]") (joined + (portRef Q (instanceRef cpu_est_1)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_a2_1)) + (portRef I0 (instanceRef cpu_est_0_1__m)) + (portRef I0 (instanceRef un5_e_0_i_o2)) + (portRef I0 (instanceRef cpu_est_i_1)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_o2_2)) )) (net AMIGA_BUS_ENABLE_DMA_LOW (joined (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_LOW)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) )) (net AS_030_D0 (joined (portRef Q (instanceRef AS_030_D0)) (portRef I0 (instanceRef AS_030_D0_i)) - (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2_1)) + (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2_0_a2_1)) )) (net AS_030_000_SYNC (joined (portRef Q (instanceRef AS_030_000_SYNC)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) (portRef I0 (instanceRef AS_030_000_SYNC_i)) )) (net BGACK_030_INT_D (joined (portRef Q (instanceRef BGACK_030_INT_D)) - (portRef I1 (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a2)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_o2_1)) + (portRef I1 (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a2_i_o2)) )) (net AS_000_DMA (joined (portRef Q (instanceRef AS_000_DMA)) - (portRef I0 (instanceRef AS_000_DMA_0_n)) (portRef I0 (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) + (portRef I0 (instanceRef AS_000_DMA_0_n)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_a2)) )) (net DS_000_DMA (joined (portRef Q (instanceRef DS_000_DMA)) @@ -1006,30 +995,29 @@ )) (net (rename CYCLE_DMA_0 "CYCLE_DMA[0]") (joined (portRef Q (instanceRef CYCLE_DMA_0)) - (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_x2)) - (portRef I0 (instanceRef G_102)) + (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_x2)) (portRef I0 (instanceRef CYCLE_DMA_i_0)) + (portRef I0 (instanceRef G_102)) )) (net (rename CYCLE_DMA_1 "CYCLE_DMA[1]") (joined (portRef Q (instanceRef CYCLE_DMA_1)) - (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_0_x2)) + (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_x2)) (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) )) (net VPA_D (joined (portRef Q (instanceRef VPA_D)) (portRef I0 (instanceRef VPA_D_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_i_a2_1_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_2_3)) )) (net (rename CLK_000_D_2 "CLK_000_D[2]") (joined (portRef Q (instanceRef CLK_000_D_2)) (portRef I0 (instanceRef CLK_000_D_i_2)) (portRef D (instanceRef CLK_000_D_3)) )) - (net (rename CLK_000_D_3 "CLK_000_D[3]") (joined - (portRef Q (instanceRef CLK_000_D_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_i_o2_1)) - (portRef I0 (instanceRef CLK_000_D_i_3)) - (portRef D (instanceRef CLK_000_D_4)) + (net (rename CLK_000_D_4 "CLK_000_D[4]") (joined + (portRef Q (instanceRef CLK_000_D_4)) + (portRef I0 (instanceRef CLK_000_D_i_4)) + (portRef D (instanceRef CLK_000_D_5)) )) (net DTACK_D0 (joined (portRef Q (instanceRef DTACK_D0)) @@ -1038,21 +1026,21 @@ (net RESET_OUT (joined (portRef Q (instanceRef RESET_OUT)) (portRef I0 (instanceRef RESET_OUT_i)) - (portRef I1 (instanceRef un1_as_000_i_a2)) - (portRef I1 (instanceRef un1_rw_i_a2)) - (portRef I0 (instanceRef RESET_OUT_2_0_a2)) + (portRef I0 (instanceRef RESET_OUT_2_i_i_a2)) + (portRef I1 (instanceRef un1_rw_i_a2_0_a2)) + (portRef I1 (instanceRef un1_as_000_0_0)) )) (net (rename CLK_000_D_1 "CLK_000_D[1]") (joined (portRef Q (instanceRef CLK_000_D_1)) - (portRef I0 (instanceRef N_156_i_0_o2_i_o2)) + (portRef I0 (instanceRef CLK_000_NE_0_o3_i_a2_0_o2_i_o2)) (portRef I0 (instanceRef CLK_000_D_i_1)) (portRef D (instanceRef CLK_000_D_2)) )) (net (rename CLK_000_D_0 "CLK_000_D[0]") (joined (portRef Q (instanceRef CLK_000_D_0)) - (portRef I0 (instanceRef CLK_000_PE_0_o3_i_o2_i_o2)) + (portRef I0 (instanceRef N_261_i_0_o2)) (portRef I0 (instanceRef CLK_000_D_i_0)) - (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2)) + (portRef I1 (instanceRef pos_clk_un6_bg_030_0_a2_0_a2)) (portRef D (instanceRef CLK_000_D_1)) )) (net CLK_OUT_PRE_50 (joined @@ -1076,37 +1064,38 @@ (portRef Q (instanceRef IPL_D0_2)) (portRef I0 (instanceRef G_109)) )) - (net (rename CLK_000_D_4 "CLK_000_D[4]") (joined - (portRef Q (instanceRef CLK_000_D_4)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_1_0)) + (net (rename CLK_000_D_3 "CLK_000_D[3]") (joined + (portRef Q (instanceRef CLK_000_D_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_0_1)) + (portRef D (instanceRef CLK_000_D_4)) + )) + (net (rename CLK_000_D_5 "CLK_000_D[5]") (joined + (portRef Q (instanceRef CLK_000_D_5)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_1_0)) )) (net (rename pos_clk_un6_bg_030 "pos_clk.un6_bg_030") (joined - (portRef O (instanceRef pos_clk_un6_bg_030_0_a2)) + (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_0_a2)) (portRef I0 (instanceRef pos_clk_un6_bg_030_i)) )) (net AMIGA_BUS_ENABLE_DMA_HIGH (joined (portRef Q (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) )) (net (rename pos_clk_ipl "pos_clk.ipl") (joined (portRef O (instanceRef G_110)) - (portRef I1 (instanceRef IPL_030_0_2__m)) - (portRef I0 (instanceRef IPL_030_0_2__r)) - (portRef I1 (instanceRef IPL_030_0_1__m)) - (portRef I0 (instanceRef IPL_030_0_1__r)) (portRef I1 (instanceRef IPL_030_0_0__m)) (portRef I0 (instanceRef IPL_030_0_0__r)) + (portRef I1 (instanceRef IPL_030_0_1__m)) + (portRef I0 (instanceRef IPL_030_0_1__r)) + (portRef I1 (instanceRef IPL_030_0_2__m)) + (portRef I0 (instanceRef IPL_030_0_2__r)) )) (net (rename SM_AMIGA_1 "SM_AMIGA[1]") (joined (portRef Q (instanceRef SM_AMIGA_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0)) (portRef I0 (instanceRef SM_AMIGA_i_1)) )) - (net AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i)) - )) (net UDS_000_INT (joined (portRef Q (instanceRef UDS_000_INT)) (portRef I0 (instanceRef UDS_000_INT_0_n)) @@ -1114,42 +1103,47 @@ )) (net DS_000_ENABLE (joined (portRef Q (instanceRef DS_000_ENABLE)) - (portRef I0 (instanceRef DS_000_ENABLE_0_n)) - (portRef I0 (instanceRef un1_LDS_000_INT)) (portRef I0 (instanceRef un1_UDS_000_INT)) + (portRef I0 (instanceRef un1_LDS_000_INT)) + (portRef I0 (instanceRef DS_000_ENABLE_0_n)) )) (net LDS_000_INT (joined (portRef Q (instanceRef LDS_000_INT)) (portRef I0 (instanceRef LDS_000_INT_0_n)) (portRef I0 (instanceRef LDS_000_INT_i)) )) + (net (rename pos_clk_un9_bg_030 "pos_clk.un9_bg_030") (joined + (portRef O (instanceRef pos_clk_un9_bg_030_i)) + (portRef I1 (instanceRef BG_000_0_m)) + (portRef I0 (instanceRef BG_000_0_r)) + )) (net (rename SM_AMIGA_6 "SM_AMIGA[6]") (joined (portRef Q (instanceRef SM_AMIGA_6)) (portRef I1 (instanceRef LDS_000_INT_0_m)) (portRef I0 (instanceRef LDS_000_INT_0_r)) (portRef I1 (instanceRef UDS_000_INT_0_m)) (portRef I0 (instanceRef UDS_000_INT_0_r)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_6)) (portRef I0 (instanceRef SM_AMIGA_i_6)) + (portRef I1 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_o2)) )) (net (rename SM_AMIGA_4 "SM_AMIGA[4]") (joined (portRef Q (instanceRef SM_AMIGA_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_3)) (portRef I0 (instanceRef SM_AMIGA_i_4)) - (portRef I1 (instanceRef DS_000_ENABLE_0_sqmuxa_0_o3_i_o2_i_a2)) )) (net (rename SM_AMIGA_0 "SM_AMIGA[0]") (joined (portRef Q (instanceRef SM_AMIGA_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a2_0_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a2_0_0)) (portRef I0 (instanceRef SM_AMIGA_i_0)) )) (net (rename SIZE_DMA_0 "SIZE_DMA[0]") (joined (portRef Q (instanceRef SIZE_DMA_0)) - (portRef I0 (instanceRef SIZE_DMA_0_0__m)) + (portRef I0 (instanceRef SIZE_DMA_0_0__n)) (portRef I0 (instanceRef SIZE_0)) )) (net (rename SIZE_DMA_1 "SIZE_DMA[1]") (joined (portRef Q (instanceRef SIZE_DMA_1)) - (portRef I0 (instanceRef SIZE_DMA_0_1__m)) + (portRef I0 (instanceRef SIZE_DMA_0_1__n)) (portRef I0 (instanceRef SIZE_1)) )) (net RW_000_INT (joined @@ -1159,42 +1153,41 @@ )) (net RW_000_DMA (joined (portRef Q (instanceRef RW_000_DMA)) - (portRef I0 (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_n)) (portRef I0 (instanceRef RW)) )) (net (rename RST_DLY_0 "RST_DLY[0]") (joined (portRef Q (instanceRef RST_DLY_0)) - (portRef I1 (instanceRef RST_DLY_e0_i_a2)) - (portRef I0 (instanceRef RST_DLY_e2_i_o2_0)) (portRef I0 (instanceRef RST_DLY_i_0)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_0)) + (portRef I1 (instanceRef RST_DLY_e0_i_0_a2)) )) (net (rename RST_DLY_1 "RST_DLY[1]") (joined (portRef Q (instanceRef RST_DLY_1)) - (portRef I1 (instanceRef RST_DLY_e2_i_o2_0)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_o2_0)) (portRef I0 (instanceRef RST_DLY_i_1)) )) (net (rename RST_DLY_2 "RST_DLY[2]") (joined (portRef Q (instanceRef RST_DLY_2)) + (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1_a2)) (portRef I0 (instanceRef RST_DLY_i_2)) - (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_0_a2)) )) (net A0_DMA (joined (portRef Q (instanceRef A0_DMA)) - (portRef I0 (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_n)) (portRef I0 (instanceRef A_0)) )) - (net (rename pos_clk_un9_clk_000_pe "pos_clk.un9_clk_000_pe") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_i)) - (portRef I1 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_r)) + (net (rename pos_clk_A0_DMA_3 "pos_clk.A0_DMA_3") (joined + (portRef O (instanceRef pos_clk_A0_DMA_3_0_a2_0_a2)) + (portRef I0 (instanceRef A0_DMA_0_m)) )) (net CLK_030_H (joined (portRef Q (instanceRef CLK_030_H)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_a2)) (portRef I0 (instanceRef CLK_030_H_i)) )) (net (rename pos_clk_RW_000_INT_5 "pos_clk.RW_000_INT_5") (joined - (portRef O (instanceRef pos_clk_RW_000_INT_5_0_i)) + (portRef O (instanceRef pos_clk_RW_000_INT_5_0_0_i)) (portRef I0 (instanceRef RW_000_INT_0_m)) )) (net DSACK1_INT (joined @@ -1207,23 +1200,19 @@ )) (net (rename SM_AMIGA_5 "SM_AMIGA[5]") (joined (portRef Q (instanceRef SM_AMIGA_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_4)) (portRef I0 (instanceRef SM_AMIGA_i_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_4)) )) (net (rename SM_AMIGA_3 "SM_AMIGA[3]") (joined (portRef Q (instanceRef SM_AMIGA_3)) (portRef I0 (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_2)) )) (net (rename SM_AMIGA_2 "SM_AMIGA[2]") (joined (portRef Q (instanceRef SM_AMIGA_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_1)) (portRef I0 (instanceRef SM_AMIGA_i_2)) )) - (net N_4 (joined - (portRef O (instanceRef AS_000_DMA_0_p)) - (portRef I0 (instanceRef N_4_i)) - )) (net N_6 (joined (portRef O (instanceRef SIZE_DMA_0_0__p)) (portRef D (instanceRef SIZE_DMA_0)) @@ -1232,10 +1221,6 @@ (portRef O (instanceRef SIZE_DMA_0_1__p)) (portRef D (instanceRef SIZE_DMA_1)) )) - (net N_9 (joined - (portRef O (instanceRef DS_000_ENABLE_0_p)) - (portRef I0 (instanceRef DS_000_ENABLE_1)) - )) (net N_11 (joined (portRef O (instanceRef cpu_est_0_1__p)) (portRef D (instanceRef cpu_est_1)) @@ -1248,10 +1233,6 @@ (portRef O (instanceRef cpu_est_0_3__p)) (portRef D (instanceRef cpu_est_3)) )) - (net N_14 (joined - (portRef O (instanceRef LDS_000_INT_0_p)) - (portRef I0 (instanceRef N_14_i)) - )) (net N_15 (joined (portRef O (instanceRef AS_030_000_SYNC_0_p)) (portRef I0 (instanceRef N_15_i)) @@ -1260,29 +1241,25 @@ (portRef O (instanceRef RW_000_INT_0_p)) (portRef I0 (instanceRef N_16_i)) )) - (net N_18 (joined - (portRef O (instanceRef VMA_INT_0_p)) - (portRef I0 (instanceRef N_18_i)) + (net N_19 (joined + (portRef O (instanceRef RW_000_DMA_0_p)) + (portRef I0 (instanceRef N_19_i)) )) - (net N_21 (joined - (portRef O (instanceRef UDS_000_INT_0_p)) - (portRef I0 (instanceRef N_21_i)) + (net N_20 (joined + (portRef O (instanceRef A0_DMA_0_p)) + (portRef I0 (instanceRef N_20_i)) + )) + (net N_22 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) + (portRef I0 (instanceRef N_22_i)) )) (net N_23 (joined (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) (portRef I0 (instanceRef N_23_i)) )) - (net N_25 (joined - (portRef O (instanceRef IPL_030_0_0__p)) - (portRef I0 (instanceRef N_25_i)) - )) - (net N_26 (joined - (portRef O (instanceRef IPL_030_0_1__p)) - (portRef I0 (instanceRef N_26_i)) - )) - (net N_27 (joined - (portRef O (instanceRef IPL_030_0_2__p)) - (portRef I0 (instanceRef N_27_i)) + (net N_24 (joined + (portRef O (instanceRef BG_000_0_p)) + (portRef I0 (instanceRef N_24_i)) )) (net N_28 (joined (portRef O (instanceRef IPL_030_1_i_0)) @@ -1349,7 +1326,7 @@ (portRef D (instanceRef DS_000_DMA)) )) (net N_48 (joined - (portRef O (instanceRef AS_030_D0_0_0_i)) + (portRef O (instanceRef AS_030_D0_0_0_0_i)) (portRef D (instanceRef AS_030_D0)) )) (net N_49 (joined @@ -1372,26 +1349,22 @@ (portRef O (instanceRef DTACK_D0_0_i)) (portRef D (instanceRef DTACK_D0)) )) - (net N_55 (joined - (portRef O (instanceRef RESET_OUT_2_0_i)) - (portRef D (instanceRef RESET_OUT)) - )) (net N_56 (joined (portRef O (instanceRef DS_000_ENABLE_1)) (portRef D (instanceRef DS_000_ENABLE)) )) (net (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (joined (portRef Q (instanceRef SM_AMIGA_i_7)) - (portRef I1 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_0_o2)) (portRef I0 (instanceRef SM_AMIGA_i_i_7)) + (portRef I1 (instanceRef pos_clk_RW_000_INT_5_0_0_o2)) )) - (net (rename cpu_est_2_1 "cpu_est_2[1]") (joined - (portRef O (instanceRef cpu_est_2_0_0_i_1)) - (portRef I0 (instanceRef cpu_est_0_1__n)) + (net (rename pos_clk_SIZE_DMA_6_0 "pos_clk.SIZE_DMA_6[0]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_0)) + (portRef I0 (instanceRef SIZE_DMA_0_0__m)) )) - (net (rename cpu_est_2_2 "cpu_est_2[2]") (joined - (portRef O (instanceRef cpu_est_2_0_0_i_2)) - (portRef I0 (instanceRef cpu_est_0_2__n)) + (net (rename pos_clk_SIZE_DMA_6_1 "pos_clk.SIZE_DMA_6[1]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_1)) + (portRef I0 (instanceRef SIZE_DMA_0_1__m)) )) (net N_206 (joined (portRef O (instanceRef G_107)) @@ -1405,69 +1378,24 @@ (portRef O (instanceRef G_109)) (portRef I0 (instanceRef N_208_i)) )) - (net (rename pos_clk_un21_bgack_030_int_i_0 "pos_clk.un21_bgack_030_int_i_0") (joined - (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_i)) - (portRef I0 (instanceRef AS_000_DMA_0_m)) + (net N_245 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o2_i)) + (portRef D (instanceRef BGACK_030_INT_D)) )) - (net N_81 (joined - (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) - (portRef I0 (instanceRef N_81_i)) + (net N_78 (joined + (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a2)) + (portRef I0 (instanceRef N_78_i)) )) - (net N_94 (joined - (portRef O (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a2)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) - (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_0_a2)) - (portRef I1 (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_r)) - (portRef I1 (instanceRef A0_DMA_0_m)) - (portRef I0 (instanceRef A0_DMA_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) + (net N_79 (joined + (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a2)) + (portRef I0 (instanceRef N_79_i)) )) - (net N_254 (joined - (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i_i)) - (portRef I1 (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_r)) - )) - (net N_255 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_i)) - (portRef I1 (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_r)) - )) - (net N_261 (joined - (portRef O (instanceRef un13_ciin_i_0_i)) + (net N_260 (joined + (portRef O (instanceRef un13_ciin_i_0_0_i)) (portRef OE (instanceRef CIIN)) )) - (net N_65 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_i)) - (portRef I1 (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_r)) - )) - (net N_67 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) - )) - (net N_269 (joined - (portRef O (instanceRef N_156_i_0_o2_i_o2_i)) - (portRef I1 (instanceRef cpu_est_0_3__m)) - (portRef I0 (instanceRef cpu_est_0_3__r)) - (portRef I1 (instanceRef cpu_est_0_2__m)) - (portRef I0 (instanceRef cpu_est_0_2__r)) - (portRef I1 (instanceRef cpu_est_0_1__m)) - (portRef I0 (instanceRef cpu_est_0_1__r)) - (portRef I0 (instanceRef cpu_est_0_0_a2_0)) - (portRef I0 (instanceRef RST_DLY_e2_i_a2_1)) - (portRef I0 (instanceRef RST_DLY_e2_i_a2_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_5)) - )) - (net N_108 (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_HIGH)) - )) - (net N_135 (joined - (portRef O (instanceRef un4_as_030_i_a2)) + (net N_139 (joined + (portRef O (instanceRef un4_as_030_i_a2_0_a2)) (portRef OE (instanceRef AHIGH_24)) (portRef OE (instanceRef AHIGH_25)) (portRef OE (instanceRef AHIGH_26)) @@ -1480,413 +1408,469 @@ (portRef OE (instanceRef A_0)) (portRef OE (instanceRef DS_030)) )) - (net N_136 (joined - (portRef O (instanceRef un1_as_000_i_a2)) - (portRef OE (instanceRef AS_000)) - (portRef OE (instanceRef LDS_000)) - (portRef OE (instanceRef RW_000)) - (portRef OE (instanceRef UDS_000)) - )) - (net N_145 (joined - (portRef O (instanceRef pos_clk_AS_000_INT_1_i_a2)) - (portRef I0 (instanceRef N_145_i)) - (portRef I0 (instanceRef AS_000_INT_0_i_a2)) - )) - (net N_278 (joined - (portRef O (instanceRef un1_rw_i_a2)) - (portRef I0 (instanceRef un4_as_030_i_a2)) + (net N_141 (joined + (portRef O (instanceRef un1_rw_i_a2_0_a2)) + (portRef I0 (instanceRef un4_as_030_i_a2_0_a2)) (portRef OE (instanceRef RW)) )) - (net N_147 (joined - (portRef O (instanceRef pos_clk_DSACK1_INT_1_i_a2)) - (portRef I0 (instanceRef N_147_i)) - (portRef I0 (instanceRef DSACK1_INT_0_i_a2)) - )) - (net N_58 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_a2_3)) - (portRef I0 (instanceRef N_58_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_2)) - )) - (net N_110 (joined - (portRef O (instanceRef DS_000_ENABLE_0_sqmuxa_0_o3_i_o2_i_a2)) - (portRef I0 (instanceRef N_110_i)) - )) - (net N_239 (joined - (portRef O (instanceRef RST_DLY_e2_i_o2_0_i)) - (portRef I1 (instanceRef RST_DLY_e2_i_a2_1_0)) - )) - (net N_90 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_0)) - )) - (net N_265 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_6)) - (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_6)) - )) - (net N_107_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) - )) - (net N_78_i (joined - (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_x2)) - (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_1)) - )) - (net (rename pos_clk_un19_bgack_030_int "pos_clk.un19_bgack_030_int") (joined - (portRef O (instanceRef pos_clk_un19_bgack_030_int_i_0)) - (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2)) - )) - (net N_280 (joined - (portRef O (instanceRef cpu_est_2_i_0_o2_i_3)) - (portRef I0 (instanceRef cpu_est_2_i_0_a3_3)) - )) - (net N_263 (joined - (portRef O (instanceRef CLK_000_PE_0_o3_i_o2_i_o2_i)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_a2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_4)) - )) - (net N_247 (joined - (portRef O (instanceRef RST_DLY_e2_i_a2)) - (portRef I0 (instanceRef N_247_i)) - )) - (net N_77 (joined - (portRef O (instanceRef RST_DLY_e1_i_a2_1)) - (portRef I0 (instanceRef N_77_i)) - )) - (net N_289 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_a3)) - (portRef I0 (instanceRef N_289_i)) - )) - (net N_291 (joined - (portRef O (instanceRef cpu_est_2_i_0_a2_3)) - (portRef I0 (instanceRef N_291_i)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_a3_0_2)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_a3_1)) - )) - (net N_290 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_a3_0)) - (portRef I0 (instanceRef N_290_i)) - )) - (net N_286 (joined - (portRef O (instanceRef cpu_est_2_0_0_a3_1)) - (portRef I0 (instanceRef N_286_i)) - )) - (net N_288 (joined - (portRef O (instanceRef cpu_est_2_0_0_a3_0_1)) - (portRef I0 (instanceRef N_288_i)) - )) - (net N_285 (joined - (portRef O (instanceRef cpu_est_2_i_0_a3_3)) - (portRef I0 (instanceRef N_285_i)) - )) - (net N_17 (joined - (portRef O (instanceRef cpu_est_2_0_0_a3_2)) - (portRef I0 (instanceRef N_17_i)) - )) - (net N_292 (joined - (portRef O (instanceRef cpu_est_2_i_0_a2_0_3)) - (portRef I0 (instanceRef N_292_i)) - (portRef I0 (instanceRef un5_e_0_a3_0_1)) - )) - (net (rename pos_clk_un14_clk_000_ne "pos_clk.un14_clk_000_ne") (joined - (portRef O (instanceRef pos_clk_un14_clk_000_ne_0_a2_0_a3)) - (portRef I0 (instanceRef pos_clk_un14_clk_000_ne_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_i_a2_0_3)) - )) - (net N_282 (joined - (portRef O (instanceRef un5_e_0_a3)) - (portRef I0 (instanceRef N_282_i)) - )) - (net N_284 (joined - (portRef O (instanceRef un5_e_0_a3_0)) - (portRef I0 (instanceRef N_284_i)) - )) - (net N_98 (joined - (portRef O (instanceRef CLK_030_H_2_i_o2_i)) - (portRef I1 (instanceRef CLK_030_H_2_i_a2)) - )) - (net N_97 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_0_i_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_6)) - )) - (net N_84 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_i_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a2_0)) - )) - (net N_96 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_o2_i)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_a2)) - )) - (net N_271 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_4)) - )) - (net N_117 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a2_0_0)) - (portRef I0 (instanceRef N_117_i)) - )) - (net N_141 (joined - (portRef O (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_a2)) - (portRef I0 (instanceRef N_141_i)) - )) - (net N_134 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_a2)) - (portRef I0 (instanceRef N_134_i)) - )) - (net N_153 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_a2_0)) - (portRef I0 (instanceRef N_153_i)) - (portRef I0 (instanceRef un21_fpu_cs_0_a2_1)) - (portRef I0 (instanceRef un21_berr_0_a2_1)) - )) - (net N_129 (joined - (portRef O (instanceRef CLK_030_H_2_i_a2)) - (portRef I0 (instanceRef N_129_i)) - )) - (net N_127 (joined - (portRef O (instanceRef DSACK1_INT_0_i_a2)) - (portRef I0 (instanceRef N_127_i)) - )) - (net N_128 (joined - (portRef O (instanceRef DSACK1_INT_0_i_a2_0)) - (portRef I0 (instanceRef N_128_i)) - )) - (net N_125 (joined - (portRef O (instanceRef AS_000_INT_0_i_a2)) - (portRef I0 (instanceRef N_125_i)) - )) - (net N_126 (joined - (portRef O (instanceRef AS_000_INT_0_i_a2_0)) - (portRef I0 (instanceRef N_126_i)) - )) - (net N_124 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_iv_0_a2_0)) - (portRef I0 (instanceRef N_124_i)) - )) - (net N_121 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) - (portRef I0 (instanceRef N_121_i)) - )) - (net N_171 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_a4_2)) - (portRef I0 (instanceRef N_171_i)) - )) - (net N_120 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_4)) - (portRef I0 (instanceRef N_120_i)) - )) - (net N_119 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_5)) - (portRef I0 (instanceRef N_119_i)) - )) - (net N_118 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_6)) - (portRef I0 (instanceRef N_118_i)) - )) - (net N_116 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_a2_0)) - (portRef I0 (instanceRef N_116_i)) - )) - (net N_114 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_0)) - (portRef I0 (instanceRef N_114_i)) - )) - (net N_115 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_0_0)) - (portRef I0 (instanceRef N_115_i)) - )) - (net N_243 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_i_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_1)) - )) - (net N_240 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_o2_i_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_a2_3)) - )) - (net N_88 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_a2_0_3)) - (portRef I0 (instanceRef N_88_i)) - )) - (net N_89 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_a2_1_3)) - (portRef I0 (instanceRef N_89_i)) - )) - (net N_82 (joined - (portRef O (instanceRef RESET_OUT_2_0_a2)) - (portRef I0 (instanceRef N_82_i)) - )) - (net N_83 (joined - (portRef O (instanceRef RESET_OUT_2_0_a2_0)) - (portRef I0 (instanceRef N_83_i)) - )) - (net N_78 (joined - (portRef O (instanceRef RST_DLY_e0_i_a2)) - (portRef I0 (instanceRef N_78_i)) - )) - (net N_79 (joined - (portRef O (instanceRef RST_DLY_e0_i_a2_0)) - (portRef I0 (instanceRef N_79_i)) - )) - (net N_91 (joined - (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_117_0_a2)) - (portRef I0 (instanceRef RST_DLY_e2_i_a2_0)) - (portRef I0 (instanceRef N_91_i)) - (portRef I0 (instanceRef RESET_OUT_2_0_a2_0_1)) - )) - (net N_244 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_3)) - (portRef I0 (instanceRef N_244_i)) - )) - (net N_62 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_1)) - (portRef I0 (instanceRef N_62_i)) - )) - (net N_64 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_a2_0_1)) - (portRef I0 (instanceRef N_64_i)) - )) - (net N_59 (joined - (portRef O (instanceRef cpu_est_0_0_a2_0)) - (portRef I0 (instanceRef N_59_i)) - )) - (net N_61 (joined - (portRef O (instanceRef cpu_est_0_0_a2_0_0)) - (portRef I0 (instanceRef N_61_i)) - )) - (net N_163 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_a4_2)) - )) - (net N_245 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) - (portRef I0 (instanceRef N_245_i)) - )) - (net N_242 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_i_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) - )) - (net N_246 (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) - (portRef I0 (instanceRef N_246_i)) - )) - (net N_248 (joined - (portRef O (instanceRef RST_DLY_e2_i_a2_0)) - (portRef I0 (instanceRef N_248_i)) - )) - (net N_236 (joined - (portRef O (instanceRef RST_DLY_e2_i_o2_i)) - (portRef I0 (instanceRef RST_DLY_e0_i_a2)) - (portRef I0 (instanceRef RST_DLY_e1_i_a2)) - (portRef I1 (instanceRef RST_DLY_e2_i_a2_0)) - )) - (net N_249 (joined - (portRef O (instanceRef RST_DLY_e2_i_a2_1)) - (portRef I0 (instanceRef N_249_i)) - )) - (net N_92 (joined - (portRef O (instanceRef RST_DLY_e2_i_a2_4)) - (portRef I0 (instanceRef RST_DLY_e0_i_a2_0)) - (portRef I0 (instanceRef RST_DLY_e1_i_a2_0)) - )) - (net N_251 (joined - (portRef O (instanceRef RST_DLY_e1_i_a2)) - (portRef I0 (instanceRef N_251_i)) - )) - (net N_76 (joined - (portRef O (instanceRef RST_DLY_e1_i_a2_0)) - (portRef I0 (instanceRef N_76_i)) - )) - (net N_80 (joined - (portRef O (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) - (portRef I0 (instanceRef N_80_i)) - )) - (net (rename pos_clk_A0_DMA_3 "pos_clk.A0_DMA_3") (joined - (portRef O (instanceRef pos_clk_A0_DMA_3_0_a2)) - (portRef I0 (instanceRef A0_DMA_0_n)) - )) - (net SIZE_DMA_3_sqmuxa (joined - (portRef O (instanceRef SIZE_DMA_3_sqmuxa_0_a2)) - (portRef I1 (instanceRef SIZE_DMA_0_0__m)) - (portRef I0 (instanceRef SIZE_DMA_0_0__r)) + (net N_165 (joined + (portRef O (instanceRef pos_clk_DSACK1_INT_1_i_a2_0_a2)) + (portRef I0 (instanceRef N_165_i)) + (portRef I0 (instanceRef DSACK1_INT_0_i_0_a2_0)) + )) + (net N_169 (joined + (portRef O (instanceRef pos_clk_AS_000_INT_1_i_a2_0_a2)) + (portRef I0 (instanceRef N_169_i)) + (portRef I0 (instanceRef AS_000_INT_0_i_0_a2)) + )) + (net N_297 (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i_i)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) + )) + (net N_298 (joined + (portRef O (instanceRef RESET_OUT_2_i_i_i)) + (portRef D (instanceRef RESET_OUT)) + )) + (net N_300 (joined + (portRef O (instanceRef pos_clk_RW_000_DMA_3_i_a2_i_i)) + (portRef I0 (instanceRef RW_000_DMA_0_m)) + )) + (net N_302 (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_i)) + (portRef I1 (instanceRef DS_000_DMA_0_m)) + (portRef I0 (instanceRef DS_000_DMA_0_r)) + )) + (net N_113 (joined + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_0_a2_i_i)) (portRef I1 (instanceRef SIZE_DMA_0_1__m)) (portRef I0 (instanceRef SIZE_DMA_0_1__r)) + (portRef I1 (instanceRef SIZE_DMA_0_0__m)) + (portRef I0 (instanceRef SIZE_DMA_0_0__r)) )) - (net N_87 (joined - (portRef O (instanceRef RST_DLY_e2_i_a2_3)) - (portRef I0 (instanceRef N_87_i)) + (net N_305 (joined + (portRef O (instanceRef CLK_000_NE_0_o3_i_a2_0_o2_i_o2_i)) + (portRef I0 (instanceRef RST_DLYlde_i_a2_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a2_1)) + (portRef I1 (instanceRef cpu_est_0_2__m)) + (portRef I0 (instanceRef cpu_est_0_2__r)) + (portRef I1 (instanceRef cpu_est_0_1__m)) + (portRef I0 (instanceRef cpu_est_0_1__r)) + (portRef I0 (instanceRef cpu_est_0_0_a2_0)) + (portRef I1 (instanceRef cpu_est_0_3__m)) + (portRef I0 (instanceRef cpu_est_0_3__r)) )) - (net (rename pos_clk_SIZE_DMA_6_1 "pos_clk.SIZE_DMA_6[1]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) - (portRef I0 (instanceRef SIZE_DMA_0_1__n)) + (net N_155 (joined + (portRef O (instanceRef N_261_i_0_o2_i)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_a2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_4)) )) - (net (rename pos_clk_SIZE_DMA_6_0 "pos_clk.SIZE_DMA_6[0]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) - (portRef I0 (instanceRef SIZE_DMA_0_0__n)) + (net N_163 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_o2_0_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a2_1_0)) )) - (net N_170 (joined - (portRef O (instanceRef pos_clk_un6_bgack_000_0_a2)) - (portRef I0 (instanceRef N_170_i)) + (net N_166 (joined + (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_o2_i)) + (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_5)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_6)) )) - (net N_122 (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) - (portRef I0 (instanceRef N_122_i)) + (net N_171 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_i_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a2_0)) )) - (net N_123 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_iv_0_a2)) - (portRef I0 (instanceRef N_123_i)) + (net N_180 (joined + (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_o2_i)) + (portRef I0 (instanceRef AS_000_DMA_0_m)) )) - (net N_130 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_a2)) - (portRef I0 (instanceRef N_130_i)) + (net N_184 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_i_0)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a2_0)) )) - (net (rename pos_clk_DS_000_DMA_4 "pos_clk.DS_000_DMA_4") (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0_i)) - (portRef I0 (instanceRef DS_000_DMA_0_m)) + (net N_191 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_6)) )) - (net (rename pos_clk_RW_000_DMA_3 "pos_clk.RW_000_DMA_3") (joined - (portRef O (instanceRef pos_clk_RW_000_DMA_3_0_i)) - (portRef I0 (instanceRef RW_000_DMA_0_n)) + (net N_199 (joined + (portRef O (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a2_i_o2_i)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) + (portRef I1 (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_r)) + (portRef I1 (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) )) - (net (rename pos_clk_un6_bgack_000 "pos_clk.un6_bgack_000") (joined - (portRef O (instanceRef pos_clk_un6_bgack_000_0_i)) - (portRef I1 (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_r)) + (net N_205 (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_HIGH)) )) - (net N_131 (joined - (portRef O (instanceRef G_102)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) - (portRef I0 (instanceRef N_131_i)) + (net N_306 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a2)) + (portRef I0 (instanceRef N_306_i)) + )) + (net N_215 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_a2_1)) + (portRef I0 (instanceRef N_215_i)) + )) + (net N_221 (joined + (portRef O (instanceRef cpu_est_2_0_0_a2_0_1)) + (portRef I0 (instanceRef N_221_i)) + )) + (net N_227 (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i_a2)) + (portRef I0 (instanceRef N_227_i)) + )) + (net N_230 (joined + (portRef O (instanceRef RESET_OUT_2_i_i_a2_0)) + (portRef I0 (instanceRef N_230_i)) + )) + (net N_319 (joined + (portRef O (instanceRef AS_000_INT_0_i_0_a2)) + (portRef I0 (instanceRef N_319_i)) + )) + (net N_320 (joined + (portRef O (instanceRef AS_000_INT_0_i_0_a2_0)) + (portRef I0 (instanceRef N_320_i)) + )) + (net N_267 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_0)) + (portRef I0 (instanceRef N_267_i)) + )) + (net N_324 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_0)) + (portRef I0 (instanceRef N_324_i)) + )) + (net N_269 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_2)) + (portRef I0 (instanceRef N_269_i)) + )) + (net N_270 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_4)) + (portRef I0 (instanceRef N_270_i)) + )) + (net N_327 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_6)) + (portRef I0 (instanceRef N_327_i)) + )) + (net N_273 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a2_0)) + (portRef I0 (instanceRef N_273_i)) + )) + (net N_275 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_a2_0_0)) + (portRef I0 (instanceRef N_275_i)) + )) + (net N_290 (joined + (portRef O (instanceRef CLK_030_H_2_0_a2_i_a2)) + (portRef I0 (instanceRef N_290_i)) + )) + (net N_292 (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_a2)) + (portRef I0 (instanceRef N_292_i)) + )) + (net N_295 (joined + (portRef O (instanceRef RST_DLY_e0_i_0_a2)) + (portRef I0 (instanceRef N_295_i)) + )) + (net N_332 (joined + (portRef O (instanceRef RST_DLY_e0_i_0_a2_0)) + (portRef I0 (instanceRef N_332_i)) + )) + (net N_333 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a2_0)) + (portRef I0 (instanceRef N_333_i)) + )) + (net N_334 (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a2_1)) + (portRef I0 (instanceRef N_334_i)) + )) + (net N_335 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_1)) + (portRef I0 (instanceRef N_335_i)) + )) + (net N_336 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_1)) + (portRef I0 (instanceRef N_336_i)) + )) + (net N_338 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_3)) + (portRef I0 (instanceRef N_338_i)) + )) + (net N_339 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_3)) + (portRef I0 (instanceRef N_339_i)) + )) + (net N_350 (joined + (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1_a2)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a2_0)) + (portRef I0 (instanceRef N_350_i)) + (portRef I1 (instanceRef RESET_OUT_2_i_i_a2_0_1)) + )) + (net N_204_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) + )) + (net N_161 (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i_o2_i)) + (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_i_a2_1)) + )) + (net N_213 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_a2)) + (portRef I0 (instanceRef N_213_i)) + )) + (net N_214 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_a2_0)) + (portRef I0 (instanceRef N_214_i)) + )) + (net N_197 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_4)) + )) + (net N_159 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_o2_i)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_a2)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a2_0)) + (portRef I0 (instanceRef RST_DLY_e0_i_0_a2)) + )) + (net N_326 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_5)) + (portRef I0 (instanceRef N_326_i)) + )) + (net un21_berr_1 (joined + (portRef O (instanceRef un21_berr_0_a2_0_a2_1)) + (portRef I0 (instanceRef un21_berr_0_a2_0_a2_1_0)) + (portRef I0 (instanceRef un21_fpu_cs_0_a2_0_a2_1)) + )) + (net N_181 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_3)) + (portRef I0 (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2_0_o2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_3)) + )) + (net N_354 (joined + (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_x2)) + (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_o2_2)) + )) + (net un1_DS_000_ENABLE_0_sqmuxa (joined + (portRef O (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2_0_o2_i)) + (portRef I0 (instanceRef DS_000_ENABLE_0_m)) + )) + (net N_349 (joined + (portRef O (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2_0_a2)) + (portRef I0 (instanceRef N_349_i)) + )) + (net N_345 (joined + (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_a2)) + (portRef I0 (instanceRef N_345_i)) + )) + (net N_229 (joined + (portRef O (instanceRef RESET_OUT_2_i_i_a2)) + (portRef I0 (instanceRef N_229_i)) + )) + (net N_14 (joined + (portRef O (instanceRef LDS_000_INT_0_p)) + (portRef I0 (instanceRef N_14_i)) + )) + (net N_21 (joined + (portRef O (instanceRef UDS_000_INT_0_p)) + (portRef I0 (instanceRef N_21_i)) )) (net N_3 (joined (portRef O (instanceRef DS_000_DMA_0_p)) (portRef I0 (instanceRef N_3_i)) )) + (net N_301 (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_i)) + (portRef I0 (instanceRef DS_000_DMA_0_m)) + )) + (net N_4 (joined + (portRef O (instanceRef AS_000_DMA_0_p)) + (portRef I0 (instanceRef N_4_i)) + )) + (net N_303 (joined + (portRef O (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i_i)) + (portRef I1 (instanceRef AS_000_DMA_0_m)) + (portRef I0 (instanceRef AS_000_DMA_0_r)) + )) (net N_8 (joined (portRef O (instanceRef BGACK_030_INT_0_p)) (portRef I0 (instanceRef N_8_i)) )) - (net N_19 (joined - (portRef O (instanceRef RW_000_DMA_0_p)) - (portRef I0 (instanceRef N_19_i)) + (net (rename pos_clk_un6_bgack_000 "pos_clk.un6_bgack_000") (joined + (portRef O (instanceRef pos_clk_un6_bgack_000_0_0_i)) + (portRef I1 (instanceRef BGACK_030_INT_0_m)) + (portRef I0 (instanceRef BGACK_030_INT_0_r)) )) - (net N_20 (joined - (portRef O (instanceRef A0_DMA_0_p)) - (portRef I0 (instanceRef N_20_i)) + (net N_9 (joined + (portRef O (instanceRef DS_000_ENABLE_0_p)) + (portRef I0 (instanceRef DS_000_ENABLE_1)) )) - (net N_22 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) - (portRef I0 (instanceRef N_22_i)) + (net N_65 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) + (portRef I1 (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef DS_000_ENABLE_0_r)) )) - (net N_24 (joined - (portRef O (instanceRef BG_000_0_p)) - (portRef I0 (instanceRef N_24_i)) + (net N_217 (joined + (portRef O (instanceRef G_102)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_x2)) + (portRef I0 (instanceRef N_217_i)) )) - (net (rename pos_clk_un9_bg_030 "pos_clk.un9_bg_030") (joined - (portRef O (instanceRef pos_clk_un9_bg_030_i)) - (portRef I1 (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_000_0_r)) + (net N_216 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_a2)) + (portRef I0 (instanceRef N_216_i)) + )) + (net N_248 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2)) + (portRef I0 (instanceRef N_248_i)) + )) + (net N_198 (joined + (portRef O (instanceRef CLK_030_H_2_0_a2_i_o2_i)) + (portRef I1 (instanceRef CLK_030_H_2_0_a2_i_a2)) + )) + (net N_291 (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_a2)) + (portRef I0 (instanceRef N_291_i)) + )) + (net N_353 (joined + (portRef O (instanceRef pos_clk_un6_bgack_000_0_0_a2)) + (portRef I0 (instanceRef N_353_i)) + )) + (net N_256 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2_0)) + (portRef I0 (instanceRef N_256_i)) + )) + (net N_18 (joined + (portRef O (instanceRef VMA_INT_0_p)) + (portRef I0 (instanceRef N_18_i)) + )) + (net (rename pos_clk_un9_clk_000_pe "pos_clk.un9_clk_000_pe") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_i)) + (portRef I1 (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_r)) + )) + (net (rename cpu_est_2_1 "cpu_est_2[1]") (joined + (portRef O (instanceRef cpu_est_2_0_0_0_i_1)) + (portRef I0 (instanceRef cpu_est_0_1__n)) + )) + (net (rename cpu_est_2_2 "cpu_est_2[2]") (joined + (portRef O (instanceRef cpu_est_2_0_0_0_i_2)) + (portRef I0 (instanceRef cpu_est_0_2__n)) + )) + (net N_209 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a2_0)) + (portRef I0 (instanceRef N_209_i)) + )) + (net N_211 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a2_1)) + (portRef I0 (instanceRef N_211_i)) + )) + (net N_220 (joined + (portRef O (instanceRef cpu_est_2_0_0_0_a2_1)) + (portRef I0 (instanceRef N_220_i)) + )) + (net N_222 (joined + (portRef O (instanceRef cpu_est_2_0_0_0_a2_2)) + (portRef I0 (instanceRef N_222_i)) + )) + (net N_162 (joined + (portRef O (instanceRef cpu_est_2_0_0_0_o2_i_2)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_a2_2)) + )) + (net N_224 (joined + (portRef O (instanceRef un5_e_0_i_a2)) + (portRef I0 (instanceRef N_224_i)) + )) + (net N_193 (joined + (portRef O (instanceRef un5_e_0_i_o2_0_i)) + (portRef I0 (instanceRef un5_e_0_i_a2)) + )) + (net N_225 (joined + (portRef O (instanceRef un5_e_0_i_a2_0)) + (portRef I0 (instanceRef N_225_i)) + )) + (net N_190 (joined + (portRef O (instanceRef un5_e_0_i_o2_i)) + (portRef I0 (instanceRef un5_e_0_i_a2_0)) + )) + (net N_346 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a2_3)) + (portRef I0 (instanceRef N_346_i)) + )) + (net N_352 (joined + (portRef O (instanceRef cpu_est_2_0_0_a2_0_2)) + (portRef I0 (instanceRef N_352_i)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_0_1)) + )) + (net N_219 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_0)) + (portRef I0 (instanceRef N_219_i)) + )) + (net N_218 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a2)) + (portRef I0 (instanceRef N_218_i)) + )) + (net N_183 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0)) + )) + (net N_196 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_2)) + )) + (net N_188 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_i_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_1_2)) + )) + (net N_194 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1)) + )) + (net N_347 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_3)) + (portRef I0 (instanceRef N_347_i)) + )) + (net N_348 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_2_3)) + (portRef I0 (instanceRef N_348_i)) + )) + (net N_160 (joined + (portRef O (instanceRef cpu_est_2_i_0_0_o2_i_3)) + (portRef I0 (instanceRef cpu_est_2_i_0_0_a2_3)) + )) + (net N_341 (joined + (portRef O (instanceRef cpu_est_0_0_a2_0)) + (portRef I0 (instanceRef N_341_i)) + )) + (net N_342 (joined + (portRef O (instanceRef cpu_est_0_0_a2_0_0)) + (portRef I0 (instanceRef N_342_i)) + )) + (net N_231 (joined + (portRef O (instanceRef DSACK1_INT_0_i_0_a2)) + (portRef I0 (instanceRef N_231_i)) + )) + (net N_237 (joined + (portRef O (instanceRef DSACK1_INT_0_i_0_a2_0)) + (portRef I0 (instanceRef N_237_i)) + )) + (net N_223 (joined + (portRef O (instanceRef cpu_est_2_i_0_0_a2_3)) + (portRef I0 (instanceRef N_223_i)) + )) + (net N_27 (joined + (portRef O (instanceRef IPL_030_0_2__p)) + (portRef I0 (instanceRef N_27_i)) + )) + (net N_26 (joined + (portRef O (instanceRef IPL_030_0_1__p)) + (portRef I0 (instanceRef N_26_i)) + )) + (net N_25 (joined + (portRef O (instanceRef IPL_030_0_0__p)) + (portRef I0 (instanceRef N_25_i)) )) (net un1_amiga_bus_enable_low_i (joined (portRef O (instanceRef un1_amiga_bus_enable_low_i)) @@ -1896,255 +1880,239 @@ (portRef O (instanceRef un21_fpu_cs_i)) (portRef I0 (instanceRef FPU_CS)) )) - (net BGACK_030_INT_i (joined - (portRef O (instanceRef BGACK_030_INT_i)) - (portRef I0 (instanceRef un2_as_030_0)) - (portRef I0 (instanceRef un1_rw_i_a2)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2)) - (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_0)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) - (portRef I0 (instanceRef pos_clk_A0_DMA_3_0_a2)) - (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) - (portRef I0 (instanceRef pos_clk_RW_000_DMA_3_0)) - (portRef I1 (instanceRef un1_amiga_bus_enable_low)) + (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined + (portRef O (instanceRef cpu_est_i_2)) + (portRef I1 (instanceRef cpu_est_2_0_0_a2_0_2)) + (portRef I1 (instanceRef un5_e_0_i_a2)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_o2)) + (portRef I1 (instanceRef cpu_est_2_i_0_0_a2_3)) )) - (net AMIGA_BUS_ENABLE_DMA_LOW_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) - (portRef I0 (instanceRef un1_amiga_bus_enable_low)) - )) - (net N_80_i (joined - (portRef O (instanceRef N_80_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) - )) - (net (rename CYCLE_DMA_i_0 "CYCLE_DMA_i[0]") (joined - (portRef O (instanceRef CYCLE_DMA_i_0)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_a2)) - )) - (net RW_000_i (joined - (portRef O (instanceRef I_226)) - (portRef I1 (instanceRef pos_clk_RW_000_DMA_3_0)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_a2)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0_a2)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_1)) - )) - (net (rename RST_DLY_i_0 "RST_DLY_i[0]") (joined - (portRef O (instanceRef RST_DLY_i_0)) - (portRef I1 (instanceRef RST_DLY_e0_i_a2_0)) - (portRef I1 (instanceRef RST_DLY_e1_i_a2_1_1)) - )) - (net (rename RST_DLY_i_1 "RST_DLY_i[1]") (joined - (portRef O (instanceRef RST_DLY_i_1)) - (portRef I1 (instanceRef RST_DLY_e1_i_a2_0)) - (portRef I1 (instanceRef RST_DLY_e1_i_a2_1)) - )) - (net (rename RST_DLY_i_2 "RST_DLY_i[2]") (joined - (portRef O (instanceRef RST_DLY_i_2)) - (portRef I1 (instanceRef RST_DLY_e2_i_a2_1)) - (portRef I1 (instanceRef RST_DLY_e2_i_a2)) - )) - (net LDS_000_i (joined - (portRef O (instanceRef I_227)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) - )) - (net UDS_000_i (joined - (portRef O (instanceRef I_228)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) + (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined + (portRef O (instanceRef SM_AMIGA_i_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0)) )) (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined (portRef O (instanceRef SM_AMIGA_i_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_0_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_a4_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_2)) )) - (net N_58_i (joined - (portRef O (instanceRef N_58_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_3)) + (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined + (portRef O (instanceRef SM_AMIGA_i_1)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1)) + )) + (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined + (portRef O (instanceRef cpu_est_i_0)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_a2_1)) + (portRef I1 (instanceRef cpu_est_2_i_0_0_o2_3)) + (portRef I1 (instanceRef cpu_est_0_0_a2_0)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_2)) + )) + (net VPA_D_i (joined + (portRef O (instanceRef VPA_D_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_2_3)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_0_2)) + )) + (net DTACK_D0_i (joined + (portRef O (instanceRef DTACK_D0_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_2_3)) + )) + (net AS_030_i (joined + (portRef O (instanceRef I_218)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i)) + (portRef I1 (instanceRef pos_clk_AS_000_INT_1_i_a2_0_a2)) + (portRef I0 (instanceRef AS_030_D0_0_0_0)) + (portRef I0 (instanceRef un21_berr_0_a2_0_a2_1)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) + (portRef I0 (instanceRef pos_clk_DSACK1_INT_1_i_a2_0_a2)) + )) + (net DSACK1_INT_i (joined + (portRef O (instanceRef DSACK1_INT_i)) + (portRef I1 (instanceRef pos_clk_DSACK1_INT_1_i_a2_0_a2)) + )) + (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined + (portRef O (instanceRef cpu_est_i_3)) + (portRef I1 (instanceRef un5_e_0_i_o2)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_2)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_0_2)) + (portRef I1 (instanceRef cpu_est_2_0_0_a2_0_1)) )) (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined (portRef O (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_3)) )) (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined (portRef O (instanceRef cpu_est_i_1)) (portRef I0 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef pos_clk_un14_clk_000_ne_0_a2_0_a3_2)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_a3_2)) - (portRef I1 (instanceRef cpu_est_2_0_0_a3_0_1_1)) - (portRef I1 (instanceRef un5_e_0_a3_0)) - )) - (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined - (portRef O (instanceRef cpu_est_i_0)) - (portRef I1 (instanceRef cpu_est_2_0_0_a3_1)) - (portRef I1 (instanceRef cpu_est_0_0_a2_0)) - (portRef I1 (instanceRef pos_clk_un14_clk_000_ne_0_a2_0_a3_1)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_a3_2)) - )) - (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined - (portRef O (instanceRef SM_AMIGA_i_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_0_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_1)) - )) - (net N_110_i (joined - (portRef O (instanceRef N_110_i)) - (portRef I0 (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_3)) - )) - (net (rename A_i_1 "A_i[1]") (joined - (portRef O (instanceRef A_i_1)) - (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2)) - )) - (net VMA_INT_i (joined - (portRef O (instanceRef VMA_INT_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_a2_0_1_3)) - )) - (net VPA_D_i (joined - (portRef O (instanceRef VPA_D_i)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_a3_0_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_i_a2_0_1_3)) - )) - (net DTACK_D0_i (joined - (portRef O (instanceRef DTACK_D0_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_a2_1_3)) - )) - (net AS_030_D0_i (joined - (portRef O (instanceRef AS_030_D0_i)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_o2_2)) - (portRef I1 (instanceRef un10_ciin_0_a2_5)) - )) - (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined - (portRef O (instanceRef SM_AMIGA_i_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_0)) - )) - (net (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (joined - (portRef O (instanceRef SM_AMIGA_i_i_7)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_o2_0_6)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_a2_0)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_o2_2)) - )) - (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined - (portRef O (instanceRef SM_AMIGA_i_6)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_6)) - )) - (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined - (portRef O (instanceRef SM_AMIGA_i_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_5)) - )) - (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined - (portRef O (instanceRef SM_AMIGA_i_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_a2_4)) - )) - (net nEXP_SPACE_i (joined - (portRef O (instanceRef nEXP_SPACE_i)) - (portRef I0 (instanceRef un13_ciin_i_0)) - (portRef I1 (instanceRef un2_as_030_0)) - (portRef I1 (instanceRef un4_as_030_i_a2)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_a2_0)) - )) - (net CLK_030_H_i (joined - (portRef O (instanceRef CLK_030_H_i)) - (portRef I0 (instanceRef CLK_030_H_2_i_a2)) - )) - (net FPU_SENSE_i (joined - (portRef O (instanceRef FPU_SENSE_i)) - (portRef I1 (instanceRef un21_fpu_cs_0_a2)) - )) - (net AS_030_i (joined - (portRef O (instanceRef I_230)) - (portRef I0 (instanceRef AS_030_D0_0_0)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_a2)) - (portRef I1 (instanceRef pos_clk_AS_000_INT_1_i_a2)) - (portRef I0 (instanceRef pos_clk_DSACK1_INT_1_i_a2)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_a2_0_1)) - )) - (net AS_000_DMA_i (joined - (portRef O (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef CLK_030_H_2_i_o2)) - (portRef I0 (instanceRef un7_as_030_0_a2)) - )) - (net AS_000_i (joined - (portRef O (instanceRef I_231)) - (portRef I0 (instanceRef un6_ds_030)) - (portRef I1 (instanceRef un7_as_030_0_a2)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) - )) - (net AS_000_INT_i (joined - (portRef O (instanceRef AS_000_INT_i)) - (portRef I0 (instanceRef pos_clk_AS_000_INT_1_i_a2)) - )) - (net DSACK1_INT_i (joined - (portRef O (instanceRef DSACK1_INT_i)) - (portRef I1 (instanceRef pos_clk_DSACK1_INT_1_i_a2)) - )) - (net (rename CLK_000_D_i_0 "CLK_000_D_i[0]") (joined - (portRef O (instanceRef CLK_000_D_i_0)) - (portRef I1 (instanceRef N_156_i_0_o2_i_o2)) - )) - (net (rename CLK_000_D_i_3 "CLK_000_D_i[3]") (joined - (portRef O (instanceRef CLK_000_D_i_3)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o2_1_0)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_o2)) + (portRef I1 (instanceRef un5_e_0_i_o2_0)) + (portRef I1 (instanceRef cpu_est_2_0_0_a2_0_1_1)) )) (net (rename CLK_000_D_i_1 "CLK_000_D_i[1]") (joined (portRef O (instanceRef CLK_000_D_i_1)) - (portRef I1 (instanceRef CLK_000_PE_0_o3_i_o2_i_o2)) + (portRef I1 (instanceRef N_261_i_0_o2)) )) - (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined - (portRef O (instanceRef cpu_est_i_2)) - (portRef I1 (instanceRef cpu_est_2_i_0_a2_0_3)) - (portRef I1 (instanceRef cpu_est_2_0_0_a3_2)) - (portRef I0 (instanceRef cpu_est_2_i_0_a2_3)) - (portRef I1 (instanceRef pos_clk_un14_clk_000_ne_0_a2_0_a3_2)) + (net N_350_i_0 (joined + (portRef O (instanceRef N_350_i)) + (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a2_3)) )) - (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined - (portRef O (instanceRef cpu_est_i_3)) - (portRef I1 (instanceRef cpu_est_2_i_0_a2_3)) - (portRef I1 (instanceRef cpu_est_2_0_0_a3_0_1)) - (portRef I1 (instanceRef un5_e_0_a3)) + (net (rename RST_DLY_i_2 "RST_DLY_i[2]") (joined + (portRef O (instanceRef RST_DLY_i_2)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a2_1)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a2)) + )) + (net nEXP_SPACE_i (joined + (portRef O (instanceRef nEXP_SPACE_i)) + (portRef I0 (instanceRef un13_ciin_i_0_0)) + (portRef I1 (instanceRef un2_as_030_i_a2_i)) + (portRef I1 (instanceRef un4_as_030_i_a2_0_a2)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2_0_2)) + )) + (net AS_000_i (joined + (portRef O (instanceRef I_220)) + (portRef I0 (instanceRef un6_ds_030)) + (portRef I1 (instanceRef un7_as_030_0_a2_0_a2)) + (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_o2_1)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2_0_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) + )) + (net BGACK_030_INT_i (joined + (portRef O (instanceRef BGACK_030_INT_i)) + (portRef I1 (instanceRef un1_amiga_bus_enable_low)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a2_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a2_0)) + (portRef I0 (instanceRef pos_clk_RW_000_DMA_3_i_a2_i)) + (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a2)) + (portRef I1 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a2)) + (portRef I0 (instanceRef pos_clk_A0_DMA_3_0_a2_0_a2)) + (portRef I0 (instanceRef un2_as_030_i_a2_i)) + (portRef I0 (instanceRef un1_rw_i_a2_0_a2)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o2)) + (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_o2_1)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2_0_1)) + )) + (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined + (portRef O (instanceRef SM_AMIGA_i_6)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_6)) + )) + (net (rename CLK_000_D_i_0 "CLK_000_D_i[0]") (joined + (portRef O (instanceRef CLK_000_D_i_0)) + (portRef I1 (instanceRef CLK_000_NE_0_o3_i_a2_0_o2_i_o2)) + )) + (net RW_000_i (joined + (portRef O (instanceRef I_221)) + (portRef I1 (instanceRef pos_clk_RW_000_DMA_3_i_a2_i)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2)) + (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_a2)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i)) + )) + (net CLK_030_H_i (joined + (portRef O (instanceRef CLK_030_H_i)) + (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_a2)) + )) + (net AS_000_DMA_i (joined + (portRef O (instanceRef AS_000_DMA_i)) + (portRef I0 (instanceRef un7_as_030_0_a2_0_a2)) + (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_o2)) + )) + (net (rename CYCLE_DMA_i_0 "CYCLE_DMA_i[0]") (joined + (portRef O (instanceRef CYCLE_DMA_i_0)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_a2)) + )) + (net DS_000_DMA_i (joined + (portRef O (instanceRef DS_000_DMA_i)) + (portRef I1 (instanceRef un6_ds_030)) + )) + (net RESET_OUT_i (joined + (portRef O (instanceRef RESET_OUT_i)) + (portRef OE (instanceRef RESET)) + )) + (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined + (portRef O (instanceRef SM_AMIGA_i_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_4)) + )) + (net FPU_SENSE_i (joined + (portRef O (instanceRef FPU_SENSE_i)) + (portRef I1 (instanceRef un21_fpu_cs_0_a2_0_a2_1)) + )) + (net (rename RST_DLY_i_1 "RST_DLY_i[1]") (joined + (portRef O (instanceRef RST_DLY_i_1)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a2_0)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a2_1)) + )) + (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined + (portRef O (instanceRef SM_AMIGA_i_5)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_5)) + )) + (net (rename RST_DLY_i_0 "RST_DLY_i[0]") (joined + (portRef O (instanceRef RST_DLY_i_0)) + (portRef I1 (instanceRef RST_DLY_e0_i_0_a2_0)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a2_1_1)) + )) + (net (rename SM_AMIGA_i_i_7 "SM_AMIGA_i_i[7]") (joined + (portRef O (instanceRef SM_AMIGA_i_i_7)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_a2_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_6)) + (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_i_a2_2)) + )) + (net AS_030_D0_i (joined + (portRef O (instanceRef AS_030_D0_i)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2_5)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i_a2_1)) + )) + (net AS_000_INT_i (joined + (portRef O (instanceRef AS_000_INT_i)) + (portRef I0 (instanceRef pos_clk_AS_000_INT_1_i_a2_0_a2)) + )) + (net (rename A_i_1 "A_i[1]") (joined + (portRef O (instanceRef A_i_1)) + (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a2)) )) (net (rename A_DECODE_i_16 "A_DECODE_i[16]") (joined (portRef O (instanceRef A_DECODE_i_16)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_a2_0_2)) + (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_i_o2_2)) )) (net (rename A_DECODE_i_18 "A_DECODE_i[18]") (joined (portRef O (instanceRef A_DECODE_i_18)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_a2_0_2)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i_o2_3)) )) (net (rename A_DECODE_i_19 "A_DECODE_i[19]") (joined (portRef O (instanceRef A_DECODE_i_19)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_a2_0_3)) + (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_i_o2_3)) )) (net (rename AHIGH_i_30 "AHIGH_i[30]") (joined - (portRef O (instanceRef I_233)) - (portRef I0 (instanceRef un10_ciin_0_a2_4)) + (portRef O (instanceRef I_223)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2_4)) )) (net (rename AHIGH_i_31 "AHIGH_i[31]") (joined - (portRef O (instanceRef I_234)) - (portRef I1 (instanceRef un10_ciin_0_a2_4)) + (portRef O (instanceRef I_224)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2_4)) )) (net (rename AHIGH_i_28 "AHIGH_i[28]") (joined - (portRef O (instanceRef I_235)) - (portRef I0 (instanceRef un10_ciin_0_a2_3)) + (portRef O (instanceRef I_225)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2_3)) )) (net (rename AHIGH_i_29 "AHIGH_i[29]") (joined - (portRef O (instanceRef I_236)) - (portRef I1 (instanceRef un10_ciin_0_a2_3)) + (portRef O (instanceRef I_226)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2_3)) )) (net (rename AHIGH_i_26 "AHIGH_i[26]") (joined - (portRef O (instanceRef I_237)) - (portRef I0 (instanceRef un10_ciin_0_a2_2)) + (portRef O (instanceRef I_227)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2_2)) )) (net (rename AHIGH_i_27 "AHIGH_i[27]") (joined - (portRef O (instanceRef I_238)) - (portRef I1 (instanceRef un10_ciin_0_a2_2)) + (portRef O (instanceRef I_228)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2_2)) )) (net (rename AHIGH_i_24 "AHIGH_i[24]") (joined - (portRef O (instanceRef I_239)) - (portRef I0 (instanceRef un10_ciin_0_a2_1)) + (portRef O (instanceRef I_229)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2_1)) )) (net (rename AHIGH_i_25 "AHIGH_i[25]") (joined - (portRef O (instanceRef I_240)) - (portRef I1 (instanceRef un10_ciin_0_a2_1)) + (portRef O (instanceRef I_230)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2_1)) )) (net N_206_i (joined (portRef O (instanceRef N_206_i)) @@ -2162,42 +2130,38 @@ (portRef O (instanceRef CLK_OUT_PRE_50_i)) (portRef D (instanceRef CLK_OUT_PRE_50)) )) - (net AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_i)) - (portRef D (instanceRef BGACK_030_INT_D)) + (net N_79_i (joined + (portRef O (instanceRef N_79_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) )) - (net N_81_i (joined - (portRef O (instanceRef N_81_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + (net N_78_i (joined + (portRef O (instanceRef N_78_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) )) (net un6_ds_030_i (joined (portRef O (instanceRef un6_ds_030_i)) (portRef I0 (instanceRef DS_030)) )) - (net DS_000_DMA_i (joined - (portRef O (instanceRef DS_000_DMA_i)) - (portRef I1 (instanceRef un6_ds_030)) - )) - (net N_147_i (joined - (portRef O (instanceRef N_147_i)) + (net N_165_i (joined + (portRef O (instanceRef N_165_i)) (portRef I0 (instanceRef DSACK1)) )) - (net N_145_i (joined - (portRef O (instanceRef N_145_i)) + (net N_169_i (joined + (portRef O (instanceRef N_169_i)) (portRef I0 (instanceRef AS_000)) )) (net un7_as_030_i (joined (portRef O (instanceRef un7_as_030_i)) (portRef I0 (instanceRef AS_030)) )) - (net RESET_OUT_i (joined - (portRef O (instanceRef RESET_OUT_i)) - (portRef OE (instanceRef RESET)) + (net AMIGA_BUS_ENABLE_DMA_LOW_i (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_i)) + (portRef I0 (instanceRef un1_amiga_bus_enable_low)) )) (net AS_030_c (joined (portRef O (instanceRef AS_030)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) - (portRef I0 (instanceRef I_230)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef I_218)) )) (net AS_030 (joined (portRef AS_030) @@ -2205,8 +2169,8 @@ )) (net AS_000_c (joined (portRef O (instanceRef AS_000)) - (portRef I0 (instanceRef I_231)) - (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_a2)) + (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_0_a2)) + (portRef I0 (instanceRef I_220)) )) (net AS_000 (joined (portRef AS_000) @@ -2214,8 +2178,8 @@ )) (net RW_000_c (joined (portRef O (instanceRef RW_000)) - (portRef I0 (instanceRef I_226)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_a2_0_1)) + (portRef I0 (instanceRef I_221)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2_0_2)) )) (net RW_000 (joined (portRef IO (instanceRef RW_000)) @@ -2227,9 +2191,9 @@ )) (net UDS_000_c (joined (portRef O (instanceRef UDS_000)) - (portRef I1 (instanceRef pos_clk_un19_bgack_030_int)) - (portRef I0 (instanceRef I_228)) - (portRef I1 (instanceRef pos_clk_A0_DMA_3_0_a2)) + (portRef I1 (instanceRef pos_clk_A0_DMA_3_0_a2_0_a2)) + (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_a2)) + (portRef I0 (instanceRef UDS_000_c_i)) )) (net UDS_000 (joined (portRef IO (instanceRef UDS_000)) @@ -2237,8 +2201,8 @@ )) (net LDS_000_c (joined (portRef O (instanceRef LDS_000)) - (portRef I0 (instanceRef pos_clk_un19_bgack_030_int)) - (portRef I0 (instanceRef I_227)) + (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_a2)) + (portRef I0 (instanceRef LDS_000_c_i)) )) (net LDS_000 (joined (portRef IO (instanceRef LDS_000)) @@ -2246,7 +2210,7 @@ )) (net (rename SIZE_c_0 "SIZE_c[0]") (joined (portRef O (instanceRef SIZE_0)) - (portRef I1 (instanceRef pos_clk_un10_sm_amiga)) + (portRef I0 (instanceRef pos_clk_un10_sm_amiga_1)) )) (net (rename SIZE_0 "SIZE[0]") (joined (portRef IO (instanceRef SIZE_0)) @@ -2262,7 +2226,7 @@ )) (net (rename AHIGH_c_24 "AHIGH_c[24]") (joined (portRef O (instanceRef AHIGH_24)) - (portRef I0 (instanceRef I_239)) + (portRef I0 (instanceRef I_229)) )) (net (rename AHIGH_24 "AHIGH[24]") (joined (portRef IO (instanceRef AHIGH_24)) @@ -2270,7 +2234,7 @@ )) (net (rename AHIGH_c_25 "AHIGH_c[25]") (joined (portRef O (instanceRef AHIGH_25)) - (portRef I0 (instanceRef I_240)) + (portRef I0 (instanceRef I_230)) )) (net (rename AHIGH_25 "AHIGH[25]") (joined (portRef IO (instanceRef AHIGH_25)) @@ -2278,7 +2242,7 @@ )) (net (rename AHIGH_c_26 "AHIGH_c[26]") (joined (portRef O (instanceRef AHIGH_26)) - (portRef I0 (instanceRef I_237)) + (portRef I0 (instanceRef I_227)) )) (net (rename AHIGH_26 "AHIGH[26]") (joined (portRef IO (instanceRef AHIGH_26)) @@ -2286,7 +2250,7 @@ )) (net (rename AHIGH_c_27 "AHIGH_c[27]") (joined (portRef O (instanceRef AHIGH_27)) - (portRef I0 (instanceRef I_238)) + (portRef I0 (instanceRef I_228)) )) (net (rename AHIGH_27 "AHIGH[27]") (joined (portRef IO (instanceRef AHIGH_27)) @@ -2294,7 +2258,7 @@ )) (net (rename AHIGH_c_28 "AHIGH_c[28]") (joined (portRef O (instanceRef AHIGH_28)) - (portRef I0 (instanceRef I_235)) + (portRef I0 (instanceRef I_225)) )) (net (rename AHIGH_28 "AHIGH[28]") (joined (portRef IO (instanceRef AHIGH_28)) @@ -2302,7 +2266,7 @@ )) (net (rename AHIGH_c_29 "AHIGH_c[29]") (joined (portRef O (instanceRef AHIGH_29)) - (portRef I0 (instanceRef I_236)) + (portRef I0 (instanceRef I_226)) )) (net (rename AHIGH_29 "AHIGH[29]") (joined (portRef IO (instanceRef AHIGH_29)) @@ -2310,7 +2274,7 @@ )) (net (rename AHIGH_c_30 "AHIGH_c[30]") (joined (portRef O (instanceRef AHIGH_30)) - (portRef I0 (instanceRef I_233)) + (portRef I0 (instanceRef I_223)) )) (net (rename AHIGH_30 "AHIGH[30]") (joined (portRef IO (instanceRef AHIGH_30)) @@ -2318,7 +2282,7 @@ )) (net (rename AHIGH_c_31 "AHIGH_c[31]") (joined (portRef O (instanceRef AHIGH_31)) - (portRef I0 (instanceRef I_234)) + (portRef I0 (instanceRef I_224)) )) (net (rename AHIGH_31 "AHIGH[31]") (joined (portRef (member ahigh 0)) @@ -2432,7 +2396,7 @@ )) (net (rename A_DECODE_c_17 "A_DECODE_c[17]") (joined (portRef O (instanceRef A_DECODE_17)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_a2_0_1)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i_o2_2)) )) (net (rename A_DECODE_17 "A_DECODE[17]") (joined (portRef (member a_decode 6)) @@ -2456,7 +2420,7 @@ )) (net (rename A_DECODE_c_20 "A_DECODE_c[20]") (joined (portRef O (instanceRef A_DECODE_20)) - (portRef I0 (instanceRef un10_ciin_0_a2_6)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2_6)) )) (net (rename A_DECODE_20 "A_DECODE[20]") (joined (portRef (member a_decode 3)) @@ -2464,7 +2428,7 @@ )) (net (rename A_DECODE_c_21 "A_DECODE_c[21]") (joined (portRef O (instanceRef A_DECODE_21)) - (portRef I1 (instanceRef un10_ciin_0_a2_6)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2_6)) )) (net (rename A_DECODE_21 "A_DECODE[21]") (joined (portRef (member a_decode 2)) @@ -2472,7 +2436,7 @@ )) (net (rename A_DECODE_c_22 "A_DECODE_c[22]") (joined (portRef O (instanceRef A_DECODE_22)) - (portRef I1 (instanceRef un10_ciin_0_a2_11)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2_11)) )) (net (rename A_DECODE_22 "A_DECODE[22]") (joined (portRef (member a_decode 1)) @@ -2480,7 +2444,7 @@ )) (net (rename A_DECODE_c_23 "A_DECODE_c[23]") (joined (portRef O (instanceRef A_DECODE_23)) - (portRef I0 (instanceRef un10_ciin_0_a2_5)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2_5)) )) (net (rename A_DECODE_23 "A_DECODE[23]") (joined (portRef (member a_decode 0)) @@ -2497,8 +2461,8 @@ )) (net (rename A_c_1 "A_c[1]") (joined (portRef O (instanceRef A_1)) + (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a2)) (portRef I0 (instanceRef A_i_1)) - (portRef I0 (instanceRef pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2)) )) (net (rename A_1 "A[1]") (joined (portRef (member a 0)) @@ -2507,9 +2471,9 @@ (net nEXP_SPACE_c (joined (portRef O (instanceRef nEXP_SPACE)) (portRef I0 (instanceRef nEXP_SPACE_i)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o2_2_0)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_o2)) - (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_1)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_2_0)) + (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_i_a2)) + (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_0_a2_1)) (portRef OE (instanceRef DSACK1)) )) (net nEXP_SPACE (joined @@ -2518,7 +2482,7 @@ )) (net BERR_c (joined (portRef O (instanceRef BERR)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_o2_1_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_1_3)) )) (net BERR (joined (portRef BERR) @@ -2548,10 +2512,9 @@ )) (net BGACK_000_c (joined (portRef O (instanceRef BGACK_000)) - (portRef I0 (instanceRef pos_clk_un6_bgack_000_0)) + (portRef I1 (instanceRef un21_berr_0_a2_0_a2_1)) (portRef I0 (instanceRef BGACK_030_INT_0_m)) - (portRef I1 (instanceRef un21_fpu_cs_0_a2_1)) - (portRef I1 (instanceRef un21_berr_0_a2_1)) + (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_0)) )) (net BGACK_000 (joined (portRef BGACK_000) @@ -2559,7 +2522,7 @@ )) (net CLK_030_c (joined (portRef O (instanceRef CLK_030)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i_a2)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_a2)) (portRef I0 (instanceRef CLK_030_c_i)) )) (net CLK_030 (joined @@ -2591,6 +2554,7 @@ (portRef CLK (instanceRef CLK_000_D_2)) (portRef CLK (instanceRef CLK_000_D_3)) (portRef CLK (instanceRef CLK_000_D_4)) + (portRef CLK (instanceRef CLK_000_D_5)) (portRef CLK (instanceRef CLK_030_H)) (portRef CLK (instanceRef CLK_OUT_INT)) (portRef CLK (instanceRef CLK_OUT_PRE_50)) @@ -2656,7 +2620,7 @@ (net FPU_SENSE_c (joined (portRef O (instanceRef FPU_SENSE)) (portRef I0 (instanceRef FPU_SENSE_i)) - (portRef I1 (instanceRef un21_berr_0_a2)) + (portRef I1 (instanceRef un21_berr_0_a2_0_a2_1_0)) )) (net FPU_SENSE (joined (portRef FPU_SENSE) @@ -2753,56 +2717,53 @@ )) (net RST_c (joined (portRef O (instanceRef RST)) - (portRef I1 (instanceRef IPL_D0_0_1)) - (portRef I1 (instanceRef IPL_D0_0_0)) - (portRef I1 (instanceRef AS_000_DMA_1)) - (portRef I1 (instanceRef LDS_000_INT_1)) (portRef I1 (instanceRef AS_030_000_SYNC_1)) (portRef I1 (instanceRef RW_000_INT_1)) - (portRef I1 (instanceRef VMA_INT_1)) - (portRef I1 (instanceRef UDS_000_INT_1)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) - (portRef I1 (instanceRef DS_000_ENABLE_1)) - (portRef I1 (instanceRef IPL_030_1_2)) - (portRef I1 (instanceRef IPL_030_1_1)) - (portRef I1 (instanceRef IPL_030_1_0)) - (portRef I1 (instanceRef IPL_D0_0_2)) - (portRef I1 (instanceRef AS_030_D0_0_0)) - (portRef I1 (instanceRef AS_000_INT_0_i_a2)) - (portRef I1 (instanceRef AS_000_INT_0_i_a2_0)) - (portRef I1 (instanceRef DSACK1_INT_0_i_a2)) - (portRef I1 (instanceRef DSACK1_INT_0_i_a2_0)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_sqmuxa_0_a2)) - (portRef I1 (instanceRef RESET_OUT_2_0_a2)) - (portRef I1 (instanceRef RST_DLY_e2_i_o2)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) - (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_0)) - (portRef I1 (instanceRef RST_DLY_e2_i_a2_4)) - (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_0_a2)) - (portRef I1 (instanceRef BG_000_1)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) - (portRef I1 (instanceRef A0_DMA_1)) (portRef I1 (instanceRef RW_000_DMA_1)) - (portRef I1 (instanceRef BGACK_030_INT_1)) + (portRef I1 (instanceRef A0_DMA_1)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) + (portRef I1 (instanceRef BG_000_1)) + (portRef I1 (instanceRef AS_000_INT_0_i_0_a2_0)) + (portRef I1 (instanceRef AS_000_INT_0_i_0_a2)) + (portRef I1 (instanceRef SIZE_DMA_3_sqmuxa_0_a2_i)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_1)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) + (portRef I1 (instanceRef RST_DLYlde_i_a2_i)) + (portRef I1 (instanceRef AS_030_D0_0_0_0)) + (portRef I1 (instanceRef UDS_000_INT_1)) + (portRef I1 (instanceRef LDS_000_INT_1)) + (portRef I1 (instanceRef RESET_OUT_2_i_i_a2)) (portRef I1 (instanceRef DS_000_DMA_1)) + (portRef I1 (instanceRef AS_000_DMA_1)) + (portRef I1 (instanceRef BGACK_030_INT_1)) + (portRef I1 (instanceRef DS_000_ENABLE_1)) + (portRef I1 (instanceRef VMA_INT_1)) + (portRef I1 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o2)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_o2)) + (portRef I1 (instanceRef IPL_030_1_0)) + (portRef I1 (instanceRef IPL_030_1_1)) + (portRef I1 (instanceRef IPL_030_1_2)) + (portRef I1 (instanceRef DSACK1_INT_0_i_0_a2)) + (portRef I1 (instanceRef DSACK1_INT_0_i_0_a2_0)) (portRef I0 (instanceRef VPA_D_0)) (portRef I1 (instanceRef DTACK_D0_0)) - (portRef I1 (instanceRef RESET_OUT_2_0_a2_0)) - (portRef I1 (instanceRef RST_DLY_e2_i_2)) - (portRef I1 (instanceRef RST_DLY_e1_i_2)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_2)) - (portRef I1 (instanceRef RST_DLY_e0_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_3)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_5)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_6)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) - (portRef I1 (instanceRef CLK_030_H_2_i_1)) + (portRef I1 (instanceRef IPL_D0_0_0)) + (portRef I1 (instanceRef IPL_D0_0_1)) + (portRef I1 (instanceRef IPL_D0_0_2)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_3)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_4)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_6)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_0)) + (portRef I1 (instanceRef CLK_030_H_2_0_a2_i)) + (portRef I1 (instanceRef RST_DLY_e0_i_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1)) + (portRef I1 (instanceRef RESET_OUT_2_i_i_a2_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_0)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_2)) )) (net RST (joined (portRef RST) @@ -2814,7 +2775,7 @@ )) (net RW_c (joined (portRef O (instanceRef RW)) - (portRef I1 (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_a2)) + (portRef I1 (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2_0_a2)) (portRef I0 (instanceRef RW_c_i)) )) (net RW (joined @@ -2823,7 +2784,7 @@ )) (net (rename FC_c_0 "FC_c[0]") (joined (portRef O (instanceRef FC_0)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_a2_0_5)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i_o2_1)) )) (net (rename FC_0 "FC[0]") (joined (portRef (member fc 1)) @@ -2831,7 +2792,7 @@ )) (net (rename FC_c_1 "FC_c[1]") (joined (portRef O (instanceRef FC_1)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_a2_0_3)) + (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_i_o2_1)) )) (net (rename FC_1 "FC[1]") (joined (portRef (member fc 0)) @@ -2842,7 +2803,7 @@ (portRef AMIGA_ADDR_ENABLE) )) (net AMIGA_BUS_DATA_DIR_c (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_iv_0_i)) + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_i)) (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR)) )) (net AMIGA_BUS_DATA_DIR (joined @@ -2861,66 +2822,6 @@ (portRef O (instanceRef CIIN)) (portRef CIIN) )) - (net BG_030_c_i (joined - (portRef O (instanceRef BG_030_c_i)) - (portRef I0 (instanceRef pos_clk_un9_bg_030)) - )) - (net (rename pos_clk_un6_bg_030_i "pos_clk.un6_bg_030_i") (joined - (portRef O (instanceRef pos_clk_un6_bg_030_i)) - (portRef I1 (instanceRef pos_clk_un9_bg_030)) - )) - (net (rename pos_clk_un9_bg_030_0 "pos_clk.un9_bg_030_0") (joined - (portRef O (instanceRef pos_clk_un9_bg_030)) - (portRef I0 (instanceRef pos_clk_un9_bg_030_i)) - )) - (net N_24_i (joined - (portRef O (instanceRef N_24_i)) - (portRef I0 (instanceRef BG_000_1)) - )) - (net N_31_0 (joined - (portRef O (instanceRef BG_000_1)) - (portRef I0 (instanceRef BG_000_1_i)) - )) - (net N_22_i (joined - (portRef O (instanceRef N_22_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) - )) - (net N_33_0 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1_i)) - )) - (net N_20_i (joined - (portRef O (instanceRef N_20_i)) - (portRef I0 (instanceRef A0_DMA_1)) - )) - (net N_35_0 (joined - (portRef O (instanceRef A0_DMA_1)) - (portRef I0 (instanceRef A0_DMA_1_i)) - )) - (net N_19_i (joined - (portRef O (instanceRef N_19_i)) - (portRef I0 (instanceRef RW_000_DMA_1)) - )) - (net N_36_0 (joined - (portRef O (instanceRef RW_000_DMA_1)) - (portRef I0 (instanceRef RW_000_DMA_1_i)) - )) - (net N_8_i (joined - (portRef O (instanceRef N_8_i)) - (portRef I0 (instanceRef BGACK_030_INT_1)) - )) - (net N_42_0 (joined - (portRef O (instanceRef BGACK_030_INT_1)) - (portRef I0 (instanceRef BGACK_030_INT_1_i)) - )) - (net N_3_i (joined - (portRef O (instanceRef N_3_i)) - (portRef I0 (instanceRef DS_000_DMA_1)) - )) - (net N_45_0 (joined - (portRef O (instanceRef DS_000_DMA_1)) - (portRef I0 (instanceRef DS_000_DMA_1_i)) - )) (net VPA_c_i (joined (portRef O (instanceRef VPA_c_i)) (portRef I1 (instanceRef VPA_D_0)) @@ -2937,572 +2838,13 @@ (portRef O (instanceRef DTACK_D0_0)) (portRef I0 (instanceRef DTACK_D0_0_i)) )) - (net N_232_i (joined - (portRef O (instanceRef RST_DLY_e2_i)) - (portRef D (instanceRef RST_DLY_2)) + (net (rename IPL_c_i_0 "IPL_c_i[0]") (joined + (portRef O (instanceRef IPL_c_i_0)) + (portRef I0 (instanceRef IPL_D0_0_0)) )) - (net N_249_i (joined - (portRef O (instanceRef N_249_i)) - (portRef I0 (instanceRef RST_DLY_e2_i_2)) - )) - (net N_248_i (joined - (portRef O (instanceRef N_248_i)) - (portRef I1 (instanceRef RST_DLY_e2_i_1)) - )) - (net N_247_i (joined - (portRef O (instanceRef N_247_i)) - (portRef I0 (instanceRef RST_DLY_e2_i_1)) - )) - (net N_233_i (joined - (portRef O (instanceRef RST_DLY_e1_i)) - (portRef D (instanceRef RST_DLY_1)) - )) - (net N_77_i (joined - (portRef O (instanceRef N_77_i)) - (portRef I1 (instanceRef RST_DLY_e1_i_1)) - )) - (net N_251_i (joined - (portRef O (instanceRef N_251_i)) - (portRef I0 (instanceRef RST_DLY_e1_i_2)) - )) - (net N_76_i (joined - (portRef O (instanceRef N_76_i)) - (portRef I0 (instanceRef RST_DLY_e1_i_1)) - )) - (net N_260_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i)) - (portRef D (instanceRef CYCLE_DMA_0)) - )) - (net N_131_i (joined - (portRef O (instanceRef N_131_i)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) - )) - (net N_130_i (joined - (portRef O (instanceRef N_130_i)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) - )) - (net N_264_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_o2)) - (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_2)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_a2_0_1)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) - )) - (net N_170_i (joined - (portRef O (instanceRef N_170_i)) - (portRef I1 (instanceRef pos_clk_un6_bgack_000_0)) - )) - (net (rename pos_clk_un6_bgack_000_0 "pos_clk.un6_bgack_000_0") (joined - (portRef O (instanceRef pos_clk_un6_bgack_000_0)) - (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_i)) - )) - (net (rename pos_clk_RW_000_DMA_3_0 "pos_clk.RW_000_DMA_3_0") (joined - (portRef O (instanceRef pos_clk_RW_000_DMA_3_0)) - (portRef I0 (instanceRef pos_clk_RW_000_DMA_3_0_i)) - )) - (net N_123_i (joined - (portRef O (instanceRef N_123_i)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_iv_0)) - )) - (net N_124_i (joined - (portRef O (instanceRef N_124_i)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_iv_0)) - )) - (net AMIGA_BUS_DATA_DIR_c_0 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_iv_0)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_i)) - )) - (net N_122_i (joined - (portRef O (instanceRef N_122_i)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0)) - )) - (net (rename pos_clk_DS_000_DMA_4_0 "pos_clk.DS_000_DMA_4_0") (joined - (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_0)) - (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_0_i)) - )) - (net N_242_i (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_0)) - (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_a2_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_o2_i_0)) - )) - (net N_239_i (joined - (portRef O (instanceRef RST_DLY_e2_i_o2_0)) - (portRef I1 (instanceRef RST_DLY_e1_i_a2)) - (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_0_a2)) - (portRef I0 (instanceRef RST_DLY_e2_i_o2_0_i)) - )) - (net N_87_i (joined - (portRef O (instanceRef N_87_i)) - (portRef I0 (instanceRef RST_DLY_e2_i_o2)) - )) - (net N_236_0 (joined - (portRef O (instanceRef RST_DLY_e2_i_o2)) - (portRef I0 (instanceRef RST_DLY_e2_i_o2_i)) - )) - (net N_246_i (joined - (portRef O (instanceRef N_246_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) - )) - (net (rename pos_clk_SIZE_DMA_6_0_0 "pos_clk.SIZE_DMA_6_0[0]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_0)) - )) - (net N_245_i (joined - (portRef O (instanceRef N_245_i)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) - )) - (net (rename pos_clk_SIZE_DMA_6_0_1 "pos_clk.SIZE_DMA_6_0[1]") (joined - (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_1)) - (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_i_1)) - )) - (net N_91_i (joined - (portRef O (instanceRef N_91_i)) - (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_0)) - )) - (net N_210_i (joined - (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_117_0)) - (portRef I0 (instanceRef RST_DLY_e2_i_a2_3)) - (portRef I0 (instanceRef RST_DLY_e1_i_a2_1_1)) - (portRef I0 (instanceRef RST_DLY_e2_i_a2_1_0)) - )) - (net (rename pos_clk_un19_bgack_030_int_i "pos_clk.un19_bgack_030_int_i") (joined - (portRef O (instanceRef pos_clk_un19_bgack_030_int)) - (portRef I0 (instanceRef pos_clk_un19_bgack_030_int_i_0)) - )) - (net N_163_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_2)) - )) - (net N_59_i (joined - (portRef O (instanceRef N_59_i)) - (portRef I0 (instanceRef cpu_est_0_0_0)) - )) - (net N_61_i (joined - (portRef O (instanceRef N_61_i)) - (portRef I1 (instanceRef cpu_est_0_0_0)) - )) - (net N_226_i (joined - (portRef O (instanceRef cpu_est_0_0_0)) - (portRef D (instanceRef cpu_est_0)) - )) - (net N_62_i (joined - (portRef O (instanceRef N_62_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_1)) - )) - (net N_64_i (joined - (portRef O (instanceRef N_64_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_1)) - )) - (net N_142_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1)) - (portRef D (instanceRef SM_AMIGA_1)) - )) - (net N_244_i (joined - (portRef O (instanceRef N_244_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_3)) - )) - (net N_146_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_3)) - (portRef D (instanceRef SM_AMIGA_3)) - )) - (net N_78_i_0 (joined - (portRef O (instanceRef N_78_i)) - (portRef I0 (instanceRef RST_DLY_e0_i_1)) - )) - (net N_79_i (joined - (portRef O (instanceRef N_79_i)) - (portRef I1 (instanceRef RST_DLY_e0_i_1)) - )) - (net N_234_i (joined - (portRef O (instanceRef RST_DLY_e0_i)) - (portRef D (instanceRef RST_DLY_0)) - )) - (net N_82_i (joined - (portRef O (instanceRef N_82_i)) - (portRef I0 (instanceRef RESET_OUT_2_0)) - )) - (net N_83_i (joined - (portRef O (instanceRef N_83_i)) - (portRef I1 (instanceRef RESET_OUT_2_0)) - )) - (net N_55_0 (joined - (portRef O (instanceRef RESET_OUT_2_0)) - (portRef I0 (instanceRef RESET_OUT_2_0_i)) - )) - (net N_88_i (joined - (portRef O (instanceRef N_88_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_i_o2_1_3)) - )) - (net N_89_i (joined - (portRef O (instanceRef N_89_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_i_o2_3)) - )) - (net N_240_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_o2_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_o2_i_3)) - )) - (net N_243_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_1)) - )) - (net CLK_030_c_i (joined - (portRef O (instanceRef CLK_030_c_i)) - (portRef I1 (instanceRef CLK_030_H_2_i_o2)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i)) - )) - (net N_254_0 (joined - (portRef O (instanceRef AS_000_DMA_1_sqmuxa_i)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_i_i)) - )) - (net N_114_i (joined - (portRef O (instanceRef N_114_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_0)) - )) - (net N_115_i (joined - (portRef O (instanceRef N_115_i)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_1_0)) - )) - (net N_140_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_0)) - (portRef D (instanceRef SM_AMIGA_0)) - )) - (net N_116_i (joined - (portRef O (instanceRef N_116_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_1_0)) - )) - (net N_117_i (joined - (portRef O (instanceRef N_117_i)) - (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_0_o2)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_1_0)) - )) - (net N_154_i (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0)) - (portRef D (instanceRef SM_AMIGA_i_7)) - )) - (net N_118_i (joined - (portRef O (instanceRef N_118_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_6)) - )) - (net N_152_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_6)) - (portRef D (instanceRef SM_AMIGA_6)) - )) - (net N_119_i (joined - (portRef O (instanceRef N_119_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_5)) - )) - (net N_150_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_5)) - (portRef D (instanceRef SM_AMIGA_5)) - )) - (net N_120_i (joined - (portRef O (instanceRef N_120_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_4)) - )) - (net N_148_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_4)) - (portRef D (instanceRef SM_AMIGA_4)) - )) - (net N_171_i (joined - (portRef O (instanceRef N_171_i)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1_2)) - )) - (net N_144_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_2)) - (portRef D (instanceRef SM_AMIGA_2)) - )) - (net N_121_i (joined - (portRef O (instanceRef N_121_i)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_1)) - )) - (net N_255_0 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i_i)) - )) - (net un1_SM_AMIGA_0_sqmuxa_1_0 (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_0)) - (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_0_i)) - )) - (net N_48_0 (joined - (portRef O (instanceRef AS_030_D0_0_0)) - (portRef I0 (instanceRef AS_030_D0_0_0_i)) - )) - (net N_125_i (joined - (portRef O (instanceRef N_125_i)) - (portRef I0 (instanceRef AS_000_INT_0_i)) - )) - (net N_126_i (joined - (portRef O (instanceRef N_126_i)) - (portRef I1 (instanceRef AS_000_INT_0_i)) - )) - (net N_256_i (joined - (portRef O (instanceRef AS_000_INT_0_i)) - (portRef D (instanceRef AS_000_INT)) - )) - (net N_127_i (joined - (portRef O (instanceRef N_127_i)) - (portRef I0 (instanceRef DSACK1_INT_0_i)) - )) - (net N_128_i (joined - (portRef O (instanceRef N_128_i)) - (portRef I1 (instanceRef DSACK1_INT_0_i)) - )) - (net N_257_i (joined - (portRef O (instanceRef DSACK1_INT_0_i)) - (portRef D (instanceRef DSACK1_INT)) - )) - (net RW_c_i (joined - (portRef O (instanceRef RW_c_i)) - (portRef I1 (instanceRef pos_clk_RW_000_INT_5_0)) - )) - (net (rename pos_clk_RW_000_INT_5_0 "pos_clk.RW_000_INT_5_0") (joined - (portRef O (instanceRef pos_clk_RW_000_INT_5_0)) - (portRef I0 (instanceRef pos_clk_RW_000_INT_5_0_i)) - )) - (net N_129_i (joined - (portRef O (instanceRef N_129_i)) - (portRef I0 (instanceRef CLK_030_H_2_i_1)) - )) - (net N_258_i (joined - (portRef O (instanceRef CLK_030_H_2_i)) - (portRef D (instanceRef CLK_030_H)) - )) - (net N_259_i (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i)) - (portRef D (instanceRef CYCLE_DMA_1)) - )) - (net un10_ciin_i (joined - (portRef O (instanceRef un10_ciin_i)) - (portRef I1 (instanceRef un13_ciin_i_0)) - )) - (net N_261_0 (joined - (portRef O (instanceRef un13_ciin_i_0)) - (portRef I0 (instanceRef un13_ciin_i_0_i)) - )) - (net N_65_0 (joined - (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i)) - (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_i)) - )) - (net N_134_i (joined - (portRef O (instanceRef N_134_i)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i)) - )) - (net N_153_i (joined - (portRef O (instanceRef N_153_i)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i)) - )) - (net N_67_0 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i)) - )) - (net un2_as_030_i (joined - (portRef O (instanceRef un2_as_030_0)) - (portRef OE (instanceRef SIZE_0)) - (portRef OE (instanceRef SIZE_1)) - )) - (net N_263_i (joined - (portRef O (instanceRef CLK_000_PE_0_o3_i_o2_i_o2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_6)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_a2_0_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_1)) - (portRef I0 (instanceRef DS_000_ENABLE_0_sqmuxa_0_o3_i_o2_i_a2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_0_0)) - (portRef I1 (instanceRef G_102)) - (portRef I1 (instanceRef pos_clk_un6_bgack_000_0_a2)) - (portRef I0 (instanceRef CLK_000_PE_0_o3_i_o2_i_o2_i)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_a3_1)) - )) - (net N_265_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_6)) - (portRef I0 (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_a2)) - (portRef I0 (instanceRef AS_000_INT_0_i_a2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_6)) - )) - (net AS_030_000_SYNC_i (joined - (portRef O (instanceRef AS_030_000_SYNC_i)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_2_0)) - )) - (net N_84_0 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_0_6)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_i_0)) - )) - (net (rename CLK_000_D_i_2 "CLK_000_D_i[2]") (joined - (portRef O (instanceRef CLK_000_D_i_2)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_0_i_o2_1)) - )) - (net N_85_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_i_o2_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_a2_0_1)) - )) - (net N_141_i (joined - (portRef O (instanceRef N_141_i)) - (portRef I1 (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2)) - )) - (net un1_DS_000_ENABLE_0_sqmuxa_i (joined - (portRef O (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2)) - (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_i)) - (portRef I0 (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2_i)) - )) - (net (rename pos_clk_un21_bgack_030_int_i_0_i "pos_clk.un21_bgack_030_int_i_0_i") (joined - (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2)) - (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa_i)) - (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_0)) - (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_i)) - (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_i)) - (portRef I1 (instanceRef CLK_030_H_2_i)) - )) - (net N_269_i (joined - (portRef O (instanceRef N_156_i_0_o2_i_o2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_4)) - (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_i_a2_3)) - (portRef I0 (instanceRef cpu_est_0_0_a2_0_0)) - (portRef I1 (instanceRef RST_DLY_e2_i_a2_3)) - (portRef I0 (instanceRef N_156_i_0_o2_i_o2_i)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_a3_0_1)) - (portRef I1 (instanceRef RESET_OUT_2_0_a2_0_1)) - )) - (net N_90_i (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_0)) - (portRef I0 (instanceRef DSACK1_INT_0_i_a2_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_0)) - )) - (net N_270_i (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_0_o2)) - (portRef I1 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_0)) - (portRef I0 (instanceRef pos_clk_RW_000_INT_5_0)) - )) - (net N_271_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_i_4)) - )) - (net N_96_0 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_o2)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_o2_i)) - )) - (net N_97_0 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_o2_0_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_o2_0_i_6)) - )) - (net N_98_0 (joined - (portRef O (instanceRef CLK_030_H_2_i_o2)) - (portRef I0 (instanceRef CLK_030_H_2_i_o2_i)) - )) - (net N_282_i (joined - (portRef O (instanceRef N_282_i)) - (portRef I1 (instanceRef un5_e_0_1)) - )) - (net N_284_i (joined - (portRef O (instanceRef N_284_i)) - (portRef I1 (instanceRef un5_e_0)) - )) - (net (rename pos_clk_un14_clk_000_ne_i "pos_clk.un14_clk_000_ne_i") (joined - (portRef O (instanceRef pos_clk_un14_clk_000_ne_i)) - (portRef I0 (instanceRef un5_e_0_1)) - )) - (net un5_e_0 (joined - (portRef O (instanceRef un5_e_0)) - (portRef I0 (instanceRef un5_e_0_i)) - )) - (net N_285_i (joined - (portRef O (instanceRef N_285_i)) - (portRef I1 (instanceRef cpu_est_2_0_0_2)) - (portRef I0 (instanceRef cpu_est_2_i_0_1_3)) - )) - (net N_291_i (joined - (portRef O (instanceRef N_291_i)) - (portRef I1 (instanceRef cpu_est_2_i_0_1_3)) - )) - (net N_292_i (joined - (portRef O (instanceRef N_292_i)) - (portRef I1 (instanceRef cpu_est_2_i_0_3)) - )) - (net N_192_i (joined - (portRef O (instanceRef cpu_est_2_i_0_3)) - (portRef I0 (instanceRef cpu_est_0_3__n)) - )) - (net N_17_i (joined - (portRef O (instanceRef N_17_i)) - (portRef I0 (instanceRef cpu_est_2_0_0_2)) - )) - (net (rename cpu_est_2_0_2 "cpu_est_2_0[2]") (joined - (portRef O (instanceRef cpu_est_2_0_0_2)) - (portRef I0 (instanceRef cpu_est_2_0_0_i_2)) - )) - (net N_286_i (joined - (portRef O (instanceRef N_286_i)) - (portRef I0 (instanceRef cpu_est_2_0_0_1)) - )) - (net N_288_i (joined - (portRef O (instanceRef N_288_i)) - (portRef I1 (instanceRef cpu_est_2_0_0_1)) - )) - (net (rename cpu_est_2_0_1 "cpu_est_2_0[1]") (joined - (portRef O (instanceRef cpu_est_2_0_0_1)) - (portRef I0 (instanceRef cpu_est_2_0_0_i_1)) - )) - (net N_289_i (joined - (portRef O (instanceRef N_289_i)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0)) - )) - (net N_290_i (joined - (portRef O (instanceRef N_290_i)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0)) - )) - (net (rename pos_clk_un9_clk_000_pe_0 "pos_clk.un9_clk_000_pe_0") (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_i)) - )) - (net N_280_i (joined - (portRef O (instanceRef cpu_est_2_i_0_o2_3)) - (portRef I0 (instanceRef cpu_est_2_0_0_a3_2)) - (portRef I0 (instanceRef cpu_est_2_i_0_o2_i_3)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_a3_0_1)) - )) - (net (rename pos_clk_un10_sm_amiga_i "pos_clk.un10_sm_amiga_i") (joined - (portRef O (instanceRef pos_clk_un10_sm_amiga)) - (portRef I0 (instanceRef LDS_000_INT_0_m)) - )) - (net (rename A_c_i_0 "A_c_i[0]") (joined - (portRef O (instanceRef A_c_i_0)) - (portRef I1 (instanceRef pos_clk_un10_sm_amiga_1)) - )) - (net (rename SIZE_c_i_1 "SIZE_c_i[1]") (joined - (portRef O (instanceRef SIZE_c_i_1)) - (portRef I0 (instanceRef pos_clk_un10_sm_amiga_1)) - )) - (net N_27_i (joined - (portRef O (instanceRef N_27_i)) - (portRef I0 (instanceRef IPL_030_1_2)) - )) - (net N_30_0 (joined - (portRef O (instanceRef IPL_030_1_2)) - (portRef I0 (instanceRef IPL_030_1_i_2)) - )) - (net N_26_i (joined - (portRef O (instanceRef N_26_i)) - (portRef I0 (instanceRef IPL_030_1_1)) - )) - (net N_29_0 (joined - (portRef O (instanceRef IPL_030_1_1)) - (portRef I0 (instanceRef IPL_030_1_i_1)) - )) - (net N_25_i (joined - (portRef O (instanceRef N_25_i)) - (portRef I0 (instanceRef IPL_030_1_0)) - )) - (net N_28_0 (joined - (portRef O (instanceRef IPL_030_1_0)) - (portRef I0 (instanceRef IPL_030_1_i_0)) - )) - (net (rename IPL_c_i_2 "IPL_c_i[2]") (joined - (portRef O (instanceRef IPL_c_i_2)) - (portRef I0 (instanceRef IPL_D0_0_2)) - )) - (net N_51_0 (joined - (portRef O (instanceRef IPL_D0_0_2)) - (portRef I0 (instanceRef IPL_D0_0_i_2)) + (net N_49_0 (joined + (portRef O (instanceRef IPL_D0_0_0)) + (portRef I0 (instanceRef IPL_D0_0_i_0)) )) (net (rename IPL_c_i_1 "IPL_c_i[1]") (joined (portRef O (instanceRef IPL_c_i_1)) @@ -3512,13 +2854,316 @@ (portRef O (instanceRef IPL_D0_0_1)) (portRef I0 (instanceRef IPL_D0_0_i_1)) )) - (net (rename IPL_c_i_0 "IPL_c_i[0]") (joined - (portRef O (instanceRef IPL_c_i_0)) - (portRef I0 (instanceRef IPL_D0_0_0)) + (net (rename IPL_c_i_2 "IPL_c_i[2]") (joined + (portRef O (instanceRef IPL_c_i_2)) + (portRef I0 (instanceRef IPL_D0_0_2)) )) - (net N_49_0 (joined - (portRef O (instanceRef IPL_D0_0_0)) - (portRef I0 (instanceRef IPL_D0_0_i_0)) + (net N_51_0 (joined + (portRef O (instanceRef IPL_D0_0_2)) + (portRef I0 (instanceRef IPL_D0_0_i_2)) + )) + (net N_25_i (joined + (portRef O (instanceRef N_25_i)) + (portRef I0 (instanceRef IPL_030_1_0)) + )) + (net N_28_0 (joined + (portRef O (instanceRef IPL_030_1_0)) + (portRef I0 (instanceRef IPL_030_1_i_0)) + )) + (net N_26_i (joined + (portRef O (instanceRef N_26_i)) + (portRef I0 (instanceRef IPL_030_1_1)) + )) + (net N_29_0 (joined + (portRef O (instanceRef IPL_030_1_1)) + (portRef I0 (instanceRef IPL_030_1_i_1)) + )) + (net N_27_i (joined + (portRef O (instanceRef N_27_i)) + (portRef I0 (instanceRef IPL_030_1_2)) + )) + (net N_30_0 (joined + (portRef O (instanceRef IPL_030_1_2)) + (portRef I0 (instanceRef IPL_030_1_i_2)) + )) + (net N_222_i (joined + (portRef O (instanceRef N_222_i)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_2)) + (portRef I0 (instanceRef cpu_est_2_i_0_0_3)) + )) + (net N_223_i (joined + (portRef O (instanceRef N_223_i)) + (portRef I1 (instanceRef cpu_est_2_i_0_0_3)) + )) + (net N_192_i (joined + (portRef O (instanceRef cpu_est_2_i_0_0_3)) + (portRef I0 (instanceRef cpu_est_0_3__n)) + )) + (net N_231_i (joined + (portRef O (instanceRef N_231_i)) + (portRef I0 (instanceRef DSACK1_INT_0_i_0)) + )) + (net N_237_i (joined + (portRef O (instanceRef N_237_i)) + (portRef I1 (instanceRef DSACK1_INT_0_i_0)) + )) + (net N_258_i (joined + (portRef O (instanceRef DSACK1_INT_0_i_0)) + (portRef D (instanceRef DSACK1_INT)) + )) + (net N_342_i (joined + (portRef O (instanceRef N_342_i)) + (portRef I1 (instanceRef cpu_est_0_0_0)) + )) + (net N_341_i (joined + (portRef O (instanceRef N_341_i)) + (portRef I0 (instanceRef cpu_est_0_0_0)) + )) + (net N_226_i (joined + (portRef O (instanceRef cpu_est_0_0_0)) + (portRef D (instanceRef cpu_est_0)) + )) + (net N_160_0 (joined + (portRef O (instanceRef cpu_est_2_i_0_0_o2_3)) + (portRef I0 (instanceRef cpu_est_2_i_0_0_o2_i_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_1_3)) + )) + (net N_164_i (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_o2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_1_3)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_1)) + )) + (net VMA_INT_i (joined + (portRef O (instanceRef VMA_INT_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_2_3)) + )) + (net N_347_i (joined + (portRef O (instanceRef N_347_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_1_3)) + )) + (net N_348_i (joined + (portRef O (instanceRef N_348_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_3)) + )) + (net N_188_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_i_3)) + )) + (net N_245_0 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o2)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_o2_i)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_2)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) + )) + (net N_194_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_1)) + )) + (net N_196_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_2)) + )) + (net N_232_i (joined + (portRef O (instanceRef RST_DLY_e2_i_0)) + (portRef D (instanceRef RST_DLY_2)) + )) + (net N_211_i (joined + (portRef O (instanceRef N_211_i)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_1)) + )) + (net N_209_i (joined + (portRef O (instanceRef N_209_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_1)) + )) + (net N_306_i (joined + (portRef O (instanceRef N_306_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_2)) + )) + (net N_193_0 (joined + (portRef O (instanceRef un5_e_0_i_o2_0)) + (portRef I0 (instanceRef un5_e_0_i_o2_0_i)) + )) + (net N_190_0 (joined + (portRef O (instanceRef un5_e_0_i_o2)) + (portRef I0 (instanceRef un5_e_0_i_o2_i)) + )) + (net N_183_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_0)) + (portRef I0 (instanceRef DSACK1_INT_0_i_0_a2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_0)) + )) + (net N_162_i (joined + (portRef O (instanceRef cpu_est_2_0_0_0_o2_2)) + (portRef I0 (instanceRef cpu_est_2_0_0_a2_0_2)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_o2_i_2)) + )) + (net N_346_i (joined + (portRef O (instanceRef N_346_i)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_o2)) + )) + (net N_159_0 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_o2)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_i)) + )) + (net N_305_i (joined + (portRef O (instanceRef CLK_000_NE_0_o3_i_a2_0_o2_i_o2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_4)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a2_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_3)) + (portRef I0 (instanceRef cpu_est_0_0_a2_0_0)) + (portRef I0 (instanceRef CLK_000_NE_0_o3_i_a2_0_o2_i_o2_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_o2_1_2)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_0_1)) + (portRef I0 (instanceRef RESET_OUT_2_i_i_a2_0_1)) + )) + (net N_210_i (joined + (portRef O (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1)) + (portRef I1 (instanceRef RST_DLY_e2_i_0_a2_1_0)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_a2_1_1)) + )) + (net N_225_i (joined + (portRef O (instanceRef N_225_i)) + (portRef I1 (instanceRef un5_e_0_i)) + )) + (net N_224_i (joined + (portRef O (instanceRef N_224_i)) + (portRef I0 (instanceRef un5_e_0_i)) + )) + (net N_296_i (joined + (portRef O (instanceRef un5_e_0_i)) + (portRef I0 (instanceRef E)) + )) + (net N_352_i (joined + (portRef O (instanceRef N_352_i)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_2)) + )) + (net (rename cpu_est_2_0_2 "cpu_est_2_0[2]") (joined + (portRef O (instanceRef cpu_est_2_0_0_0_2)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_i_2)) + )) + (net N_220_i (joined + (portRef O (instanceRef N_220_i)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_1)) + )) + (net N_221_i (joined + (portRef O (instanceRef N_221_i)) + (portRef I1 (instanceRef cpu_est_2_0_0_0_1)) + )) + (net (rename cpu_est_2_0_1 "cpu_est_2_0[1]") (joined + (portRef O (instanceRef cpu_est_2_0_0_0_1)) + (portRef I0 (instanceRef cpu_est_2_0_0_0_i_1)) + )) + (net N_219_i (joined + (portRef O (instanceRef N_219_i)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0)) + )) + (net N_218_i (joined + (portRef O (instanceRef N_218_i)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0)) + )) + (net (rename pos_clk_un9_clk_000_pe_0 "pos_clk.un9_clk_000_pe_0") (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_i)) + )) + (net (rename CLK_000_D_i_2 "CLK_000_D_i[2]") (joined + (portRef O (instanceRef CLK_000_D_i_2)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_o3_0_1)) + )) + (net N_157_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_o3_0_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0)) + )) + (net N_18_i (joined + (portRef O (instanceRef N_18_i)) + (portRef I0 (instanceRef VMA_INT_1)) + )) + (net N_37_0 (joined + (portRef O (instanceRef VMA_INT_1)) + (portRef I0 (instanceRef VMA_INT_1_i)) + )) + (net N_235_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) + (portRef D (instanceRef CYCLE_DMA_0)) + )) + (net N_217_i (joined + (portRef O (instanceRef N_217_i)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_2)) + )) + (net N_216_i (joined + (portRef O (instanceRef N_216_i)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) + )) + (net CLK_030_c_i (joined + (portRef O (instanceRef CLK_030_c_i)) + (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i)) + (portRef I1 (instanceRef CLK_030_H_2_0_a2_i_o2)) + )) + (net N_198_0 (joined + (portRef O (instanceRef CLK_030_H_2_0_a2_i_o2)) + (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_o2_i)) + )) + (net N_166_i (joined + (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_o2)) + (portRef I0 (instanceRef AS_000_INT_0_i_0_a2_0)) + (portRef I0 (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2_0_a2)) + (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_o2_i)) + )) + (net N_155_i (joined + (portRef O (instanceRef N_261_i_0_o2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_3)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_a2_0_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_0_0)) + (portRef I1 (instanceRef G_102)) + (portRef I1 (instanceRef pos_clk_un6_bgack_000_0_0_a2)) + (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_o2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_1)) + (portRef I0 (instanceRef N_261_i_0_o2_i)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_1)) + )) + (net N_303_0 (joined + (portRef O (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i)) + (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i_i)) + )) + (net N_291_i (joined + (portRef O (instanceRef N_291_i)) + (portRef I1 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i)) + )) + (net N_301_0 (joined + (portRef O (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i_i)) + )) + (net N_256_i (joined + (portRef O (instanceRef N_256_i)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0)) + )) + (net N_248_i (joined + (portRef O (instanceRef N_248_i)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0)) + )) + (net AMIGA_BUS_DATA_DIR_c_0 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_i)) + )) + (net N_353_i (joined + (portRef O (instanceRef N_353_i)) + (portRef I1 (instanceRef pos_clk_un6_bgack_000_0_0)) + )) + (net (rename pos_clk_un6_bgack_000_0 "pos_clk.un6_bgack_000_0") (joined + (portRef O (instanceRef pos_clk_un6_bgack_000_0_0)) + (portRef I0 (instanceRef pos_clk_un6_bgack_000_0_0_i)) + )) + (net N_65_0 (joined + (portRef O (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) + (portRef I0 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0_i)) + )) + (net N_3_i (joined + (portRef O (instanceRef N_3_i)) + (portRef I0 (instanceRef DS_000_DMA_1)) + )) + (net N_45_0 (joined + (portRef O (instanceRef DS_000_DMA_1)) + (portRef I0 (instanceRef DS_000_DMA_1_i)) )) (net N_4_i (joined (portRef O (instanceRef N_4_i)) @@ -3528,6 +3173,38 @@ (portRef O (instanceRef AS_000_DMA_1)) (portRef I0 (instanceRef AS_000_DMA_1_i)) )) + (net N_8_i (joined + (portRef O (instanceRef N_8_i)) + (portRef I0 (instanceRef BGACK_030_INT_1)) + )) + (net N_42_0 (joined + (portRef O (instanceRef BGACK_030_INT_1)) + (portRef I0 (instanceRef BGACK_030_INT_1_i)) + )) + (net UDS_000_INT_i (joined + (portRef O (instanceRef UDS_000_INT_i)) + (portRef I1 (instanceRef un1_UDS_000_INT)) + )) + (net un1_UDS_000_INT_0 (joined + (portRef O (instanceRef un1_UDS_000_INT)) + (portRef I0 (instanceRef un1_UDS_000_INT_i)) + )) + (net LDS_000_INT_i (joined + (portRef O (instanceRef LDS_000_INT_i)) + (portRef I1 (instanceRef un1_LDS_000_INT)) + )) + (net un1_LDS_000_INT_0 (joined + (portRef O (instanceRef un1_LDS_000_INT)) + (portRef I0 (instanceRef un1_LDS_000_INT_i)) + )) + (net N_21_i (joined + (portRef O (instanceRef N_21_i)) + (portRef I0 (instanceRef UDS_000_INT_1)) + )) + (net N_34_0 (joined + (portRef O (instanceRef UDS_000_INT_1)) + (portRef I0 (instanceRef UDS_000_INT_1_i)) + )) (net N_14_i (joined (portRef O (instanceRef N_14_i)) (portRef I0 (instanceRef LDS_000_INT_1)) @@ -3536,6 +3213,327 @@ (portRef O (instanceRef LDS_000_INT_1)) (portRef I0 (instanceRef LDS_000_INT_1_i)) )) + (net (rename A_c_i_0 "A_c_i[0]") (joined + (portRef O (instanceRef A_c_i_0)) + (portRef I1 (instanceRef pos_clk_un10_sm_amiga_1)) + )) + (net (rename SIZE_c_i_1 "SIZE_c_i[1]") (joined + (portRef O (instanceRef SIZE_c_i_1)) + (portRef I1 (instanceRef pos_clk_un10_sm_amiga)) + )) + (net (rename pos_clk_un10_sm_amiga_i "pos_clk.un10_sm_amiga_i") (joined + (portRef O (instanceRef pos_clk_un10_sm_amiga)) + (portRef I0 (instanceRef LDS_000_INT_0_m)) + )) + (net un1_as_000_i (joined + (portRef O (instanceRef un1_as_000_0_0)) + (portRef OE (instanceRef AS_000)) + (portRef OE (instanceRef LDS_000)) + (portRef OE (instanceRef RW_000)) + (portRef OE (instanceRef UDS_000)) + )) + (net un10_ciin_i (joined + (portRef O (instanceRef un10_ciin_i)) + (portRef I1 (instanceRef un13_ciin_i_0_0)) + )) + (net N_260_0 (joined + (portRef O (instanceRef un13_ciin_i_0_0)) + (portRef I0 (instanceRef un13_ciin_i_0_0_i)) + )) + (net N_229_i (joined + (portRef O (instanceRef N_229_i)) + (portRef I0 (instanceRef RESET_OUT_2_i_i)) + )) + (net N_230_i (joined + (portRef O (instanceRef N_230_i)) + (portRef I1 (instanceRef RESET_OUT_2_i_i)) + )) + (net N_298_0 (joined + (portRef O (instanceRef RESET_OUT_2_i_i)) + (portRef I0 (instanceRef RESET_OUT_2_i_i_i)) + )) + (net N_48_0 (joined + (portRef O (instanceRef AS_030_D0_0_0_0)) + (portRef I0 (instanceRef AS_030_D0_0_0_0_i)) + )) + (net N_299_i (joined + (portRef O (instanceRef un2_as_030_i_a2_i)) + (portRef OE (instanceRef SIZE_0)) + (portRef OE (instanceRef SIZE_1)) + )) + (net N_345_i (joined + (portRef O (instanceRef N_345_i)) + (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_o2_2)) + )) + (net N_349_i (joined + (portRef O (instanceRef N_349_i)) + (portRef I1 (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2_0_o2)) + )) + (net un1_DS_000_ENABLE_0_sqmuxa_i (joined + (portRef O (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2_0_o2)) + (portRef I1 (instanceRef DS_000_ENABLE_1_sqmuxa_i_0)) + (portRef I0 (instanceRef un1_DS_000_ENABLE_0_sqmuxa_0_o2_0_o2_i)) + )) + (net N_180_i (joined + (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_o2)) + (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa_0_a2_i)) + (portRef I0 (instanceRef pos_clk_DS_000_DMA_4_f0_i_a2_i)) + (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_o2_i)) + (portRef I0 (instanceRef CLK_030_H_2_0_a2_i_1)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_1)) + )) + (net N_181_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_3)) + )) + (net N_326_i (joined + (portRef O (instanceRef N_326_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_5)) + )) + (net N_150_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_5)) + (portRef D (instanceRef SM_AMIGA_5)) + )) + (net N_186_i (joined + (portRef O (instanceRef RST_DLYlde_i_a2_i)) + (portRef I0 (instanceRef RST_DLY_e0_i_0_a2_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_5)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_a2_0)) + )) + (net N_163_i (joined + (portRef O (instanceRef RST_DLY_e2_i_0_o2_0)) + (portRef I0 (instanceRef RESET_OUT_1_sqmuxa_i_0_117_1_a2)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_a2)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_o2_0_i)) + )) + (net N_197_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_4)) + )) + (net N_213_i (joined + (portRef O (instanceRef N_213_i)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_1)) + )) + (net N_214_i (joined + (portRef O (instanceRef N_214_i)) + (portRef I1 (instanceRef RST_DLY_e1_i_0_1)) + )) + (net N_215_i (joined + (portRef O (instanceRef N_215_i)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_2)) + )) + (net N_233_i (joined + (portRef O (instanceRef RST_DLY_e1_i_0)) + (portRef D (instanceRef RST_DLY_1)) + )) + (net N_199_i (joined + (portRef O (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a2_i_o2)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_0_a2_i)) + (portRef I0 (instanceRef pos_clk_un5_bgack_030_int_d_i_0_a2_i_o2_i)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i_a2_2)) + )) + (net N_191_0 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_i_6)) + )) + (net N_275_i (joined + (portRef O (instanceRef N_275_i)) + (portRef I0 (instanceRef pos_clk_RW_000_INT_5_0_0_o2)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_1_0)) + )) + (net N_187_0 (joined + (portRef O (instanceRef pos_clk_RW_000_INT_5_0_0_o2)) + (portRef I1 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1)) + (portRef I0 (instanceRef pos_clk_RW_000_INT_5_0_0)) + )) + (net LDS_000_c_i (joined + (portRef O (instanceRef LDS_000_c_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) + )) + (net UDS_000_c_i (joined + (portRef O (instanceRef UDS_000_c_i)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) + )) + (net N_184_i (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_0)) + (portRef I1 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_a2_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_o2_i_0)) + )) + (net (rename CLK_000_D_i_4 "CLK_000_D_i[4]") (joined + (portRef O (instanceRef CLK_000_D_i_4)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_2_0)) + )) + (net N_171_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_6)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_i_0)) + )) + (net AS_030_000_SYNC_i (joined + (portRef O (instanceRef AS_030_000_SYNC_i)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_1_0)) + )) + (net N_161_i (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i_o2)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i_o2_i)) + (portRef I1 (instanceRef un21_berr_0_a2_0_a2)) + (portRef I1 (instanceRef un21_fpu_cs_0_a2_0_a2)) + )) + (net N_136_i (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i)) + (portRef D (instanceRef CYCLE_DMA_1)) + )) + (net N_113_0 (joined + (portRef O (instanceRef SIZE_DMA_3_sqmuxa_0_a2_i)) + (portRef I0 (instanceRef SIZE_DMA_3_sqmuxa_0_a2_i_i)) + )) + (net N_338_i (joined + (portRef O (instanceRef N_338_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_3)) + )) + (net N_339_i (joined + (portRef O (instanceRef N_339_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_3)) + )) + (net N_146_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_3)) + (portRef D (instanceRef SM_AMIGA_3)) + )) + (net N_335_i (joined + (portRef O (instanceRef N_335_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_1)) + )) + (net N_336_i (joined + (portRef O (instanceRef N_336_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_1)) + )) + (net N_142_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1)) + (portRef D (instanceRef SM_AMIGA_1)) + )) + (net N_334_i (joined + (portRef O (instanceRef N_334_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_1)) + )) + (net (rename pos_clk_SIZE_DMA_6_0_1 "pos_clk.SIZE_DMA_6_0[1]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_1)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_1)) + )) + (net N_333_i (joined + (portRef O (instanceRef N_333_i)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) + )) + (net (rename pos_clk_SIZE_DMA_6_0_0 "pos_clk.SIZE_DMA_6_0[0]") (joined + (portRef O (instanceRef pos_clk_SIZE_DMA_6_0_0_0_0)) + (portRef I0 (instanceRef pos_clk_SIZE_DMA_6_0_0_0_i_0)) + )) + (net N_295_i (joined + (portRef O (instanceRef N_295_i)) + (portRef I0 (instanceRef RST_DLY_e0_i_0_1)) + )) + (net N_332_i (joined + (portRef O (instanceRef N_332_i)) + (portRef I1 (instanceRef RST_DLY_e0_i_0_1)) + )) + (net N_234_i (joined + (portRef O (instanceRef RST_DLY_e0_i_0)) + (portRef D (instanceRef RST_DLY_0)) + )) + (net N_292_i (joined + (portRef O (instanceRef N_292_i)) + (portRef I1 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_1)) + )) + (net N_302_0 (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_i)) + )) + (net N_300_0 (joined + (portRef O (instanceRef pos_clk_RW_000_DMA_3_i_a2_i)) + (portRef I0 (instanceRef pos_clk_RW_000_DMA_3_i_a2_i_i)) + )) + (net N_290_i (joined + (portRef O (instanceRef N_290_i)) + (portRef I1 (instanceRef CLK_030_H_2_0_a2_i_1)) + )) + (net N_63_i (joined + (portRef O (instanceRef CLK_030_H_2_0_a2_i)) + (portRef D (instanceRef CLK_030_H)) + )) + (net N_273_i (joined + (portRef O (instanceRef N_273_i)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_1_0)) + )) + (net N_154_i (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_0)) + (portRef D (instanceRef SM_AMIGA_i_7)) + )) + (net N_327_i (joined + (portRef O (instanceRef N_327_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_6)) + )) + (net N_152_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_6)) + (portRef D (instanceRef SM_AMIGA_6)) + )) + (net N_270_i (joined + (portRef O (instanceRef N_270_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_4)) + )) + (net N_148_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_4)) + (portRef D (instanceRef SM_AMIGA_4)) + )) + (net N_269_i (joined + (portRef O (instanceRef N_269_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_2)) + )) + (net N_144_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_2)) + (portRef D (instanceRef SM_AMIGA_2)) + )) + (net N_267_i (joined + (portRef O (instanceRef N_267_i)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1_0)) + )) + (net N_324_i (joined + (portRef O (instanceRef N_324_i)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_1_0)) + )) + (net N_140_i (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_0)) + (portRef D (instanceRef SM_AMIGA_0)) + )) + (net un1_SM_AMIGA_0_sqmuxa_1_0 (joined + (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1)) + (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_1_0_1_i)) + )) + (net N_319_i (joined + (portRef O (instanceRef N_319_i)) + (portRef I0 (instanceRef AS_000_INT_0_i_0)) + )) + (net N_320_i (joined + (portRef O (instanceRef N_320_i)) + (portRef I1 (instanceRef AS_000_INT_0_i_0)) + )) + (net N_257_i (joined + (portRef O (instanceRef AS_000_INT_0_i_0)) + (portRef D (instanceRef AS_000_INT)) + )) + (net RW_c_i (joined + (portRef O (instanceRef RW_c_i)) + (portRef I1 (instanceRef pos_clk_RW_000_INT_5_0_0)) + )) + (net (rename pos_clk_RW_000_INT_5_0 "pos_clk.RW_000_INT_5_0") (joined + (portRef O (instanceRef pos_clk_RW_000_INT_5_0_0)) + (portRef I0 (instanceRef pos_clk_RW_000_INT_5_0_0_i)) + )) + (net N_227_i (joined + (portRef O (instanceRef N_227_i)) + (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_i)) + )) + (net N_297_0 (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i_i)) + )) (net N_15_i (joined (portRef O (instanceRef N_15_i)) (portRef I0 (instanceRef AS_030_000_SYNC_1)) @@ -3552,21 +3550,29 @@ (portRef O (instanceRef RW_000_INT_1)) (portRef I0 (instanceRef RW_000_INT_1_i)) )) - (net N_18_i (joined - (portRef O (instanceRef N_18_i)) - (portRef I0 (instanceRef VMA_INT_1)) + (net N_19_i (joined + (portRef O (instanceRef N_19_i)) + (portRef I0 (instanceRef RW_000_DMA_1)) )) - (net N_37_0 (joined - (portRef O (instanceRef VMA_INT_1)) - (portRef I0 (instanceRef VMA_INT_1_i)) + (net N_36_0 (joined + (portRef O (instanceRef RW_000_DMA_1)) + (portRef I0 (instanceRef RW_000_DMA_1_i)) )) - (net N_21_i (joined - (portRef O (instanceRef N_21_i)) - (portRef I0 (instanceRef UDS_000_INT_1)) + (net N_20_i (joined + (portRef O (instanceRef N_20_i)) + (portRef I0 (instanceRef A0_DMA_1)) )) - (net N_34_0 (joined - (portRef O (instanceRef UDS_000_INT_1)) - (portRef I0 (instanceRef UDS_000_INT_1_i)) + (net N_35_0 (joined + (portRef O (instanceRef A0_DMA_1)) + (portRef I0 (instanceRef A0_DMA_1_i)) + )) + (net N_22_i (joined + (portRef O (instanceRef N_22_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) + )) + (net N_33_0 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_1_i)) )) (net N_23_i (joined (portRef O (instanceRef N_23_i)) @@ -3576,377 +3582,317 @@ (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_1_i)) )) - (net LDS_000_INT_i (joined - (portRef O (instanceRef LDS_000_INT_i)) - (portRef I1 (instanceRef un1_LDS_000_INT)) + (net N_24_i (joined + (portRef O (instanceRef N_24_i)) + (portRef I0 (instanceRef BG_000_1)) )) - (net un1_LDS_000_INT_0 (joined - (portRef O (instanceRef un1_LDS_000_INT)) - (portRef I0 (instanceRef un1_LDS_000_INT_i)) + (net N_31_0 (joined + (portRef O (instanceRef BG_000_1)) + (portRef I0 (instanceRef BG_000_1_i)) )) - (net UDS_000_INT_i (joined - (portRef O (instanceRef UDS_000_INT_i)) - (portRef I1 (instanceRef un1_UDS_000_INT)) + (net BG_030_c_i (joined + (portRef O (instanceRef BG_030_c_i)) + (portRef I0 (instanceRef pos_clk_un9_bg_030)) )) - (net un1_UDS_000_INT_0 (joined - (portRef O (instanceRef un1_UDS_000_INT)) - (portRef I0 (instanceRef un1_UDS_000_INT_i)) + (net (rename pos_clk_un6_bg_030_i "pos_clk.un6_bg_030_i") (joined + (portRef O (instanceRef pos_clk_un6_bg_030_i)) + (portRef I1 (instanceRef pos_clk_un9_bg_030)) )) - (net N_96_0_1 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_o2_1)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_o2_3)) + (net (rename pos_clk_un9_bg_030_0 "pos_clk.un9_bg_030_0") (joined + (portRef O (instanceRef pos_clk_un9_bg_030)) + (portRef I0 (instanceRef pos_clk_un9_bg_030_i)) )) - (net N_96_0_2 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_o2_2)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_o2_3)) + (net N_161_i_1 (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i_o2_1)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i_o2_4)) )) - (net N_96_0_3 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_o2_3)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_o2)) + (net N_161_i_2 (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i_o2_2)) + (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_i_o2_4)) )) - (net (rename pos_clk_un21_bgack_030_int_i_0_i_1 "pos_clk.un21_bgack_030_int_i_0_i_1") (joined - (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_0_o2_1)) - (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_0_o2)) + (net N_161_i_3 (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i_o2_3)) + (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_i_o2)) )) - (net N_84_0_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_o2_0)) + (net N_161_i_4 (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i_o2_4)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i_o2)) )) - (net N_84_0_2 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_o2_2_0)) - (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_o2_0)) + (net N_233_i_1 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_1)) + (portRef I0 (instanceRef RST_DLY_e1_i_0)) )) - (net N_240_0_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_o2_1_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_o2_3)) + (net N_233_i_2 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_2)) + (portRef I1 (instanceRef RST_DLY_e1_i_0)) + )) + (net N_180_i_1 (joined + (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_o2_1)) + (portRef I0 (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_o2)) + )) + (net N_180_i_2 (joined + (portRef O (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_o2_2)) + (portRef I1 (instanceRef pos_clk_un21_bgack_030_int_i_i_a2_i_o2)) )) (net (rename pos_clk_un10_sm_amiga_i_1 "pos_clk.un10_sm_amiga_i_1") (joined (portRef O (instanceRef pos_clk_un10_sm_amiga_1)) (portRef I0 (instanceRef pos_clk_un10_sm_amiga)) )) - (net N_289_1 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_a3_1)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_a3)) + (net N_196_0_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_1_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_2)) )) - (net N_289_2 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_a3_2)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_a3)) - )) - (net N_290_1 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_a3_0_1)) - (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_a3_0)) - )) - (net N_290_2 (joined - (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_a3_0_2)) - (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_a3_0)) - )) - (net (rename pos_clk_un14_clk_000_ne_1 "pos_clk.un14_clk_000_ne_1") (joined - (portRef O (instanceRef pos_clk_un14_clk_000_ne_0_a2_0_a3_1)) - (portRef I0 (instanceRef pos_clk_un14_clk_000_ne_0_a2_0_a3)) - )) - (net (rename pos_clk_un14_clk_000_ne_2 "pos_clk.un14_clk_000_ne_2") (joined - (portRef O (instanceRef pos_clk_un14_clk_000_ne_0_a2_0_a3_2)) - (portRef I1 (instanceRef pos_clk_un14_clk_000_ne_0_a2_0_a3)) - )) - (net N_153_1 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_a2_0_1)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_a2_0_4)) - )) - (net N_153_2 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_a2_0_2)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_a2_0_4)) - )) - (net N_153_3 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_a2_0_3)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_a2_0_5)) - )) - (net N_153_4 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_a2_0_4)) - (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_a2_0)) - )) - (net N_153_5 (joined - (portRef O (instanceRef pos_clk_un34_as_030_d0_i_a2_0_5)) - (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_a2_0)) + (net N_188_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_1_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_o2_0_3)) )) (net un10_ciin_1 (joined - (portRef O (instanceRef un10_ciin_0_a2_1)) - (portRef I0 (instanceRef un10_ciin_0_a2_7)) + (portRef O (instanceRef un13_ciin_i_0_0_a2_1)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2_7)) )) (net un10_ciin_2 (joined - (portRef O (instanceRef un10_ciin_0_a2_2)) - (portRef I1 (instanceRef un10_ciin_0_a2_7)) + (portRef O (instanceRef un13_ciin_i_0_0_a2_2)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2_7)) )) (net un10_ciin_3 (joined - (portRef O (instanceRef un10_ciin_0_a2_3)) - (portRef I0 (instanceRef un10_ciin_0_a2_8)) + (portRef O (instanceRef un13_ciin_i_0_0_a2_3)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2_8)) )) (net un10_ciin_4 (joined - (portRef O (instanceRef un10_ciin_0_a2_4)) - (portRef I1 (instanceRef un10_ciin_0_a2_8)) + (portRef O (instanceRef un13_ciin_i_0_0_a2_4)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2_8)) )) (net un10_ciin_5 (joined - (portRef O (instanceRef un10_ciin_0_a2_5)) - (portRef I0 (instanceRef un10_ciin_0_a2_9)) + (portRef O (instanceRef un13_ciin_i_0_0_a2_5)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2_9)) )) (net un10_ciin_6 (joined - (portRef O (instanceRef un10_ciin_0_a2_6)) - (portRef I1 (instanceRef un10_ciin_0_a2_9)) + (portRef O (instanceRef un13_ciin_i_0_0_a2_6)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2_9)) )) (net un10_ciin_7 (joined - (portRef O (instanceRef un10_ciin_0_a2_7)) - (portRef I0 (instanceRef un10_ciin_0_a2_10)) + (portRef O (instanceRef un13_ciin_i_0_0_a2_7)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2_10)) )) (net un10_ciin_8 (joined - (portRef O (instanceRef un10_ciin_0_a2_8)) - (portRef I1 (instanceRef un10_ciin_0_a2_10)) + (portRef O (instanceRef un13_ciin_i_0_0_a2_8)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2_10)) )) (net un10_ciin_9 (joined - (portRef O (instanceRef un10_ciin_0_a2_9)) - (portRef I0 (instanceRef un10_ciin_0_a2_11)) + (portRef O (instanceRef un13_ciin_i_0_0_a2_9)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2_11)) )) (net un10_ciin_10 (joined - (portRef O (instanceRef un10_ciin_0_a2_10)) - (portRef I0 (instanceRef un10_ciin_0_a2)) + (portRef O (instanceRef un13_ciin_i_0_0_a2_10)) + (portRef I0 (instanceRef un13_ciin_i_0_0_a2)) )) (net un10_ciin_11 (joined - (portRef O (instanceRef un10_ciin_0_a2_11)) - (portRef I1 (instanceRef un10_ciin_0_a2)) + (portRef O (instanceRef un13_ciin_i_0_0_a2_11)) + (portRef I1 (instanceRef un13_ciin_i_0_0_a2)) )) - (net N_260_i_1 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_1)) - (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i)) + (net N_171_i_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0)) )) - (net N_260_i_2 (joined - (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_2)) - (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i)) + (net N_171_i_2 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_o2_2_0)) + (portRef I1 (instanceRef SM_AMIGA_nss_i_i_0_0_o2_0)) )) - (net N_233_i_1 (joined - (portRef O (instanceRef RST_DLY_e1_i_1)) - (portRef I0 (instanceRef RST_DLY_e1_i)) + (net N_227_1 (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i_a2_1)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i_a2_3)) )) - (net N_233_i_2 (joined - (portRef O (instanceRef RST_DLY_e1_i_2)) - (portRef I1 (instanceRef RST_DLY_e1_i)) + (net N_227_2 (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i_a2_2)) + (portRef I1 (instanceRef pos_clk_un34_as_030_d0_i_i_a2_3)) )) - (net N_232_i_1 (joined - (portRef O (instanceRef RST_DLY_e2_i_1)) - (portRef I0 (instanceRef RST_DLY_e2_i)) - )) - (net N_232_i_2 (joined - (portRef O (instanceRef RST_DLY_e2_i_2)) - (portRef I1 (instanceRef RST_DLY_e2_i)) - )) - (net N_247_1 (joined - (portRef O (instanceRef RST_DLY_e2_i_a2_1_0)) - (portRef I0 (instanceRef RST_DLY_e2_i_a2)) - )) - (net N_77_1 (joined - (portRef O (instanceRef RST_DLY_e1_i_a2_1_1)) - (portRef I0 (instanceRef RST_DLY_e1_i_a2_1)) - )) - (net N_83_1 (joined - (portRef O (instanceRef RESET_OUT_2_0_a2_0_1)) - (portRef I0 (instanceRef RESET_OUT_2_0_a2_0)) - )) - (net N_88_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_o3_i_a2_0_1_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_o3_i_a2_0_3)) - )) - (net N_142_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_1)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_1)) - )) - (net N_146_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_3)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_3)) - )) - (net N_234_i_1 (joined - (portRef O (instanceRef RST_DLY_e0_i_1)) - (portRef I0 (instanceRef RST_DLY_e0_i)) - )) - (net (rename pos_clk_un6_bg_030_1 "pos_clk.un6_bg_030_1") (joined - (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_1)) - (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2)) - )) - (net N_124_1 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_iv_0_a2_0_1)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_a2_0)) - )) - (net un21_berr_1 (joined - (portRef O (instanceRef un21_berr_0_a2_1)) - (portRef I0 (instanceRef un21_berr_0_a2)) + (net N_227_3 (joined + (portRef O (instanceRef pos_clk_un34_as_030_d0_i_i_a2_3)) + (portRef I0 (instanceRef pos_clk_un34_as_030_d0_i_i_a2)) )) (net un21_fpu_cs_1 (joined - (portRef O (instanceRef un21_fpu_cs_0_a2_1)) - (portRef I0 (instanceRef un21_fpu_cs_0_a2)) + (portRef O (instanceRef un21_fpu_cs_0_a2_0_a2_1)) + (portRef I0 (instanceRef un21_fpu_cs_0_a2_0_a2)) )) - (net N_140_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0)) + (net un21_berr_1_0 (joined + (portRef O (instanceRef un21_berr_0_a2_0_a2_1_0)) + (portRef I0 (instanceRef un21_berr_0_a2_0_a2)) )) - (net N_154_i_1 (joined - (portRef O (instanceRef SM_AMIGA_nss_i_i_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0)) + (net N_235_i_1 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_1)) + (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) )) - (net N_152_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_6)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_6)) + (net N_235_i_2 (joined + (portRef O (instanceRef pos_clk_CYCLE_DMA_5_0_i_0_2)) + (portRef I1 (instanceRef pos_clk_CYCLE_DMA_5_0_i_0)) )) - (net N_150_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_5)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_5)) + (net N_256_1 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2_0_1)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2_0)) )) - (net N_148_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_4)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_4)) + (net N_256_2 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2_0_2)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_iv_0_0_a2_0)) )) - (net N_144_i_1 (joined - (portRef O (instanceRef SM_AMIGA_srsts_i_0_1_2)) - (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_2)) + (net N_232_i_1 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_1)) + (portRef I0 (instanceRef RST_DLY_e2_i_0)) )) - (net N_255_0_1 (joined - (portRef O (instanceRef DS_000_DMA_2_sqmuxa_i_1)) - (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_i)) + (net N_232_i_2 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_2)) + (portRef I1 (instanceRef RST_DLY_e2_i_0)) )) - (net N_258_i_1 (joined - (portRef O (instanceRef CLK_030_H_2_i_1)) - (portRef I0 (instanceRef CLK_030_H_2_i)) + (net N_219_1 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_0_1)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_0)) )) - (net N_259_i_1 (joined + (net N_219_2 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_0_2)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_0)) + )) + (net N_218_1 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_1)) + (portRef I0 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2)) + )) + (net N_218_2 (joined + (portRef O (instanceRef pos_clk_un9_clk_000_pe_0_0_a2_2)) + (portRef I1 (instanceRef pos_clk_un9_clk_000_pe_0_0_a2)) + )) + (net N_347_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_1_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_3)) + )) + (net N_347_2 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_2_3)) + (portRef I1 (instanceRef SM_AMIGA_srsts_i_0_0_a2_1_3)) + )) + (net N_136_i_1 (joined (portRef O (instanceRef pos_clk_CYCLE_DMA_5_1_i_1)) (portRef I0 (instanceRef pos_clk_CYCLE_DMA_5_1_i)) )) - (net N_282_1 (joined - (portRef O (instanceRef un5_e_0_a3_1)) - (portRef I0 (instanceRef un5_e_0_a3)) + (net N_146_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_3)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_3)) )) - (net N_284_1 (joined - (portRef O (instanceRef un5_e_0_a3_0_1)) - (portRef I0 (instanceRef un5_e_0_a3_0)) + (net N_142_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_1)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_1)) )) - (net N_288_1 (joined - (portRef O (instanceRef cpu_est_2_0_0_a3_0_1_1)) - (portRef I0 (instanceRef cpu_est_2_0_0_a3_0_1)) + (net N_234_i_1 (joined + (portRef O (instanceRef RST_DLY_e0_i_0_1)) + (portRef I0 (instanceRef RST_DLY_e0_i_0)) )) - (net un5_e_0_1 (joined - (portRef O (instanceRef un5_e_0_1)) - (portRef I0 (instanceRef un5_e_0)) + (net N_302_0_1 (joined + (portRef O (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i_1)) + (portRef I0 (instanceRef DS_000_DMA_2_sqmuxa_0_a2_i)) )) - (net N_192_i_1 (joined - (portRef O (instanceRef cpu_est_2_i_0_1_3)) - (portRef I0 (instanceRef cpu_est_2_i_0_3)) + (net N_63_i_1 (joined + (portRef O (instanceRef CLK_030_H_2_0_a2_i_1)) + (portRef I0 (instanceRef CLK_030_H_2_0_a2_i)) + )) + (net N_154_i_1 (joined + (portRef O (instanceRef SM_AMIGA_nss_i_i_0_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_nss_i_i_0_0_0)) + )) + (net N_152_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_6)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_6)) + )) + (net N_148_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_4)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_4)) + )) + (net N_144_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_2)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_2)) + )) + (net N_140_i_1 (joined + (portRef O (instanceRef SM_AMIGA_srsts_i_0_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_srsts_i_0_0_0)) + )) + (net (rename pos_clk_un6_bg_030_1 "pos_clk.un6_bg_030_1") (joined + (portRef O (instanceRef pos_clk_un6_bg_030_0_a2_0_a2_1)) + (portRef I0 (instanceRef pos_clk_un6_bg_030_0_a2_0_a2)) + )) + (net N_230_1 (joined + (portRef O (instanceRef RESET_OUT_2_i_i_a2_0_1)) + (portRef I0 (instanceRef RESET_OUT_2_i_i_a2_0)) + )) + (net N_221_1 (joined + (portRef O (instanceRef cpu_est_2_0_0_a2_0_1_1)) + (portRef I0 (instanceRef cpu_est_2_0_0_a2_0_1)) + )) + (net N_215_1 (joined + (portRef O (instanceRef RST_DLY_e1_i_0_a2_1_1)) + (portRef I0 (instanceRef RST_DLY_e1_i_0_a2_1)) + )) + (net N_306_1 (joined + (portRef O (instanceRef RST_DLY_e2_i_0_a2_1_0)) + (portRef I0 (instanceRef RST_DLY_e2_i_0_a2)) )) (net (rename pos_clk_ipl_1 "pos_clk.ipl_1") (joined (portRef O (instanceRef G_110_1)) (portRef I0 (instanceRef G_110)) )) - (net (rename BG_000_0_un3 "BG_000_0.un3") (joined - (portRef O (instanceRef BG_000_0_r)) - (portRef I1 (instanceRef BG_000_0_n)) + (net (rename IPL_030_0_2__un3 "IPL_030_0_2_.un3") (joined + (portRef O (instanceRef IPL_030_0_2__r)) + (portRef I1 (instanceRef IPL_030_0_2__n)) )) - (net (rename BG_000_0_un1 "BG_000_0.un1") (joined - (portRef O (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_000_0_p)) + (net (rename IPL_030_0_2__un1 "IPL_030_0_2_.un1") (joined + (portRef O (instanceRef IPL_030_0_2__m)) + (portRef I0 (instanceRef IPL_030_0_2__p)) )) - (net (rename BG_000_0_un0 "BG_000_0.un0") (joined - (portRef O (instanceRef BG_000_0_n)) - (portRef I1 (instanceRef BG_000_0_p)) + (net (rename IPL_030_0_2__un0 "IPL_030_0_2_.un0") (joined + (portRef O (instanceRef IPL_030_0_2__n)) + (portRef I1 (instanceRef IPL_030_0_2__p)) )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un3 "AMIGA_BUS_ENABLE_DMA_LOW_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) + (net (rename IPL_030_0_1__un3 "IPL_030_0_1_.un3") (joined + (portRef O (instanceRef IPL_030_0_1__r)) + (portRef I1 (instanceRef IPL_030_0_1__n)) )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un1 "AMIGA_BUS_ENABLE_DMA_LOW_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) + (net (rename IPL_030_0_1__un1 "IPL_030_0_1_.un1") (joined + (portRef O (instanceRef IPL_030_0_1__m)) + (portRef I0 (instanceRef IPL_030_0_1__p)) )) - (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un0 "AMIGA_BUS_ENABLE_DMA_LOW_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) + (net (rename IPL_030_0_1__un0 "IPL_030_0_1_.un0") (joined + (portRef O (instanceRef IPL_030_0_1__n)) + (portRef I1 (instanceRef IPL_030_0_1__p)) )) - (net (rename A0_DMA_0_un3 "A0_DMA_0.un3") (joined - (portRef O (instanceRef A0_DMA_0_r)) - (portRef I1 (instanceRef A0_DMA_0_n)) + (net (rename IPL_030_0_0__un3 "IPL_030_0_0_.un3") (joined + (portRef O (instanceRef IPL_030_0_0__r)) + (portRef I1 (instanceRef IPL_030_0_0__n)) )) - (net (rename A0_DMA_0_un1 "A0_DMA_0.un1") (joined - (portRef O (instanceRef A0_DMA_0_m)) - (portRef I0 (instanceRef A0_DMA_0_p)) + (net (rename IPL_030_0_0__un1 "IPL_030_0_0_.un1") (joined + (portRef O (instanceRef IPL_030_0_0__m)) + (portRef I0 (instanceRef IPL_030_0_0__p)) )) - (net (rename A0_DMA_0_un0 "A0_DMA_0.un0") (joined - (portRef O (instanceRef A0_DMA_0_n)) - (portRef I1 (instanceRef A0_DMA_0_p)) + (net (rename IPL_030_0_0__un0 "IPL_030_0_0_.un0") (joined + (portRef O (instanceRef IPL_030_0_0__n)) + (portRef I1 (instanceRef IPL_030_0_0__p)) )) - (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined - (portRef O (instanceRef RW_000_DMA_0_r)) - (portRef I1 (instanceRef RW_000_DMA_0_n)) + (net (rename cpu_est_0_3__un3 "cpu_est_0_3_.un3") (joined + (portRef O (instanceRef cpu_est_0_3__r)) + (portRef I1 (instanceRef cpu_est_0_3__n)) )) - (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined - (portRef O (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_p)) + (net (rename cpu_est_0_3__un1 "cpu_est_0_3_.un1") (joined + (portRef O (instanceRef cpu_est_0_3__m)) + (portRef I0 (instanceRef cpu_est_0_3__p)) )) - (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined - (portRef O (instanceRef RW_000_DMA_0_n)) - (portRef I1 (instanceRef RW_000_DMA_0_p)) + (net (rename cpu_est_0_3__un0 "cpu_est_0_3_.un0") (joined + (portRef O (instanceRef cpu_est_0_3__n)) + (portRef I1 (instanceRef cpu_est_0_3__p)) )) - (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined - (portRef O (instanceRef BGACK_030_INT_0_r)) - (portRef I1 (instanceRef BGACK_030_INT_0_n)) + (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined + (portRef O (instanceRef VMA_INT_0_r)) + (portRef I1 (instanceRef VMA_INT_0_n)) )) - (net (rename BGACK_030_INT_0_un1 "BGACK_030_INT_0.un1") (joined - (portRef O (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_p)) + (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined + (portRef O (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_p)) )) - (net (rename BGACK_030_INT_0_un0 "BGACK_030_INT_0.un0") (joined - (portRef O (instanceRef BGACK_030_INT_0_n)) - (portRef I1 (instanceRef BGACK_030_INT_0_p)) - )) - (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined - (portRef O (instanceRef DS_000_DMA_0_r)) - (portRef I1 (instanceRef DS_000_DMA_0_n)) - )) - (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined - (portRef O (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined - (portRef O (instanceRef DS_000_DMA_0_n)) - (portRef I1 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename SIZE_DMA_0_1__un3 "SIZE_DMA_0_1_.un3") (joined - (portRef O (instanceRef SIZE_DMA_0_1__r)) - (portRef I1 (instanceRef SIZE_DMA_0_1__n)) - )) - (net (rename SIZE_DMA_0_1__un1 "SIZE_DMA_0_1_.un1") (joined - (portRef O (instanceRef SIZE_DMA_0_1__m)) - (portRef I0 (instanceRef SIZE_DMA_0_1__p)) - )) - (net (rename SIZE_DMA_0_1__un0 "SIZE_DMA_0_1_.un0") (joined - (portRef O (instanceRef SIZE_DMA_0_1__n)) - (portRef I1 (instanceRef SIZE_DMA_0_1__p)) - )) - (net (rename SIZE_DMA_0_0__un3 "SIZE_DMA_0_0_.un3") (joined - (portRef O (instanceRef SIZE_DMA_0_0__r)) - (portRef I1 (instanceRef SIZE_DMA_0_0__n)) - )) - (net (rename SIZE_DMA_0_0__un1 "SIZE_DMA_0_0_.un1") (joined - (portRef O (instanceRef SIZE_DMA_0_0__m)) - (portRef I0 (instanceRef SIZE_DMA_0_0__p)) - )) - (net (rename SIZE_DMA_0_0__un0 "SIZE_DMA_0_0_.un0") (joined - (portRef O (instanceRef SIZE_DMA_0_0__n)) - (portRef I1 (instanceRef SIZE_DMA_0_0__p)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un3 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un3") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un1 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un1") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) - )) - (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un0 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un0") (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) + (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined + (portRef O (instanceRef VMA_INT_0_n)) + (portRef I1 (instanceRef VMA_INT_0_p)) )) (net (rename cpu_est_0_1__un3 "cpu_est_0_1_.un3") (joined (portRef O (instanceRef cpu_est_0_1__r)) @@ -3972,77 +3918,17 @@ (portRef O (instanceRef cpu_est_0_2__n)) (portRef I1 (instanceRef cpu_est_0_2__p)) )) - (net (rename cpu_est_0_3__un3 "cpu_est_0_3_.un3") (joined - (portRef O (instanceRef cpu_est_0_3__r)) - (portRef I1 (instanceRef cpu_est_0_3__n)) + (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined + (portRef O (instanceRef DS_000_DMA_0_r)) + (portRef I1 (instanceRef DS_000_DMA_0_n)) )) - (net (rename cpu_est_0_3__un1 "cpu_est_0_3_.un1") (joined - (portRef O (instanceRef cpu_est_0_3__m)) - (portRef I0 (instanceRef cpu_est_0_3__p)) + (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined + (portRef O (instanceRef DS_000_DMA_0_m)) + (portRef I0 (instanceRef DS_000_DMA_0_p)) )) - (net (rename cpu_est_0_3__un0 "cpu_est_0_3_.un0") (joined - (portRef O (instanceRef cpu_est_0_3__n)) - (portRef I1 (instanceRef cpu_est_0_3__p)) - )) - (net (rename IPL_030_0_0__un3 "IPL_030_0_0_.un3") (joined - (portRef O (instanceRef IPL_030_0_0__r)) - (portRef I1 (instanceRef IPL_030_0_0__n)) - )) - (net (rename IPL_030_0_0__un1 "IPL_030_0_0_.un1") (joined - (portRef O (instanceRef IPL_030_0_0__m)) - (portRef I0 (instanceRef IPL_030_0_0__p)) - )) - (net (rename IPL_030_0_0__un0 "IPL_030_0_0_.un0") (joined - (portRef O (instanceRef IPL_030_0_0__n)) - (portRef I1 (instanceRef IPL_030_0_0__p)) - )) - (net (rename IPL_030_0_1__un3 "IPL_030_0_1_.un3") (joined - (portRef O (instanceRef IPL_030_0_1__r)) - (portRef I1 (instanceRef IPL_030_0_1__n)) - )) - (net (rename IPL_030_0_1__un1 "IPL_030_0_1_.un1") (joined - (portRef O (instanceRef IPL_030_0_1__m)) - (portRef I0 (instanceRef IPL_030_0_1__p)) - )) - (net (rename IPL_030_0_1__un0 "IPL_030_0_1_.un0") (joined - (portRef O (instanceRef IPL_030_0_1__n)) - (portRef I1 (instanceRef IPL_030_0_1__p)) - )) - (net (rename IPL_030_0_2__un3 "IPL_030_0_2_.un3") (joined - (portRef O (instanceRef IPL_030_0_2__r)) - (portRef I1 (instanceRef IPL_030_0_2__n)) - )) - (net (rename IPL_030_0_2__un1 "IPL_030_0_2_.un1") (joined - (portRef O (instanceRef IPL_030_0_2__m)) - (portRef I0 (instanceRef IPL_030_0_2__p)) - )) - (net (rename IPL_030_0_2__un0 "IPL_030_0_2_.un0") (joined - (portRef O (instanceRef IPL_030_0_2__n)) - (portRef I1 (instanceRef IPL_030_0_2__p)) - )) - (net (rename UDS_000_INT_0_un3 "UDS_000_INT_0.un3") (joined - (portRef O (instanceRef UDS_000_INT_0_r)) - (portRef I1 (instanceRef UDS_000_INT_0_n)) - )) - (net (rename UDS_000_INT_0_un1 "UDS_000_INT_0.un1") (joined - (portRef O (instanceRef UDS_000_INT_0_m)) - (portRef I0 (instanceRef UDS_000_INT_0_p)) - )) - (net (rename UDS_000_INT_0_un0 "UDS_000_INT_0.un0") (joined - (portRef O (instanceRef UDS_000_INT_0_n)) - (portRef I1 (instanceRef UDS_000_INT_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un3 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un1 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un0 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined + (portRef O (instanceRef DS_000_DMA_0_n)) + (portRef I1 (instanceRef DS_000_DMA_0_p)) )) (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined (portRef O (instanceRef AS_000_DMA_0_r)) @@ -4056,6 +3942,18 @@ (portRef O (instanceRef AS_000_DMA_0_n)) (portRef I1 (instanceRef AS_000_DMA_0_p)) )) + (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined + (portRef O (instanceRef BGACK_030_INT_0_r)) + (portRef I1 (instanceRef BGACK_030_INT_0_n)) + )) + (net (rename BGACK_030_INT_0_un1 "BGACK_030_INT_0.un1") (joined + (portRef O (instanceRef BGACK_030_INT_0_m)) + (portRef I0 (instanceRef BGACK_030_INT_0_p)) + )) + (net (rename BGACK_030_INT_0_un0 "BGACK_030_INT_0.un0") (joined + (portRef O (instanceRef BGACK_030_INT_0_n)) + (portRef I1 (instanceRef BGACK_030_INT_0_p)) + )) (net (rename DS_000_ENABLE_0_un3 "DS_000_ENABLE_0.un3") (joined (portRef O (instanceRef DS_000_ENABLE_0_r)) (portRef I1 (instanceRef DS_000_ENABLE_0_n)) @@ -4068,6 +3966,18 @@ (portRef O (instanceRef DS_000_ENABLE_0_n)) (portRef I1 (instanceRef DS_000_ENABLE_0_p)) )) + (net (rename UDS_000_INT_0_un3 "UDS_000_INT_0.un3") (joined + (portRef O (instanceRef UDS_000_INT_0_r)) + (portRef I1 (instanceRef UDS_000_INT_0_n)) + )) + (net (rename UDS_000_INT_0_un1 "UDS_000_INT_0.un1") (joined + (portRef O (instanceRef UDS_000_INT_0_m)) + (portRef I0 (instanceRef UDS_000_INT_0_p)) + )) + (net (rename UDS_000_INT_0_un0 "UDS_000_INT_0.un0") (joined + (portRef O (instanceRef UDS_000_INT_0_n)) + (portRef I1 (instanceRef UDS_000_INT_0_p)) + )) (net (rename LDS_000_INT_0_un3 "LDS_000_INT_0.un3") (joined (portRef O (instanceRef LDS_000_INT_0_r)) (portRef I1 (instanceRef LDS_000_INT_0_n)) @@ -4080,6 +3990,66 @@ (portRef O (instanceRef LDS_000_INT_0_n)) (portRef I1 (instanceRef LDS_000_INT_0_p)) )) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un3 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un3") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) + )) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un1 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un1") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) + )) + (net (rename un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__un0 "un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un0") (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un3 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un3") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un1 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un1") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_HIGH_0_un0 "AMIGA_BUS_ENABLE_DMA_HIGH_0.un0") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_n)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_HIGH_0_p)) + )) + (net (rename BG_000_0_un3 "BG_000_0.un3") (joined + (portRef O (instanceRef BG_000_0_r)) + (portRef I1 (instanceRef BG_000_0_n)) + )) + (net (rename BG_000_0_un1 "BG_000_0.un1") (joined + (portRef O (instanceRef BG_000_0_m)) + (portRef I0 (instanceRef BG_000_0_p)) + )) + (net (rename BG_000_0_un0 "BG_000_0.un0") (joined + (portRef O (instanceRef BG_000_0_n)) + (portRef I1 (instanceRef BG_000_0_p)) + )) + (net (rename SIZE_DMA_0_0__un3 "SIZE_DMA_0_0_.un3") (joined + (portRef O (instanceRef SIZE_DMA_0_0__r)) + (portRef I1 (instanceRef SIZE_DMA_0_0__n)) + )) + (net (rename SIZE_DMA_0_0__un1 "SIZE_DMA_0_0_.un1") (joined + (portRef O (instanceRef SIZE_DMA_0_0__m)) + (portRef I0 (instanceRef SIZE_DMA_0_0__p)) + )) + (net (rename SIZE_DMA_0_0__un0 "SIZE_DMA_0_0_.un0") (joined + (portRef O (instanceRef SIZE_DMA_0_0__n)) + (portRef I1 (instanceRef SIZE_DMA_0_0__p)) + )) + (net (rename SIZE_DMA_0_1__un3 "SIZE_DMA_0_1_.un3") (joined + (portRef O (instanceRef SIZE_DMA_0_1__r)) + (portRef I1 (instanceRef SIZE_DMA_0_1__n)) + )) + (net (rename SIZE_DMA_0_1__un1 "SIZE_DMA_0_1_.un1") (joined + (portRef O (instanceRef SIZE_DMA_0_1__m)) + (portRef I0 (instanceRef SIZE_DMA_0_1__p)) + )) + (net (rename SIZE_DMA_0_1__un0 "SIZE_DMA_0_1_.un0") (joined + (portRef O (instanceRef SIZE_DMA_0_1__n)) + (portRef I1 (instanceRef SIZE_DMA_0_1__p)) + )) (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined (portRef O (instanceRef AS_030_000_SYNC_0_r)) (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) @@ -4104,17 +4074,41 @@ (portRef O (instanceRef RW_000_INT_0_n)) (portRef I1 (instanceRef RW_000_INT_0_p)) )) - (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined - (portRef O (instanceRef VMA_INT_0_r)) - (portRef I1 (instanceRef VMA_INT_0_n)) + (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined + (portRef O (instanceRef RW_000_DMA_0_r)) + (portRef I1 (instanceRef RW_000_DMA_0_n)) )) - (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined - (portRef O (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_p)) + (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined + (portRef O (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_p)) )) - (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined - (portRef O (instanceRef VMA_INT_0_n)) - (portRef I1 (instanceRef VMA_INT_0_p)) + (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined + (portRef O (instanceRef RW_000_DMA_0_n)) + (portRef I1 (instanceRef RW_000_DMA_0_p)) + )) + (net (rename A0_DMA_0_un3 "A0_DMA_0.un3") (joined + (portRef O (instanceRef A0_DMA_0_r)) + (portRef I1 (instanceRef A0_DMA_0_n)) + )) + (net (rename A0_DMA_0_un1 "A0_DMA_0.un1") (joined + (portRef O (instanceRef A0_DMA_0_m)) + (portRef I0 (instanceRef A0_DMA_0_p)) + )) + (net (rename A0_DMA_0_un0 "A0_DMA_0.un0") (joined + (portRef O (instanceRef A0_DMA_0_n)) + (portRef I1 (instanceRef A0_DMA_0_p)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un3 "AMIGA_BUS_ENABLE_DMA_LOW_0.un3") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un1 "AMIGA_BUS_ENABLE_DMA_LOW_0.un1") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) + )) + (net (rename AMIGA_BUS_ENABLE_DMA_LOW_0_un0 "AMIGA_BUS_ENABLE_DMA_LOW_0.un0") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_n)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_DMA_LOW_0_p)) )) ) (property orig_inst_of (string "BUS68030")) diff --git a/Logic/BUS68030.prj b/Logic/BUS68030.prj index 4aa1db1..46feb15 100644 --- a/Logic/BUS68030.prj +++ b/Logic/BUS68030.prj @@ -1,6 +1,6 @@ #-- Lattice Semiconductor Corporation Ltd. #-- Synplify OEM project file c:/users/matze/amiga/hardwarehacks/68030-tk/github/logic\BUS68030.prj -#-- Written on Thu Oct 06 21:34:26 2016 +#-- Written on Thu Oct 06 22:03:43 2016 #device options diff --git a/Logic/BUS68030.srm b/Logic/BUS68030.srm index d7b4466..210960b 100644 --- a/Logic/BUS68030.srm +++ b/Logic/BUS68030.srm @@ -39,8 +39,8 @@ VNAME 'mach.IBUF.prim'; # view id 4 VNAME 'mach.OBUF.prim'; # view id 5 VNAME 'mach.AND2.prim'; # view id 6 VNAME 'mach.INV.prim'; # view id 7 -VNAME 'mach.OR2.prim'; # view id 8 -VNAME 'mach.XOR2.prim'; # view id 9 +VNAME 'mach.XOR2.prim'; # view id 8 +VNAME 'mach.OR2.prim'; # view id 9 VNAME 'work.BUS68030.behavioral'; # view id 10 @ERMRlENORBvq]w_7wsRbH l;N3ORCV8HMCF8V;R4 @@ -157,24 +157,24 @@ R;Qj fbRjR:jHRMPmRRmQ j;bjRf:0jRsRkC0CskRBeB;R bfjj:RDVN#VCRNCD#R7th;R -MROlNE)Rm.sRbH -l;N3PRHs#bH4lR;P -NR$3#MH_DMs CH"8RlENO3.m)3Hbsl -";F;Rm -QHRjH; -R;Q4 -fbRjR:j0CskRk0sCBReBb; -R:fjjNRVDR#CV#NDChRt7b; -R:fjjsRFPRRmmjRQR;Q4 -RMRlENOR)Xm.sRbH -l;N3PRHs#bH4lR;P -NR$3#MH_DMs CH"8RlENO3)Xm.s3bH;l" -mFR;R -HQ -j;H4RQ;R -bfjj:Rk0sCsR0keCRB -B;bjRf:VjRNCD#RDVN#tCRh -7;bjRf:GjRFRsPmRRmQQjR4@; +MROlNEmRX)b.Rs;Hl +RNP3bH#sRHl4N; +P#R3$DM_HCM sRH8"OlNEm3X)b.3s"Hl;R +FmH; +R;Qj +QHR4b; +R:fjjsR0k0CRsRkCe;BB +fbRjR:jV#NDCNRVDR#Ct;h7 +fbRjR:jGPFsRmmRRRQjQ +4;MlRRNROEmR).blsH;P +NR#3HblsHR +4;N3PR#_$MD HMC8sHRN"lOmE3)b.3s"Hl;R +FmH; +R;Qj +QHR4b; +R:fjjsR0k0CRsRkCe;BB +fbRjR:jV#NDCNRVDR#Ct;h7 +fbRjR:jFRsPmRRmQQjR4@; @@ -188,8 +188,8 @@ RNP3ONsEDVHC;R( RNP38lFkVDCHRDC(N; P#R3$VM_lRNb"sIF "R\B\:\ks#C#l\\NC0x\l\NH\oN\sEN8sINCOEN \#\ndUjj -0\H\o0LEk\F\Do\HO\jnUdnj-Ujjj-#Lk38PE\M"\"N; POR3DMCNk#b_0.Cb_l0HC3Rjj.d46;jj -RNP3CODNbMk_C#0b04_HRlCj43j66n.jN; -P#R30Dl0H0#0HRlCjj3jjjjj;P +RNP3CODNbMk_C#0b04_HRlCjj3jjjjj;P +NR03#lH0D#H00ljCR36j4nj.6;P NRHFsoM_H#F0_VAR"zU1nj"dj;P NRs3FHNohl"CRAnz1Ujjd"N; P#R3$lM_#_s##HC08;Rj @@ -201,8 +201,8 @@ PVR3D_FIDbFF#s_LFM CR j;}N; P$R#M#_HlCHG8MDNo;R4 RNP3M#$_#lV_FoskHb_8;Rj -RNP3M#$_lMkOsEN#(R(c -j;N3PR#_$MD HMC8sHRq"{Un4 n-6Uww7d-Ac6c7-UUB.-ABUABdc A}cq"N; +RNP3M#$_lMkOsEN#(R(n +c;N3PR#_$MD HMC8sHR("{c6.n6-Uj(d( -gcqUA-Uj(d-w nd qqcq}gj"N; POR38#L_NRPC{P NRM#$_VsCCMsCOOC_D FORN{ P$R1#l0CRN{ @@ -353,311 +353,314 @@ F@:@(64U:::6UcQ:BQBhRQ;Qh RNH3Ds0_HFsolMNCBR"Q"Qh;L oR4qr9N; LLR3HF0bsH08s;R4 -RoMk_M6CN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMN4_lNHo_#Lk_NCML_DCD;FI -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR(kM__N#j;dj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4kM_1z7_jjj_aQh;M +RoMk_M4NolHNk_L#M_CNCLD_IDF;M NRN3#PMC_CV0_D#No46R.no; -MMRk47_p1j_jjh_QaN; +MMRk(#_N_jjd;M +NRN3#PMC_CV0_D#No46R.no; +MMRk47_z1j_jjh_QaN; M#R3N_PCM_C0VoDN#.4R6 -n;okMRM14_vv_qQ_tqjJ_#lNkG_ -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_M47j1_j j_hpqA __j#kJlG -N;N3MR#CNP_0MC_NVDoR#4.;6n -RoMkjM4_HOHMN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRM_.4V_bkO -#;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk4M._sLCsN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRM8n_#d_jjN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_ -c;N3MR#CNP_0MC_NVDoR#4.;6n +n;okMRMp4_7j1_jQj_h +a;N3MR#CNP_0MC_NVDoR#4.;6n +RoMk_M41qv_vqQt_#j_JGlkN;_4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4kMjH_OH +M;N3MR#CNP_0MC_NVDoR#4.;6n +RoMk4M._kVb_;O# +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.kM4C_Ls +s;N3MR#CNP_0MC_NVDoR#4.;6n +RoMk_Mn8j#_d +j;N3MR#CNP_0MC_NVDoR#4.;6n RoMh;_n RNM3P#NCC_M0D_VN4o#Rn.6;M oR(h_;M NRN3#PMC_CV0_D#No46R.no; -M_RhgN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;44 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_.N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4d -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_cN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;46 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_nN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4U -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_4N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.d -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_6N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.n -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_(N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.U -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_gN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;dj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_4N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;d. -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_dN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;dc -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_6N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;dn -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRdh_(N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;dg -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_jN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;c4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_.N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;cc -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_6N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;cU -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_gN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6j -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_4N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;6. -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_dN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;66 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR6h_nN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;nU -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRnh_gN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;(j -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR(h_4N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;(. -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR(h_dN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;(c -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR(h_6N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_n.j;M +M_Rh4 +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._4;M NRN3#PMC_CV0_D#No46R.no; -M_Rh.;j( -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_j +M_Rh4 +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh6_4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh4 +n;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhg_4;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh. +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._.;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh. +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhc_.;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh. U;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh6_.cN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_6.6;M +RoMhg_.;M NRN3#PMC_CV0_D#No46R.no; -M_Rh.;n4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_n +M_Rhd +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_d;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd +.;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhd_d;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd +c;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh6_d;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd +n;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh(_d;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd g;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh(_.UN; +RoMhj_c;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhc +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._c;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhc +c;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh6_c;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhc +U;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhg_c;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh6 +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_6;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh6 +.;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhd_6;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh6 +n;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhU_n;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhn +g;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhj_(;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh( +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._(;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh( +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhc_(;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh( +6;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhj_.nN; M#R3N_PCM_C0VoDN#.4R6 -n;oAMRtj_jj3_jk;Md +n;ohMR_(.j;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.;jU RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_Atj_jjjM3k4N; +oR.h_c +6;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhn_.jN; M#R3N_PCM_C0VoDN#.4R6 -n;oAMRtj_jj3_jk;Mj +n;ohMR_(.g;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh.;gU +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_j +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhj_d.N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_6dj;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;jn +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_4 +g;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh._djN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_cd.;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;.( +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_d +.;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhd_ddN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_cdd;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;d6 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRdh_d +n;N3MR#CNP_0MC_NVDoR#4.;6n +RoMhd_dUN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_gdd;M +NRN3#PMC_CV0_D#No46R.no; +M_Rhd;6j +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_.j__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3._k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_.j__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__34_k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_4j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__34_k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_jj__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3j_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_jj__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oOMRbCk_#j0__3d_k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C#_dj__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oOMRbCk_#j0__3d_k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRqev_aQh_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMe_vqQ_hajM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oeMRvQq_hja_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__j4k_3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__434kM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__j4k_3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__.3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MbROk#_C0__j.k_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC_#0j__.3jkM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7_jjj_q7v_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoM7j1_j7j_vjq_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7_jjj_q7v_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_j7j_vjq_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjj_q7v_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_j7j_vjq_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MtRAq_Bij_djQ_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oAMRtiqB_jjd_aQh_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMABtqid_jjh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_71j_jj Ahqpj _3dkM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7_jjj_q hA_p jM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;o7MR1j_jjh_ q Ap_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMz_71j_jjQ_hajM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;ozMR7j1_jQj_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M7Rz1j_jjh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR1p7_jjj_aQh_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMp_71j_jjQ_hajM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;opMR7j1_jQj_hja_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jk_3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRvqQt_1Az_q hA_p 7_vq]]Qt_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRQqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MtRA_jjj_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMAjt_jjj_34kM;M +NRN3#PMC_CV0_D#No46R.no; +MtRA_jjj_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoM1 QZ_q7v_jj__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;o1MRQ_Z 7_vqj__j34kM;M +NRN3#PMC_CV0_D#No46R.no; +MQR1Z7 _vjq__3j_k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRZ1Q v_7q__j4k_3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoM1 QZ_q7v_4j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;o1MRQ_Z 7_vqj__43jkM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjd_jjj_h1YB3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_q1j_djj_jj1BYh_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_djj_j1j_Y_hBjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;o)MRWj_jjh_Qa3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_)Wj_jjQ_hajM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;o)MRWj_jjh_Qa3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_)Wj_jj7_vqjM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;o)MRWj_jjv_7q3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_)Wj_jj7_vqjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRjv_7q3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_qj7_vqjM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oqMRjv_7q3_jk;Mj RNM3P#NCC_M0D_VN4o#Rn.6;M oRQqvtAq_z 1_hpqA v_7qm_pW3_jk;Md RNM3P#NCC_M0D_VN4o#Rn.6;M oRQqvtAq_z 1_hpqA v_7qm_pW3_jk;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M oRQqvtAq_z 1_hpqA v_7qm_pW3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_qj7_vqjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRjv_7q3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_qj7_vqjM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o)MRWj_jjv_7q3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_)Wj_jj7_vqjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o)MRWj_jjv_7q3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRqAtBji_dQj_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MtRAq_Bij_djQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oAMRtiqB_jjd_aQh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7j1_j7j_vjq_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7_jjj_q7v_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7j1_j7j_vjq_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MQR1Z7 _vjq__34_k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRZ1Q v_7q__j4k_3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM1 QZ_q7v_4j__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o1MRQ_Z 7_vqj__j3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MQR1Z7 _vjq__3j_k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRZ1Q v_7q__jjk_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;okMRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j34kM;M -NRN3#PMC_CV0_D#No46R.no; -MMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_4j__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__34_k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_4j__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__3._k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_.j__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__3._k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_dj__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#j0__3d_k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C#_dj__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3j_k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_jj__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3j_k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_4j__M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__34_k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_4j__M3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3._k;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRpQu_jjd_.j__M3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oQMRujp_djj__3._k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1z7_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMz_71j_jjQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;ozMR7j1_jQj_hja_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMRvqQt_1Az_q hA_p 7_vq]]Qt_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjv_7q3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjh_ q Ap_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7j1_j j_hpqA 3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj Ahqpj _3jkM;M -NRN3#PMC_CV0_D#No46R.no; -M7Rp1j_jjh_Qa3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1p7_jjj_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMp_71j_jjQ_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1d_jjj_jjY_1hjB_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -M1Rq_jjd_jjj_h1YB3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_djj_jj1BYh_kj3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_jQj_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_jQj_hja_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MvReqh_Qa3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRqev_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMe_vqQ_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;b@R@j::44::4.j+4:k0sCjRf:0jRsRkC0CskRBeB;R -b@:@j4::44+:.4Vj:NCD#R:fjjNRVDR#CV#NDChRt7b; -R(@@:44d::dU4:d4c4j+jv:1_Qqvtjqr:R(9fjj:RFoE#10Rvv_qQrtqj9:(Rnh_U_,hnhg,_,(jh4_(,(h_._,h(hd,_,(ch6_(;b -oRjmr9N; -b$R#MF_bsD0VNRo#n -c;ombRr;49 +RNM3P#NCC_M0D_VN4o#Rn.6;R +b@:@j4::44+:.40j:sRkCfjj:Rk0sCsR0keCRB +B;b@R@j::44::4.j+4:DVN#fCRjR:jV#NDCNRVDR#Ct;h7 +@bR@4(:dd4:Ud:44j:c+:4j1qv_vqQtr(j:9jRf:ojRE0F#R_1vqtvQq:rj(h9R_,nUhg_n,(h_j_,h(h4,_,(.hd_(,(h_c_,h( +6;ombRr;j9 RNb#_$Mb0FsVoDN#cRn;b -oR.mr9N; +oR4mr9N; b$R#MF_bsD0VNRo#n -c;ombRr;d9 +c;ombRr;.9 RNb#_$Mb0FsVoDN#cRn;b -oRcmr9N; +oRdmr9N; b$R#MF_bsD0VNRo#n -c;ombRr;69 +c;ombRr;c9 RNb#_$Mb0FsVoDN#cRn;b -oRnmr9N; +oR6mr9N; b$R#MF_bsD0VNRo#n -c;ombRr;(9 -RNb#_$Mb0FsVoDN#cRn;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(44dddU44;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -H#R30CN0_blNboHMRR"RRjjjjjjj4>R-RjjjjjjjjR\MRjRjjjjj4-jR>jRjjjjj4M4\RjRRjjjj4Rjj-j>Rjjjj4\j4MRRRjjjj4jjjRR->jjjj44jj\RMRRjjj4jjjj>R-Rjjj4jjj4R\MRjRj4jjjj-jR>jRj4jjjjM4\RjRR4jjjjRjj-j>R4jjjj\j4MRRR4jjjjjjjRR->4jjjj4jj\;M" -@sR@4(:dd4:Ud:44j:c+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9rn -=ST1qv_vqQtr -n9Sh7=_.46_SH -B=piB_pimQ1Z_ -O;N3HRsC0D_R0F4N; +c;ombRr;n9 +RNb#_$Mb0FsVoDN#cRn;b +oR(mr9N; +b$R#MF_bsD0VNRo#n +c;N3HRsC0D_R0F4N; H$R#M#_Vl8_HR4"(dU4d44d4"N; HsR30FD_sMHoNRlC"_1vqtvQq ";N3HRV_#lVlsF#"0R1qv_vqQtR;d" @@ -665,10 +668,10 @@ RNH3lV#_#0F01R"vv_qQRtqU ";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs ";N3HRV_#lFosHMCNlRv"1_Qqvt;q" RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -R(@@:44d::dU4:d4c4j+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtq6S9 -Tv=1_Qqvt6qr97 -S=4h_6Hj_ +RNH3N#00lC_NHbbM"oRRjRRjjjjjRj4-j>Rjjjjj\jjMRRRjjjjjjj4RR->jjjjj4j4\RMRRjjjjjj4j>R-Rjjjjjj44R\MRjRjjjj4j-jR>jRjjjj4jM4\RjRRjjj4jRjj-j>Rjjj4j\j4MRRRjjj4jjjjRR->jjj4j4jj\RMRRjj4jjjjj>R-Rjj4jjjj4R\MRjR4jjjjj-jR>jR4jjjjjM4\"s; +R(@@:44d::dU4:d4c4j+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQ_tqH9r( +=ST1qv_vqQt_(Hr97 +S=4h_6Hc_ pSBip=Bi1_mZOQ_;H NR03sD0C_F;R4 RNH#_$MV_#lH"8R(44dddU44;4" @@ -679,71 +682,105 @@ NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsj NR#3Vls_FHNoMl"CR1qv_vqQt"N; HVR3##l_0CN0sRCo4N; HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4(:dd4:Ud:44j:c+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9rc -=ST1qv_vqQtr -c9Sh7=_U4c_SH -B=piB_pimQ1Z_ -O;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(dU4d44d4"N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -R(@@:44d::dU4:d4c4j+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtqdS9 -Tv=1_Qqvtdqr97 -S=4h_cHn_ -pSBip=Bi1_mZOQ_;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(44dddU44;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4(:dd4:Ud:44j:c+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9r. -=ST1qv_vqQtr -.9Sh7=_c4c_SH -B=piB_pimQ1Z_ -O;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(dU4d44d4"N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -R(@@:44d::dU4:d4c4j+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQrtq4S9 -Tv=1_Qqvt4qr97 -S=4h_cH._ -pSBip=Bi1_mZOQ_;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(44dddU44;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4(:dd4:Ud:44j:c+:4j1qv_vqQtr(j:9jRf:ljRNROE7RwwblsHR_1vqtvQq9rj -=ST1qv_vqQtr -j9Sh7=_j4c_SH -B=piB_pimQ1Z_ -O;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(dU4d44d4"N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; +RNH3PHM_k#FsROC'_1vqtvQq9r('N; +H#R3$HM_MPH0N"DRj +";s@R@(d:44U:d:44d:+cj41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr +n9S1T=vv_qQrtqnS9 +7_=h4_6.HB +SpBi=pmi_1_ZQON; +HsR30_DC04FR;H +NRM#$_lV#_RH8"d(444dUd"44;H +NR03sDs_FHNoMl"CR1qv_vqQt"N; +HVR3#Vl_s#Fl01R"vv_qQRtqd +";N3HRV_#l00F#Rv"1_QqvtUqR"N; +HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; +HVR3#Fl_sMHoNRlC"_1vqtvQq +";N3HRV_#l#00NCosCR +4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R +s@:@(4:d4d4U:dc4:jj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvt6qr9T +S=_1vqtvQq9r6 +=S7h6_4j +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"4dd4U44d4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@(d:44U:d:44d:+cj41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr +c9S1T=vv_qQrtqcS9 +7_=h4_cUHB +SpBi=pmi_1_ZQON; +HsR30_DC04FR;H +NRM#$_lV#_RH8"d(444dUd"44;H +NR03sDs_FHNoMl"CR1qv_vqQt"N; +HVR3#Vl_s#Fl01R"vv_qQRtqd +";N3HRV_#l00F#Rv"1_QqvtUqR"N; +HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; +HVR3#Fl_sMHoNRlC"_1vqtvQq +";N3HRV_#l#00NCosCR +4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R +s@:@(4:d4d4U:dc4:jj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvtdqr9T +S=_1vqtvQq9rd +=S7hc_4n +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"4dd4U44d4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@(d:44U:d:44d:+cj41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr +.9S1T=vv_qQrtq.S9 +7_=h4_ccHB +SpBi=pmi_1_ZQON; +HsR30_DC04FR;H +NRM#$_lV#_RH8"d(444dUd"44;H +NR03sDs_FHNoMl"CR1qv_vqQt"N; +HVR3#Vl_s#Fl01R"vv_qQRtqd +";N3HRV_#l00F#Rv"1_QqvtUqR"N; +HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; +HVR3#Fl_sMHoNRlC"_1vqtvQq +";N3HRV_#l#00NCosCR +4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R +s@:@(4:d4d4U:dc4:jj+4:_1vqtvQq:rj(f9RjR:jlENORw7wRHbslvR1_Qqvt4qr9T +S=_1vqtvQq9r4 +=S7hc_4. +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"4dd4U44d4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@(d:44U:d:44d:+cj41j:vv_qQrtqj9:(R:fjjNRlO7ERwbwRsRHl1qv_vqQtr +j9S1T=vv_qQrtqjS9 +7_=h4_cjHB +SpBi=pmi_1_ZQON; +HsR30_DC04FR;H +NRM#$_lV#_RH8"d(444dUd"44;H +NR03sDs_FHNoMl"CR1qv_vqQt"N; +HVR3#Vl_s#Fl01R"vv_qQRtqd +";N3HRV_#l00F#Rv"1_QqvtUqR"N; +HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; +HVR3#Fl_sMHoNRlC"_1vqtvQq +";N3HRV_#l#00NCosCR +4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R +s@:@(4:d4d4U:dc4:jj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r +d9SOT=bCk_#d0r97 +S=4h_dB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"kOb_0C#"N; +HkR3MNVsOM_H8RCGds; R(@@:44d::dU4:d4c4j+ju:Qpd_jj:r.jf9RjR:jlENORw7wRHbsluRQpd_jjw7wr j9SQT=ujp_dOj_r j9Sh7=_ @@ -783,59 +820,58 @@ SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"pQu_"7j;H NRM3kVOsN_8HMC.GR;H NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+jv:1_Qqvtjqr:R(9fjj:ROlNEwR7wsRbH1lRvv_qQ_tqH9r( -=ST1qv_vqQt_(Hr97 -S=4h_6Hc_ -pSBip=Bi1_mZOQ_;H -NR03sD0C_F;R4 -RNH#_$MV_#lH"8R(44dddU44;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -RNH3PHM_k#FsROC'_1vqtvQq9r('N; -H#R3$HM_MPH0N"DRj -";s@R@(d:44U:d:44d:+cj4Bj:pji_j7j_rjc:9jRf:ljRNROE7RwwblsHRiBp_jjj_47r9T -S=iBp_jjj_47r97 -S=iBp_jjj_j7r9B +R(@@:44d::dU4:d4c4j+jp:Bij_jjr_769:jR:fjjNRlO7ERwbwRsRHlB_pij_jj79rj +=STB_pij_jj79rj +=S7B_pij_jjOB SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"iBp_jjj_;7" RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC4GR;R -s@:@(4:d4d4U:dc4:jj+4:iBp_jjj_c7r:Rj9fjj:ROlNEwR7wsRbHBlRpji_j7j_r -.9SBT=pji_j7j_r -.9SB7=pji_j7j_r -49SiBp=iBp_Zm1Q;_O +NRM3kVOsN_8HMCjGR;R +s@:@(4:d4d4U:dc4:jj+4:iBp_jjj_67r:Rj9fjj:ROlNEwR7wsRbHBlRpji_j7j_r +49SBT=pji_j7j_r +49SB7=pji_j7j_r +j9SiBp=iBp_Zm1Q;_O RNH3Ds0_HFsolMNCBR"pji_j7j_"N; HIR3NCsM8kbsMoHMR 4;N3HRksMVNHO_MG8CR -.;s@R@(d:44U:d:44d:+cj4Bj:pji_j7j_rjc:9jRf:ljRNROE7RwwblsHRiBp_jjj_d7r9T -S=iBp_jjj_d7r97 -S=iBp_jjj_.7r9B +4;s@R@(d:44U:d:44d:+cj4Bj:pji_j7j_rj6:9jRf:ljRNROE7RwwblsHRiBp_jjj_.7r9T +S=iBp_jjj_.7r97 +S=iBp_jjj_47r9B SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"iBp_jjj_;7" RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCdGR;R -s@:@(4:d4d4U:dc4:jj+4:iBp_jjj_c7r:Rj9fjj:ROlNEwR7wsRbHBlRpji_j7j_r -c9SBT=pji_j7j_r -c9SB7=pji_j7j_r -d9SiBp=iBp_Zm1Q;_O +NRM3kVOsN_8HMC.GR;R +s@:@(4:d4d4U:dc4:jj+4:iBp_jjj_67r:Rj9fjj:ROlNEwR7wsRbHBlRpji_j7j_r +d9SBT=pji_j7j_r +d9SB7=pji_j7j_r +.9SiBp=iBp_Zm1Q;_O RNH3Ds0_HFsolMNCBR"pji_j7j_"N; HIR3NCsM8kbsMoHMR 4;N3HRksMVNHO_MG8CR -c;s@R@(d:44U:d:44d:+cj4Bj:Y Bp_q7vrj4:9jRf:ljRNROE7RwwblsHRBBYp7 _vjqr9T +d;s@R@(d:44U:d:44d:+cj4Bj:pji_j7j_rj6:9jRf:ljRNROE7RwwblsHRiBp_jjj_c7r9T +S=iBp_jjj_c7r97 +S=iBp_jjj_d7r9B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_jjj_;7" +RNH3sINMbC8sHkMM4oR;H +NRM3kVOsN_8HMCcGR;R +s@:@(4:d4d4U:dc4:jj+4:iBp_jjj_67r:Rj9fjj:ROlNEwR7wsRbHBlRpji_j7j_r +69SBT=pji_j7j_r +69SB7=pji_j7j_r +c9SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_j7j_"N; +HIR3NCsM8kbsMoHMR +4;N3HRksMVNHO_MG8CR +6;s@R@(d:44U:d:44d:+cj4Bj:Y Bp_q7vrj4:9jRf:ljRNROE7RwwblsHRBBYp7 _vjqr9T S=BBYp7 _vjqr97 -S=.h_nHj_ +S=.h_dH6_ pSBip=Bi1_mZOQ_;H NR03sDs_FHNoMl"CRBpYB v_7q ";N3HRksMVNHO_MG8CR j;N3HR#_$MH0MHPRND";j" @sR@4(:dd4:Ud:44j:c+:4jBpYB v_7q:r4jf9RjR:jlENORw7wRHbslYRBB_p 7rvq4S9 TY=BB_p 7rvq4S9 -7_=h._6gHB +7_=h4_dnHB SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"BBYp7 _v;q" RNH3VkMs_NOHCM8G;R4 @@ -872,1329 +908,882 @@ Tb=Ok#_C09r. pSBip=Bi1_mZOQ_;H NR03sDs_FHNoMl"CRO_bkC"#0;H NRM3kVOsN_8HMC.GR;R -s@:@(4:d4d4U:dc4:jj+4:kOb_0C#rjd:9jRf:ljRNROE7RwwblsHRkOb_0C#r -d9SOT=bCk_#d0r97 -S=4h_dB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"kOb_0C#"N; -HkR3MNVsOM_H8RCGds; -R(@@:44d::dU4:d4c4j+j1:)ap_7Y:r.jf9RjR:jlENORw7wRHbsl1R)ap_7Y9rj -=ST)_1a7rpYjS9 -7_=h._dcHB +s@:@(4:d4d4U:dc4:jj+4:a)1_Y7prj.:9jRf:ljRNROE7RwwblsHRa)1_Y7pr +j9S)T=17a_pjYr97 +S=.h_dHc_ +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR)_1a7"pY;H +NR$3#MM_HHN0PDjR""s; +R(@@:44d::dU4:d4c4j+j1:)ap_7Y:r.jf9RjR:jlENORw7wRHbsl1R)ap_7Y9r4 +=ST)_1a7rpY4S9 +7_=h._ddHB SpBi=pmi_1_ZQON; HsR30FD_sMHoNRlC"a)1_Y7p"N; H#R3$HM_MPH0N"DRj -";s@R@(d:44U:d:44d:+cj4)j:17a_p.Yr:Rj9fjj:ROlNEwR7wsRbH)lR17a_p4Yr9T +";s@R@(d:44U:d:44d:+cj4)j:17a_p.Yr:Rj9fjj:ROlNEwR7wsRbH)lR17a_p.Yr9T S=a)1_Y7pr -49Sh7=_d.d_SH +.9Sh7=_..d_SH B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlR1")ap_7Y ";N3HR#_$MH0MHPRND";j" -@sR@4(:dd4:Ud:44j:c+:4j)_1a7rpY.9:jR:fjjNRlO7ERwbwRsRHl)_1a7rpY.S9 -T1=)ap_7Y9r. -=S7hd_.. -_HSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNC)R"17a_p;Y" -RNH3M#$_HHM0DPNR""j;R -s@:@(4:d4d4U:dc4:jj+4:iBp_jjj_c7r:Rj9fjj:ROlNEwR7wsRbHBlRpji_j7j_r -j9SBT=pji_j7j_r -j9SB7=pji_jOj_ -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pij_jj7 -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;Rj -@sR@4(:dd4:Ud:44j:c+:4j7B1qiQ4_hfaRjR:jlENORw7wRHbsl1R7q4Bi_aQh -=ST7B1qiQ4_hSa -7_=h._6(HB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"q71B_i4Q"ha;H -NRM3H_FDFb;RU -RNH3M#$_HHM0DPNR""4;R -s@:@(4:d4d4U:dc4:jj+4:_q1j_jjQRhafjj:ROlNEwR7wsRbHqlR1j_jjh_QaT -S=_q1j_jjQ -haSh7=_n.6_SH -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1"q_jjj_aQh"N; -H#R3$HM_MPH0N"DR4 -";s@R@(d:44U:d:44d:+cj4qj:1d_jjj_7R:fjjNRlO7ERwbwRsRHlqj1_d7j_jT -S=_q1j_dj7Sj -7_=hcSU -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR1"q_jjd_"7j;H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+ju:eqR_7fjj:ROlNEwR7wsRbHelRu7q_ -=STe_uq77 -S=6h_.B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"qeu_;7" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:d4d4U:dc4:jj+4:q7aB7i_jjRf:ljRNROE7RwwblsHRq7aB7i_jT -S=q7aB7i_j7 -S=6h_dB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"q7aB7i_j -";N3HR#_$MH0MHPRND";4" -@sR@4(:dd4:Ud:44j:c+:4jB_pij_dj]jRf:ljRNROE7RwwblsHRiBp_jjd_S] -Tp=Bid_jj -_]Sh7=_U.6_SH -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Bid_jj"_];H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+j :)1_ amRzafjj:ROlNEwR7wsRbH)lR a1 _amz -=ST) 1az_ma7 -S=6h_6B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"1) ma_z;a" -RNH3M#$_HHM0DPNR""j;R -s@:@(4:d4d4U:dc4:jj+4:_71j_jj Ahqpf RjR:jlENORw7wRHbsl1R7_jjj_q hA -p S7T=1j_jjh_ q Ap -=S7hn_6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CR7j1_j j_hpqA -";N3HR#_$MH0MHPRND";j" -@sR@4(:dd4:Ud:44j:c+:4jAjt_jfjRjR:jlENORw7wRHbsltRA_jjj7 -wwSAT=tj_jj -_OSh7=_ -d4SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCAR"tj_jj -";N3HRHDM_FRFbns; -R(@@:44d::dU4:d4c4j+jv:qQ_tqA_z1 Ahqp7 _v]q_QRt]fjj:ROlNEwR7wsRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt -=STqtvQqz_A1h_ q Ap_q7v_t]Q]7 -S=dh_.B -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"QqvtAq_z 1_hpqA v_7qQ_]t;]" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:d4d4U:dc4:jj+4:QqvtAq_z 1_hpqA v_7qm_pWjRf:ljRNROE7RwwblsHRQqvtAq_z 1_hpqA v_7qm_pWT -S=QqvtAq_z 1_hpqA v_7qm_pW7 -S=dh_dB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"QqvtAq_z 1_hpqA v_7qm_pW -";N3HR#_$MH0MHPRND";4" -@sR@4(:dd4:Ud:44j:c+:4jz_71j_jjQRhafjj:ROlNEwR7wsRbHzlR7j1_jQj_hSa -T7=z1j_jjh_Qa7 -S=dh_cB -SpBi=pmi_1_ZQON; -HsR30FD_sMHoNRlC"1z7_jjj_aQh"N; -HHR3MF_DFdbR;H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+jj:q_q7vR:fjjNRlO7ERwbwRsRHlq7j_vSq -Tj=q_q7v -=S7h6_d -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRq7j_v;q" -RNH3_HMDbFFR -6;N3HR#_$MH0MHPRND";4" -@sR@4(:dd4:Ud:44j:c+:4j)jW_j7j_vfqRjR:jlENORw7wRHbslWR)_jjj_q7v -=ST)jW_j7j_vSq -7_=hdSn -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRW")_jjj_q7v"N; -HHR3MF_DFjbR;H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+jv:eqh_QajRf:ljRNROE7RwwblsHRqev_aQh -=STe_vqQ -haSh7=_ -d(SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCeR"vQq_h;a" -RNH3_HMDbFFR -g;N3HR#_$MH0MHPRND";4" -@sR@4(:dd4:Ud:44j:c+:4j)jW_jQj_hfaRjR:jlENORw7wRHbslWR)_jjj_aQh -=ST)jW_jQj_hSa -7_=hdSg -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRW")_jjj_aQh"N; -HHR3MF_DF4bR;H -NR$3#MM_HHN0PD4R""s; -R(@@:44d::dU4:d4c4j+j1:q_jjd_jjj_h1YBjRf:ljRNROE7RwwblsHR_q1j_djj_jj1BYh -=STqj1_djj_j1j_Y -hBSh7=_ -cjSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"1d_jjj_jjY_1h;B" -RNH3M#$_HHM0DPNR""4;R -s@:@(4:d4d4U:dc4:jj+4:1p7_jjj_aQhR:fjjNRlO7ERwbwRsRHlp_71j_jjQ -haSpT=7j1_jQj_hSa -7_=hcS4 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlR7"p1j_jjh_Qa -";N3HRHDM_FRFbcN; -H#R3$HM_MPH0N"DR4 -";s@R@(d:44U:d:44d:+cj4Aj:tiqB_jjd_aQhR:fjjNRlO7ERwbwRsRHlABtqid_jjh_QaT -S=qAtBji_dQj_hSa -7_=hcS. -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRt"Aq_Bij_djQ"ha;H -NRM3H_FDFb;R( -RNH3M#$_HHM0DPNR""4;R -s@:@(4:d4d4U:dc4:jj+4:_q1j_jj7Rvqfjj:ROlNEwR7wsRbHqlR1j_jjv_7qT -S=_q1j_jj7 -vqSh7=_ -ccSiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCqR"1j_jjv_7q -";N3HR#_$MH0MHPRND";4" @sR@4(:dd4:Ud:44j:c+:4j7j1_j7j_vfqRjR:jlENORw7wRHbsl1R7_jjj_q7v =ST7j1_j7j_vSq 7_=hcS6 B=piB_pimQ1Z_ O;N3HRs_0DFosHMCNlR1"7_jjj_q7v"N; H#R3$HM_MPH0N"DR4 +";s@R@(d:44U:d:44d:+cj47j:1iqB4h_QajRf:ljRNROE7RwwblsHRq71B_i4Q +haS7T=1iqB4h_Qa7 +S=.h_6HU_ +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR7B1qiQ4_h;a" +RNH3_HMDbFFR +U;N3HR#_$MH0MHPRND";4" +@sR@4(:dd4:Ud:44j:c+:4jqj1_jQj_hfaRjR:jlENORw7wRHbsl1Rq_jjj_aQh +=STqj1_jQj_hSa +7_=h._6(HB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_q1j_jjQ"ha;H +NR$3#MM_HHN0PD4R""s; +R(@@:44d::dU4:d4c4j+j1:q_jjd_R7jfjj:ROlNEwR7wsRbHqlR1d_jjj_7 +=STqj1_d7j_j7 +S=ch_UB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_q1j_dj7;j" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:d4d4U:dc4:jj+4:qeu_f7RjR:jlENORw7wRHbsluReq +_7SeT=u7q_ +=S7h._6 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRe_uq7 +";N3HR#_$MH0MHPRND";4" +@sR@4(:dd4:Ud:44j:c+:4j7Baqij_7R:fjjNRlO7ERwbwRsRHl7Baqij_7 +=ST7Baqij_7 +=S7hd_6 +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR7Baqij_7"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:44U:d:44d:+cj4Bj:pji_d]j_R:fjjNRlO7ERwbwRsRHlB_pij_dj]T +S=iBp_jjd_S] +7_=hnHd_ +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRB_pij_dj] +";N3HR#_$MH0MHPRND";4" +@sR@4(:dd4:Ud:44j:c+:4j) 1az_majRf:ljRNROE7RwwblsHR1) ma_zSa +T =)1_ am +zaSh7=_U.g +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CR) 1az_ma +";N3HR#_$MH0MHPRND";j" +@sR@4(:dd4:Ud:44j:c+:4j7j1_j j_hpqA jRf:ljRNROE7RwwblsHR_71j_jj AhqpS +T1=7_jjj_q hA +p Sh7=_ +6nSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNC7R"1j_jjh_ q Ap"N; +H#R3$HM_MPH0N"DRj +";s@R@(d:44U:d:44d:+cj4Aj:tj_jjjRf:ljRNROE7RwwblsHR_Atj7jjwSw +Tt=A_jjj_SO +7_=hdS4 +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRt"A_jjj"N; +HHR3MF_DFnbR;R +s@:@(4:d4d4U:dc4:jj+4:QqvtAq_z 1_hpqA v_7qQ_]tf]RjR:jlENORw7wRHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q +t]SqT=vqQt_1Az_q hA_p 7_vq]]Qt +=S7h._d +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRqtvQqz_A1h_ q Ap_q7v_t]Q] +";N3HR#_$MH0MHPRND";4" +@sR@4(:dd4:Ud:44j:c+:4jqtvQqz_A1h_ q Ap_q7v_WpmR:fjjNRlO7ERwbwRsRHlqtvQqz_A1h_ q Ap_q7v_Wpm +=STqtvQqz_A1h_ q Ap_q7v_Wpm +=S7hd_d +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRqtvQqz_A1h_ q Ap_q7v_Wpm"N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:44U:d:44d:+cj4zj:7j1_jQj_hfaRjR:jlENORw7wRHbsl7Rz1j_jjh_QaT +S=1z7_jjj_aQh +=S7hc_d +pSBip=Bi1_mZOQ_;H +NR03sDs_FHNoMl"CRz_71j_jjQ"ha;H +NRM3H_FDFb;Rd +RNH3M#$_HHM0DPNR""4;R +s@:@(4:d4d4U:dc4:jj+4:_qj7Rvqfjj:ROlNEwR7wsRbHqlRjv_7qT +S=_qj7 +vqSh7=_ +d6SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCqR"jv_7q +";N3HRHDM_FRFb6N; +H#R3$HM_MPH0N"DR4 +";s@R@(d:44U:d:44d:+cj4)j:Wj_jjv_7qjRf:ljRNROE7RwwblsHR_)Wj_jj7 +vqS)T=Wj_jjv_7q7 +S=dh_nB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_)Wj_jj7"vq;H +NRM3H_FDFb;Rj +RNH3M#$_HHM0DPNR""4;R +s@:@(4:d4d4U:dc4:jj+4:qev_aQhR:fjjNRlO7ERwbwRsRHle_vqQ +haSeT=vQq_hSa +7_=hdS( +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlRv"eqh_Qa +";N3HRHDM_FRFbgN; +H#R3$HM_MPH0N"DR4 +";s@R@(d:44U:d:44d:+cj4)j:Wj_jjh_QajRf:ljRNROE7RwwblsHR_)Wj_jjQ +haS)T=Wj_jjh_Qa7 +S=dh_gB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"_)Wj_jjQ"ha;H +NRM3H_FDFb;R4 +RNH3M#$_HHM0DPNR""4;R +s@:@(4:d4d4U:dc4:jj+4:_q1j_djj_jj1BYhR:fjjNRlO7ERwbwRsRHlqj1_djj_j1j_Y +hBSqT=1d_jjj_jjY_1hSB +7_=hcSj +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlR1"q_jjd_jjj_h1YB +";N3HR#_$MH0MHPRND";4" +@sR@4(:dd4:Ud:44j:c+:4jp_71j_jjQRhafjj:ROlNEwR7wsRbHplR7j1_jQj_hSa +T7=p1j_jjh_Qa7 +S=ch_4B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"1p7_jjj_aQh"N; +HHR3MF_DFcbR;H +NR$3#MM_HHN0PD4R""s; +R(@@:44d::dU4:d4c4j+jt:Aq_Bij_djQRhafjj:ROlNEwR7wsRbHAlRtiqB_jjd_aQh +=STABtqid_jjh_Qa7 +S=ch_.B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"qAtBji_dQj_h;a" +RNH3_HMDbFFR +(;N3HR#_$MH0MHPRND";4" +@sR@4(:dd4:Ud:44j:c+:4jqj1_j7j_vfqRjR:jlENORw7wRHbsl1Rq_jjj_q7v +=STqj1_j7j_vSq +7_=hcSc +B=piB_pimQ1Z_ +O;N3HRs_0DFosHMCNlR1"q_jjj_q7v"N; +H#R3$HM_MPH0N"DR4 ";s@R@(d:44U:d:44d:+cj4Aj:tiqB_jjd_aQh_f7RjR:jlENORw7wRHbsltRAq_Bij_djQ_ha7T S=qAtBji_dQj_h7a_ -=S7qtvQqz_A1h_ q Ap_q7v_t]Q]__j#kJlGHN_ -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRABtqid_jjh_Qa"_7;H -NR$3#MM_HHN0PD4R""s; -R(@@:g4.::d64:.gd4(+jp:Biz_mah_QajRf:ljRNROE7RwwblsHRiBp_amz_aQh -=STB_pi _XuO7 -S=iBp_amz_ u)_S7 -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Biz_mah_Qa -";N3HR#_$MH0MHPRND";4" -@sR@4(:ddU:cd:4Un:d+:4jB_pim_zau_) 6fjRjR:jlENORw7wRHbslpRBiz_ma)_u j_6 -=STB_pim_zau_) 6Sj -7p=Biz_ma)_u j_6_SH -B=piB_pimQ1Z_ -O;N3HRs_0DFosHMCNlRp"Biz_ma)_u j_6"N; +=S7hc_.6B +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"qAtBji_dQj_h7a_"N; H#R3$HM_MPH0N"DR4 -";s@R@(.:4nn:d:n4.:+dU4Bj:pmi_zua_)7 _R:fjjNRlO7ERwbwRsRHlB_pim_zau_) 7T -S=iBp_amz_ u)_S7 -7p=Biz_ma)_u j_6 -pSBip=Bi1_mZOQ_;H -NR03sDs_FHNoMl"CRB_pim_zau_) 7 +";s@R@(.:4g6:d:g4.:+d(4Bj:pmi_zQa_hfaRjR:jlENORw7wRHbslpRBiz_mah_QaT +S=iBp_u X_SO +7p=Biz_ma)_u +_7SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pmi_zQa_h;a" +RNH3M#$_HHM0DPNR""4;R +s@:@(4:dUd4c:ddU:nj+4:iBp_amz_ u)_R6jfjj:ROlNEwR7wsRbHBlRpmi_zua_)6 _jT +S=iBp_amz_ u)_ +6jSB7=pmi_zua_)6 _j +_HSiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pmi_zua_)6 _j ";N3HR#_$MH0MHPRND";4" -fsRjR:jlENOR_AQ7RQ)blsHR_q1j -djSqm=1d_jj -_OS=Qjk_M(Nj#_dHj_ -mSQ=_q1j -djS=m hd_46o; -bmRQ;b -NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEQRA_)7QRHbsl1Rq_jjj -=Smqj1_jOj_ -jSQ=4h_cH6_ -mSQ=_q1j -jjS=m hd_4no; +@sR@4(:.dn:n.:4nU:d+:4jB_pim_zau_) 7jRf:ljRNROE7RwwblsHRiBp_amz_ u)_S7 +Tp=Biz_ma)_u +_7SB7=pmi_zua_)6 _jB +SpBi=pmi_1_ZQON; +HsR30FD_sMHoNRlC"iBp_amz_ u)_;7" +RNH3M#$_HHM0DPNR""4;R +sfjj:ROlNEQRA_)7QRHbsl1Rq_jjd +=Smqj1_dOj_ +jSQ=(kM__N#j_djHQ +Sm1=q_jjd + Sm=4h_d +g;oQbRmN; +b$R#MF_bsD0VNRo#Us; +R:fjjNRlOAERQQ_7)sRbHqlR1j_jjm +S=_q1j_jjOQ +Sj_=h4_ngHQ +Sm1=q_jjj + Sm=4kM__N#j_jjHo; bmRQ;b NRM#$_sbF0NVDoU#R;R sfjj:ROlNEQRA_)7QRHbslWR)_jjj =Sm)jW_jOj_ jSQ=_)Wj_jjQ haS=Qm)jW_jSj -mh =_n4d;b -oR;Qm -RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENORwAzab]RsRHl7j1_dSj -m1=7_jjd -jSQ=nkM__8#j_djHm -S _=h4;d6 -RobmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOAERQQ_7)sRbHzlR7j1_jSj -m7=z1j_jj -_OS=Qjk_M4z_71j_jjQ -haS=Qmz_71j -jjS=m hd_4no; +mk =MN4_#j_jj;_H +RobQ +m;N#bR$bM_FVs0D#NoR +U;sjRf:ljRNROEAazw]sRbH7lR1d_jjm +S=_71j +djS=Qjk_Mn8j#_dHj_ + Sm=4h_d +g;ombR;b +NRM#$_sbF0NVDoU#R;R +sfjj:ROlNEQRA_)7QRHbsl7Rz1j_jjm +S=1z7_jjj_SO +Qkj=Mz4_7j1_jQj_hSa +Qzm=7j1_jSj +mk =MN4_#j_jj;_H +RobQ +m;N#bR$bM_FVs0D#NoR +U;sjRf:ljRNROEA7Q_Qb)RsRHlp_71j +jjSpm=7j1_jOj_ +jSQ=4kM_1p7_jjj_aQh +mSQ=1p7_jjj + Sm=4kM__N#j_jjHo; bmRQ;b NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEQRA_)7QRHbsl7Rp1j_jjm -S=1p7_jjj_SO -Qkj=Mp4_7j1_jQj_hSa -Qpm=7j1_jSj -mh =_n4d;b -oR;Qm -RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENOR_AQ7RQ)blsHRZ1Q 9rj -=Sm1 QZ_jOr9Q -SjQ=1Z7 _vjqr9Q -SmQ=1Zj r9m -S M=k.#_N_jjd_ +sfjj:ROlNEQRA_)7QRHbslQR1Zj r9m +S=Z1Q r_OjS9 +Q1j=Q_Z 7rvqjS9 +Q1m=QrZ jS9 +mh =_g.g_ H;oQbRmN; b$R#MF_bsD0VNRo#Us; R:fjjNRlOAERQQ_7)sRbH1lRQrZ 4S9 mQ=1ZO _r 49S=Qj1 QZ_q7vr 49S=Qm1 QZr -49S=m k_M.Nj#_dHj_;b +49S=m hg_.g;_H +RobQ +m;N#bR$bM_FVs0D#NoR +U;sjRf:ljRNROEA7Q_Qb)RsRHlqt]Q]cr.9m +S=Qq]tO]_r9.c +jSQ=7th +mSQ=Qq]t.]rcS9 +mh =_g4d;b oR;Qm RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENOR_AQ7RQ)blsHRQq]t.]rcS9 -m]=qQ_t]Ocr.9Q +fsRjR:jlENOR_AQ7RQ)blsHRQq]t.]r6S9 +m]=qQ_t]O6r.9Q Sjh=t7Q Sm]=qQrt]. -c9S=m hd_46o; +69S=m hd_4go; bmRQ;b NRM#$_sbF0NVDoU#R;R sfjj:ROlNEQRA_)7QRHbsl]RqQrt]. -69Sqm=]]Qt_.Or6S9 +n9Sqm=]]Qt_.OrnS9 Qtj=hS7 -Qqm=]]Qtr9.6 +Qqm=]]Qtr9.n Sm=4h_d -6;oQbRmN; +g;oQbRmN; b$R#MF_bsD0VNRo#Us; -R:fjjNRlOAERQQ_7)sRbHqlR]]Qtr9.n +R:fjjNRlOAERQQ_7)sRbHqlR]]Qtr9.( =Smqt]Q]r_O. -n9S=Qjt -h7S=Qmqt]Q]nr.9m -S _=h4;d6 +(9S=Qjt +h7S=Qmqt]Q](r.9m +S _=h4;dg RobQ m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEA7Q_Qb)RsRHlqt]Q](r.9m -S=Qq]tO]_r9.( +U;sjRf:ljRNROEA7Q_Qb)RsRHlqt]Q]Ur.9m +S=Qq]tO]_r9.U jSQ=7th -mSQ=Qq]t.]r(S9 -mh =_64d;b +mSQ=Qq]t.]rUS9 +mh =_g4d;b oR;Qm RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENOR_AQ7RQ)blsHRQq]t.]rUS9 -m]=qQ_t]OUr.9Q +fsRjR:jlENOR_AQ7RQ)blsHRQq]t.]rgS9 +m]=qQ_t]Ogr.9Q Sjh=t7Q Sm]=qQrt]. -U9S=m hd_46o; +g9S=m hd_4go; bmRQ;b NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEQRA_)7QRHbsl]RqQrt]. -g9Sqm=]]Qt_.OrgS9 +sfjj:ROlNEQRA_)7QRHbsl]RqQrt]d +j9Sqm=]]Qt_dOrjS9 Qtj=hS7 -Qqm=]]Qtr9.g +Qqm=]]Qtr9dj Sm=4h_d -6;oQbRmN; +g;oQbRmN; b$R#MF_bsD0VNRo#Us; -R:fjjNRlOAERQQ_7)sRbHqlR]]Qtr9dj +R:fjjNRlOAERQQ_7)sRbHqlR]]Qtr9d4 =Smqt]Q]r_Od -j9S=Qjt -h7S=Qmqt]Q]jrd9m -S _=h4;d6 +49S=Qjt +h7S=Qmqt]Q]4rd9m +S _=h4;dg RobQ m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEA7Q_Qb)RsRHlqt]Q]4rd9m -S=Qq]tO]_r9d4 -jSQ=7th -mSQ=Qq]td]r4S9 -mh =_64d;b +U;sjRf:ljRNROEQwAzRHbsl_Rq7m B7. r9m +S=7q_ 7Bm r_O.S9 +Qqj=_B7 mr7 . +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7d r9m +S=7q_ 7Bm r_OdS9 +Qqj=_B7 mr7 d +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7c r9m +S=7q_ 7Bm r_OcS9 +Qqj=_B7 mr7 c +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B76 r9m +S=7q_ 7Bm r_O6S9 +Qqj=_B7 mr7 6 +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7n r9m +S=7q_ 7Bm r_OnS9 +Qqj=_B7 mr7 n +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7( r9m +S=7q_ 7Bm r_O(S9 +Qqj=_B7 mr7 ( +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7U r9m +S=7q_ 7Bm r_OUS9 +Qqj=_B7 mr7 U +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7g r9m +S=7q_ 7Bm r_OgS9 +Qqj=_B7 mr7 g +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B74 rjS9 +m_=q7m B7O _r94j +jSQ=7q_ 7Bm jr49s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm 4r49m +S=7q_ 7Bm r_O4 +49S=Qjq _7B m7r944;R +sfjj:ROlNEARQzbwRsRHlq _7B m7r94. +=Smq _7B m7_4Or.S9 +Qqj=_B7 mr7 4;.9 +fsRjR:jlENORzQAwsRbHqlR_B7 mr7 4 +d9Sqm=_B7 m_7 Odr49Q +Sj_=q7m B74 rd +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B74 rcS9 +m_=q7m B7O _r94c +jSQ=7q_ 7Bm cr49s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm 6r49m +S=7q_ 7Bm r_O4 +69S=Qjq _7B m7r946;R +sfjj:ROlNEARQzbwRsRHlq _7B m7r94n +=Smq _7B m7_4OrnS9 +Qqj=_B7 mr7 4;n9 +fsRjR:jlENORzQAwsRbHqlR_B7 mr7 4 +(9Sqm=_B7 m_7 O(r49Q +Sj_=q7m B74 r( +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B74 rUS9 +m_=q7m B7O _r94U +jSQ=7q_ 7Bm Ur49s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm gr49m +S=7q_ 7Bm r_O4 +g9S=Qjq _7B m7r94g;R +sfjj:ROlNEARQzbwRsRHlq _7B m7r9.j +=Smq _7B m7_.OrjS9 +Qqj=_B7 mr7 .;j9 +fsRjR:jlENORzQAwsRbHqlR_B7 mr7 . +49Sqm=_B7 m_7 O4r.9Q +Sj_=q7m B7. r4 +9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7. r.S9 +m_=q7m B7O _r9.. +jSQ=7q_ 7Bm .r.9s; +R:fjjNRlOQERARzwblsHR7q_ 7Bm dr.9m +S=7q_ 7Bm r_O. +d9S=Qjq _7B m7r9.d;R +sfjj:ROlNEQRA_)7QRHbslrRqjS9 +m_=qO9rj +jSQ=_qj7 +vqS=Qmq9rj + Sm=4h_d +g;oQbRmN; +b$R#MF_bsD0VNRo#Us; +R:fjjNRlOQERARzwblsHR4qr9m +S=Oq_r +49S=Qjq9r4;R +sfjj:ROlNEARQzbwRsRHlMu X_q1uBS +m =MX1u_u qB_SO +QMj= _Xu1Buq s; +R:fjjNRlOAERQQ_7)sRbHAlR +))SAm= _))OQ +Sjh=t7Q +Sm =A)S) +mk =M_.4LsCs;b oR;Qm RNb#_$Mb0FsVoDN#;RU -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 .S9 -m_=q7m B7O _r -.9S=Qjq _7B m7r;.9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 dS9 -m_=q7m B7O _r -d9S=Qjq _7B m7r;d9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 cS9 -m_=q7m B7O _r -c9S=Qjq _7B m7r;c9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 6S9 -m_=q7m B7O _r -69S=Qjq _7B m7r;69 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 nS9 -m_=q7m B7O _r -n9S=Qjq _7B m7r;n9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 (S9 -m_=q7m B7O _r -(9S=Qjq _7B m7r;(9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 US9 -m_=q7m B7O _r -U9S=Qjq _7B m7r;U9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 gS9 -m_=q7m B7O _r -g9S=Qjq _7B m7r;g9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 4 -j9Sqm=_B7 m_7 Ojr49Q -Sj_=q7m B74 rj -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B74 r4S9 -m_=q7m B7O _r944 -jSQ=7q_ 7Bm 4r49s; -R:fjjNRlOQERARzwblsHR7q_ 7Bm .r49m -S=7q_ 7Bm r_O4 -.9S=Qjq _7B m7r94.;R -sfjj:ROlNEARQzbwRsRHlq _7B m7r94d -=Smq _7B m7_4OrdS9 -Qqj=_B7 mr7 4;d9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 4 -c9Sqm=_B7 m_7 Ocr49Q -Sj_=q7m B74 rc -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B74 r6S9 -m_=q7m B7O _r946 -jSQ=7q_ 7Bm 6r49s; -R:fjjNRlOQERARzwblsHR7q_ 7Bm nr49m -S=7q_ 7Bm r_O4 -n9S=Qjq _7B m7r94n;R -sfjj:ROlNEARQzbwRsRHlq _7B m7r94( -=Smq _7B m7_4Or(S9 -Qqj=_B7 mr7 4;(9 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 4 -U9Sqm=_B7 m_7 OUr49Q -Sj_=q7m B74 rU -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B74 rgS9 -m_=q7m B7O _r94g -jSQ=7q_ 7Bm gr49s; -R:fjjNRlOQERARzwblsHR7q_ 7Bm jr.9m -S=7q_ 7Bm r_O. -j9S=Qjq _7B m7r9.j;R -sfjj:ROlNEARQzbwRsRHlq _7B m7r9.4 -=Smq _7B m7_.Or4S9 -Qqj=_B7 mr7 .;49 -fsRjR:jlENORzQAwsRbHqlR_B7 mr7 . -.9Sqm=_B7 m_7 O.r.9Q -Sj_=q7m B7. r. -9;sjRf:ljRNROEQwAzRHbsl_Rq7m B7. rdS9 -m_=q7m B7O _r9.d -jSQ=7q_ 7Bm dr.9s; -R:fjjNRlOAERQQ_7)sRbHqlRr -j9Sqm=_jOr9Q -Sjj=q_q7v -mSQ=jqr9m -S _=h4;d6 +fsRjR:jlENORzQAwsRbHAlRtd_jjm +S=_Atj_djOQ +Sjt=A_jjd;R +sfjj:ROlNEARmzbwRsRHlAjt_jSj +mt=A_jjj +jSQ=_Atj_jjOs; +R:fjjNRlOmERARzwblsHRqAtBji_dSj +mt=Aq_Bij +djS=QjABtqid_jjh_Qas; +R:fjjNRlOQERARzwblsHRqAtBji_jSj +mt=Aq_Bij_jjOQ +Sjt=Aq_Bij;jj +fsRjR:jlENORzQAwsRbHBlRpji_dSj +mp=Bid_jj +_OS=QjB_pij;dj +fsRjR:jlENORzQAwsRbHBlRpji_jSj +mp=Bij_jj +_OS=QjB_pij;jj +fsRjR:jlENORzQAwsRbHBlRpmi_1 +ZQSBm=pmi_1_ZQOQ +Sjp=Bi1_mZ +Q;sjRf:ljRNROEmwAzRHbslpRBiQ_7ez_mam +S=iBp_e7Q_amz +jSQ=iBp_u X_ +O;sjRf:ljRNROEmwAzRHbslpRBiX_ um +S=iBp_u X +jSQ=iBp_u X_ +O;sjRf:ljRNROEmwAzRHbsluRwz1_B +=Smw_uzBS1 +Qkj=M_.4V_bkOH#_;R +sfjj:ROlNEARQzbwRsRHlw_uz11 h m +S=zwu_h1 1O _ +jSQ=zwu_h1 1 + ;sjRf:ljRNROEmwAzRHbsluRQpd_jj9rj +=SmQ_upjrdjjS9 +QQj=ujp_dOj_r;j9 +fsRjR:jlENORzmAwsRbHQlRujp_d4jr9m +S=pQu_jjdr +49S=QjQ_upj_djO9r4;R +sfjj:ROlNEARmzbwRsRHlQ_upjrdj.S9 +mu=Qpd_jj9r. +jSQ=pQu_jjd_.Or9s; +R:fjjNRlOQERARzwblsHRpQur +j9SQm=uOp_r +j9S=QjQrupj +9;sjRf:ljRNROEQwAzRHbsluRQp9r4 +=SmQ_upO9r4 +jSQ=pQur;49 +fsRjR:jlENORzQAwsRbHQlRu.pr9m +S=pQu_.Or9Q +Sju=Qp9r.;R +sfjj:ROlNEzRAwRa]blsHRq71B +i4S7m=1iqB4Q +Sj_=h4_n6Hm +S =MX1u_u qB_ +O;ombR;b +NRM#$_sbF0NVDoU#R;R +sfjj:ROlNEARQzbwRsRHl7Baqim +S=q7aBOi_ +jSQ=q7aB +i;sjRf:ljRNROEmwAzRHbsleRq SB +me=q SB +Qej=B +B;sjRf:ljRNROEmwAzRHbsl +R S m= +jSQ=.h_gHn_;R +sfjj:ROlNEARQzbwRsRHle +uqSem=uOq_ +jSQ=qeu;R +sfjj:ROlNEARmzbwRsRHle +vqSem=vSq +Qej=vQq_h +a;sjRf:ljRNROEQwAzRHbsl1R)am +S=a)1_SO +Q)j=1 +a;sjRf:ljRNROEAazw]sRbH)lR a1 +=Sm) 1aQ +Sjh=t7m +S =)1_ am_zaHo; +b;Rm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR_AQ7RQ)blsHR +)WS)m=W +_OS=Qj)jW_j7j_vSq +Q)m=Wm +S _=h4;c4 RobQ m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEQwAzRHbslrRq4S9 -m_=qO9r4 -jSQ=4qr9s; -R:fjjNRlOQERARzwblsHRXM uu_1q -B SMm= _Xu1Buq -_OS=QjMu X_q1uB - ;sjRf:ljRNROEA7Q_Qb)RsRHlA) ) -=SmA) )_SO -Qtj=hS7 -QAm= -))S=m k4M._sLCso; -bmRQ;b -NRM#$_sbF0NVDoU#R;R -sfjj:ROlNEARQzbwRsRHlAjt_dSj -mt=A_jjd_SO -QAj=td_jjs; -R:fjjNRlOmERARzwblsHR_Atj -jjSAm=tj_jjQ -Sjt=A_jjj_ -O;sjRf:ljRNROEmwAzRHbsltRAq_Bij -djSAm=tiqB_jjd -jSQ=qAtBji_dQj_h -a;sjRf:ljRNROEQwAzRHbsltRAq_Bij -jjSAm=tiqB_jjj_SO -QAj=tiqB_jjj;R -sfjj:ROlNEARQzbwRsRHlB_pij -djSBm=pji_dOj_ -jSQ=iBp_jjd;R -sfjj:ROlNEARQzbwRsRHlB_pij -jjSBm=pji_jOj_ -jSQ=iBp_jjj;R -sfjj:ROlNEARQzbwRsRHlB_pimQ1Z -=SmB_pimQ1Z_SO -QBj=pmi_1;ZQ -fsRjR:jlENORzmAwsRbHBlRp7i_Qme_zSa -mp=BiQ_7ez_maQ -Sjp=BiX_ u;_O -fsRjR:jlENORzmAwsRbHBlRp i_XSu -mp=BiX_ uQ -Sjp=BiX_ u;_O -fsRjR:jlENORzmAwsRbHwlRuBz_1m -S=zwu_ -B1S=Qjk4M._kVb__O#Hs; -R:fjjNRlOQERARzwblsHRzwu_h1 1S -mu=wz _1h_1 OQ -Sju=wz _1h;1 -fsRjR:jlENORzmAwsRbHQlRujp_djjr9m -S=pQu_jjdr -j9S=QjQ_upj_djO9rj;R -sfjj:ROlNEARmzbwRsRHlQ_upjrdj4S9 -mu=Qpd_jj9r4 -jSQ=pQu_jjd_4Or9s; -R:fjjNRlOmERARzwblsHRpQu_jjdr -.9SQm=ujp_d.jr9Q -Sju=Qpd_jjr_O. -9;sjRf:ljRNROEQwAzRHbsluRQp9rj -=SmQ_upO9rj -jSQ=pQur;j9 -fsRjR:jlENORzQAwsRbHQlRu4pr9m -S=pQu_4Or9Q -Sju=Qp9r4;R -sfjj:ROlNEARQzbwRsRHlQrup.S9 -mu=Qpr_O.S9 -QQj=u.pr9s; -R:fjjNRlOAERz]waRHbsl1R7q4Bi -=Sm7B1qiS4 -Qhj=_(4c_SH -mM = _Xu1Buq ;_O -RobmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOQERARzwblsHRq7aBSi -ma=7q_BiOQ -Sja=7q;Bi -fsRjR:jlENORzmAwsRbHqlRe - BSqm=e - BS=Qje;BB -fsRjR:jlENORzmAwsRbH lR -=Sm Q -SjM=k6;_C -fsRjR:jlENORzQAwsRbHelRuSq -mu=eq -_OS=Qje;uq -fsRjR:jlENORzmAwsRbHelRvSq -mv=eqQ -Sjv=eqh_Qas; -R:fjjNRlOQERARzwblsHRa)1 -=Sm)_1aOQ -Sj1=)as; -R:fjjNRlOAERz]waRHbsl R)1 - aS)m= a1 -jSQ=7th - Sm=1) ma_zHa_;b -oR -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEA7Q_Qb)RsRHl)SW -mW=)_SO -Q)j=Wj_jjv_7qQ -SmW=) - Sm=.h_( -U;oQbRmN; -b$R#MF_bsD0VNRo#Us; -R:fjjNRlOQERARzwblsHRrwBjS9 -mB=w_jOr9Q -SjB=wr;j9 -fsRjR:jlENORzQAwsRbHwlRB9r4 -=SmwOB_r -49S=Qjw4Br9s; -R:fjjNRlOmERARzwblsHRQqvtqq_7_7) AhqpS -mv=qQ_tqq)77_q hA -p S=Qjt;h7 -fsRjR:jlENORzmAwsRbHqlRvqQt_1Az_a7qqQ_7)m -S=QqvtAq_z71_q_aq7 -Q)S=QjqtvQqz_A1q_7a7q_QO)_;R -sfjj:ROlNEARmzbwRsRHlqtvQqz_A1h_ q Ap_Wpm -=SmqtvQqz_A1h_ q Ap_Wpm -jSQ=4kM_HNloLN_kC#_MDNLCF_DI;_H -fsRjR:jlENORzmAwsRbHqlRvqQt_1Az_q hA_p ]]Qt -=SmqtvQqz_A1h_ q Ap_t]Q]Q -Sj_=h4;jU -fsRjR:jlENORwAzab]RsRHlBhQQ -=SmBhQQ -jSQ=4kMjH_OHSM -mh =_4.n;b -oR -m;N#bR$bM_FVs0D#NoR -U;sjRf:ljRNROEq.h7RHbsl_Rt4 -4jSbm=FO#_D3 \H -bDS=Qjb_F#O\D 3DHb_S4 -Qh4=_(.j_ -H;sjRf:ljRNROEq.h7RHbslpRBid_jj__].__H4m -S=.h_6HU__S4 -Qhj=_g4._SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlB_pij_dj]__.Hm -S=.h_6HU_ -jSQ=.h_6HU__S4 -Qb4=FO#_D3 \k4M._NLoOj _dHj_MH0__Hj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__H4__S4 -m_=h._6gH -_4S=Qjhj_4( -_HS=Q4hn_.c;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \BpYB v_7q__64 -_HShm=_g.6_SH -Qhj=_g.6_4H_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslMRk6__Cjd_N_S4 -m_=h._U.4Q -Sjb=Ok#_C09r4 -4SQ=kOb_0C#r;.9 -fsRjR:jlENOR7qh.sRbHklRMC6__Nj_dm -S=.h_US. -Qhj=_..U_S4 -QO4=bCk_#H0_r;d9 -fsRjR:jlENOR7qh.sRbHklRMC6__Nj_d__j4m -S=.h_U4c_ -jSQ=.h_gS. -QO4=bCk_#d0r9s; -R:fjjNRlOqERhR7.blsHR6kM_jC___Ndjm -S=.h_USc -Qhj=_c.U_S4 -QO4=bCk_#H0_r;49 -fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj___Ndjr_44S9 -m_=h._UU4Q -Sjb=Ok#_C09rj -4SQ=kOb_0C#_4Hr9s; -R:fjjNRlOqERhR7.blsHRkOb_0C#_j.__Nj_dr_j4S9 +U;sjRf:ljRNROEQwAzRHbslBRwr +j9Swm=Br_OjS9 +Qwj=B9rj;R +sfjj:ROlNEARQzbwRsRHlw4Br9m +S=_wBO9r4 +jSQ=rwB4 +9;sjRf:ljRNROEmwAzRHbslvRqQ_tqq)77_q hA +p Sqm=vqQt_7q7)h_ q Ap +jSQ=7th;R +sfjj:ROlNEARmzbwRsRHlqtvQqz_A1q_7a7q_QS) +mv=qQ_tqA_z17qqa_)7Q +jSQ=QqvtAq_z71_q_aq7_Q)Os; +R:fjjNRlOmERARzwblsHRQqvtAq_z 1_hpqA m_pWm +S=QqvtAq_z 1_hpqA m_pWQ +SjM=k4l_NH_oNL_k#CLMNDDC_FHI_;R +sfjj:ROlNEARmzbwRsRHlqtvQqz_A1h_ q Ap_t]Q]m +S=QqvtAq_z 1_hpqA Q_]tS] +Qhj=_6.j;R +sfjj:ROlNEzRAwRa]blsHRQBQhm +S=QBQhQ +SjM=k4Oj_H +HMS=m hn_.jo; +b;Rm +RNb#_$Mb0FsVoDN#;RU +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_j.S9 +m_=h4_ccHQ +Sj_=h4_ccH +_4S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jjr_4jS9 +m_=h4_cjH +_4S=Qjhn_.( +_HS=Q4h._dc;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_jjS9 +m_=h4_cjHQ +Sj_=h4_cjH +_4S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kno_L_jjd_Nj_.__jN4._ +=Smb_F#O\D 3nkM__Loj_dj4Q +Sj =MX1u_u qB_SO +Qq4=1d_jjj_7;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3nkM__Loj_djj._N_Nj_.m +S=#bF_ OD\M3kno_L_jjd +jSQ=#bF_ OD\M3kno_L_jjd_S4 +QB4=pji_j7j_r;j9 +fsRjR:jlENOR7qh.sRbH)lR a1 _amz_H.__NH_.__j4m +S=.h_d4j_ +jSQ=dh_jH6_ +4SQ=dh_6 +j;sjRf:ljRNROEq.h7RHbsl R)1_ am_za.__HH._N_Sj m_=h. -UUS=QjhU_.U -_4S=Q4O_bkC_#0H9rd;R -sfjj:ROlNEhRq7b.RsRHlk_M6C__j4m -S=6kM_jC__S4 -Qbj=FO#_D3 \kcM4_ OD_jjj__MCHQ -S4_=h._U.Hs; -R:fjjNRlOqERhR7.blsHR6kM_jC_ -=Smk_M6C -_jS=Qjk_M6C__j4Q -S4_=h._UcHs; -R:fjjNRlOqERhR7.blsHRkOb_0C#_H.__4j_r -d9Shm=_.4g_4H_ -jSQ=.h_UH6_ -4SQ=.h_gH4_;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__Hj9rd -=Smhg_4. -_HS=Qjhg_4.__H4Q -S4_=h._g.Hs; +djS=Qjhd_.j +_4S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRkOb_0C#_j.__Nj_.__j49r4 +=Smh._.4 +_4S=QjO_bkCr#0jS9 +QO4=bCk_#H0_r;49 +fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj___N.j9r4 +=Smh._.4Q +Sj_=h._.44Q +S4b=Ok#_C0r_Hd +9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_jH___N.4 +_4Shm=_6.4_S4 +Qhj=_j.4_SH +Q)4=17a_pHY_r;j9 +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_4__Hj._N_S4 +m_=h. +46S=Qjh4_.6 +_4S=Q4)_1a7_pYH9r4;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__Nj_.__4jm +S=dh_j4n_ +jSQ=4h_nSd +Qh4=_j.4_ +H;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH__ +N.Shm=_ndj +jSQ=dh_j4n_ +4SQ=a)1_Y7p_.Hr9s; R:fjjNRlOqERhR7.blsHR4t_44j_ =Smb_F#O\D 3DHb_S4 Qhj=_U.j_SH Qh4=_n.j_ -H;sjRf:ljRNROEq.h7RHbslMRk.V4_bOk_#__jNS. -mM=k.V4_bOk_#Q -SjM=k.V4_bOk_# -_4S=Q4w_uz11 h ;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_4jS9 -m_=h4_cjH -_4S=Qjh4_4c -_HS=Q4h4_46;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj9rj -=Smhc_4j -_HS=Qjhc_4j__H4Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hjr_4jS9 -m_=h4_6cH -_4S=Qjh4_4n -_HS=Q4h4_4(;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj9rj -=Smh6_4c -_HS=Qjh6_4c__H4Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_4nS9 -m_=h4_6.H -_4S=Qjh4_4U -_HS=Q4hn_.6s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_jnS9 -m_=h4_6.HQ -Sj_=h4_6.H -_4S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__j49r6 -=Smh6_4j__H4Q -Sj_=h4_4gHQ -S4_=h.;ng -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj9r6 -=Smh6_4j -_HS=Qjh6_4j__H4Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hjr_4cS9 -m_=h4_cUH -_4S=Qjh._4j -_HS=Q4hn_.ds; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_jcS9 -m_=h4_cUHQ -Sj_=h4_cUH -_4S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__j49r. -=Smhc_4c__H4Q -Sj_=h4_(4HQ -S4_=h.;nd -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj9r. -=Smhc_4c -_HS=Qjhc_4c__H4Q -S41=)a;_O -fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q__.#kJlGHN__S4 -m_=h._66j -_4S=Qjh._44 -_HS=Q4)jW_jHj_;R -sfjj:ROlNEhRq7b.RsRHl7j1_j7j_v.q__l#Jk_GNHm -S=.h_6j6_ -jSQ=.h_6j6__S4 -Qb4=FO#_D3 \k4M._NLoOj _dHj_MH0__Hj_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___FdH._N_4j_r -d9Shm=__UU4Q -Sjv=eqh_Qa -_HS=Q4e_uq7;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFHd___N.j9rd -=SmhU_U -jSQ=Uh_U -_4S=Q4b_F#O\D 34kMcD_O j_jjC_M;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__4j_r +H;sjRf:ljRNROEq.h7RHbsl_Rt4 +4jSbm=FO#_D3 \H +bDS=Qjb_F#O\D 3DHb_S4 +Qh4=_(.j_ +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__4j_r 49Shm=_.4c_4H_ -jSQ=nh_. -_HS=Q4hc_n_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH_r +jSQ=dh_dH6_ +4SQ=dh_dHn_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj_r 49Shm=_.4c_SH Qhj=_.4c_4H_ 4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__d4r9m -S=4h_cHn__S4 -Qhj=__6UHQ -S4_=h._ccHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hr_jdS9 -m_=h4_cnHQ -Sj_=h4_cnH -_4S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRa)1_Y7p__CjH -_4Shm=_c.d_4H_ -jSQ=(h_U__HjQ -S4_=h(Hg_;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCHj_ -=Smhd_.c -_HS=Qjhd_.c__H4Q +O;sjRf:ljRNROEq.h7RHbsl1R)ap_7Yj_C_jH__S4 +m_=h._dcH +_4S=Qjhg_.6 +_HS=Q4hd_d.;_H +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_j__Hjm +S=.h_dHc_ +jSQ=.h_dHc__S4 +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl7j1_j7j_v.q__l#Jk_GNj._N_4H_ +=Smhj_d.__j4Q +Sj_=h4_UjHQ +S4_=h._g.Hs; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vq.J_#lNkG_Nj_. +_HShm=_.dj_Sj +Qhj=_.dj_4j_ +4SQ=_)Wj_jjHs; +R:fjjNRlOqERhR7.blsHRiBp_jjd_.]__Nj_.__H4m +S=nh_d__H4Q +Sj_=h4_UjHQ +S4_=h._gjHs; +R:fjjNRlOqERhR7.blsHRiBp_jjd_.]__Nj_. +_HShm=__ndHQ +Sj_=hnHd__S4 +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj__j4r9m +S=4h_6Hc__S4 +Qhj=_d.(_SH +Qh4=_6.(_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__jjr9m +S=4h_6Hc_ +jSQ=4h_6Hc__S4 +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__n4r9m +S=4h_6H.__S4 +Qhj=_n4n +4SQ=dh_.H(_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj_r +n9Shm=_.46_SH +Qhj=_.46_4H_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__4j_r +c9Shm=_U4c_4H_ +jSQ=4h_6S6 +Qh4=_j.(_ +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__cjr9m +S=4h_cHU_ +jSQ=4h_cHU__S4 +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__.4r9m +S=4h_cHc__S4 +Qhj=_646 +4SQ=.h_nHg_;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__.j_ +=Smhd_..__H.Q +Sj_=hd_jnHQ S41=)a;_O -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MnLjo_djj___N.4m -S=#bF_ OD\M3kno_L_jjd_S4 -QMj= _Xu1Buq -_OS=Q4qj1_d7j_js; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kno_L_jjd_Nj_.m -S=#bF_ OD\M3kno_L_jjd -jSQ=#bF_ OD\M3kno_L_jjd_S4 -QB4=pji_j7j_r;j9 -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)P_H_Nj_.__j4m -S=4h_.4c_ -jSQ=.h_nHc_ -4SQ=_)Wj_jjOs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)HjP___N.jm -S=4h_.Sc -Qhj=_c4._S4 -QM4= _Xu1Buq ;_H -fsRjR:jlENOR7qh.sRbHklRM_.4LsCs_Nj_. -_4Skm=M_.4LsCs_S4 -Qhj=_d46 -4SQ=qAtBji_jOj_;R -sfjj:ROlNEhRq7b.RsRHlk4M._sLCs__jNS. -mM=k.L4_C -ssS=Qjk4M._sLCs -_4S=Q4w_uz11 h ;_O -fsRjR:jlENOR7qh.sRbHklRM_.4V_bkOj#___N.4m +fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__Hjm +S=.h_dH._ +jSQ=.h_dH.__S4 +Qh4=_..d_.H_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__bCj__jNj.__S4 +m_=h._4g4Q +Sj_=hd_j6HQ +S4_=hd;6. +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjbjC__Nj_.__j.m +S=.h_4.g_ +jSQ=qeu_H7_ +4SQ=kOb_0C#_dHr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_b_jj___N.jm +S=.h_4Sg +Qhj=_g.4_S4 +Qh4=_g.4_ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MOg_Dj _jbj_C__jj._N_S4 +m_=h._4U4Q +Sj_=h4_66HQ +S4_=h4_ncHs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_b_jj___N..m +S=.h_4.U_ +jSQ=kOb_0C#_jHr9Q +S4b=Ok#_C0r_Hd +9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MOg_Dj _jbj_C__jj._N +=Smh4_.UQ +Sj_=h._4U4Q +S4_=h._4U.s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._N_44_r +d9Shm=_(dc_S4 +Qhj=_j4n_Sj +Qh4=_c4n_ +H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Nj_.__4.9rd +=Smhc_d( +_.S=Qje_vqQ_haHQ +S4u=eq__7Hs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._N_d4r9m +S=dh_cS( +Qhj=_(dc_S4 +Qh4=_(dc_ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_46__4H_ +=Smhd_4n__H4Q +Sj1=q_jjj_SH +Qh4=_c.j_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_46__SH +m_=h4_dnHQ +Sj_=h4_dnH +_4S=Q4hc_.6;_j +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__j49rd +=Smhc_4n__H4Q +Sj_=hd_dUHQ +S4_=hd_dgHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj9rd +=Smhc_4n +_HS=Qjhc_4n__H4Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcMd__N#j_dj8Hj__NH_. +_4Shm=_(.._S4 +Qqj=1d_jjj_7_SH +Qh4=_44n;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkMc#_N_jjd__8jH__HN.._ +=Smh._.( +_.S=Qjhg_4g +_HS=Q41qv_vqQt_HH_r;(9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcMd__N#j_dj8Hj__NH_. +_dShm=_(.._Sd +Qhj=_(.._S4 +Qh4=_(.._ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_dcNj#_d8j_j__HH._N +=Smh._.(Q +Sj_=h._.(dQ +S4 =MX1u_u qB_ +O;sjRf:ljRNROEq.h7RHbslMRk.V4_bOk_#__jNj.___N.4m S=.kM4b_Vk#_O_S4 -Qhj=_d46 -4SQ=qAtBji_jOj_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__Hj__S4 -m_=h._njH -_4S=Qjhd_4j -_HS=Q4hd_44;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \BpYB v_7q__6j__H.m -S=.h_nHj__S. -Qhj=_c.n_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__Hj_ -=Smhn_.j -_HS=Qjhn_.j__H4Q -S4_=h._njH;_. -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_4__H4m -S=.h_dHd__S4 -Qhj=__(nHQ -S4_=h(H(_;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH4__S. -m_=h._ddH -_.S=Qjh6_.4 -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRa)1_Y7p__C4Hm -S=.h_dHd_ -jSQ=.h_dHd__S4 -Qh4=_d.d_.H_;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__S4 +Qkj=M_.4LsCs_S4 +Qw4=u1z_ h1_ +H;sjRf:ljRNROEq.h7RHbslMRk.V4_bOk_#__jNj.__ +N.Skm=M_.4V_bkOS# +Qkj=M_.4V_bkO4#_ +4SQ=4h_nH4_;R +sfjj:ROlNEhRq7b.RsRHlk4M._sLCs__jNj.___N.4 +_jSkm=M_.4LsCs_j4_ +jSQ=.kM4C_Ls4s_ +4SQ=zwu_h1 1O _;R +sfjj:ROlNEhRq7b.RsRHlk4M._sLCs__jNj.__ +N.Skm=M_.4LsCs +jSQ=.kM4C_Ls4s__Sj +Qh4=_44n_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O B\3Y Bp_q7v_j6__jH__S4 +m_=h._d6H +_4S=Qjqj1_jHj_ +4SQ=.h_4Hn_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__Hj__.j_ +=Smhd_.6__H.Q +Sj_=h._4(HQ +S4_=h._c6js; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__jH +_jShm=_6.d_SH +Qhj=_6.d_4H_ +4SQ=.h_dH6__ +.;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q__HPj__jNj.__S4 +m_=h._6n4Q +Sj1=q_jjj_SH +QA4=tiqB_jjd_aQh_ +H;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q__HPj__jNj.__S. +m_=h._6n.Q +SjW=)_jjj_SO +QM4= _Xu1Buq ;_H +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)P_H_jj___N.jm +S=.h_6Sn +Qhj=_n.6_S4 +Qh4=_n.6_ +.;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH__S4 m_=h._d.H -_4S=Qjhc_.( -_HS=Q4hc_.U;_H -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__H.m -S=.h_dH.__S. -Qhj=_g.c_SH +_4S=Qjhj_.g +_HS=Q4h4_.4;_H +fsRjR:jlENOR7qh.sRbHklRM_4dOMHH_jH__Nj_. +_4Skm=M_4jOMHH_S4 +Qqj=]]Qt_.HrcS9 +Qq4=]]Qt_.Hr6 +9;sjRf:ljRNROEq.h7RHbslMRk4Od_H_HMH__jj._N_S. +mM=k4Oj_H_HM.Q +Sj]=qQ_t]Hnr.9Q +S4]=qQ_t]H(r.9s; +R:fjjNRlOqERhR7.blsHR4kMdH_OHHM__jj___N.dm +S=4kMjH_OHdM_ +jSQ=Qq]tH]_r9.U +4SQ=Qq]tH]_r9.g;R +sfjj:ROlNEhRq7b.RsRHlkdM4_HOHM__Hj__jNc._ +=SmkjM4_HOHM +_cS=Qjqt]Q]r_Hd +j9S=Q4qt]Q]r_Hd;49 +fsRjR:jlENOR7qh.sRbHklRM_4dOMHH_jH__Nj_. +_6Skm=M_4jOMHH_S6 +Qqj=_B7 m_7 Odr.9Q +S41=q_jjd__7jHs; +R:fjjNRlOqERhR7.blsHR4kMdH_OHHM__jj___N.nm +S=4kMjH_OHnM_ +jSQ=7q_ 7Bm r_O. +j9S=Q4q _7B m7_.Or4 +9;sjRf:ljRNROEq.h7RHbslMRk4Od_H_HMH__jj._N_S( +mM=k4Oj_H_HM(Q +SjM=k4Oj_H_HM4Q +S4M=k4Oj_H_HM.s; +R:fjjNRlOqERhR7.blsHR4kMdH_OHHM__jj___N.Um +S=4kMjH_OHUM_ +jSQ=4kMjH_OHdM_ +4SQ=4kMjH_OHcM_;R +sfjj:ROlNEhRq7b.RsRHlkdM4_HOHM__Hj__jNg._ +=SmkjM4_HOHM +_gS=QjkjM4_HOHM +_6S=Q4kjM4_HOHM;_n +fsRjR:jlENOR7qh.sRbHklRM_4dOMHH_jH__Nj_.j_4 +=SmkjM4_HOHMj_4 +jSQ=4kMjH_OH(M_ +4SQ=4kMjH_OHUM_;R +sfjj:ROlNEhRq7b.RsRHlkdM4_HOHM__Hj__jN4._4m +S=4kMjH_OH4M_4Q +SjM=k4Oj_H_HMgQ +S4_=q7m B7O _r9..;R +sfjj:ROlNEhRq7b.RsRHlkdM4_HOHM__Hj__jNS. +mM=k4Oj_H +HMS=QjkjM4_HOHMj_4 +4SQ=4kMjH_OH4M_4s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jj._F_j4r9m +S=4h_(H4__S4 +Qqj=1d_jjj_jjY_1hHB_ +4SQ=iBp_jjj_67r9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jj._F_j.r9m +S=4h_(H4__S. +QBj=pji_j7j__cHr9Q +S4 =MX1u_u qB_ +O;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH__Fj_.9rj +=Smh(_44 +_HS=Qjh(_44__H4Q +S4_=h4_(4H;_. +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcMd__N#j_dj8Hj__FH_. +_dShm=_44n_dH_ +jSQ=7q_ 7Bm r_H4 +U9S=Q4q _7B m7_4Hrg +9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_dcNj#_d8j_j__HH._F_Sc +m_=h4_n4H +_cS=Qjhn_44__H4Q +S4_=h4_n4H;_. +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcMd__N#j_dj8Hj__FH_.m +S=4h_nH4_ +jSQ=4h_nH4__Sc +Qh4=_44n_dH_;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH4__4j_ +=Smhd_.d__H4Q +Sj_=h._4dHQ +S4_=h._4cHs; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C4H__j.m +S=.h_dHd__S. +Qhj=_6.4_SH Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH._ -=Smhd_.. -_HS=Qjhd_..__H4Q -S4_=h._d.H;_. -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__HN4.__Sj -m_=h._c(4Q -Sj_=h._4jHQ -S4_=h.;dg -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__HNS. -m_=h. -c(S=Qjhc_.( -_4S=Q4)_1a7_pYH9r.;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH4___N.4 -_4Shm=__((4Q -Sj_=h._4jHQ -S41=)ap_7Yr_Hj -9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_NH_. -_4Shm=_ -((S=Qjh(_(_S4 -Q)4=17a_pHY_r;49 -fsRjR:jlENOR7qh.sRbH)lR a1 _amz_j.___N.j -_4Shm=__Ud4Q -Sj_=hgS4 -Qh4=_g.n_ -H;sjRf:ljRNROEq.h7RHbsl R)1_ am_za.__jNj._ -=Smhd_U -jSQ=Uh_d -_4S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdNc_#d_jjj_8_NH_.__jcm -S=4h_6cd_ -jSQ=4h_64d_ -4SQ=4h_6.d_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkMc#_N_jjd__8jH._N_6j_ -=Smh6_4d -_6S=Qjh6_4d -_dS=Q4wOB_r;j9 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcMd__N#j_dj8Hj___N.jm -S=4h_6Sd -Qhj=_d46_Sc -Qh4=_d46_ -6;sjRf:ljRNROEq.h7RHbslMRk4Oj_H_HMj._N_S4 -mM=k4Oj_H_HM4Q -Sj]=qQ_t]Hcr.9Q -S4]=qQ_t]H6r.9s; -R:fjjNRlOqERhR7.blsHR4kMjH_OHjM___N..m -S=4kMjH_OH.M_ -jSQ=Qq]tH]_r9.n -4SQ=Qq]tH]_r9.(;R -sfjj:ROlNEhRq7b.RsRHlkjM4_HOHM__jNd._ -=SmkjM4_HOHM -_dS=Qjqt]Q]r_H. -U9S=Q4qt]Q]r_H.;g9 -fsRjR:jlENOR7qh.sRbHklRM_4jOMHH_Nj_. -_cSkm=M_4jOMHH_Sc -Qqj=]]Qt_dHrjS9 -Qq4=]]Qt_dHr4 -9;sjRf:ljRNROEq.h7RHbslMRk4Oj_H_HMj._N_S6 -mM=k4Oj_H_HM6Q -Sj_=q7m B7O _r9.d -4SQ=_q1j_dj7Hj_;R -sfjj:ROlNEhRq7b.RsRHlkjM4_HOHM__jNn._ -=SmkjM4_HOHM -_nS=Qjq _7B m7_.OrjS9 -Qq4=_B7 m_7 O4r.9s; -R:fjjNRlOqERhR7.blsHR4kMjH_OHjM___N.(m -S=4kMjH_OH(M_ -jSQ=4kMjH_OH4M_ -4SQ=4kMjH_OH.M_;R -sfjj:ROlNEhRq7b.RsRHlkjM4_HOHM__jNU._ -=SmkjM4_HOHM -_US=QjkjM4_HOHM -_dS=Q4kjM4_HOHM;_c -fsRjR:jlENOR7qh.sRbHklRM_4jOMHH_Nj_. -_gSkm=M_4jOMHH_Sg -Qkj=M_4jOMHH_S6 -Qk4=M_4jOMHH_ -n;sjRf:ljRNROEq.h7RHbslMRk4Oj_H_HMj._N_ -4jSkm=M_4jOMHH_ -4jS=QjkjM4_HOHM -_(S=Q4kjM4_HOHM;_U -fsRjR:jlENOR7qh.sRbHklRM_4jOMHH_Nj_.4_4 -=SmkjM4_HOHM4_4 -jSQ=4kMjH_OHgM_ -4SQ=7q_ 7Bm r_O.;.9 -fsRjR:jlENOR7qh.sRbHklRM_4jOMHH_Nj_.m -S=4kMjH_OHSM -Qkj=M_4jOMHH_ -4jS=Q4kjM4_HOHM4_4;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___FdH._Fr -d9Shm=_j.c_Sj -Qhj=_j.c_4j_ -4SQ=Uh_g;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kjM4__#lNolHN -_4Sbm=FO#_D3 \kjM4__#lNolHN__H4Q -SjQ=1ZO __4Hr9Q -S4_=qOr_Hj -9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_4j#Nl_lNHo -=Smb_F#O\D 34kMjl_#_HNloHN_ -jSQ=#bF_ OD\M3k4#j_ll_NH_oNH -_4S=Q41 QZ_jOr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_b_Nj_d -_4Shm=_g.U_S4 -Qhj=_d.n_SH -Qh4=_4.g;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__bCjd_N_S. -m_=h._Ug.Q -Sjb=Ok#_C0r_HjS9 -QO4=bCk_#H0_r;49 -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjbjC__ -NdShm=_g.U -jSQ=.h_U4g_ -4SQ=.h_U.g_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__bCjd_N_4j_ -=Smhg_.j -_4S=Qjhn_.g -_HS=Q4hU_.j;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgO_D j_jjbjC___Ndj -_.Shm=_j.g_S. -Qhj=_4.g -4SQ=qeu_H7_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__bCjd_N_Sj -m_=h. -gjS=Qjhg_.j -_4S=Q4hg_.j;_. -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcM4_ OD_jjj__MCj._N_Nj_d -_4Sbm=FO#_D3 \kcM4_ OD_jjj__MC4Q -Sjb=Ok#_C09rd -4SQ=kOb_0C#_jHr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k4Oc_Dj _jMj_C__jNj.___Nd.m -S=#bF_ OD\M3k4Oc_Dj _jMj_C -_.S=QjO_bkC_#0H9r4 -4SQ=kOb_0C#_.Hr9s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k4Oc_Dj _jMj_C__jNj.__ -NdSbm=FO#_D3 \kcM4_ OD_jjj_ -MCS=Qjb_F#O\D 34kMcD_O j_jjC_M_S4 -Qb4=FO#_D3 \kcM4_ OD_jjj__MC.s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdNc_#d_jjj_8_NH_.__j4m -S=4h_64d_ -jSQ=_q1j_djHQ -S4_=q7m B7O _r94(;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkMc#_N_jjd__8jH._N_.j_ -=Smh6_4d -_.S=Qjq _7B m7_4HrnS9 -Qq4=_B7 m_7 HUr49s; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdNc_#d_jjj_8_NH_.__jdm -S=4h_6dd_ -jSQ=_wBO9r4 -4SQ=7q_ 7Bm r_H4;g9 +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH4__Sj +m_=h._ddHQ +Sj_=h._ddH +_4S=Q4hd_.d__H.s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.L4_o NO_jjd_0HM_HH___N.H._F_S4 +m_=h4_UjH +_4S=Qjqj1_jHj_ +4SQ=qAtBji_dQj_hHa_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM4o_LN_O j_djH_M0H__HNH.___F..m +S=4h_UHj__S. +Qhj=_6dc_SH +Qh4=_cd6;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM4o_LN_O j_djH_M0H__HNH.__ +F.Shm=_j4U_SH +Qhj=_j4U_4H_ +4SQ=4h_UHj__ +.;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_4j#Nl_lNHo_S4 +mF=b#D_O k\3M_4j#Nl_lNHo_4H_ +jSQ=Z1Q r_OjS9 +Qq4=_HO_r;j9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kjM4__#lNolHNm +S=#bF_ OD\M3k4#j_ll_NH_oNHQ +SjF=b#D_O k\3M_4j#Nl_lNHo_4H_ +4SQ=Z1Q __OH9r4;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj___F.49r. +=Smhg_4n__j4Q +Sj_=h4 +UUS=Q4hj_d6;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jF..r9m +S=4h_gjn_ +jSQ=4h_gjn__S4 +Q14=vv_qQrtqd +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Fj_.__j49rd +=SmhU_4U__H4Q +Sj =A)O)_ +4SQ=dh_cH(_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj___F.j9rd +=SmhU_4U +_HS=QjhU_4U__H4Q +S4_=hd_cUHs; +R:fjjNRlOQERhbeRsRHlhg_4_SH +m_=h4Hg_ +jSQ=4h_gs; +R:fjjNRlOQERhbeRsRHl)jW_j7j_v4q__SH +m_=hdSn +Qhj=__dnjs; +R:fjjNRlOQERhbeRsRHlhj_._SH +m_=h.Hj_ +jSQ=.h_js; +R:fjjNRlOQERhbeRsRHlq7j_v4q__SH +m_=hdS6 +Qhj=__d6js; +R:fjjNRlOQERhbeRsRHlh._._SH +m_=h.H._ +jSQ=.h_.s; +R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_H4_ +=Smhd_d +jSQ=dh_d;_j +fsRjR:jlENOReQhRHbsl_Rh.Hd_ +=Smhd_._SH +Qhj=_;.d fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]4 _HShm=_ d.S=Qjh._d_ -j;sjRf:ljRNROEQRheblsHR1p7_jjj_aQh_SH -m7=p1j_jjh_Qa -_HS=Qjp_71j_jjQ;ha -fsRjR:jlENOReQhRHbslMRk47_p1j_jjh_Qa -_HSkm=Mp4_7j1_jQj_hSa -Qkj=Mp4_7j1_jQj_hja_;R -sfjj:ROlNEhRQesRbHzlR7j1_jQj_hHa_ -=Smz_71j_jjQ_haHQ -Sj7=z1j_jjh_Qas; -R:fjjNRlOQERhbeRsRHlk_M4z_71j_jjQ_haHm -S=4kM_1z7_jjj_aQh -jSQ=4kM_1z7_jjj_aQh_ -j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_dcNj#_d8j_j__HF4._ -=Smhn_g_4j_ -jSQ=qAtBji_dQj_h7a_ -4SQ=qAtBji_dQj_h -a;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_dcNj#_d8j_j__HF.._ -=Smhn_g_.j_ -jSQ=_q1j_dj7Hj_ -4SQ=_1vqtvQq__HH9r(;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkMc#_N_jjd__8jH._F_Sd -m_=hgjn__Sd -Qhj=__gnj -_4S=Q4hn_g_.j_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkMc#_N_jjd__8jH._F -=Smhn_g_Sj -Qhj=__gnj -_dS=Q4Mu X_q1uBO _;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3.kM4o_LN_O j_djH_M0H__jF4._ -=Smb_F#O\D 3.kM4o_LN_O j_djH_M0H__jH -_4S=QjhU_(_SH -Qh4=_c.n_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_.4LOoN d_jjM_H0__Hj._F -=Smb_F#O\D 3.kM4o_LN_O j_djH_M0H__jHQ -SjF=b#D_O k\3M_.4LOoN d_jjM_H0__Hj__H4Q -S4F=b#D_O k\3M_4gLOoN d_jjM_H0s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M#__HH__jF4._r -j9Shm=__Ucj -_4S=QjB_pij_jj79rc -4SQ=iBp_jjj_H7_r;d9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj._F_j.r9m -S=Uh_c__j.Q -Sj1=q_jjd_jjj_h1YB -_HS=Q4Mu X_q1uBO _;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__Fj_.9rj -=Smhc_U_Sj -Qhj=__Ucj -_4S=Q4hc_U_.j_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___FdH._F_d4r9m -S=.h_cjj__S4 -QAj= _))OQ -S4_=hUHU_;R -sfjj:ROlNEhRQesRbHQlRuOp__jHr9m -S=pQu_HO_r -j9S=QjQ_upO9rj;R -sfjj:ROlNEhRQesRbHQlRu7p_j__jH9rj -=Smhg_c -jSQ=ch_g;_j -fsRjR:jlENOReQhRHbsl_Rhc -_HShm=_Hc_ -jSQ=ch_;R -sfjj:ROlNEhRQesRbHqlR1j_jjv_7q__4Hm -S=ch_cQ -Sj_=hcjc_;R -sfjj:ROlNEhRQesRbHhlR__4cHm -S=4h_c -_HS=Qjhc_4;R -sfjj:ROlNEhRQesRbHplR7j1_jQj_h4a__SH -m_=hcS4 -Qhj=__c4js; -R:fjjNRlOQERhbeRsRHlh6_4_SH -m_=h4H6_ -jSQ=4h_6s; -R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hB4 +j;sjRf:ljRNROEQRheblsHR.h_c +_HShm=__.cHQ +Sj_=h. +c;sjRf:ljRNROEQRheblsHR_Atj_jj4 _HShm=_ -cjS=Qjhj_c_ -j;sjRf:ljRNROEQRheblsHR4h_n -_HShm=__4nHQ -Sj_=h4 -n;sjRf:ljRNROEQRheblsHR_)Wj_jjQ_ha4 -_HShm=_ -dgS=Qjhg_d_ -j;sjRf:ljRNROEQRheblsHR4h_U -_HShm=__4UHQ -Sj_=h4 -U;sjRf:ljRNROEQRheblsHRqev_aQh_H4_ -=Smh(_d -jSQ=dh_(;_j -fsRjR:jlENOReQhRHbsl_Rh.H4_ -=Smh4_._SH -Qhj=_;.4 -fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa__4Hm -S=dh_cQ -Sj_=hdjc_;R -sfjj:ROlNEhRQesRbHhlR__.dHm -S=.h_d -_HS=Qjhd_.;R -sfjj:ROlNEhRQesRbHhlR_j.g_SH -m_=h._gjHQ -Sj_=h.;gj -fsRjR:jlENOReQhRHbslFRb#D_O k\3MOg_Dj _jbj_C__jHm -S=#bF_ OD\M3kgD_O j_jjC_b -jSQ=#bF_ OD\M3kgD_O j_jjC_b_ -j;sjRf:ljRNROEQRheblsHRkOb_0C#_H.__Fj_.r_HdS9 -m_=h. -UjS=QjhU_.j;_H -fsRjR:jlENOReQhRHbsl_RqOr_HjS9 -m_=qOr_HjS9 -Qqj=_jOr9s; -R:fjjNRlOQERhbeRsRHl1 QZ_HO_r -49S1m=Q_Z Or_H4S9 -Q1j=Q_Z O9r4;R -sfjj:ROlNEhRQesRbHhlR__.(Hm -S=.h_( -_HS=Qjh(_.;R -sfjj:ROlNEhRQesRbHQlRujp_d4j__.Hr9m -S=dh_jQ -Sj_=hdjj_;R -sfjj:ROlNEhRQesRbHhlR__.nHm -S=.h_n -_HS=Qjhn_.;R -sfjj:ROlNEhRQesRbHQlRujp_d4j__4Hr9m -S=.h_gQ -Sj_=h.jg_;R -sfjj:ROlNEhRQesRbHhlR__.6Hm -S=.h_6 -_HS=Qjh6_.;R -sfjj:ROlNEhRQesRbHQlRujp_d4j__jHr9m -S=.h_UQ -Sj_=h.jU_;R -sfjj:ROlNEhRQesRbHQlRuOp__.Hr9m -S=pQu_HO_r -.9S=QjQ_upO9r.;R -sfjj:ROlNEhRQesRbHQlRu7p_j__jH9r. -=Smh4_6 -jSQ=6h_4;_j -fsRjR:jlENOReQhRHbsluRQp__OH9r4 -=SmQ_upOr_H4S9 -QQj=uOp_r;49 -fsRjR:jlENOReQhRHbsluRQpj_7_Hj_r -49Shm=_ -6jS=Qjhj_6_ -j;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#H__jFj.__nHr9m -S=gh_(Q -Sj_=hgj(_;R -sfjj:ROlNEhRQesRbHBlRpji_d]j__H.___F.Hm -S=gh_UQ -Sj_=hgjU_;R -sfjj:ROlNEhRQesRbHhlR_..U_SH -m_=h._U.HQ -Sj_=h.;U. -fsRjR:jlENOReQhRHbsl_Rh._UcHm -S=.h_UHc_ -jSQ=.h_U -c;sjRf:ljRNROEQRheblsHR#bF_ OD\M3k4Oc_Dj _jMj_C -_HSbm=FO#_D3 \kcM4_ OD_jjj__MCHQ -SjF=b#D_O k\3M_4cO_D j_jjM -C;sjRf:ljRNROEQRheblsHR6kM_jC__SH -mM=k6 -_CS=Qjk_M6C;_j -fsRjR:jlENOReQhRHbsl_Rh._U6Hm -S=.h_UH6_ -jSQ=.h_U -6;sjRf:ljRNROEQRheblsHR.h_gH4_ -=Smhg_.4 -_HS=Qjhg_.4s; -R:fjjNRlOQERhbeRsRHlhg_.. -_HShm=_..g_SH -Qhj=_..g;R -sfjj:ROlNEhRQesRbHhlR__4(Hm -S=4h_( -_HS=Qjh(_4;R -sfjj:ROlNEhRQesRbHOlRbCk_#.0__jj__.Hr9m -S=kOb_0C#_..r9Q -Sjb=Ok#_C0__.j9r.;R -sfjj:ROlNEhRQesRbHhlR_n.U_SH -m_=h._UnHQ -Sj_=h.;Un -fsRjR:jlENOReQhRHbsl_Rh._UUHm -S=.h_UHU_ -jSQ=.h_U -U;sjRf:ljRNROEQRheblsHRkOb_0C#_j.__Hj_r -49SOm=bCk_#.0_r -49S=QjO_bkC_#0.r_j4 -9;sjRf:ljRNROEQRheblsHR.h_UHg_ -=SmhU_.g -_HS=QjhU_.gs; -R:fjjNRlOQERhbeRsRHlhd_4c -_HShm=_c4d_SH -Qhj=_c4d;R -sfjj:ROlNEhRQesRbHhlR_d46_SH -m_=h4_6dHQ -Sj_=h4;6d -fsRjR:jlENOReQhRHbslFRb#D_O k\3M_dcNj#_d8j_j__HHm -S=nh_(Q -Sj_=hnj(_;R -sfjj:ROlNEhRQesRbHBlRpji_juj_ __jFHd___F.H._F_SH -m_=h. -ndS=Qjhn_.d;_H -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH___F.H9rn -=Smhn_.6Q -Sj_=h._n6Hs; -R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBHm -S=_q1j_djj_jj1BYh_SH -Qqj=1d_jjj_jjY_1h -B;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M#__HH__jFH._r -j9Shm=_ -UcS=Qjhc_U_ -j;sjRf:ljRNROEQRheblsHRiBp_jjj_H7_r -.9SBm=pji_j7j__.Hr9Q -Sjp=Bij_jjr_7. -9;sjRf:ljRNROEQRheblsHR4h_cH4_ -=Smhc_44 -_HS=Qjhc_44s; -R:fjjNRlOQERhbeRsRHlk_M47j1_j j_hpqA __j#kJlGjN___F.Hm -S=4kM__71j_jj Ahqpj __l#Jk -GNS=Qjk_M47j1_j j_hpqA __j#kJlGHN_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \k4M._NLoOj _dHj_MH0__Fj_. -_HSbm=FO#_D3 \k4M._NLoOj _dHj_MH0__Sj -Qbj=FO#_D3 \k4M._NLoOj _dHj_MH0__Hj_;R -sfjj:ROlNEhRQesRbHhlR_n46_jH___F.H._F_SH -m_=h. -ngS=Qjhn_.g;_H -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH___F.H9rj -=Smhj_g -jSQ=gh_j;_H -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_jH___F.H9rc -=Smh(_.4Q -Sj_=h._(4js; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkMc#_N_jjd__8jH._F_SH -m_=hgSn -Qhj=__gnjs; -R:fjjNRlOQERhbeRsRHlh(_44 -_HShm=_44(_SH -Qhj=_44(;R -sfjj:ROlNEhRQesRbHhlR_44._SH -m_=h4_.4HQ -Sj_=h4;.4 -fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_#._JGlkN__HHm -S=.h_6S6 -Qhj=_6.6_ -j;sjRf:ljRNROEQRheblsHR4kM__1vqtvQq__j#kJlG4N__jj__SH -mM=k4v_1_Qqvtjq__l#Jk_GN4Q -SjM=k4v_1_Qqvtjq__l#Jk_GN4;_j -fsRjR:jlENOReQhRHbsl1Rq_jjd__7jj__jHm -S=ch_UQ -Sj_=hcjU_;R -sfjj:ROlNEhRQesRbHhlR_64._SH -m_=h4_.6HQ -Sj_=h4;.6 -fsRjR:jlENOReQhRHbsl_Rh4_.nHm -S=4h_.Hn_ -jSQ=4h_. -n;sjRf:ljRNROEQRheblsHR4h_.H(_ -=Smh._4( -_HS=Qjh._4(s; -R:fjjNRlOQERhbeRsRHlh._4U -_HShm=_U4._SH -Qhj=_U4.;R -sfjj:ROlNEhRQesRbH)lRW__OHm -S=_)WO -_HS=Qj)OW_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \)jW_jQj_h6a__Hj_ -=Smb_F#O\D 3_)Wj_jjQ_ha6Q -SjF=b#D_O )\3Wj_jjh_Qa__6js; -R:fjjNRlOQERhbeRsRHlh._4g -_HShm=_g4._SH -Qhj=_g4.;R -sfjj:ROlNEhRQesRbHklRM_4jOMHH_SH -mM=k4Oj_H_HMHQ -SjM=k4Oj_H;HM -fsRjR:jlENOReQhRHbslMRk4Od_H_HMH__jHm -S=.h_nS4 -Qhj=_4.n_ -j;sjRf:ljRNROEQRheblsHR_71j_jj Ahqp4 __l#Jk_GNH -_HShm=_ -n6S=Qjh6_n_ -j;sjRf:ljRNROEQRheblsHRUh_d -_HShm=__UdHQ -Sj_=hU -d;sjRf:ljRNROEQRheblsHR1) ma_z.a__Hj_ -=Smh6_6 -jSQ=6h_6;_j -fsRjR:jlENOReQhRHbsl_RhUHU_ -=SmhU_U_SH -Qhj=_;UU -fsRjR:jlENOReQhRHbsl_RhUHg_ -=Smhg_U_SH -Qhj=_;Ug -fsRjR:jlENOReQhRHbslvR1_Qqvt#q_s##0_FH_d__HFH._r -d9Shm=_j.c -jSQ=.h_cjj_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj._F_4Hr9m -S=.h_cSd -Qhj=_d.c_ -j;sjRf:ljRNROEQRheblsHRiBp_jjd_HO_ -=SmB_pij_djO -_HS=QjB_pij_djOs; -R:fjjNRlOQERhbeRsRHlqj1_j7j_v4q__l#Jk_GNH -_HShm=_c.6 -jSQ=.h_6jc_;R -sfjj:ROlNEhRQesRbHhlR_c44_SH -m_=h4_4cHQ -Sj_=h4;4c -fsRjR:jlENOReQhRHbsl_Rh4_46Hm -S=4h_4H6_ -jSQ=4h_4 -6;sjRf:ljRNROEQRheblsHR4h_4Hn_ -=Smh4_4n -_HS=Qjh4_4ns; -R:fjjNRlOQERhbeRsRHlh4_4( -_HShm=_(44_SH -Qhj=_(44;R -sfjj:ROlNEhRQesRbHhlR_U44_SH -m_=h4_4UHQ -Sj_=h4;4U -fsRjR:jlENOReQhRHbsl_Rh4_4gHm -S=4h_4Hg_ -jSQ=4h_4 -g;sjRf:ljRNROEQRheblsHR4h_.Hj_ -=Smh._4j -_HS=Qjh._4js; -R:fjjNRlOQERhbeRsRHlhc_.n -_HShm=_n.c_SH -Qhj=_n.c;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \1 QZ_q7v_jn__Hj_r -j9Sbm=FO#_D3 \1 QZ_q7v_jnr9Q -SjF=b#D_O 1\3Q_Z 7_vqnr_jj -9;sjRf:ljRNROEQRheblsHR.h_cH6_ -=Smhc_.6 -_HS=Qjhc_.6s; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3Z1Q v_7q__nj__jH9r4 -=Smb_F#O\D 3Z1Q v_7qr_n4S9 -Qbj=FO#_D3 \1 QZ_q7v_jn_r;49 -fsRjR:jlENOReQhRHbsl_RhgH4_ -=Smh4_g_SH -Qhj=_;g4 -fsRjR:jlENOReQhRHbslFRb#D_O k\3M_4gLOoN d_jjM_H0__Hjm -S=#bF_ OD\M3k4Lg_o NO_jjd_0HM -jSQ=#bF_ OD\M3k4Lg_o NO_jjd_0HM_ -H;sjRf:ljRNROEQRheblsHR_1vqtvQqs_##_0#Hd_F_.Hr9m -S=4h_nSd -Qhj=_d4n_ -j;sjRf:ljRNROEQRheblsHR6h_g -_HShm=__6gHQ -Sj_=h6 -g;sjRf:ljRNROEQRheblsHRnh_4 -_HShm=__n4HQ -Sj_=hn -4;sjRf:ljRNROEQRheblsHRnh_. -_HShm=__n.HQ -Sj_=hn -.;sjRf:ljRNROEQRheblsHRnh_c -_HShm=__ncHQ -Sj_=hn -c;sjRf:ljRNROEQRheblsHR.h_cHc_ -=Smhc_.c -_HS=Qjhc_.cs; -R:fjjNRlOQERhbeRsRHlhU_(_SH -m_=h(HU__Sj -Qhj=_;(U -fsRjR:jlENOReQhRHbsl_Rh(Hg_ -=Smhg_(_SH -Qhj=_;(g -fsRjR:jlENOReQhRHbsl_RhUH._ -=Smh._U_SH -Qhj=_;U. -fsRjR:jlENOReQhRHbsl_Rh(Hn_ -=Smhn_(_SH -Qhj=_;(n -fsRjR:jlENOReQhRHbsl_Rh4_d4Hm -S=4h_dH4_ -jSQ=4h_d -4;sjRf:ljRNROEQRheblsHR4h_dHj_ -=Smhd_4j -_HS=Qjhd_4js; -R:fjjNRlOQERhbeRsRHlh(_4j -_HShm=_j4(_SH -Qhj=_j4(;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \k_MnLOoN j_jj__jHm -S=#bF_ OD\M3kno_LN_O j -jjS=Qjb_F#O\D 3nkM_NLoOj _jjj_;R -sfjj:ROlNEhRQesRbHblRFO#_D3 \)jW_j7j_vdq__Hj_ -=Smb_F#O\D 3_)Wj_jj7_vqdQ -SjF=b#D_O )\3Wj_jjv_7q__djs; -R:fjjNRlOQERhbeRsRHlh._4d -_HShm=_d4._SH -Qhj=_d4.;R -sfjj:ROlNEhRQesRbHhlR_c4._SH -m_=h4_.cHQ -Sj_=h4;.c -fsRjR:jlENOReQhRHbslvRqQ_tqA_z17qqa_)7Q__HPj -_HSqm=vqQt_1Az_a7qqQ_7) -_OS=QjqtvQqz_A1q_7a7q_QO)__ -j;sjRf:ljRNROEQRheblsHR4h_.H._ -=Smh._4. -_HS=Qjh._4.s; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3_71j_jj7_vqcj_V_Hj_ -=Smb_F#O\D 3_71j_jj7_vqcQ -SjF=b#D_O 7\31j_jjv_7q__cjs; -R:fjjNRlOQERhbeRsRHlb_F#O\D 3Z1Q v_7q__nj__jFH._r -j9Shm=_..c -jSQ=.h_cH._;R -sfjj:ROlNEhRQesRbH)lR17a_pCY_.__HFj.__SH -m_=h. -dgS=Qjhd_.g;_H -fsRjR:jlENOReQhRHbsl_RhUH(_ -=Smh(_U_SH -Qhj=_;U( -fsRjR:jlENOReQhRHbsl1R)ap_7Y._C_FH_. -_HShm=_n.d -jSQ=.h_djn_;R -sfjj:ROlNEhRQesRbHhlR__4gHm -S=4h_g -_HS=Qjhg_4;R -sfjj:ROlNEhRQesRbH)lRWj_jjv_7q__4Hm -S=dh_nQ -Sj_=hdjn_;R -sfjj:ROlNEhRQesRbHhlR_HU_ -=Smh__UHQ -Sj_=hUs; -R:fjjNRlOQERhbeRsRHlABtqid_jjh_Qa__4Hm -S=ch_.Q -Sj_=hcj._;R -sfjj:ROlNEhRQesRbHhlR_Hd_ -=Smh__dHQ -Sj_=hds; -R:fjjNRlOQERhbeRsRHl7j1_j7j_v4q__SH -m_=hcS6 -Qhj=__c6js; -R:fjjNRlOQERhbeRsRHle_uqO -_HSem=uOq__SH -Qej=uOq_;R -sfjj:ROlNEhRQesRbHelRu7q__Hj_ -=Smh._6 -jSQ=6h_.;_j -fsRjR:jlENOReQhRHbslaR7q_BiO -_HS7m=aiqB_HO_ -jSQ=q7aBOi_;R -sfjj:ROlNEhRQesRbH7lRaiqB__7jj -_HShm=_ -6dS=Qjhd_6_ -j;sjRf:ljRNROEQRheblsHR.h_cHg_ -=Smhc_.g -_HS=Qjhc_.gs; -R:fjjNRlOQERhbeRsRHlhc_.U -_HShm=_U.c_SH -Qhj=_U.c;R -sfjj:ROlNEhRQesRbHhlR_(.c_SH -m_=h._c(HQ -Sj_=h.;c( -fsRjR:jlENOReQhRHbsl_Rh(H(_ -=Smh(_(_SH -Qhj=_;(( -fsRjR:jlENOReQhRHbsl_Rh._64Hm -S=.h_6H4_ -jSQ=.h_6 -4;sjRf:ljRNROEQRheblsHR_Atj_djO +d4S=Qjh4_d_ +j;sjRf:ljRNROEQRheblsHR_Atj_djO _HSAm=td_jj__OHQ Sjt=A_jjd_ O;sjRf:ljRNROEQRheblsHR#bF_ OD\M3kno_L_jjd_SH @@ -2203,1069 +1792,760 @@ _HS=Qjb_F#O\D 3nkM__Loj;dj fsRjR:jlENOReQhRHbslFRb#D_O k\3MLg_od_jj _HSbm=FO#_D3 \k_MgLjo_dSj Qbj=FO#_D3 \k_MgLjo_djj_;R -sfjj:ROlNEhRQesRbHhlR__.cHm -S=.h_c -_HS=Qjhc_.;R -sfjj:ROlNEhRQesRbHAlRtj_jj__4Hm -S=dh_4Q -Sj_=hdj4_;R -sfjj:ROlNEhRQesRbHhlR__..Hm -S=.h_. -_HS=Qjh._.;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vqp_mW4 -_HShm=_ -ddS=Qjhd_d_ -j;sjRf:ljRNROEQRheblsHR.h_j -_HShm=__.jHQ -Sj_=h. -j;sjRf:ljRNROEQRheblsHR_qj7_vq4 -_HShm=_ -d6S=Qjh6_d_ -j;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLg_od_jjm -S=#bF_ OD\M3kgo_L_jjd_Sj -QAj=td_jj__OHQ -S4F=b#D_O k\3MLn_od_jj;_H -fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haHm -S=qAtBji_dQj_hHa_ -jSQ=qAtBji_dQj_h -a;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qm_pW -_HSqm=vqQt_1Az_q hA_p 7_vqp_mWHQ -Sjv=qQ_tqA_z1 Ahqp7 _vpq_m -W;sjRf:ljRNROEq.h7RHbslMRk4l_NH_oNL_k#CLMNDDC_FSI -mM=k4l_NH_oNL_k#CLMNDDC_FSI -Qqj=vqQt_1Az_q hA_p 7_vqp_mWHQ -S4t=Aq_Bij_djQ_haHs; -R:fjjNRlOQERhbeRsRHlk_M4NolHNk_L#M_CNCLD_IDF_SH -mM=k4l_NH_oNL_k#CLMNDDC_FHI_ -jSQ=4kM_HNloLN_kC#_MDNLCF_DIs; -R:fjjNRlOQERhbeRsRHlk4M._kVb__O#Hm -S=.kM4b_Vk#_O_SH -Qkj=M_.4V_bkO -#;sjRf:ljRNROEq.h7RHbslaR7q_Bi7jj_ -=Smhd_6_Sj -Q7j=aiqB_HO_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsluReq__7jm -S=6h_. -_jS=Qj)_1aOQ -S4u=eq__OHs; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vq4m -S=ch_6 -_jS=Qjh__dHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHAlRtiqB_jjd_aQh_S4 -m_=hcj._ -jSQ=Uh__SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl)jW_j7j_v4q_ -=Smhn_d_Sj -Qhj=__4gHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHqlRjv_7q -_4Shm=__d6jQ -Sj_=h.Hj_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_m4W_ -=Smhd_d_Sj -Qhj=__..HQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHAlRtj_jj -_4Shm=__d4jQ -Sj_=h.Hc_ -4SQ=a)1_ -O;sjRf:ljRNROEQRheblsHR_Atj_jjj -3sSAm=tj_jj3_jk -MdS=Qjb_F#O\D 3gkM__Loj;dj -fsRjR:jlENOR7qh.sRbHAlRtj_jj3_jlm -S=_Atj_jjjM3k4Q -Sjt=A_jjd_SO -Qb4=FO#_D3 \k_MgLjo_d -j;sjRf:ljRNROEq.h7RHbsltRA_jjj_Mj3 -=SmAjt_jjj_3jkM -jSQ=_Atj_jjOQ -S4t=A_jjj_kj3M -d;sjRf:ljRNROEmR).blsHR_Atj_jjj -3bShm=_ -.cS=QjAjt_jjj_34kM -4SQ=_Atj_jjjM3kjs; -R:fjjNRlOQERhbeRsRHlhj_U_SH -m_=hUHj_ -jSQ=Uh_js; -R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_sj3 -=SmqtvQqz_A1h_ q Ap_q7v_Wpm_kj3MSd -Qhj=_;gc -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj -3lSqm=vqQt_1Az_q hA_p 7_vqp_mWjM3k4Q -Sjv=qQ_tqA_z1 Ahqp7 _vpq_mSW -Qh4=_;gc -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj -3MSqm=vqQt_1Az_q hA_p 7_vqp_mWjM3kjQ -Sj_=hUHj_ -4SQ=QqvtAq_z 1_hpqA v_7qm_pW3_jk;Md -fsRjR:jlENOR.m)RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3Sb -m_=h.S. -Qqj=vqQt_1Az_q hA_p 7_vqp_mWjM3k4Q -S4v=qQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM;R -sfjj:ROlNEhRQesRbHqlRjv_7q3_jsm -S=_qj7_vqjM3kdQ -Sj_=hg -c;sjRf:ljRNROEq.h7RHbsljRq_q7v_lj3 -=Smq7j_vjq_34kM -jSQ=_qj7 -vqS=Q4hc_g;R -sfjj:ROlNEhRq7b.RsRHlq7j_vjq_3SM -mj=q_q7v_kj3MSj -Qbj=FO#_D3 \q7j_vdq_ -4SQ=_qj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHlq7j_vjq_3Sb -m_=h.Sj -Qqj=jv_7q3_jk -M4S=Q4q7j_vjq_3jkM;R -sfjj:ROlNEhRQesRbH)lRWj_jjv_7q3_jsm -S=_)Wj_jj7_vqjM3kdQ -Sj_=hg -c;sjRf:ljRNROEq.h7RHbslWR)_jjj_q7v_lj3 -=Sm)jW_j7j_vjq_34kM -jSQ=_)Wj_jj7 -vqS=Q4hc_g;R -sfjj:ROlNEhRq7b.RsRHl)jW_j7j_vjq_3SM -mW=)_jjj_q7v_kj3MSj -Qbj=FO#_D3 \)jW_j7j_vdq_ -4SQ=_)Wj_jj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHl)jW_j7j_vjq_3Sb -m_=h4Sg -Q)j=Wj_jjv_7q3_jk -M4S=Q4)jW_j7j_vjq_3jkM;R -sfjj:ROlNEhRQesRbHAlRtiqB_jjd_aQh_sj3 -=SmABtqid_jjh_Qa3_jk -MdS=Qjb_F#O\D 3nkM_NLoOj _j -j;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_haj -3lSAm=tiqB_jjd_aQh_kj3MS4 -QAj=tiqB_jjj_SO -Qb4=FO#_D3 \k_MnLOoN j_jjs; -R:fjjNRlOqERhR7.blsHRqAtBji_dQj_hja_3SM -mt=Aq_Bij_djQ_hajM3kjQ -Sjt=Aq_Bij_djQ -haS=Q4ABtqid_jjh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbsltRAq_Bij_djQ_haj -3bShm=_SU -QAj=tiqB_jjd_aQh_kj3MS4 -QA4=tiqB_jjd_aQh_kj3M -j;sjRf:ljRNROEQRheblsHR_71j_jj7_vqj -3sS7m=1j_jjv_7q3_jk -MdS=Qjh6_.6s; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vqj -3lS7m=1j_jjv_7q3_jk -M4S=Qjb_F#O\D 3_71j_jj7_vqcQ -S4_=h.;66 -fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q3_jMm -S=_71j_jj7_vqjM3kjQ -Sj1=7_jjj_q7v -4SQ=_71j_jj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHl7j1_j7j_vjq_3Sb -m_=hdQ -Sj1=7_jjj_q7v_kj3MS4 -Q74=1j_jjv_7q3_jk;Mj -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \7j1_j7j_vcq___Vjj._N -=Smh._4.Q -Sj1=q_jjj_q7v -4SQ=_)Wj_jjHs; -R:fjjNRlOQERhbeRsRHlQ._.nm -S=_)Wj_jjHQ -SjW=)_jjj_ -O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q__HPj._N -=Smh._4dQ -Sjt=Aq_Bij_djQ -haS=Q4)jW_jHj_;R -sfjj:ROlNEhRQesRbHBlRY Bp_q7v_jHr9m -S=BBYp7 _vHq_r -j9S=QjBpYB v_7q9rj;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3BBYp7 _v6q__Hj__ -N.Shm=_j4d -jSQ=BBYp7 _vHq_r -j9S=Q4hn_.ds; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kno_LN_O j_jjj._N -=Smh(_4jQ -Sj1=q_jjj_SO -Qh4=_d.n_ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O 7\31j_jjv_7q__cVjj_ -=Smb_F#O\D 3_71j_jj7_vqc -_jS=Qjh._4. -_HS=Q4b_F#O\D 3.kM4o_LN_O j_djH_M0H__jHs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)HjP_ -=SmqtvQqz_A1q_7a7q_QO)__Sj -Qhj=_d4._SH -Qh4=_c4._ -H;sjRf:ljRNROEq.h7RHbslFRb#D_O )\3Wj_jjv_7q__djm -S=#bF_ OD\W3)_jjj_q7v_jd_ -jSQ=qAtBji_dQj_hHa_ -4SQ=_)Wj_jjHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kno_LN_O j_jjjm -S=#bF_ OD\M3kno_LN_O j_jjjQ -Sjt=Aq_Bij_jjOQ -S4_=h4_(jHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__4H._F -=Smhn_.c -_HS=Qjqj1_jHj_ -4SQ=qAtBji_dQj_hHa_;R -sfjj:ROlNEmRX)b.RsRHlb_F#O\D 3BBYp7 _v6q__H4__ -G.Shm=_(4j_SH -QBj=Y Bp_q7vr -49S=Q4hd_44s; -R:fjjNRlOqERhR7.blsHR4t_jS. -m_=h4 -d4S=QjBpYB v_7q9rj -4SQ=.h_nHd_;R -sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqj__43Ss -mQ=1Z7 _vjq__34_k -MdS=Qj1 QZ_q7v_#d_JGlkNs; -R:fjjNRlOqERhR7.blsHRZ1Q v_7q__j4l_3 -=Sm1 QZ_q7v_4j__M3k4Q -SjQ=1Z7 _v4qr9Q -S4Q=1Z7 _vdq__l#Jk;GN -fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__43SM -mQ=1Z7 _vjq__34_k -MjS=Qjb_F#O\D 3Z1Q v_7qr_n4S9 -Q14=Q_Z 7_vqj__43dkM;R -sfjj:ROlNE)Rm.sRbH1lRQ_Z 7_vqj__43Sb -m_=h(Q -SjQ=1Z7 _vjq__34_k -M4S=Q41 QZ_q7v_4j__M3kjs; -R:fjjNRlOQERhbeRsRHl1 QZ_q7v_jj__ -3sS1m=Q_Z 7_vqj__j3dkM -jSQ=Z1Q v_7q__d#kJlG -N;sjRf:ljRNROEq.h7RHbslQR1Z7 _vjq__3j_lm -S=Z1Q v_7q__jjk_3MS4 -Q1j=Q_Z 7rvqjS9 -Q14=Q_Z 7_vqdJ_#lNkG;R -sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_jj__ -3MS1m=Q_Z 7_vqj__j3jkM -jSQ=#bF_ OD\Q31Z7 _vnq_r -j9S=Q41 QZ_q7v_jj__M3kds; -R:fjjNRlOmER)b.RsRHl1 QZ_q7v_jj__ -3bShm=_Sn -Q1j=Q_Z 7_vqj__j34kM -4SQ=Z1Q v_7q__jjk_3M -j;sjRf:ljRNROEq.h7RHbslFRb#D_O q\3vqQt_1Az_q hA_p 7_vqp_mWd__HNS. -m_=hUSj -Qqj=_4Or9Q -S4t=Aq_Bij_djQ_haHs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\j3q_q7v_jd__ -N.Sbm=FO#_D3 \q7j_vdq_ -jSQ=qAtBji_dQj_hHa_ -4SQ=1z7_jjj_ -O;sjRf:ljRNROEq.h7RHbslQR1Z7 _vdq__l#Jk_GNj._N -=Sm1 QZ_q7v_#d_JGlkNQ -Sj_=hgSc -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.___N.dm -S=Uh_(Q -Sj_=h._4jHQ -S4_=h._ngHs; -R:fjjNRlOqERhR7.blsHR1) ma_z4a__l#Jk_GNH__j4_4(j._N -=Smh4_g -jSQ=.h_dHg_ -4SQ=a)1_Y7pr;.9 -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__HNc._ -=Smh._g -jSQ=.h_nSg -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 36kM_NLoOj _dHj_M80__jH__ -N.Shm=_ -gcS=QjABtqid_jjh_QaQ -S4t=Aq_Bij_djQ_ha7s; -R:fjjNRlOqERhR7.blsHR1) ma_z4a__l#Jk_GNH__j4_4(jm -S=.h_4Hj_ -jSQ=gh_4 -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj_r -49Sbm=FO#_D3 \1 QZ_q7v_jn_r -49S=Qjhc_.6 -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj_r -j9Sbm=FO#_D3 \1 QZ_q7v_jn_r -j9S=Qjhc_.n -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRa)1_Y7p__C.H._F -=Smhd_.n -_jS=Qjh(_U_SH -Q)4=1Oa_;R -sfjj:ROlNEhRQesRbH)lR17a_pHY_r -j9S)m=17a_pHY_r -j9S=Qj)_1a7rpYj -9;sjRf:ljRNROEQRheblsHRa)1_Y7p_4Hr9m -S=a)1_Y7p_4Hr9Q -Sj1=)ap_7Y9r4;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.___F.jm -S=.h_dHg_ -jSQ=a)1_Y7pr -j9S=Q4)_1a7rpY4 -9;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jj._Fr -j9Shm=_..c_SH -Qpj=7j1_jHj_ -4SQ=1z7_jjj_ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_d9r. -=Smhn_4d -_jS=QjhU_6 -4SQ=_1vqtvQq9rd;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r. -=Sm1qv_vqQt_.Hr9Q -Sjv=1_Qqvt.qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#Hc_Nr -.9Shm=_44( -jSQ=4h_nSd -Q14=vv_qQ_tqH9r.;R -sfjj:ROlNEhRQesRbHQlR_(.. -=Smp_71j_jjHQ +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3dkMc#_N_jjd__8jH__HF4._ +=Smhn_44__H4Q +SjB=w_jOr9Q +S4B=w_4Or9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kdNc_#d_jjj_8_HH___F..m +S=4h_nH4__S. +Qqj=_B7 m_7 O(r49Q +S4_=q7m B7H _r94n;R +sfjj:ROlNEhRQesRbHhlR_j.(_SH +m_=h._(jHQ +Sj_=h.;(j +fsRjR:jlENOReQhRHbsl_Rh._ngHm +S=.h_nHg_ +jSQ=.h_n +g;sjRf:ljRNROEQRheblsHR.h_nH(_ +=Smhn_.( +_HS=Qjhn_.(s; +R:fjjNRlOQERhbeRsRHlh._dc +_HShm=_cd._SH +Qhj=_cd.;R +sfjj:ROlNEhRQesRbHklRM14_vv_qQ_tqjJ_#lNkG_j4__H4_ +=Smk_M41qv_vqQt_#j_JGlkN +_4S=Qjk_M41qv_vqQt_#j_JGlkN__4js; +R:fjjNRlOQERhbeRsRHlh4_dg +_HShm=_gd4_SH +Qhj=_gd4;R +sfjj:ROlNEhRQesRbHhlR_jd._SH +m_=hd_.jHQ +Sj_=hd;.j +fsRjR:jlENOReQhRHbslWR)_HO_ +=Sm)OW__SH +Q)j=W;_O +fsRjR:jlENOReQhRHbslFRb#D_O )\3Wj_jjh_Qa__6j__jHm +S=#bF_ OD\W3)_jjj_aQh_S6 +Qbj=FO#_D3 \)jW_jQj_h6a__ +j;sjRf:ljRNROEQRheblsHR.h_.H(_ +=Smh._.( +_HS=Qjh._.(s; +R:fjjNRlOQERhbeRsRHlb_F#O\D 3dkMc#_N_jjd__8jH__HHm +S=.h_gS( +Qhj=_(.g_ +j;sjRf:ljRNROEQRheblsHR4h_6 +_HShm=__46HQ +Sj_=h4 +6;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_H4_ +=Smhj_c +jSQ=ch_j;_j +fsRjR:jlENOReQhRHbsl_Rh4Hn_ +=Smhn_4_SH +Qhj=_;4n +fsRjR:jlENOReQhRHbslWR)_jjj_aQh_H4_ +=Smhg_d +jSQ=dh_g;_j +fsRjR:jlENOReQhRHbsl_Rhd_dgHm +S=dh_dHg_ +jSQ=dh_d +g;sjRf:ljRNROEQRheblsHRdh_dH6_ +=Smhd_d6 +_HS=Qjhd_d6s; +R:fjjNRlOQERhbeRsRHlhd_dn +_HShm=_ndd_SH +Qhj=_ndd;R +sfjj:ROlNEhRQesRbHhlR_cdd_SH +m_=hd_dcHQ +Sj_=hd;dc +fsRjR:jlENOReQhRHbslFRb#D_O 1\3Q_Z 7_vqn__jj__jH9r4 +=Smb_F#O\D 3Z1Q v_7qr_n4S9 +Qbj=FO#_D3 \1 QZ_q7v_jn_r;49 +fsRjR:jlENOReQhRHbsl_Rhd_ddHm +S=dh_dHd_ +jSQ=dh_d +d;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj__Hj_r +j9Sbm=FO#_D3 \1 QZ_q7v_jnr9Q +SjF=b#D_O 1\3Q_Z 7_vqnr_jj +9;sjRf:ljRNROEQRheblsHR.h_gH6_ +=Smhg_.6 +_HS=Qjhg_.6s; +R:fjjNRlOQERhbeRsRHlhd_d. +_HShm=_.dd_SH +Qhj=_.dd;R +sfjj:ROlNEhRQesRbHhlR_..g_SH +m_=h._g.HQ +Sj_=h.;g. +fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_#._JGlkN__jNH.__SH +m_=hd +j.S=Qjhj_d.;_j +fsRjR:jlENOReQhRHbslFRb#D_O )\3Wj_jjv_7q__dH._N_HH_ +=Smhj_djQ +Sj_=hd_jjjs; +R:fjjNRlOQERhbeRsRHlhg_.j +_HShm=_j.g_SH +Qhj=_j.g;R +sfjj:ROlNEhRQesRbHhlR_d.(_SH +m_=h._(dHQ +Sj_=h.;(d +fsRjR:jlENOReQhRHbsl_Rhd_.(Hm +S=dh_.H(_ +jSQ=dh_. +(;sjRf:ljRNROEQRheblsHR.h_4Hd_ +=Smh4_.d +_HS=Qjh4_.ds; +R:fjjNRlOQERhbeRsRHlh4_.c +_HShm=_c.4_SH +Qhj=_c.4;R +sfjj:ROlNEhRQesRbHhlR_6.4_SH +m_=h._46HQ +Sj_=h.;46 +fsRjR:jlENOReQhRHbslFRb#D_O k\3ML6_o NO_jjd_0HM_H8__Nj_.__HFH._ +=Smhg_4gQ +Sj_=h4_ggHs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__jj___F.H9rn +=Smhg_44Q +Sj_=h4_g4js; +R:fjjNRlOQERhbeRsRHlh(_.6 +_HShm=_6.(_SH +Qhj=_6.(;R +sfjj:ROlNEhRQesRbHplR7j1_jOj__SH +m7=p1j_jj__OHQ Sj7=p1j_jj;_O -fsRjR:jlENOReQhRHbsl_RQ. -.USzm=7j1_jHj_ +fsRjR:jlENOReQhRHbsl7Rz1j_jj__OHm +S=1z7_jjj_HO_ jSQ=1z7_jjj_ -O;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_4gLOoN d_jjM_H0m -S=#bF_ OD\M3k4Lg_o NO_jjd_0HM_SH -Qpj=7j1_jOj_ -4SQ=1z7_jjj_ -O;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jj._Nr -49Shm=_6.c -jSQ=qAtBji_dQj_hHa_ -4SQ=.h_cH._;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jNj.r9m -S=.h_cSn -QAj=tiqB_jjd_aQh_SH -Qh4=_..c;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.___N.jm -S=.h_cSU +O;sjRf:ljRNROEQRheblsHR#bF_ OD\Q31Z7 _vnq__jj__Fj_.r_HjS9 +m_=h4 +UcS=QjhU_4c;_H +fsRjR:jlENOReQhRHbslpRBij_jj__7H9rc +=SmB_pij_jj7r_HcS9 +QBj=pji_j7j_r;c9 +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#H#__jH__Fj_.r_HjS9 +m_=h4 +(4S=Qjh(_44;_H +fsRjR:jlENOReQhRHbsl1Rq_jjd_jjj_h1YB +_HSqm=1d_jjj_jjY_1hHB_ +jSQ=_q1j_djj_jj1BYh;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \kcMd__N#j_dj8Hj__FH_. +_HShm=_44n +jSQ=4h_nH4_;R +sfjj:ROlNEhRQesRbH1lRQ_Z 7_vqdJ_#lNkG_Nj_.__HHm +S=4h_4Sd +Qhj=_d44_ +j;sjRf:ljRNROEQRheblsHRdh_dHU_ +=Smhd_dU +_HS=Qjhd_dUs; +R:fjjNRlOQERhbeRsRHl1 QZ_HO_r +49S1m=Q_Z Or_H4S9 +Q1j=Q_Z O9r4;R +sfjj:ROlNEhRQesRbHklRM_4jOMHH_SH +mM=k4Oj_H_HMHQ +SjM=k4Oj_H;HM +fsRjR:jlENOReQhRHbslMRk4Od_H_HMH__jj +_HShm=_j.n +jSQ=.h_njj_;R +sfjj:ROlNEhRQesRbHhlR_g.._SH +m_=h._.gHQ +Sj_=h.;.g +fsRjR:jlENOReQhRHbsl_Rh._djHm +S=.h_dHj_ +jSQ=.h_d +j;sjRf:ljRNROEQRheblsHR1) ma_z.a__HH__SH +m_=h. +gUS=Qjhg_.U;_j +fsRjR:jlENOReQhRHbsl1Rq_jjd__7jj__jj +_HShm=_ +cUS=QjhU_c_ +j;sjRf:ljRNROEQRheblsHRdh_cH6_ +=Smhc_d6 +_HS=Qjhc_d6s; +R:fjjNRlOQERhbeRsRHlhc_dg +_HShm=_gdc_SH +Qhj=_gdc;R +sfjj:ROlNEhRQesRbHklRM74_1j_jjh_ q Ap_#j_JGlkN__jFj.___F.Hm +S=4kM__71j_jj Ahqpj __l#Jk +GNS=Qjk_M47j1_j j_hpqA __j#kJlGHN_;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \k4M._NLoOj _dHj_MH0__NH_.__HFH._ +=SmhU_4jQ +Sj_=h4_UjHs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__jj___F.H9rd +=SmhU_44Q +Sj_=h4_U4Hs; +R:fjjNRlOQERhbeRsRHlh._dn +_HShm=_nd._SH +Qhj=_nd.;R +sfjj:ROlNEhRQesRbH)lR17a_pCY_.__Hj._F_Hj_ +=Smhn_4dQ +Sj_=h4_ndHs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__jj___F.H9rc +=Smhg_4(Q +Sj_=h4_g(js; +R:fjjNRlOQERhbeRsRHlh__dHm +S=dh__SH Qhj=_ -g4S=Q4hd_.ns; -R:fjjNRlOQERhbeRsRHl)_1a7_pYH9r. -=Sm)_1a7_pYH9r. -jSQ=a)1_Y7pr;.9 -fsRjR:jlENOR7qh.sRbH)lR17a_pCY_.__HN4._ -=Smhc_.gQ -Sj_=h. -ngS=Q4)_1a7_pYH9r.;R -sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH4__ -N.Shm=_4.6 -jSQ=.h_dSn -Qh4=_g.d_ -H;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y4_C_NH_. -_jShm=_ -(nS=Qjh._g -4SQ=a)1_Y7p_4Hr9s; -R:fjjNRlOqERhR7.blsHRa)1_Y7p__CjH._N -=SmhU_( -jSQ=.h_dSn -Q)4=17a_pjYr9s; -R:fjjNRlOqERhR7.blsHRa)1_Y7p__CjH._N_Sj -m_=h(Sg -Qhj=_ -g.S=Q4)_1a7_pYH9rj;R -sfjj:ROlNEhRq7b.RsRHl) 1az_ma__.j._N -=Smh._U -jSQ=1) ma_zSa -Q)4=1Oa_;R -sfjj:ROlNEhRQesRbHqlR_4Hr9m -S=Hq_r -49S=Qjqr_O4 -9;sjRf:ljRNROEq.h7RHbslFRb#D_O q\3vqQt_1Az_q hA_p 7_vq]]Qt_Hd__ -N.Shm=_ -U4S=Qjqr_H4S9 -QA4=tiqB_jjd_aQh_ -H;sjRf:ljRNROEQRheblsHR4h_4Hj_ -=Smh4_4j -_HS=Qjh4_4js; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jNd.r9m -S=.h_cSc -Qhj=_j44_SH -Q14=vv_qQ_tqH9rd;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Nj_.r_j4S9 -m_=hnSc -Qhj=__U6HQ -S4v=1_QqvtHq_r;.9 -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -49S1m=vv_qQ_tqH9r4 -jSQ=_1vqtvQq9r4;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Nj_.9r4 -=Smh._n -jSQ=.h_cSd -Q14=vv_qQ_tqH9r4;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__jNj._r -j9Shm=_ -n4S=Qjhn_.g -_HS=Q4O_bkCr#0j -9;sjRf:ljRNROEQRheblsHRkOb_0C#_jHr9m -S=kOb_0C#_jHr9Q -Sjb=Ok#_C09rj;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__jNj.r9m -S=6h_gQ -Sj_=h. -ngS=Q4O_bkC_#0H9rj;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#___FdH._Nr -d9Shm=_ -6US=Qjhc_.jQ -S4_=h._ngHs; -R:fjjNRlOQERhbeRsRHlO_bkC_#0H9r4 -=SmO_bkC_#0H9r4 -jSQ=kOb_0C#r;49 -fsRjR:jlENOReQhRHbsl_Rh6HU_ -=SmhU_6_SH -Qhj=_;6U -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -d9S1m=vv_qQ_tqH9rd -jSQ=_1vqtvQq9rd;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqHr_H(S9 -mv=1_QqvtHq__(Hr9Q -Sjv=1_QqvtHq_r;(9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj._Nr -j9Shm=_n44 -jSQ=Uh_cQ -S4v=1_QqvtHq__(Hr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jNj._r -j9Shm=_644 -jSQ=.h_nHd_ -4SQ=_1vqtvQqr_H4 -9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HjS9 -mv=1_QqvtHq_r -j9S=Qj1qv_vqQtr;j9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj._Nr -j9Shm=_c44 -jSQ=gh_jQ -S4v=1_QqvtHq_r;j9 -fsRjR:jlENOReQhRHbsl1Rq_jjd__7jHm -S=_q1j_dj7Hj_ -jSQ=_q1j_dj7 -j;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p jJ_#lNkG_Fj_d__HFH.__ -N.Shm=_j44 -jSQ=.h_nHd_ -4SQ=_1vqtvQq9rc;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Fj_.9r4 -=Smhc_.d -_jS=Qjhn_.d -_HS=Q41qv_vqQtr;.9 -fsRjR:jlENOR7qh.sRbH)lR a1 _amz_j._ -=Smh6_6_Sj -Qhj=__U.HQ -S4_=hUHd_;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0jr_jjS9 -m_=h._.nHQ -Sj_=h6Hg_ -4SQ=nh_4;_H -fsRjR:jlENOReQhRHbslaR7q_Bi7Hj_ -=Sm7Baqij_7_SH -Q7j=aiqB_;7j -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFHd___N.49rd -=Smhg_U -jSQ=q7aB7i_j -_HS=Q4e_uq7s; +d;sjRf:ljRNROEQRheblsHR_71j_jj7_vq4 +_HShm=_ +c6S=Qjh6_c_ +j;sjRf:ljRNROEQRheblsHRch__SH +m_=hc +_HS=Qjh;_c +fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_H4_ +=Smhc_c +jSQ=ch_c;_j +fsRjR:jlENOReQhRHbsl_RhU +_HShm=_HU_ +jSQ=Uh_;R +sfjj:ROlNEhRQesRbHAlRtiqB_jjd_aQh_H4_ +=Smh._c +jSQ=ch_.;_j +fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa +_HSzm=7j1_jQj_hHa_ +jSQ=1z7_jjj_aQh;R +sfjj:ROlNEhRQesRbHklRMz4_7j1_jQj_hHa_ +=Smk_M4z_71j_jjQ +haS=Qjk_M4z_71j_jjQ_hajs; +R:fjjNRlOQERhbeRsRHlp_71j_jjQ_haHm +S=1p7_jjj_aQh_SH +Qpj=7j1_jQj_h +a;sjRf:ljRNROEQRheblsHR4kM_1p7_jjj_aQh_SH +mM=k47_p1j_jjh_QaQ +SjM=k47_p1j_jjh_Qa;_j +fsRjR:jlENOReQhRHbsl_Rh.H4_ +=Smh4_._SH +Qhj=_;.4 +fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa__4Hm +S=dh_cQ +Sj_=hdjc_;R +sfjj:ROlNEhRQesRbHhlR__4cHm +S=4h_c +_HS=Qjhc_4;R +sfjj:ROlNEhRQesRbHplR7j1_jQj_h4a__SH +m_=hcS4 +Qhj=__c4js; +R:fjjNRlOQERhbeRsRHlq__OH9rj +=Smq__OH9rj +jSQ=Oq_r;j9 +fsRjR:jlENOReQhRHbsl_Rh._4(Hm +S=.h_4H(_ +jSQ=.h_4 +(;sjRf:ljRNROEQRheblsHR.h_4Hn_ +=Smh4_.n +_HS=Qjh4_.ns; +R:fjjNRlOQERhbeRsRHlB_pij_djO +_HSBm=pji_dOj__SH +QBj=pji_dOj_;R +sfjj:ROlNEhRQesRbHBlRpji_d]j__j.___N.H._F_SH +m_=h4 +gUS=Qjhg_4U;_j +fsRjR:jlENOReQhRHbslMRk4v_1_Qqvtjq__l#Jk_GN4__j4._F_SH +m_=h4 +nnS=Qjhn_4n;_H +fsRjR:jlENOReQhRHbsl_Rh._n4H__jFH._ +=Smh6_46Q +Sj_=h4_66Hs; +R:fjjNRlOQERhbeRsRHlqj1_j7j_v4q__l#Jk_GNj._N_HH_ +=Smhj_ddQ +Sj_=hd_jdjs; +R:fjjNRlOQERhbeRsRHlhg_.4 +_HShm=_4.g_SH +Qhj=_4.g;R +sfjj:ROlNEhRQesRbHblRFO#_D3 \7j1_j7j_vcq___VjH._N_HH_ +=Smhj_d4Q +Sj_=hd_j4js; +R:fjjNRlOQERhbeRsRHlh6_.n +_HShm=_n.6_SH +Qhj=_n.6;R +sfjj:ROlNEhRQesRbHhlR_U.c_SH +m_=h._cUHQ +Sj_=h.;cU +fsRjR:jlENOReQhRHbslvRqQ_tqA_z17qqa_)7Q__HPj__jHm +S=QqvtAq_z71_q_aq7_Q)OQ +Sjv=qQ_tqA_z17qqa_)7Q_jO_;R +sfjj:ROlNEhRQesRbHhlR_dd6_SH +m_=hd_6dHQ +Sj_=hd;6d +fsRjR:jlENOReQhRHbslFRb#D_O k\3MLn_o NO_jjj_jj__SH +mF=b#D_O k\3MLn_o NO_jjj +jSQ=#bF_ OD\M3kno_LN_O j_jjjs; +R:fjjNRlOQERhbeRsRHl7j1_j j_hpqA __4#kJlGHN__Hj_ +=Smh6_n +jSQ=nh_6;_j +fsRjR:jlENOReQhRHbsl1R)ap_7Y._C_jH___F.Hm +S=4h_6Sg +Qhj=_g46_ +j;sjRf:ljRNROEQRheblsHRiBp_jjj__h jd_F_NH_.__jFH.___F.Hm +S=dh_jS6 +Qhj=_6dj_ +H;sjRf:ljRNROEQRheblsHR.h_.H6_ +=Smh._.6 +_HS=Qjh._.6s; +R:fjjNRlOQERhbeRsRHlh._.c +_HShm=_c.._SH +Qhj=_c..;R +sfjj:ROlNEhRQesRbHhlR_.d6_SH +m_=hd_6.HQ +Sj_=hd;6. +fsRjR:jlENOReQhRHbslbROk#_C0__.j__jjr_H.S9 +mb=Ok#_C0r_..S9 +QOj=bCk_#.0__.jr9s; +R:fjjNRlOQERhbeRsRHlh._.j +_HShm=_j.._SH +Qhj=_j..;R +sfjj:ROlNEhRQesRbHhlR_4.._SH +m_=h._.4HQ +Sj_=h.;.4 +fsRjR:jlENOReQhRHbslbROk#_C0__.j__jjr_H4S9 +mb=Ok#_C0r_.4S9 +QOj=bCk_#.0__4jr9s; +R:fjjNRlOQERhbeRsRHlh4_.g +_HShm=_g.4_SH +Qhj=_g.4;R +sfjj:ROlNEhRQesRbHhlR_U.4_SH +m_=h._4UHQ +Sj_=h.;4U +fsRjR:jlENOReQhRHbslFRb#D_O k\3MOg_Dj _jbj_C__jj +_HSbm=FO#_D3 \k_MgO_D j_jjbSC +Qbj=FO#_D3 \k_MgO_D j_jjbjC_;R +sfjj:ROlNEhRQesRbHBlRpji_j7j__.Hr9m +S=iBp_jjj_H7_r +.9S=QjB_pij_jj79r.;R +sfjj:ROlNEhRQesRbHhlR__4UHm +S=4h_U +_HS=QjhU_4;R +sfjj:ROlNEhRQesRbHelRvQq_h4a__SH +m_=hdS( +Qhj=__d(js; R:fjjNRlOQERhbeRsRHle_vqQ_haHm S=qev_aQh_SH Qej=vQq_h -a;sjRf:ljRNROEQRheblsHRqeu_H7_ -=Sme_uq7 -_HS=Qje_uq7s; -R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA v_7qQ_]tj]__l#Jk_GNj._N -=SmqtvQqz_A1h_ q Ap_q7v_t]Q]__j#kJlGSN -QAj=tiqB_jjd_aQh_SH +a;sjRf:ljRNROEQRheblsHRdh_cH(_ +=Smhc_d( +_HS=Qjhc_d(s; +R:fjjNRlOQERhbeRsRHlhc_dU +_HShm=_Udc_SH +Qhj=_Udc;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj__jFj.__dHr9m +S=4h_USU +Qhj=_U4U_ +H;sjRf:ljRNROEQRheblsHR#bF_ OD\Y3BB_p 7_vq6__jH__jFH._ +=Smhc_.6Q +Sj_=h._c6js; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__jj___F.H9r4 +=Smhg_4cQ +Sj_=h4_gcjs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_##s0H#__jj___F.H9r. +=Smhg_4nQ +Sj_=h4_gnjs; +R:fjjNRlOQERhbeRsRHlh4_.4 +_HShm=_4.4_SH +Qhj=_4.4;R +sfjj:ROlNEhRQesRbHhlR_g.j_SH +m_=h._jgHQ +Sj_=h.;jg +fsRjR:jlENOReQhRHbsl_Rhd_jnHm +S=dh_jHn_ +jSQ=dh_j +n;sjRf:ljRNROEQRheblsHR6kM_jC__FH_.__jHm +S=4h_gSd +Qhj=_d4g_ +j;sjRf:ljRNROEQRheblsHR6kM_jC__FH_. +_HShm=_j4g +jSQ=4h_gjj_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tq#0s##__Hj__jFH._r +j9Shm=_d4U +jSQ=4h_UHd_;R +sfjj:ROlNEhRQesRbHOlRbCk_#.0__jj__Fj_.r_H.S9 +m_=h4 +n.S=Qjhn_4.;_H +fsRjR:jlENOReQhRHbsl_Rhd_cnHm +S=dh_cHn_ +jSQ=dh_c +n;sjRf:ljRNROEQRheblsHRpQu_HO_r +.9SQm=uOp__.Hr9Q +Sju=Qpr_O. +9;sjRf:ljRNROEQRheblsHRpQu__7jjr_H.S9 +m_=h6S4 +Qhj=__64js; +R:fjjNRlOQERhbeRsRHlh6_._SH +m_=h.H6_ +jSQ=.h_6s; +R:fjjNRlOQERhbeRsRHlQ_upj_dj4r_HjS9 +m_=h.SU +Qhj=__.Ujs; +R:fjjNRlOQERhbeRsRHlhn_._SH +m_=h.Hn_ +jSQ=.h_ns; +R:fjjNRlOQERhbeRsRHlQ_upj_dj4r_H4S9 +m_=h.Sg +Qhj=__.gjs; +R:fjjNRlOQERhbeRsRHlh(_._SH +m_=h.H(_ +jSQ=.h_(s; +R:fjjNRlOQERhbeRsRHlQ_upj_dj4r_H.S9 +m_=hdSj +Qhj=__djjs; +R:fjjNRlOQERhbeRsRHlh._.. +_HShm=_..._SH +Qhj=_...;R +sfjj:ROlNEhRQesRbHhlR_d.._SH +m_=h._.dHQ +Sj_=h.;.d +fsRjR:jlENOReQhRHbsl_Rh._d4Hm +S=.h_dH4_ +jSQ=.h_d +4;sjRf:ljRNROEQRheblsHR.h_dH(_ +=Smhd_.( +_HS=Qjhd_.(s; +R:fjjNRlOQERhbeRsRHlhc_d. +_HShm=_.dc_SH +Qhj=_.dc;R +sfjj:ROlNEhRQesRbHhlR_4dc_SH +m_=hd_c4HQ +Sj_=hd;c4 +fsRjR:jlENOReQhRHbslbROk#_C0__.H__jj._F_dHr9m +S=4h_nSj +Qhj=_j4n_ +j;sjRf:ljRNROEQRheblsHRqeu_HO_ +=Sme_uqO +_HS=Qje_uqOs; +R:fjjNRlOQERhbeRsRHle_uq7__jHm +S=6h_.Q +Sj_=h6j._;R +sfjj:ROlNEhRQesRbH7lRaiqB_HO_ +=Sm7Baqi__OHQ +Sja=7q_BiOs; +R:fjjNRlOQERhbeRsRHl7Baqij_7_Hj_ +=Smhd_6 +jSQ=6h_d;_j +fsRjR:jlENOReQhRHbsluRQp__OH9rj +=SmQ_upOr_HjS9 +QQj=uOp_r;j9 +fsRjR:jlENOReQhRHbsluRQpj_7_Hj_r +j9Shm=_ +cgS=Qjhg_c_ +j;sjRf:ljRNROEQRheblsHRpQu_HO_r +49SQm=uOp__4Hr9Q +Sju=Qpr_O4 +9;sjRf:ljRNROEQRheblsHRpQu__7jjr_H4S9 +m_=h6Sj +Qhj=__6jjs; +R:fjjNRlOqERhR7.blsHRpQu__7jj9r. +=Smh4_6_Sj +QQj=uOp__.Hr9Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbHQlRu7p_jr_j4S9 +m_=h6jj_ +jSQ=pQu_HO_r +49S=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRpQu__7jj9rj +=Smhg_c_Sj +QQj=uOp__jHr9Q +S41=)a;_O +fsRjR:jlENOR7qh.sRbH7lRaiqB__7jjm +S=6h_d +_jS=Qj7Baqi__OHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHelRu7q__Sj +m_=h6j._ +jSQ=a)1_SO +Qe4=uOq__ +H;sjRf:ljRNROEQRheblsHR4kM_HNloLN_kC#_MDNLCF_DI +_HSkm=MN4_lNHo_#Lk_NCML_DCD_FIHQ +SjM=k4l_NH_oNL_k#CLMNDDC_F +I;sjRf:ljRNROEQRheblsHR.kM4b_Vk#_O_SH +mM=k.V4_bOk_# +_HS=Qjk4M._kVb_;O# +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jNj.r9m +S=.h_nS( +Qhj=_d4U +4SQ=_1vqtvQqr_Hj +9;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_Hj__Nj_. +_jShm=_(.d +jSQ=4h_nS6 Q)4=1Oa_;R -sfjj:ROlNEhRQesRbHBlRpji_d]j__SH -mp=Bid_jj__]HQ -Sjp=Bid_jj;_] -fsRjR:jlENOR7qh.sRbHBlRpji_d]j__H.__ -N.Shm=_g4. -jSQ=iBp_jjd_H]_ -4SQ=gh_Us; -R:fjjNRlOqERhR7.blsHRq71B_i4Q_haj__HNj._ -=Smh._4UQ -Sj_=hgHj_ +sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja__jH__ +N.Shm=_4.d +jSQ=4h_UHd_ 4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_Hj__ -N.Shm=_(4. -jSQ=4h_cS( -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja__NH_. -_jShm=_n4. -jSQ=.h_nH6_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_Hj__ -N.Shm=_64. -jSQ=4h_cS6 -Q)4=1Oa_;R -sfjj:ROlNEhRQesRbHMlR _Xu1Buq -_HSMm= _Xu1Buq -_HS=QjMu X_q1uBO _;R -sfjj:ROlNEhRq7b.RsRHl7j1_j7j_v.q__l#Jk_GNH._N -=Smh._44Q -Sjp=Bid_jj -_]S=Q4B_pij_djOs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_cHr9m -S=_1vqtvQqr_HcS9 -Q1j=vv_qQrtqc -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__rN.cS9 -m_=h4 -.jS=Qjh(_.4Q -S4v=1_QqvtHq_r;c9 -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -69S1m=vv_qQ_tqH9r6 -jSQ=_1vqtvQq9r6;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__Nj_.9r6 -=Smh4_4gQ -Sj_=h. -n6S=Q41qv_vqQt_6Hr9s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_nHr9m -S=_1vqtvQqr_HnS9 -Q1j=vv_qQrtqn -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__rN.nS9 -m_=h4 -4US=Qjh(_g -4SQ=_1vqtvQqr_Hn -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#H#__jH___N.j9rj -=Smh4_4(Q -Sj_=h._ndHQ -S4v=1_Qqvtjqr9s; -R:fjjNRlOqERhR7.blsHR_q1j_jj7_vq4J_#lNkG_SH -m_=h._6cjQ -Sjp=Bid_jj__OHQ -S4F=b#D_O k\3M_.4LOoN d_jjM_H0__Hj;_H -fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_SH -m1=7q4Bi_aQh_SH -Q7j=1iqB4h_Qas; -R:fjjNRlOqERhR7.blsHR#bF_ OD\137q4Bi_aQh_H4__ -N.Shm=_(4c -jSQ=_q1j_djHQ -S41=7q4Bi_aQh_ -H;sjRf:ljRNROEq.h7RHbslMRk4I_s_NH_.m -S=.h_(SU -QAj=tiqB_jjd_aQh_SH -Q)4= a1 _amz;R -sfjj:ROlNEhRQesRbHqlR1j_jjh_Qa -_HSqm=1j_jjh_Qa -_HS=Qjqj1_jQj_h -a;sjRf:ljRNROEq.h7RHbslFRb#D_O q\31j_jjh_Qa__4H._N -=Smhc_46Q -Sj1=q_jjj_aQh_SH -Qq4=1d_jj;_H -fsRjR:jlENOR7qh.sRbHklRM74_1j_jjh_ q Ap_#j_JGlkN__jNS. -m_=h4 -c4S=Qjhn_.6 -_HS=Q4)OW_;R -sfjj:ROlNEhRQesRbHqlR1j_jjv_7q -_HSqm=1j_jjv_7q -_HS=Qjqj1_j7j_v -q;sjRf:ljRNROEQRheblsHR.Q_dS4 -m1=q_jjj_SH -Qqj=1j_jj;_O -fsRjR:jlENOR7qh.sRbHklRMN(_#d_jj__jNS. -mM=k(#_N_jjd -jSQ=_q1j_jj7_vqHQ -S41=q_jjj_ -H;sjRf:ljRNROEq.h7RHbslMRk4#_N_jjj_NH_.m -S=4h_dSn -QAj=tiqB_jjd_aQh -4SQ=1) ma_z -a;sjRf:ljRNROEq.h7RHbslMRkc#_N_jjd_NH_.m -S=4h_dS6 -Qhj=_U.( -4SQ=XM uu_1q_B Hs; -R:fjjNRlOQERhbeRsRHlQd_.jm -S=_q1j_djHQ -Sj1=q_jjd_ -O;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3M_dcNj#_d8j_j__HNS. -m_=h4 -dcS=Qjqj1_dHj_ -4SQ=gh_ns; -R:fjjNRlOQERhbeRsRHlw_uz11 h -_HSwm=u1z_ h1_SH -Qwj=u1z_ h1_ -O;sjRf:ljRNROEQRheblsHRiBp_jjj_H7_r -d9SBm=pji_j7j__dHr9Q -Sjp=Bij_jjr_7d -9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_FH_d__jH._Fr -49Shm=__U6HQ -Sjp=Bij_jjr_7dS9 -QB4=pji_j7j__.Hr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jFn.r9m -S=.h_nH6_ -jSQ=.h_nHd_ -4SQ=_1vqtvQq9rn;R -sfjj:ROlNEhRQesRbHBlRpji_j7j__jHr9m -S=iBp_jjj_H7_r -j9S=QjB_pij_jj79rj;R -sfjj:ROlNEhRq7b.RsRHlB_pij_jjuj ___FdH._F_FH_.m -S=.h_nHd_ -jSQ=iBp_jjj_j7r9Q -S4p=Bij_jj__7H9r4;R -sfjj:ROlNEmRX)b.RsRHlb_F#O\D 3.kM4o_LN_O j_djH_M0H__jGS. -m_=h(HU_ -jSQ=BBYp7 _vjqr9Q -S4Y=BB_p 7rvq4 -9;sjRf:ljRNROEq.h7RHbslMRk.#_N_jjd_Sj -mM=k.#_N_jjd_SH -QAj=tiqB_jjd_aQh_SH -QM4= _Xu1Buq ;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcMd__N#j_dj8Hj_ -=Smh(_n_Sj -Qhj=_c4d_SH -Qh4=_d46_ -H;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p 4J_#lNkG_SH -m_=hnj6_ -jSQ=_q1j_djHQ -S4M=k41_7_jjj_q hA_p jJ_#lNkG_ -H;sjRf:ljRNROEq.h7RHbslMRk4Od_H_HMH -_jShm=_4.n_Sj -QMj= _Xu1Buq -_HS=Q4kjM4_HOHM;_H -fsRjR:jlENOR7qh.sRbHblRFO#_D3 \)jW_jQj_h6a__Sj -mF=b#D_O )\3Wj_jjh_Qa__6jQ -Sj_=h._(jHQ -S4W=)_HO_;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja__SH -m_=h._6(HQ -Sj_=h4_.(HQ -S4_=h4_.UHs; -R:fjjNRlOqERhR7.blsHR_q1j_jjQ_haj -_HShm=_n.6_SH -Qhj=_64._SH -Qh4=_n4._ -H;sjRf:ljRNROEq.h7RHbsl1Rq_jjd__7jj -_jShm=__cUjQ -Sj1=q_jjd_SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_#j_JGlkN__4j -_jSkm=M14_vv_qQ_tqjJ_#lNkG_j4_ -jSQ=.h_nS6 -Qh4=_j.(_ -H;sjRf:ljRNROEq.h7RHbslbROk#_C0__.H__jNd.r9m -S=.h_gS4 -QOj=bCk_#H0_r -.9S=Q4O_bkC_#0H9rd;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__jjd_Nr -49Shm=_n.U -jSQ=kOb_0C#r -49S=Q4O_bkC_#0H9rj;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__jjd_Nr -.9Shm=_ -4(S=QjhU_.j -_HS=Q4O_bkC_#0H9r.;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__Hjd_Nr -d9Shm=_6.U -jSQ=.h_USj -QO4=bCk_#.0r9s; -R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rd -=SmO_bkC_#0H9rd -jSQ=kOb_0C#r;d9 -fsRjR:jlENOReQhRHbslbROk#_C0r_H.S9 -mb=Ok#_C0r_H.S9 -QOj=bCk_#.0r9s; -R:fjjNRlOQERhbeRsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__ -3sSkm=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3dkM -jSQ=qAtBji_dQj_h -a;sjRf:ljRNROEq.h7RHbslMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_lm -S=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jk_3MS4 -Qqj=1d_jjj_jjY_1hSB -QA4=tiqB_jjd_aQh;R -sfjj:ROlNEhRq7b.RsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__ -3MSkm=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3jkM -jSQ=QqvtAq_z 1_hpqA v_7qQ_]tS] -Qk4=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3dkM;R -sfjj:ROlNE)Rm.sRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3Sb -m_=h4 -jUS=Qjk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__M3k4Q -S4M=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_k;Mj -fsRjR:jlENOR7qh.sRbHBlRpji_d]j__H.__ -F.Shm=__gUjQ -Sj1=q_jjj_q7v_SH -QB4=pji_dOj__ -H;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH___F.j9rn -=Smh(_g_Sj -Qhj=__UcjQ -S4v=1_QqvtHq__(Hr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jFc.r9m -S=.h_(j4_ -jSQ=.h_nHg_ -4SQ=_1vqtvQq9r6;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_#j_JGlkN__4j__jFS. -m_=h._(jHQ -Sj_=h4_4(HQ -S4v=1_QqvtHq_r;(9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj._Fr -j9Shm=__gjHQ -Sj_=hUH6_ -4SQ=_1vqtvQq9r4;R -sfjj:ROlNEhRQesRbHBlRpji_j7j__4Hr9m -S=iBp_jjj_H7_r -49S=QjB_pij_jj79r4;R -sfjj:ROlNEhRq7b.RsRHlh6_4n__Hj._F_FH_.m -S=.h_nHg_ -jSQ=iBp_jjj_47r9Q -S4p=Bij_jj__7H9rj;R -sfjj:ROlNEhRq7b.RsRHlk_M47j1_j j_hpqA __j#kJlGjN__ -F.Skm=M74_1j_jjh_ q Ap_#j_JGlkN -_HS=Qjh4_4j -_HS=Q4hc_44;_H -fsRjR:jlENOReQhRHbsl_RQ. -d6Sqm=]]Qt_.HrUS9 -Qqj=]]Qt_.OrU -9;sjRf:ljRNROEQRheblsHR.Q_dSn -m]=qQ_t]Hgr.9Q -Sj]=qQ_t]Ogr.9s; -R:fjjNRlOQERhbeRsRHlQd_.dm -S=Qq]tH]_r9dj -jSQ=Qq]tO]_r9dj;R -sfjj:ROlNEhRQesRbHQlR_c.d -=Smqt]Q]r_Hd -49S=Qjqt]Q]r_Od;49 -fsRjR:jlENOReQhRHbsl_Rq7m B7H _r94U -=Smq _7B m7_4HrUS9 -Qqj=_B7 m_7 OUr49s; -R:fjjNRlOQERhbeRsRHlq _7B m7_4HrgS9 -m_=q7m B7H _r94g -jSQ=7q_ 7Bm r_O4;g9 -fsRjR:jlENOR)Xm.sRbHtlR_(4j -=Smhj_.nQ -Sju=Qpj_7r -j9S=Q4Q_upO9rj;R -sfjj:ROlNEmRX)b.RsRHltj_4Um -S=.h_jS( -QQj=u7p_j9r4 -4SQ=pQu_4Or9s; +O;sjRf:ljRNROEQRheblsHRkOb_0C#_.Hr9m +S=kOb_0C#_.Hr9Q +Sjb=Ok#_C09r.;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__Hj__jNd.r9m +S=.h_.Sd +Qhj=_j4n +4SQ=kOb_0C#_.Hr9s; R:fjjNRlOXERmR).blsHR4t_jSg m_=h. jUS=QjQ_up7.jr9Q S4u=Qpr_O. -9;sjRf:ljRNROEQRheblsHR7q_ 7Bm r_H4 -n9Sqm=_B7 m_7 Hnr49Q -Sj_=q7m B7O _r94n;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__Hj._Fr -d9Shm=_j.U_SH -QOj=bCk_#j0r9Q -S4b=Ok#_C09r4;R -sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3gkM_ OD_jjj__bCjm -S=#bF_ OD\M3kgD_O j_jjC_b_Sj -Qhj=_g.U_SH -Qh4=_j.g_ -H;sjRf:ljRNROEq.h7RHbslbROk#_C0__.jr_j4S9 -mb=Ok#_C0__.j9r4 -jSQ=.h_UHn_ -4SQ=.h_UHU_;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__jj9r. -=SmO_bkC_#0.r_j.S9 -Qhj=__4(HQ -S4_=h._U6Hs; -R:fjjNRlOqERhR7.blsHRkOb_0C#_H.__Nj_.r_jdS9 -m_=h. -g.S=QjO_bkCr#0jS9 -QO4=bCk_#H0_r;.9 -fsRjR:jlENOReQhRHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]jJ_#lNkG_SH -mv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jJ_#lNkG_SH -Qqj=vqQt_1Az_q hA_p 7_vq]]Qt_#j_JGlkNs; -R:fjjNRlOQERhbeRsRHlB_pim_zau_) 6Hj_ -=SmB_pim_zau_) 6Hj_ -jSQ=iBp_amz_ u)_;6j -fsRjR:jlENOReQhRHbsl_Rh._jnHm -S=.h_jHn_ -jSQ=.h_j -n;sjRf:ljRNROEQRheblsHR.h_jH(_ -=Smhj_.( -_HS=Qjhj_.(s; -R:fjjNRlOQERhbeRsRHlhj_.U -_HShm=_U.j_SH -Qhj=_U.j;R -sfjj:ROlNEhRQesRbHQlR_g.d -=Smqt]Q]r_H. -c9S=Qjqt]Q]r_O.;c9 -fsRjR:jlENOReQhRHbsl_RQ. -cjSqm=]]Qt_.Hr6S9 -Qqj=]]Qt_.Or6 -9;sjRf:ljRNROEQRheblsHR.Q_dS( -m]=qQ_t]Hnr.9Q -Sj]=qQ_t]Onr.9s; -R:fjjNRlOQERhbeRsRHlQd_.Um -S=Qq]tH]_r9.( -jSQ=Qq]tO]_r9.(;R -sfjj:ROlNEhRq7b.RsRHlQ_up7jj_r -.9Shm=__64jQ -Sju=Qp__OH9r. -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsluRQpd_jjr_4jS9 -m_=h.jU_ -jSQ=.h_6 -_HS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_44r9m -S=.h_g -_jS=Qjhn_._SH -Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_dj49r. -=Smhj_d_Sj -Qhj=__.(HQ -S41=)a;_O -fsRjR:jlENOReQhRHbslbROk#_C0__j4s_3 -=SmO_bkC_#0j__43dkM -jSQ=.h_n -g;sjRf:ljRNROEq.h7RHbslbROk#_C0__j4l_3 -=SmO_bkC_#0j__434kM -jSQ=kOb_0C#r -49S=Q4hn_.gs; -R:fjjNRlOqERhR7.blsHRkOb_0C#_4j__ -3MSOm=bCk_#j0__34_k -MjS=QjO_bkC_#0.9r4 -4SQ=kOb_0C#_4j__M3kds; -R:fjjNRlOmER)b.RsRHlO_bkC_#0j__43Sb -m_=h4S4 -QOj=bCk_#j0__34_k -M4S=Q4O_bkC_#0j__43jkM;R -sfjj:ROlNEhRQesRbHOlRbCk_#j0__3._sm -S=kOb_0C#_.j__M3kdQ -Sj_=h.;ng -fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__3._lm -S=kOb_0C#_.j__M3k4Q -Sjb=Ok#_C09r. -4SQ=.h_n -g;sjRf:ljRNROEq.h7RHbslbROk#_C0__j.M_3 -=SmO_bkC_#0j__.3jkM -jSQ=kOb_0C#_..r9Q -S4b=Ok#_C0__j.k_3M -d;sjRf:ljRNROEmR).blsHRkOb_0C#_.j__ +9;sjRf:ljRNROEX.m)RHbsl_Rt4 +jUShm=_(.j +jSQ=pQu_r7j4S9 +QQ4=uOp_r;49 +fsRjR:jlENOR)Xm.sRbHtlR_(4j +=Smhj_.nQ +Sju=Qpj_7r +j9S=Q4Q_upO9rj;R +sfjj:ROlNEhRQesRbHQlRujp_djj__3._sm +S=pQu_jjd_.j__M3kdQ +SjF=b#D_O H\3b +D;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j.l_3 +=SmQ_upj_djj__.34kM +jSQ=pQu_.Or9Q +S4F=b#D_O H\3b +D;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j.M_3 +=SmQ_upj_djj__.3jkM +jSQ=pQu_jjd_.Or9Q +S4u=Qpd_jj__j.k_3M +d;sjRf:ljRNROEmR).blsHRpQu_jjd_.j__ 3bShm=_ -4.S=QjO_bkC_#0j__.34kM -4SQ=kOb_0C#_.j__M3kjs; -R:fjjNRlOQERhbeRsRHlO_bkC_#0j__d3Ss -mb=Ok#_C0__jdk_3MSd -Qhj=_g.n;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__d3Sl -mb=Ok#_C0__jdk_3MS4 -QOj=bCk_#d0r9Q -S4_=h.;ng -fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__3d_Mm -S=kOb_0C#_dj__M3kjQ -Sj_=h4_g.HQ -S4b=Ok#_C0__jdk_3M -d;sjRf:ljRNROEmR).blsHRkOb_0C#_dj__ -3bShm=_ -4dS=QjO_bkC_#0j__d34kM -4SQ=kOb_0C#_dj__M3kjs; -R:fjjNRlOQERhbeRsRHlQ_upj_djj__j3Ss -mu=Qpd_jj__jjk_3MSd +.(S=QjQ_upj_djj__.34kM +4SQ=pQu_jjd_.j__M3kjs; +R:fjjNRlOQERhbeRsRHlQ_upj_djj__43Ss +mu=Qpd_jj__j4k_3MSd Qbj=FO#_D3 \H;bD -fsRjR:jlENOR7qh.sRbHQlRujp_djj__3j_lm -S=pQu_jjd_jj__M3k4Q -Sju=Qpr_OjS9 +fsRjR:jlENOR7qh.sRbHQlRujp_djj__34_lm +S=pQu_jjd_4j__M3k4Q +Sju=Qpr_O4S9 Qb4=FO#_D3 \H;bD -fsRjR:jlENOR7qh.sRbHQlRujp_djj__3j_Mm -S=pQu_jjd_jj__M3kjQ -Sju=Qpd_jjr_OjS9 -QQ4=ujp_djj__3j_k;Md -fsRjR:jlENOR.m)RHbsluRQpd_jj__jjb_3 -=Smh6_. -jSQ=pQu_jjd_jj__M3k4Q -S4u=Qpd_jj__jjk_3M -j;sjRf:ljRNROEQRheblsHRpQu_jjd_4j__ -3sSQm=ujp_djj__34_k +fsRjR:jlENOR7qh.sRbHQlRujp_djj__34_Mm +S=pQu_jjd_4j__M3kjQ +Sju=Qpd_jjr_O4S9 +QQ4=ujp_djj__34_k;Md +fsRjR:jlENOR.m)RHbsluRQpd_jj__j4b_3 +=Smhn_. +jSQ=pQu_jjd_4j__M3k4Q +S4u=Qpd_jj__j4k_3M +j;sjRf:ljRNROEQRheblsHRpQu_jjd_jj__ +3sSQm=ujp_djj__3j_k MdS=Qjb_F#O\D 3DHb;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__43Sl -mu=Qpd_jj__j4k_3MS4 +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__j3Sl +mu=Qpd_jj__jjk_3MS4 QQj=uOp_r -49S=Q4b_F#O\D 3DHb;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__43SM -mu=Qpd_jj__j4k_3MSj +j9S=Q4b_F#O\D 3DHb;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__j3SM +mu=Qpd_jj__jjk_3MSj QQj=ujp_dOj_r -49S=Q4Q_upj_djj__43dkM;R -sfjj:ROlNE)Rm.sRbHQlRujp_djj__34_bm -S=.h_nQ -Sju=Qpd_jj__j4k_3MS4 -QQ4=ujp_djj__34_k;Mj -fsRjR:jlENOReQhRHbsluRQpd_jj__j.s_3 -=SmQ_upj_djj__.3dkM -jSQ=#bF_ OD\b3HDs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_.j__ -3lSQm=ujp_djj__3._k -M4S=QjQ_upO9r. -4SQ=#bF_ OD\b3HDs; -R:fjjNRlOqERhR7.blsHRpQu_jjd_.j__ -3MSQm=ujp_djj__3._k -MjS=QjQ_upj_djO9r. -4SQ=pQu_jjd_.j__M3kds; -R:fjjNRlOmER)b.RsRHlQ_upj_djj__.3Sb -m_=h.S( -QQj=ujp_djj__3._k -M4S=Q4Q_upj_djj__.3jkM;R -sfjj:ROlNEhRQesRbHzlR7j1_jQj_hja_3Ss -m7=z1j_jjh_Qa3_jk -MdS=Qj1qv_vqQtr;n9 -fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_hja_3Sl -m7=z1j_jjh_Qa3_jk -M4S=Qjqr_OjS9 -Q14=vv_qQrtqn -9;sjRf:ljRNROEq.h7RHbsl7Rz1j_jjh_Qa3_jMm -S=1z7_jjj_aQh_kj3MSj -Qzj=7j1_jQj_hSa -Qz4=7j1_jQj_hja_3dkM;R -sfjj:ROlNE)Rm.sRbHzlR7j1_jQj_hja_3Sb -m_=h.S4 -Qzj=7j1_jQj_hja_34kM -4SQ=1z7_jjj_aQh_kj3M -j;sjRf:ljRNROEQRheblsHRUh_4 -_HShm=__U4HQ -Sj_=hU -4;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA v_7qQ_]tj]_3Ss -mv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kdQ -Sj_=hg -c;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j -3lSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MS4 -Qqj=vqQt_1Az_q hA_p 7_vq]]Qt -4SQ=gh_cs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA v_7qQ_]tj]_3SM -mv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3kjQ -Sj_=hUH4_ -4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3dkM;R -sfjj:ROlNE)Rm.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_bj3 -=Smhd_. -jSQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_34kM -4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA -_4Shm=_ -6nS=Qjh -_gS=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHR4kM_1z7_jjj_aQh -=Smk_M4z_71j_jjQ_hajQ -Sj1=7_jjj_q hA -p S=Q4z_71j_jjQ_haHs; -R:fjjNRlOqERhR7.blsHR4kM_1p7_jjj_aQh -=Smk_M4p_71j_jjQ_hajQ -Sj1=7_jjj_q hA -p S=Q4p_71j_jjQ_haHs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA v_7qQ_]t4]_ -=Smh._d_Sj -Qhj=__.dHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_h4a_ -=Smhc_d_Sj -Qhj=__.4HQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbHelRvQq_h4a_ -=Smh(_d_Sj -Qhj=__4UHQ -S41=)a;_O -fsRjR:jlENOR7qh.sRbH)lRWj_jjh_Qa -_4Shm=__dgjQ -Sj_=h4Hn_ +j9S=Q4Q_upj_djj__j3dkM;R +sfjj:ROlNE)Rm.sRbHQlRujp_djj__3j_bm +S=.h_6Q +Sju=Qpd_jj__jjk_3MS4 +QQ4=ujp_djj__3j_k;Mj +fsRjR:jlENOReQhRHbslbROk#_C0__jds_3 +=SmO_bkC_#0j__d3dkM +jSQ=dh_j +6;sjRf:ljRNROEq.h7RHbslbROk#_C0__jdl_3 +=SmO_bkC_#0j__d34kM +jSQ=kOb_0C#r +d9S=Q4hj_d6s; +R:fjjNRlOqERhR7.blsHRkOb_0C#_dj__ +3MSOm=bCk_#j0__3d_k +MjS=Qjhg_4. +_HS=Q4O_bkC_#0j__d3dkM;R +sfjj:ROlNE)Rm.sRbHOlRbCk_#j0__3d_bm +S=4h_dQ +Sjb=Ok#_C0__jdk_3MS4 +QO4=bCk_#j0__3d_k;Mj +fsRjR:jlENOR7qh.sRbHQlRujp_d4j_r +.9Shm=__djjQ +Sj_=h.H(_ 4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB -_4Shm=__cjjQ -Sj_=h4H6_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl7Rp1j_jjh_Qa -_4Shm=__c4jQ -Sj_=h4Hc_ -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_S4 -m_=hcjc_ -jSQ=ch__SH +O;sjRf:ljRNROEq.h7RHbsluRQpd_jjr_44S9 +m_=h.jg_ +jSQ=.h_n +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRpQu_jjd_j4r9m +S=.h_U +_jS=Qjh6_._SH Q)4=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlQ_up7jj_r -j9Shm=__cgjQ -Sju=Qp__OH9rj -4SQ=a)1_ -O;sjRf:ljRNROEq.h7RHbsluRQpj_7_4jr9m -S=6h_j -_jS=QjQ_upOr_H4S9 +sfjj:ROlNEhRQesRbHQlR_U.4 +=Smqj1_dHj_ +jSQ=_q1j_djOs; +R:fjjNRlOQERhbeRsRHl7B1qiQ4_hHa_ +=Sm7B1qiQ4_hHa_ +jSQ=q71B_i4Q;ha +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \7B1qiQ4_h4a__NH_.__jNS. +m_=h4 +n6S=Qjqj1_dHj_ +4SQ=q71B_i4Q_haHs; +R:fjjNRlOQERhbeRsRHl7Baqij_7_SH +ma=7q_Bi7Hj_ +jSQ=q7aB7i_js; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._N_d.r9m +S=dh_cSU +Q7j=aiqB__7jHQ +S4u=eq;_7 +fsRjR:jlENOReQhRHbsluReq__7Hm +S=qeu_H7_ +jSQ=qeu_ +7;sjRf:ljRNROEq.h7RHbslbROk#_C0__jj._N_jjr9m +S=dh_cS. +Qhj=_6dj_SH +QO4=bCk_#j0r9s; +R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rj +=SmO_bkC_#0H9rj +jSQ=kOb_0C#r;j9 +fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__Nj_.9rj +=Smhc_d4Q +Sj_=hd +j6S=Q4O_bkC_#0H9rj;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__rN.dS9 +m_=hd +dUS=QjhU_4UQ +S4_=hd_j6Hs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_4Hr9m +S=_1vqtvQqr_H4S9 +Q1j=vv_qQrtq4 +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Nj_.9r4 +=Smhd_d6Q +Sj_=h4 +gcS=Q41qv_vqQt_4Hr9s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_.Hr9m +S=_1vqtvQqr_H.S9 +Q1j=vv_qQrtq. +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Nj_.9r. +=Smhn_.gQ +Sj_=h4 +gnS=Q41qv_vqQt_.Hr9s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_jHr9m +S=_1vqtvQqr_HjS9 +Q1j=vv_qQrtqj +9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH__ +F.Shm=_g46_Sj +Qhj=_ndc_SH Q)4=1Oa_;R -sfjj:ROlNEhRQesRbH)lR a1 _amz_SH -m =)1_ am_zaHQ -Sj =)1_ am;za -fsRjR:jlENOReQhRHbslMRk(#_N_jjd_SH -mM=k(#_N_jjd_SH -Qkj=MN(_#d_jjs; -R:fjjNRlOQERhbeRsRHlhc_46 -_HShm=_64c_SH -Qhj=_64c;R -sfjj:ROlNEhRQesRbHhlR_(4c_SH -m_=h4_c(HQ -Sj_=h4;c( -fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_SH -m1=7_jjj_q7v_SH -Q7j=1j_jjv_7qs; -R:fjjNRlOqERhR7.blsHRnkM__8#j -djSkm=M8n_#d_jjQ -Sj1=q_jjj_SH -Q74=1j_jjv_7q;_H -fsRjR:jlENOReQhRHbslMRkn#_8_jjd_SH -mM=kn#_8_jjd_SH -Qkj=M8n_#d_jjs; -R:fjjNRlOQERhbeRsRHlqj1_j7j_vjq_3Ss -m1=q_jjj_q7v_kj3MSd -Qhj=_c.6;R -sfjj:ROlNEhRq7b.RsRHlqj1_j7j_vjq_3Sl -m1=q_jjj_q7v_kj3MS4 -Qbj=FO#_D3 \k4M._NLoOj _dHj_MH0__Sj -Qh4=_c.6;R -sfjj:ROlNEhRq7b.RsRHlqj1_j7j_vjq_3SM -m1=q_jjj_q7v_kj3MSj -Qqj=1j_jjv_7qQ -S41=q_jjj_q7v_kj3M -d;sjRf:ljRNROEmR).blsHR_q1j_jj7_vqj -3bShm=_Sc -Qqj=1j_jjv_7q3_jk -M4S=Q4qj1_j7j_vjq_3jkM;R -sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_sj3 -=Sm7j1_j j_hpqA 3_jk -MdS=Qjh6_n;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA 3_jlm -S=_71j_jj Ahqpj _34kM -jSQ=4kM__71j_jj Ahqpj __l#Jk -GNS=Q4h6_n;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA 3_jMm -S=_71j_jj Ahqpj _3jkM -jSQ=_71j_jj AhqpS -Q74=1j_jjh_ q Ap_kj3M -d;sjRf:ljRNROEmR).blsHR_71j_jj Ahqpj _3Sb -m_=hgQ -Sj1=7_jjj_q hA_p jM3k4Q -S41=7_jjj_q hA_p jM3kjs; -R:fjjNRlOQERhbeRsRHlp_71j_jjQ_haj -3sSpm=7j1_jQj_hja_3dkM -jSQ=_1vqtvQq9rn;R -sfjj:ROlNEhRq7b.RsRHlp_71j_jjQ_haj -3lSpm=7j1_jQj_hja_34kM -jSQ=#bF_ OD\M3k4#j_ll_NH_oNHQ -S4v=1_Qqvtnqr9s; -R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_Mj3 -=Smp_71j_jjQ_hajM3kjQ -Sj7=p1j_jjh_QaQ -S47=p1j_jjh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbsl7Rp1j_jjh_Qa3_jbm -S=4h_cQ -Sj7=p1j_jjh_Qa3_jk -M4S=Q4p_71j_jjQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBj -3sSqm=1d_jjj_jjY_1hjB_3dkM -jSQ=nh_(s; -R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_lj3 -=Smqj1_djj_j1j_Y_hBjM3k4Q -Sj1=q_jjd_jjj_h1YBQ -S4_=hn -(;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB3_jMm -S=_q1j_djj_jj1BYh_kj3MSj -Qqj=1d_jj -_OS=Q4qj1_djj_j1j_Y_hBjM3kds; -R:fjjNRlOmER)b.RsRHlqj1_djj_j1j_Y_hBj -3bShm=_ -46S=Qjqj1_djj_j1j_Y_hBjM3k4Q -S41=q_jjd_jjj_h1YB3_jk;Mj -fsRjR:jlENOReQhRHbslWR)_jjj_aQh_sj3 -=Sm)jW_jQj_hja_3dkM -jSQ=4kM__1vqtvQq__j#kJlG4N_;R -sfjj:ROlNEhRq7b.RsRHl)jW_jQj_hja_3Sl -mW=)_jjj_aQh_kj3MS4 -Qbj=FO#_D3 \)jW_jQj_h6a_ -4SQ=4kM__1vqtvQq__j#kJlG4N_;R -sfjj:ROlNEhRq7b.RsRHl)jW_jQj_hja_3SM -mW=)_jjj_aQh_kj3MSj -Q)j=Wj_jjh_QaQ -S4W=)_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR_)Wj_jjQ_haj -3bShm=_ -4nS=Qj)jW_jQj_hja_34kM -4SQ=_)Wj_jjQ_hajM3kjs; +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0.__jj__jF..r9m +S=4h_nH._ +jSQ=kOb_0C#r +j9S=Q4O_bkCr#04 +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Fj_.9rj +=SmhU_4d +_HS=Qjh6_4( +_HS=Q41qv_vqQtr;49 +fsRjR:jlENOReQhRHbslbROk#_C0r_H4S9 +mb=Ok#_C0r_H4S9 +QOj=bCk_#40r9s; +R:fjjNRlOqERhR7.blsHR6kM_jC__FH_.m +S=4h_gjj_ +jSQ=kOb_0C#r +49S=Q4O_bkC_#0H9rd;R +sfjj:ROlNEhRq7b.RsRHlk_M6C__jH._F_Sj +m_=h4_gdjQ +Sjb=Ok#_C09rd +4SQ=kOb_0C#_4Hr9s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_dHr9m +S=_1vqtvQqr_HdS9 +Q1j=vv_qQrtqd +9;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__Fj_.9r4 +=Smhg_4c +_jS=Qjh6_46 +_HS=Q41qv_vqQtr;.9 +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \BpYB v_7q__6j__Hj._F +=Smhc_.6 +_jS=QjABtqid_jjh_Qa +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3kgD_O j_jjC_b_jj__ +F.Shm=_c4n_SH +QOj=bCk_#H0_r +49S=Q4O_bkC_#0H9r.;R +sfjj:ROlNEhRQesRbHOlRbCk_#H0_r +d9SOm=bCk_#H0_r +d9S=QjO_bkCr#0d +9;sjRf:ljRNROEq.h7RHbslbROk#_C0__.H__jj._Fr +d9Shm=_j4n_Sj +QOj=bCk_#d0r9Q +S4b=Ok#_C0r_Hj +9;sjRf:ljRNROEq.h7RHbslbROk#_C0__jj9rj +=Smh._.n +_HS=Qjhc_d4 +_HS=Q4hc_d.;_H +fsRjR:jlENOR7qh.sRbH7lR1iqB4h_Qa__jH +_jShm=_U.6_SH +Qhj=_4.d_SH +Qh4=_(.d_ +H;sjRf:ljRNROEq.h7RHbslbROk#_C0__.H__jj9rd +=Smhg_4. +_HS=Qjh._.. +_HS=Q4h._.d;_H +fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj__Nj_.9r. +=Smh._..Q +Sj_=h4 +n.S=Q4O_bkCr#0. +9;sjRf:ljRNROEq.h7RHbslMRk6__Cj__HNS. +m_=h. +.cS=Qjhg_4dQ +S4b=Ok#_C0r_H. +9;sjRf:ljRNROEq.h7RHbslMRk6__Cj__HNj._ +=Smh._.6Q +Sj_=h4 +gjS=Q4O_bkCr#0. +9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Yj_C_jH__ +N.Shm=_6.g +jSQ=4h_6Sg +Q)4=17a_pjYr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._N_4jr9m +S=dh_dSn +Qhj=_(46_SH +Q14=vv_qQ_tqH9r.;R +sfjj:ROlNEhRQesRbHhlR_jd6_SH +m_=hd_6jH +_jS=Qjh6_djs; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C.H__jNd._ +=Smhc_dnQ +Sj_=hd_j6HQ +S4_=hd_6jH;_j +fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj___N.j9r. +=Smh6_d.Q +Sj_=h4_n.HQ +S4b=Ok#_C0r_H. +9;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MOg_Dj _jbj_C__jjm +S=#bF_ OD\M3kgD_O j_jjC_b_Sj +Qhj=_U.4_SH +Qh4=_g.4_ +H;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jj9r4 +=SmO_bkC_#0.r_j4S9 +Qhj=_j.._SH +Qh4=_4.._ +H;sjRf:ljRNROEq.h7RHbslbROk#_C0__.j__jj9r. +=SmO_bkC_#0.r_j.S9 +Qhj=_..._SH +Qh4=_.d6_ +H;sjRf:ljRNROEq.h7RHbslMRk6__Cj +_HShm=_n.g_SH +Qhj=_c.._SH +Qh4=_6.._ +H;sjRf:ljRNROEq.h7RHbsl R)1_ am_za4J_#lNkG_jH__(44_S4 +m_=h._4jHQ +Sj_=hd_6jH +_jS=Q4)_1aOs; +R:fjjNRlOQERhbeRsRHlB_pij_jj7r_H4S9 +mp=Bij_jj__7H9r4 +jSQ=iBp_jjj_47r9s; +R:fjjNRlOqERhR7.blsHRiBp_jjj__h jd_F_NH_.__jFH.__ +F.Shm=_6dj_SH +QBj=pji_j7j_r +49S=Q4B_pij_jj7r_Hj +9;sjRf:ljRNROEq.h7RHbslMRk4v_1_Qqvtjq__l#Jk_GN4__j4._F +=Smhn_4n +_HS=Qjh6_46 +_HS=Q41qv_vqQtr;n9 +fsRjR:jlENOR7qh.sRbHBlRpji_d]j__j.___N.H._F +=Smhg_4U +_jS=Qjqj1_j7j_vHq_ +4SQ=iBp_jjd_HO_;R +sfjj:ROlNEmRX)b.RsRHlb_F#O\D 3BBYp7 _v6q__H4__ +G.Shm=_c.j_SH +QBj=Y Bp_q7vr +49S=Q4h4_.(s; +R:fjjNRlOQERhbeRsRHlQ._.jm +S=_q1j_jjHQ +Sj1=q_jjj_ +O;sjRf:ljRNROEQRheblsHRqAtBji_dQj_hHa_ +=SmABtqid_jjh_Qa +_HS=QjABtqid_jjh_Qas; +R:fjjNRlOQERhbeRsRHlMu X_q1uBH _ +=SmMu X_q1uBH _ +jSQ=XM uu_1q_B Os; R:fjjNRlOQERhbeRsRHle_vqQ_haj 3sSem=vQq_hja_3dkM jSQ=#bF_ OD\M3kgD_O j_jjC_b;R @@ -3280,7 +2560,741 @@ S4v=eqh_Qa3_jk;Md fsRjR:jlENOR.m)RHbslvReqh_Qa3_jbm S=4h_UQ Sjv=eqh_Qa3_jk -M4S=Q4e_vqQ_hajM3kj +M4S=Q4e_vqQ_hajM3kjs; +R:fjjNRlOqERhR7.blsHRqev_aQh_S4 +m_=hdj(_ +jSQ=4h_U +_HS=Q4)_1aOs; +R:fjjNRlOQERhbeRsRHlO_bkC_#0j__43Ss +mb=Ok#_C0__j4k_3MSd +Qhj=_6dj;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__43Sl +mb=Ok#_C0__j4k_3MS4 +QOj=bCk_#40r9Q +S4_=hd;j6 +fsRjR:jlENOR7qh.sRbHOlRbCk_#j0__34_Mm +S=kOb_0C#_4j__M3kjQ +Sjb=Ok#_C0r_.4S9 +QO4=bCk_#j0__34_k;Md +fsRjR:jlENOR.m)RHbslbROk#_C0__j4b_3 +=Smh4_4 +jSQ=kOb_0C#_4j__M3k4Q +S4b=Ok#_C0__j4k_3M +j;sjRf:ljRNROEQRheblsHRkOb_0C#_.j__ +3sSOm=bCk_#j0__3._k +MdS=Qjhj_d6s; +R:fjjNRlOqERhR7.blsHRkOb_0C#_.j__ +3lSOm=bCk_#j0__3._k +M4S=QjO_bkCr#0.S9 +Qh4=_6dj;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0j__.3SM +mb=Ok#_C0__j.k_3MSj +QOj=bCk_#.0_r +.9S=Q4O_bkC_#0j__.3dkM;R +sfjj:ROlNE)Rm.sRbHOlRbCk_#j0__3._bm +S=4h_.Q +Sjb=Ok#_C0__j.k_3MS4 +QO4=bCk_#j0__3._k;Mj +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__HFjd_r +49Shm=_(46_SH +QBj=pji_j7j_r +d9S=Q4B_pij_jj7r_H. +9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH___N.jm +S=.h_jSg +Qhj=_g46 +4SQ=dh_6 +j;sjRf:ljRNROEQRheblsHRa)1_Y7p_.Hr9m +S=a)1_Y7p_.Hr9Q +Sj1=)ap_7Y9r.;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH.__Nj_. +_4Shm=_4.4 +jSQ=dh_jS6 +Q)4=17a_pHY_r;.9 +fsRjR:jlENOR7qh.sRbHOlRbCk_#.0__jj__Nj_.9r4 +=Smh._.jQ +Sjb=Ok#_C09r4 +4SQ=kOb_0C#_jHr9s; +R:fjjNRlOqERhR7.blsHR(kM__N#j_djj._N_Nj_.m +S=(kM__N#j +djS=Qjqj1_j7j_vHq_ +4SQ=_q1j_jjHs; +R:fjjNRlOQERhbeRsRHlB_pij_dj] +_HSBm=pji_d]j__SH +QBj=pji_d]j_;R +sfjj:ROlNEhRq7b.RsRHlB_pij_dj]__.j._N_NH_.m +S=.h_gSj +QBj=pji_d]j__SH +Qh4=_U4g;R +sfjj:ROlNEhRQesRbHQlR_4.. +=Sm)jW_jHj_ +jSQ=_)Wj_jjOs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\137_jjj_q7v_Vc_j__HNH.__ +N.Shm=_4.g +jSQ=_q1j_jj7 +vqS=Q4)jW_jHj_;R +sfjj:ROlNEhRq7b.RsRHl7j1_j7j_v.q__l#Jk_GNj._N_NH_.m +S=.h_gS. +QBj=pji_d]j_ +4SQ=iBp_jjd_ +O;sjRf:ljRNROEq.h7RHbslFRb#D_O k\3MLn_o NO_jjj_jj__ +N.Shm=_dd6 +jSQ=_q1j_jjOQ +S4_=h4_66Hs; +R:fjjNRlOqERhR7.blsHR_71j_jj Ahqp4 __l#Jk_GNH +_jShm=__n6jQ +Sj1=q_jjd_SH +Qk4=M74_1j_jjh_ q Ap_#j_JGlkN;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MnLOoN j_jj__jjm +S=#bF_ OD\M3kno_LN_O j_jjjQ +Sjt=Aq_Bij_jjOQ +S4_=hd_6dHs; +R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)HjP__Sj +mv=qQ_tqA_z17qqa_)7Q_jO_ +jSQ=.h_cHU_ +4SQ=.h_6Hn_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3_71j_jj7_vqcj_V_NH_. +_HShm=_4dj_Sj +Qhj=_j4U_SH +Qh4=_4.g_ +H;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_#4_JGlkN__jNH._ +=Smhj_dd +_jS=QjB_pij_djO +_HS=Q4hU_4j;_H +fsRjR:jlENOReQhRHbslpRBij_jj__7H9rj +=SmB_pij_jj7r_HjS9 +QBj=pji_j7j_r;j9 +fsRjR:jlENOR7qh.sRbHhlR_4.n_jH__ +F.Shm=_646_SH +QBj=pji_j7j_r +j9S=Q4B_pij_jj7r_H4 +9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HnS9 +mv=1_QqvtHq_r +n9S=Qj1qv_vqQtr;n9 +fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_sj3 +=Sm7j1_j7j_vjq_3dkM +jSQ=dh_j +.;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_lj3 +=Sm7j1_j7j_vjq_34kM +jSQ=dh_jS4 +Qh4=_.dj;R +sfjj:ROlNEhRq7b.RsRHl7j1_j7j_vjq_3SM +m1=7_jjj_q7v_kj3MSj +Q7j=1j_jjv_7qQ +S41=7_jjj_q7v_kj3M +d;sjRf:ljRNROEmR).blsHR_71j_jj7_vqj +3bShm=_Sd +Q7j=1j_jjv_7q3_jk +M4S=Q47j1_j7j_vjq_3jkM;R +sfjj:ROlNEhRQesRbHqlR1j_jjv_7q3_jsm +S=_q1j_jj7_vqjM3kdQ +Sj_=hd;jd +fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q3_jlm +S=_q1j_jj7_vqjM3k4Q +Sj_=h4 +UjS=Q4hj_dds; +R:fjjNRlOqERhR7.blsHR_q1j_jj7_vqj +3MSqm=1j_jjv_7q3_jk +MjS=Qjqj1_j7j_vSq +Qq4=1j_jjv_7q3_jk;Md +fsRjR:jlENOR.m)RHbsl1Rq_jjj_q7v_bj3 +=Smh +_cS=Qjqj1_j7j_vjq_34kM +4SQ=_q1j_jj7_vqjM3kjs; +R:fjjNRlOQERhbeRsRHlABtqid_jjh_Qa3_jsm +S=qAtBji_dQj_hja_3dkM +jSQ=#bF_ OD\M3kno_LN_O j;jj +fsRjR:jlENOR7qh.sRbHAlRtiqB_jjd_aQh_lj3 +=SmABtqid_jjh_Qa3_jk +M4S=QjABtqij_jj +_OS=Q4b_F#O\D 3nkM_NLoOj _j +j;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_haj +3MSAm=tiqB_jjd_aQh_kj3MSj +QAj=tiqB_jjd_aQh +4SQ=qAtBji_dQj_hja_3dkM;R +sfjj:ROlNE)Rm.sRbHAlRtiqB_jjd_aQh_bj3 +=Smh +_US=QjABtqid_jjh_Qa3_jk +M4S=Q4ABtqid_jjh_Qa3_jk;Mj +fsRjR:jlENOReQhRHbsl1R7_jjj_q hA_p j +3sS7m=1j_jjh_ q Ap_kj3MSd +Qhj=_;n6 +fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_lj3 +=Sm7j1_j j_hpqA 3_jk +M4S=Qjk_M47j1_j j_hpqA __j#kJlGSN +Qh4=_;n6 +fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_Mj3 +=Sm7j1_j j_hpqA 3_jk +MjS=Qj7j1_j j_hpqA Q +S41=7_jjj_q hA_p jM3kds; +R:fjjNRlOmER)b.RsRHl7j1_j j_hpqA 3_jbm +S=gh_ +jSQ=_71j_jj Ahqpj _34kM +4SQ=_71j_jj Ahqpj _3jkM;R +sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA +_4Shm=_ +6nS=Qjh +_gS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHRqAtBji_dQj_h4a_ +=Smh._c_Sj +Qhj=_HU_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_S4 +m_=hcjc_ +jSQ=ch__SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl7j1_j7j_v4q_ +=Smh6_c_Sj +Qhj=_Hd_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsl_Rt4 +j.Shm=_(.4 +jSQ=BBYp7 _vjqr9Q +S4_=h4_66Hs; +R:fjjNRlOQERhbeRsRHlBpYB v_7qr_HjS9 +mY=BB_p 7_vqH9rj +jSQ=BBYp7 _vjqr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Y3BB_p 7_vq6__jH__jNS. +m_=h. +4nS=QjBpYB v_7qr_HjS9 +Qh4=_646;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_QH)_P__jj._N +=Smhc_.UQ +Sjt=Aq_Bij_djQ +haS=Q4)jW_jHj_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj___N.j9rj +=Smh._dcQ +Sj_=h4_66HQ +S4v=1_QqvtHq_r;49 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM_##H__Hj__jNj._r +j9Shm=_6.( +jSQ=4h_6H6_ +4SQ=_1vqtvQq9rj;R +sfjj:ROlNEhRQesRbHqlR1j_jjv_7q +_HSqm=1j_jjv_7q +_HS=Qjqj1_j7j_v +q;sjRf:ljRNROEq.h7RHbslMRk4#_N_jjj_jj_ +=Smk_M4Nj#_jHj_ +jSQ=qAtBji_dQj_hSa +Q)4= a1 _amz;R +sfjj:ROlNEhRq7b.RsRHlk_M4sHI___N.j._N +=Smhc_44Q +Sjt=Aq_Bij_djQ_haHQ +S4 =)1_ am;za +fsRjR:jlENOR7qh.sRbHklRM74_1j_jjh_ q Ap_#j_JGlkN__jFj.__ +N.Shm=_gdc +jSQ=4h_nHn_ +4SQ=_)WOs; +R:fjjNRlOqERhR7.blsHR#bF_ OD\M3k.L4_o NO_jjd_0HM_HH___N.H._N +=Smhc_d6Q +Sj7=p1j_jj +_OS=Q4z_71j_jjOs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._N_djr9m +S=dh_dSg +Qhj=_44U +4SQ=_1vqtvQqr_Hd +9;sjRf:ljRNROEq.h7RHbslMRkc#_N_jjd_NH_.__jNS. +m_=h4 +dgS=Qjhc_44Q +S4 =MX1u_u qB_ +H;sjRf:ljRNROEq.h7RHbsl R)1_ am_za.__HH._N +=Smh._.gQ +Sj =)1_ am +zaS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_S4 +m_=hcj4_ +jSQ=4h_c +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR1z7_jjj_aQh_S4 +m_=hdjc_ +jSQ=.h_4 +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR4kM_1p7_jjj_aQh +=Smk_M4p_71j_jjQ_hajQ +Sj1=7_jjj_q hA +p S=Q4p_71j_jjQ_haHs; +R:fjjNRlOqERhR7.blsHR4kM_1z7_jjj_aQh +=Smk_M4z_71j_jjQ_hajQ +Sj1=7_jjj_q hA +p S=Q4z_71j_jjQ_haHs; +R:fjjNRlOQERhbeRsRHlz_71j_jjQ_haj +3sSzm=7j1_jQj_hja_3dkM +jSQ=_1vqtvQq9rn;R +sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_haj +3lSzm=7j1_jQj_hja_34kM +jSQ=Oq_r +j9S=Q41qv_vqQtr;n9 +fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_hja_3SM +m7=z1j_jjh_Qa3_jk +MjS=Qjz_71j_jjQ +haS=Q4z_71j_jjQ_hajM3kds; +R:fjjNRlOmER)b.RsRHlz_71j_jjQ_haj +3bShm=_ +.4S=Qjz_71j_jjQ_hajM3k4Q +S47=z1j_jjh_Qa3_jk;Mj +fsRjR:jlENOReQhRHbsl7Rp1j_jjh_Qa3_jsm +S=1p7_jjj_aQh_kj3MSd +Q1j=vv_qQrtqn +9;sjRf:ljRNROEq.h7RHbsl7Rp1j_jjh_Qa3_jlm +S=1p7_jjj_aQh_kj3MS4 +Qbj=FO#_D3 \kjM4__#lNolHN +_HS=Q41qv_vqQtr;n9 +fsRjR:jlENOR7qh.sRbHplR7j1_jQj_hja_3SM +m7=p1j_jjh_Qa3_jk +MjS=Qjp_71j_jjQ +haS=Q4p_71j_jjQ_hajM3kds; +R:fjjNRlOmER)b.RsRHlp_71j_jjQ_haj +3bShm=_ +4cS=Qjp_71j_jjQ_hajM3k4Q +S47=p1j_jjh_Qa3_jk;Mj +fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_SH +m1=7_jjj_q7v_SH +Q7j=1j_jjv_7qs; +R:fjjNRlOqERhR7.blsHRnkM__8#j +djSkm=M8n_#d_jjQ +Sj1=q_jjj_SH +Q74=1j_jjv_7q;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jNc.r9m +S=.h_(Sj +Qhj=_(4g +4SQ=_1vqtvQqr_Hc +9;sjRf:ljRNROEQRheblsHRa)1_Y7p_4Hr9m +S=a)1_Y7p_4Hr9Q +Sj1=)ap_7Y9r4;R +sfjj:ROlNEhRq7b.RsRHl)_1a7_pYCH4__Nj_. +_jShm=_c.4 +jSQ=4h_UHn_ +4SQ=a)1_Y7p_4Hr9s; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__C4H__jNS. +m_=h. +4dS=Qjh6_4gQ +S4_=h4_ndHs; +R:fjjNRlOQERhbeRsRHlw_uz11 h +_HSwm=u1z_ h1_SH +Qwj=u1z_ h1_ +O;sjRf:ljRNROEq.h7RHbslMRk.L4_C_ssj._N_Nj_. +_4Skm=M_.4LsCs_S4 +Qqj=1d_jj +_HS=Q4ABtqij_jj;_O +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +c9S1m=vv_qQ_tqH9rc +jSQ=_1vqtvQq9rc;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_##s0H#__jj__rF.dS9 +m_=h4_U4HQ +Sj_=h4_66HQ +S4v=1_Qqvtcqr9s; +R:fjjNRlOqERhR7.blsHR4kM__71j_jj Ahqpj __l#Jk_GNj._F_Fj_.m +S=4kM__71j_jj Ahqpj __l#Jk_GNHQ +Sj_=h4 +U4S=Q4hc_dg;_H +fsRjR:jlENOR)Xm.sRbHblRFO#_D3 \k4M._NLoOj _dHj_MH0__NH_.__HGS. +m_=hd +6cS=QjBpYB v_7q9rj +4SQ=BBYp7 _v4qr9s; +R:fjjNRlOqERhR7.blsHR.kM__N#j_djH._N_SH +m_=h._ggHQ +Sjt=Aq_Bij_djQ_haHQ +S4 =MX1u_u qB_ +H;sjRf:ljRNROEq.h7RHbsl1Rq_jjd__7jj__jjm +S=ch_U +_jS=Qjqj1_dHj_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsl R)1_ am_za.__HHm +S=.h_gjU_ +jSQ=.h_.Hg_ +4SQ=.h_dHj_;R +sfjj:ROlNEhRq7b.RsRHlkdM4_HOHM__Hj +_jShm=_j.n_Sj +QMj= _Xu1Buq +_HS=Q4kjM4_HOHM;_H +fsRjR:jlENOReQhRHbsl R)1_ am_zaHm +S=1) ma_zHa_ +jSQ=1) ma_z +a;sjRf:ljRNROEq.h7RHbslFRb#D_O )\3Wj_jjh_Qa__6j__jFS. +m_=h4_U(jQ +Sj_=h._(6HQ +S4v=1_QqvtHq_r;(9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jFn.r9m +S=4h_gj4_ +jSQ=4h_(H4_ +4SQ=_1vqtvQq__HH9r(;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 36kM_NLoOj _dHj_M80__jH___N.H._F +=Smhg_4g +_HS=QjABtqid_jjh_QaQ +S4t=Aq_Bij_djQ_ha7s; +R:fjjNRlOQERhbeRsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__ +3sSkm=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3dkM +jSQ=qAtBji_dQj_h +a;sjRf:ljRNROEq.h7RHbslMRk4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_lm +S=4kM_QqvtAq_z 1_hpqA v_7qQ_]tH]___l.jk_3MS4 +Qqj=1d_jjj_jjY_1hSB +QA4=tiqB_jjd_aQh;R +sfjj:ROlNEhRq7b.RsRHlk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__ +3MSkm=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3jkM +jSQ=QqvtAq_z 1_hpqA v_7qQ_]tS] +Qk4=Mq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3dkM;R +sfjj:ROlNE)Rm.sRbHklRMq4_vqQt_1Az_q hA_p 7_vq]]Qt_lH_.__j3Sb +m_=h. +j6S=Qjk_M4qtvQqz_A1h_ q Ap_q7v_t]Q]__Hlj.__M3k4Q +S4M=k4v_qQ_tqA_z1 Ahqp7 _v]q_Q_t]H._l_3j_k;Mj +fsRjR:jlENOReQhRHbsl1Rq_jjd__7jHm +S=_q1j_dj7Hj_ +jSQ=_q1j_dj7 +j;sjRf:ljRNROEQRheblsHR_1vqtvQq__HH9r( +=Sm1qv_vqQt_HH_r +(9S=Qj1qv_vqQt_(Hr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._Fr +c9Shm=_(4g_Sj +Qhj=_6dj_SH +Q14=vv_qQrtq6 +9;sjRf:ljRNROEq.h7RHbsl1R)ap_7Y._C_jH___F.jm +S=4h_nHd_ +jSQ=a)1_Y7pr +j9S=Q4)_1a7rpY4 +9;sjRf:ljRNROEq.h7RHbsl1R)ap_7YCD8_NH_. +_HShm=_n4U_SH +Qhj=_6dj +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvR1_Qqvt#q_s##0_jH__6jr9m +S=4h_6Hj_ +jSQ=4h_UHn_ +4SQ=dh_.Hn_;R +sfjj:ROlNEhRq7b.RsRHl) 1az_ma__4#kJlGHN__4j_44(__ +N.Shm=_jd6 +jSQ=4h_nHd_ +4SQ=a)1_Y7pr;.9 +fsRjR:jlENOReQhRHbsl1R)ap_7Yr_HjS9 +m1=)ap_7Yr_HjS9 +Q)j=17a_pjYr9s; +R:fjjNRlOqERhR7.blsHRa)1_Y7p__CjH__jNj._ +=Smhd_d.Q +Sj_=h4_UnHQ +S41=)ap_7Yr_Hj +9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H6S9 +mv=1_QqvtHq_r +69S=Qj1qv_vqQtr;69 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tq#0s##__Hj__jN6.r9m +S=dh_.Sn +Qhj=_n4n +4SQ=_1vqtvQqr_H6 +9;sjRf:ljRNROEq.h7RHbslFRb#D_O q\3jv_7q__dj._N_Nj_.m +S=#bF_ OD\j3q_q7v_Sd +QAj=tiqB_jjd_aQh_SH +Qz4=7j1_jOj_;R +sfjj:ROlNEhRQesRbHqlR_4Hr9m +S=Hq_r +49S=Qjqr_O4 +9;sjRf:ljRNROEq.h7RHbslFRb#D_O q\3vqQt_1Az_q hA_p 7_vq]]Qt_Hd___N.j._N +=Smhg_( +jSQ=Hq_r +49S=Q4ABtqid_jjh_Qa;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \qtvQqz_A1h_ q Ap_q7v_Wpm_Hd___N.j._N +=SmhU_( +jSQ=Oq_r +49S=Q4ABtqid_jjh_Qa;_H +fsRjR:jlENOReQhRHbsl1Rq_jjj_aQh_SH +m1=q_jjj_aQh_SH +Qqj=1j_jjh_Qas; +R:fjjNRlOqERhR7.blsHR#bF_ OD\13q_jjj_aQh_H4___N.j._N +=Smhn_4gQ +Sj1=q_jjj_aQh_SH +Qq4=1d_jj;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \kcMd__N#j_dj8Hj__SH +m_=h._g(jQ +Sj1=q_jjd_SH +Qh4=_(.._ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O )\3Wj_jjh_Qa__6j +_jSbm=FO#_D3 \)jW_jQj_h6a__Sj +Qhj=_(4U_Sj +Q)4=W__OHs; +R:fjjNRlOqERhR7.blsHR_q1j_jjQ_haj__Hjm +S=.h_6H(_ +jSQ=dh_4Hg_ +4SQ=dh_.Hj_;R +sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_#j_JGlkN__4j +_4Skm=M14_vv_qQ_tqjJ_#lNkG_j4_ +jSQ=4h_nSn +Qh4=_(4U_ +j;sjRf:ljRNROEq.h7RHbslFRb#D_O )\3Wj_jjv_7q__dH._N_SH +m_=hd_jjjQ +Sjt=Aq_Bij_djQ_haHQ +S4W=)_jjj_ +H;sjRf:ljRNROEq.h7RHbslFRb#D_O 1\3Q_Z 7_vqn__jjr_jjS9 +mF=b#D_O 1\3Q_Z 7_vqnr_jjS9 +Qhj=_ddd_SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlb_F#O\D 3Z1Q v_7q__nj__jj9r4 +=Smb_F#O\D 3Z1Q v_7q__nj9r4 +jSQ=dh_dHc_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslQR1Z7 _vdq__l#Jk_GNj._N_SH +m_=h4_4djQ +Sj_=h4_ggHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__jj__rF.jS9 +m_=h4_UcHQ +Sj7=p1j_jj__OHQ +S47=z1j_jj__OHs; +R:fjjNRlOQERhbeRsRHlQ._.(m +S=Qq]tH]_r9.n +jSQ=Qq]tO]_r9.n;R +sfjj:ROlNEhRQesRbHQlR_U.. +=Smqt]Q]r_H. +(9S=Qjqt]Q]r_O.;(9 +fsRjR:jlENOReQhRHbsl_RQ. +.6Sqm=]]Qt_.HrUS9 +Qqj=]]Qt_.OrU +9;sjRf:ljRNROEQRheblsHR.Q_.Sn +m]=qQ_t]Hgr.9Q +Sj]=qQ_t]Ogr.9s; +R:fjjNRlOQERhbeRsRHlQ._.dm +S=Qq]tH]_r9dj +jSQ=Qq]tO]_r9dj;R +sfjj:ROlNEhRQesRbHQlR_c.. +=Smqt]Q]r_Hd +49S=Qjqt]Q]r_Od;49 +fsRjR:jlENOReQhRHbsl_Rq7m B7H _r94U +=Smq _7B m7_4HrUS9 +Qqj=_B7 m_7 OUr49s; +R:fjjNRlOQERhbeRsRHlq _7B m7_4HrgS9 +m_=q7m B7H _r94g +jSQ=7q_ 7Bm r_O4;g9 +fsRjR:jlENOReQhRHbsl_Rq7m B7H _r94n +=Smq _7B m7_4HrnS9 +Qqj=_B7 m_7 Onr49s; +R:fjjNRlOqERhR7.blsHR_q1j_jjQ_haj__Hj._N +=Smh4_dgQ +Sj_=h4 +ngS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_q1j_jjQ_haj__Hj._N_Sj +m_=hd +.jS=Qjhn_4n +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_1vqtvQqs_##_0#H__jj._Nr +n9Shm=_(d. +jSQ=4h_gS4 +Q14=vv_qQ_tqH9rn;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_#M#_HH__jj__rN.jS9 +m_=h. +(dS=Qjh(_44Q +S4v=1_QqvtHq__(Hr9s; +R:fjjNRlOqERhR7.blsHR#bF_ OD\Q31Z7 _vnq__jj__Nj_.9rj +=Smhd_ddQ +Sjt=Aq_Bij_djQ_haHQ +S4_=h4;Uc +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \1 QZ_q7v_jn__jj__rN.4S9 +m_=hd +dcS=QjABtqid_jjh_Qa +_HS=Q4hU_4c;_H +fsRjR:jlENOReQhRHbslpRBiz_ma)_u j_6_SH +mp=Biz_ma)_u j_6_SH +QBj=pmi_zua_)6 _js; +R:fjjNRlOQERhbeRsRHlhj_.n +_HShm=_n.j_SH +Qhj=_n.j;R +sfjj:ROlNEhRQesRbHhlR_(.j_SH +m_=h._j(HQ +Sj_=h.;j( +fsRjR:jlENOReQhRHbsl_Rh._jUHm +S=.h_jHU_ +jSQ=.h_j +U;sjRf:ljRNROEQRheblsHR.Q_.Sg +m]=qQ_t]Hcr.9Q +Sj]=qQ_t]Ocr.9s; +R:fjjNRlOQERhbeRsRHlQd_.jm +S=Qq]tH]_r9.6 +jSQ=Qq]tO]_r9.6;R +sfjj:ROlNEhRQesRbHhlR__(gHm +S=(h_g +_HS=Qjhg_(;R +sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_sj3 +=SmqtvQqz_A1h_ q Ap_q7v_t]Q]3_jk +MdS=Qjhg_4gs; +R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA v_7qQ_]tj]_3Sl +mv=qQ_tqA_z1 Ahqp7 _v]q_Q_t]jM3k4Q +Sj_=h(Hg_ +4SQ=4h_g +g;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]j +3MSqm=vqQt_1Az_q hA_p 7_vq]]Qt_kj3MSj +Qqj=vqQt_1Az_q hA_p 7_vq]]Qt +4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3dkM;R +sfjj:ROlNE)Rm.sRbHqlRvqQt_1Az_q hA_p 7_vq]]Qt_bj3 +=Smhd_. +jSQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_34kM +4SQ=QqvtAq_z 1_hpqA v_7qQ_]tj]_3jkM;R +sfjj:ROlNEhRQesRbHAlRtj_jj3_jsm +S=_Atj_jjjM3kdQ +SjF=b#D_O k\3MLg_od_jjs; +R:fjjNRlOqERhR7.blsHR_Atj_jjj +3lSAm=tj_jj3_jk +M4S=QjAjt_dOj_ +4SQ=#bF_ OD\M3kgo_L_jjd;R +sfjj:ROlNEhRq7b.RsRHlAjt_jjj_3SM +mt=A_jjj_kj3MSj +QAj=tj_jj +_OS=Q4Ajt_jjj_3dkM;R +sfjj:ROlNE)Rm.sRbHAlRtj_jj3_jbm +S=.h_cQ +Sjt=A_jjj_kj3MS4 +QA4=tj_jj3_jk;Mj +fsRjR:jlENOR7qh.sRbHAlRtj_jj +_4Shm=__d4jQ +Sj_=h.Hc_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _v]q_Q_t]4m +S=dh_. +_jS=Qjhd_._SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_q7v_Wpm_S4 +m_=hdjd_ +jSQ=.h_. +_HS=Q4)_1aOs; +R:fjjNRlOqERhR7.blsHR_qj7_vq4m +S=dh_6 +_jS=Qjhj_._SH +Q)4=1Oa_;R +sfjj:ROlNEhRq7b.RsRHl)jW_j7j_v4q_ +=Smhn_d_Sj +Qhj=__4gHQ +S41=)a;_O +fsRjR:jlENOR7qh.sRbH)lRWj_jjh_Qa +_4Shm=__dgjQ +Sj_=h4Hn_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB +_4Shm=__cjjQ +Sj_=h4H6_ +4SQ=a)1_ +O;sjRf:ljRNROEQRheblsHRZ1Q v_7q__jjs_3 +=Sm1 QZ_q7v_jj__M3kdQ +Sj_=h4;4d +fsRjR:jlENOR7qh.sRbH1lRQ_Z 7_vqj__j3Sl +mQ=1Z7 _vjq__3j_k +M4S=Qjb_F#O\D 3Z1Q v_7qr_njS9 +Qh4=_d44;R +sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_jj__ +3MS1m=Q_Z 7_vqj__j3jkM +jSQ=Z1Q v_7q9rj +4SQ=Z1Q v_7q__jjk_3M +d;sjRf:ljRNROEmR).blsHRZ1Q v_7q__jjb_3 +=Smh +_nS=Qj1 QZ_q7v_jj__M3k4Q +S4Q=1Z7 _vjq__3j_k;Mj +fsRjR:jlENOReQhRHbslQR1Z7 _vjq__34_sm +S=Z1Q v_7q__j4k_3MSd +Qhj=_d44;R +sfjj:ROlNEhRq7b.RsRHl1 QZ_q7v_4j__ +3lS1m=Q_Z 7_vqj__434kM +jSQ=#bF_ OD\Q31Z7 _vnq_r +49S=Q4h4_4ds; +R:fjjNRlOqERhR7.blsHRZ1Q v_7q__j4M_3 +=Sm1 QZ_q7v_4j__M3kjQ +SjQ=1Z7 _v4qr9Q +S4Q=1Z7 _vjq__34_k;Md +fsRjR:jlENOR.m)RHbslQR1Z7 _vjq__34_bm +S=(h_ +jSQ=Z1Q v_7q__j4k_3MS4 +Q14=Q_Z 7_vqj__43jkM;R +sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vqp_mWHm +S=QqvtAq_z 1_hpqA v_7qm_pW +_HS=QjqtvQqz_A1h_ q Ap_q7v_Wpm;R +sfjj:ROlNEhRq7b.RsRHlk_M4NolHNk_L#M_CNCLD_IDF +=Smk_M4NolHNk_L#M_CNCLD_IDF +jSQ=QqvtAq_z 1_hpqA v_7qm_pW +_HS=Q4ABtqid_jjh_Qa;_H +fsRjR:jlENOR7qh.sRbHblRFO#_D3 \k_MgLjo_dSj +mF=b#D_O k\3MLg_od_jj +_jS=QjAjt_dOj__SH +Qb4=FO#_D3 \k_MnLjo_dHj_;R +sfjj:ROlNEhRQesRbHklRMN(_#d_jj +_HSkm=MN(_#d_jj +_HS=Qjk_M(Nj#_d +j;sjRf:ljRNROEQRheblsHR4h_nHg_ +=Smhn_4g +_HS=Qjhn_4gs; +R:fjjNRlOQERhbeRsRHlhn_46 +_HShm=_64n_SH +Qhj=_64n;R +sfjj:ROlNEhRQesRbHklRM8n_#d_jj +_HSkm=M8n_#d_jj +_HS=Qjk_Mn8j#_d +j;sjRf:ljRNROEQRheblsHR_q1j_djj_jj1BYh_sj3 +=Smqj1_djj_j1j_Y_hBjM3kdQ +Sj_=h.;g( +fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1hjB_3Sl +m1=q_jjd_jjj_h1YB3_jk +M4S=Qjqj1_dOj_ +4SQ=.h_g +(;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB3_jMm +S=_q1j_djj_jj1BYh_kj3MSj +Qqj=1d_jjj_jjY_1hSB +Qq4=1d_jjj_jjY_1hjB_3dkM;R +sfjj:ROlNE)Rm.sRbHqlR1d_jjj_jjY_1hjB_3Sb +m_=h4S6 +Qqj=1d_jjj_jjY_1hjB_34kM +4SQ=_q1j_djj_jj1BYh_kj3M +j;sjRf:ljRNROEQRheblsHR_)Wj_jjQ_haj +3sS)m=Wj_jjh_Qa3_jk +MdS=Qjk_M41qv_vqQt_#j_JGlkN;_4 +fsRjR:jlENOR7qh.sRbH)lRWj_jjh_Qa3_jlm +S=_)Wj_jjQ_hajM3k4Q +SjF=b#D_O )\3Wj_jjh_Qa +_6S=Q4k_M41qv_vqQt_#j_JGlkN;_4 +fsRjR:jlENOR7qh.sRbH)lRWj_jjh_Qa3_jMm +S=_)Wj_jjQ_hajM3kjQ +SjW=)_jjj_aQh +4SQ=_)Wj_jjQ_hajM3kds; +R:fjjNRlOmER)b.RsRHl)jW_jQj_hja_3Sb +m_=h4Sn +Q)j=Wj_jjh_Qa3_jk +M4S=Q4)jW_jQj_hja_3jkM;R +sfjj:ROlNEhRQesRbH)lRWj_jjv_7q3_jsm +S=_)Wj_jj7_vqjM3kdQ +Sj_=h4;gg +fsRjR:jlENOR7qh.sRbH)lRWj_jjv_7q3_jlm +S=_)Wj_jj7_vqjM3k4Q +Sj_=hd +jjS=Q4hg_4gs; +R:fjjNRlOqERhR7.blsHR_)Wj_jj7_vqj +3MS)m=Wj_jjv_7q3_jk +MjS=Qj)jW_j7j_vSq +Q)4=Wj_jjv_7q3_jk;Md +fsRjR:jlENOR.m)RHbslWR)_jjj_q7v_bj3 +=Smhg_4 +jSQ=_)Wj_jj7_vqjM3k4Q +S4W=)_jjj_q7v_kj3M +j;sjRf:ljRNROEQRheblsHR_qj7_vqj +3sSqm=jv_7q3_jk +MdS=Qjhg_4gs; +R:fjjNRlOqERhR7.blsHR_qj7_vqj +3lSqm=jv_7q3_jk +M4S=Qjb_F#O\D 3_qj7_vqdQ +S4_=h4;gg +fsRjR:jlENOR7qh.sRbHqlRjv_7q3_jMm +S=_qj7_vqjM3kjQ +Sjj=q_q7v +4SQ=_qj7_vqjM3kds; +R:fjjNRlOmER)b.RsRHlq7j_vjq_3Sb +m_=h.Sj +Qqj=jv_7q3_jk +M4S=Q4q7j_vjq_3jkM;R +sfjj:ROlNEhRQesRbHhlR__(UHm +S=(h_U +_HS=QjhU_(;R +sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj +3sSqm=vqQt_1Az_q hA_p 7_vqp_mWjM3kdQ +Sj_=h4;gg +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj +3lSqm=vqQt_1Az_q hA_p 7_vqp_mWjM3k4Q +Sj_=h(HU_ +4SQ=4h_g +g;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 Ahqp7 _vpq_mjW_3SM +mv=qQ_tqA_z1 Ahqp7 _vpq_mjW_3jkM +jSQ=QqvtAq_z 1_hpqA v_7qm_pWQ +S4v=qQ_tqA_z1 Ahqp7 _vpq_mjW_3dkM;R +sfjj:ROlNE)Rm.sRbHqlRvqQt_1Az_q hA_p 7_vqp_mWj +3bShm=_ +..S=QjqtvQqz_A1h_ q Ap_q7v_Wpm_kj3MS4 +Qq4=vqQt_1Az_q hA_p 7_vqp_mWjM3kj ; diff --git a/Logic/BUS68030.srr b/Logic/BUS68030.srr index 7dd4de7..4e5d5fe 100644 --- a/Logic/BUS68030.srr +++ b/Logic/BUS68030.srr @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Thu Oct 06 21:34:49 2016 +#Thu Oct 06 22:04:05 2016 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014 @N|Running in 64-bit mode @@ -28,7 +28,7 @@ Post processing for work.bus68030.behavioral @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":130:34:130:36|Pruning register CLK_OUT_EXP_INT_1 @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":126:36:126:38|Pruning register CLK_OUT_PRE_25_3 @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":154:2:154:3|Pruning register CLK_030_D0_2 -@W: CL271 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning bits 12 to 5 of CLK_000_D_3(12 downto 0) -- not in use ... +@W: CL271 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning bits 12 to 6 of CLK_000_D_3(12 downto 0) -- not in use ... @N: CL201 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Trying to extract state machine for register SM_AMIGA Extracted state machine for register SM_AMIGA State machine has 8 reachable states with original encodings of: @@ -47,7 +47,7 @@ State machine has 8 reachable states with original encodings of: At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 71MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Oct 06 21:34:49 2016 +# Thu Oct 06 22:04:05 2016 ###########################################################] Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014 @@ -57,7 +57,7 @@ File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\synwork\BUS68030_c At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Oct 06 21:34:50 2016 +# Thu Oct 06 22:04:06 2016 ###########################################################] Map & Optimize Report @@ -81,15 +81,15 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFF 55 uses +DFF 56 uses BI_DIR 18 uses BUFTH 4 uses IBUF 38 uses OBUF 15 uses -AND2 272 uses -INV 237 uses -OR2 23 uses +AND2 269 uses +INV 238 uses XOR2 5 uses +OR2 23 uses @N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. @@ -99,6 +99,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Oct 06 21:34:50 2016 +# Thu Oct 06 22:04:07 2016 ###########################################################] diff --git a/Logic/BUS68030.srs b/Logic/BUS68030.srs index 7ec3484..6a70794 100644 Binary files a/Logic/BUS68030.srs and b/Logic/BUS68030.srs differ diff --git a/Logic/bus68030.exf b/Logic/bus68030.exf index 2ab7978..e9a69ed 100644 --- a/Logic/bus68030.exf +++ b/Logic/bus68030.exf @@ -54,585 +54,573 @@ Section Member Rename Array-Notation Array Number Port FC_0_ FC[0] 3 1 End Section Cross Reference File -Design 'BUS68030' created Thu Oct 06 21:34:55 2016 +Design 'BUS68030' created Thu Oct 06 22:04:11 2016 Type New Name Original Name // ---------------------------------------------------------------------- - Inst i_z3737 AS_030 - Inst i_z3838 AS_000 - Inst i_z3939 RW_000 - Inst i_z3A3A DS_030 - Inst i_z3B3B UDS_000 - Inst i_z3C3C LDS_000 - Inst i_z4G4G BERR - Inst i_z5252 DSACK1 - Inst i_z5959 RESET - Inst i_z5A5A RW - Inst i_z5H5H CIIN + Inst i_z3838 AS_030 + Inst i_z3939 AS_000 + Inst i_z3A3A RW_000 + Inst i_z3B3B DS_030 + Inst i_z3C3C UDS_000 + Inst i_z3D3D LDS_000 + Inst i_z4H4H BERR + Inst i_z5353 DSACK1 + Inst i_z5A5A RESET + Inst i_z5B5B RW + Inst i_z5I5I CIIN + Inst pos_clk_un34_as_030_d0_i_i_o2 pos_clk.un34_as_030_d0_i_i_o2 + Inst VMA_INT_0_r VMA_INT_0.r + Inst VMA_INT_0_m VMA_INT_0.m + Inst VMA_INT_0_n VMA_INT_0.n + Inst VMA_INT_0_p VMA_INT_0.p + Inst pos_clk_un21_bgack_030_int_i_i_a2_i_o2_1 pos_clk.un21_bgack_030_int_i_i_a2_i_o2_1 + Inst pos_clk_un21_bgack_030_int_i_i_a2_i_o2_2 pos_clk.un21_bgack_030_int_i_i_a2_i_o2_2 + Inst cpu_est_0_1__r cpu_est_0_1_.r + Inst pos_clk_un21_bgack_030_int_i_i_a2_i_o2 pos_clk.un21_bgack_030_int_i_i_a2_i_o2 + Inst cpu_est_0_1__m cpu_est_0_1_.m + Inst pos_clk_un10_sm_amiga_1 pos_clk.un10_sm_amiga_1 + Inst cpu_est_0_1__n cpu_est_0_1_.n Inst pos_clk_un10_sm_amiga pos_clk.un10_sm_amiga + Inst cpu_est_0_1__p cpu_est_0_1_.p + Inst SM_AMIGA_srsts_i_0_0_o2_1_2_ SM_AMIGA_srsts_i_0_0_o2_1[2] + Inst cpu_est_0_2__r cpu_est_0_2_.r + Inst SM_AMIGA_srsts_i_0_0_o2_2_ SM_AMIGA_srsts_i_0_0_o2[2] + Inst cpu_est_0_2__m cpu_est_0_2_.m + Inst SM_AMIGA_srsts_i_0_0_o2_0_1_3_ SM_AMIGA_srsts_i_0_0_o2_0_1[3] + Inst cpu_est_0_2__n cpu_est_0_2_.n + Inst SM_AMIGA_srsts_i_0_0_o2_0_3_ SM_AMIGA_srsts_i_0_0_o2_0[3] + Inst cpu_est_0_2__p cpu_est_0_2_.p + Inst SM_AMIGA_srsts_i_o3_0_1_ SM_AMIGA_srsts_i_o3_0[1] Inst RST_DLY_i_2_ RST_DLY_i[2] - Inst pos_clk_un9_clk_000_pe_0_a3_1 pos_clk.un9_clk_000_pe_0_a3_1 - Inst pos_clk_un9_clk_000_pe_0_a3_2 pos_clk.un9_clk_000_pe_0_a3_2 - Inst pos_clk_un9_clk_000_pe_0_a3 pos_clk.un9_clk_000_pe_0_a3 - Inst pos_clk_un9_clk_000_pe_0_a3_0_1 pos_clk.un9_clk_000_pe_0_a3_0_1 - Inst pos_clk_un9_clk_000_pe_0_a3_0_2 pos_clk.un9_clk_000_pe_0_a3_0_2 - Inst pos_clk_un9_clk_000_pe_0_a3_0 pos_clk.un9_clk_000_pe_0_a3_0 - Inst pos_clk_un14_clk_000_ne_0_a2_0_a3_1 pos_clk.un14_clk_000_ne_0_a2_0_a3_1 - Inst A_i_1_ A_i[1] - Inst pos_clk_un14_clk_000_ne_0_a2_0_a3_2 pos_clk.un14_clk_000_ne_0_a2_0_a3_2 - Inst pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2 pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2 - Inst pos_clk_un14_clk_000_ne_0_a2_0_a3 pos_clk.un14_clk_000_ne_0_a2_0_a3 - Inst pos_clk_un34_as_030_d0_i_a2_0_1 pos_clk.un34_as_030_d0_i_a2_0_1 - Inst SM_AMIGA_srsts_i_0_a2_3_ SM_AMIGA_srsts_i_0_a2[3] - Inst pos_clk_un34_as_030_d0_i_a2_0_2 pos_clk.un34_as_030_d0_i_a2_0_2 - Inst SM_AMIGA_srsts_i_0_a2_0_1_ SM_AMIGA_srsts_i_0_a2_0[1] - Inst pos_clk_un34_as_030_d0_i_a2_0_3 pos_clk.un34_as_030_d0_i_a2_0_3 - Inst SM_AMIGA_i_1_ SM_AMIGA_i[1] - Inst SM_AMIGA_srsts_i_0_a2_1_ SM_AMIGA_srsts_i_0_a2[1] - Inst cpu_est_0_0_a2_0_0_ cpu_est_0_0_a2_0[0] - Inst cpu_est_i_0_ cpu_est_i[0] - Inst cpu_est_0_0_a2_0_ cpu_est_0_0_a2[0] - Inst SM_AMIGA_srsts_i_o3_i_a2_3_ SM_AMIGA_srsts_i_o3_i_a2[3] - Inst pos_clk_un34_as_030_d0_i_o2_1 pos_clk.un34_as_030_d0_i_o2_1 - Inst cpu_est_i_1_ cpu_est_i[1] - Inst pos_clk_un34_as_030_d0_i_o2_2 pos_clk.un34_as_030_d0_i_o2_2 - Inst pos_clk_un34_as_030_d0_i_o2_3 pos_clk.un34_as_030_d0_i_o2_3 - Inst SM_AMIGA_i_3_ SM_AMIGA_i[3] - Inst pos_clk_un34_as_030_d0_i_o2 pos_clk.un34_as_030_d0_i_o2 - Inst SM_AMIGA_i_i_7_ SM_AMIGA_i_i[7] - Inst pos_clk_un21_bgack_030_int_i_0_o2_1 pos_clk.un21_bgack_030_int_i_0_o2_1 - Inst SM_AMIGA_nss_i_i_0_a2_0_ SM_AMIGA_nss_i_i_0_a2[0] - Inst pos_clk_un21_bgack_030_int_i_0_o2 pos_clk.un21_bgack_030_int_i_0_o2 - Inst SM_AMIGA_srsts_i_0_a2_0_0_ SM_AMIGA_srsts_i_0_a2_0[0] - Inst SM_AMIGA_nss_i_i_0_o2_1_0_ SM_AMIGA_nss_i_i_0_o2_1[0] - Inst SM_AMIGA_i_0_ SM_AMIGA_i[0] - Inst SM_AMIGA_nss_i_i_0_o2_2_0_ SM_AMIGA_nss_i_i_0_o2_2[0] - Inst SM_AMIGA_srsts_i_0_a2_0_ SM_AMIGA_srsts_i_0_a2[0] - Inst SM_AMIGA_nss_i_i_0_o2_0_ SM_AMIGA_nss_i_i_0_o2[0] - Inst SM_AMIGA_srsts_i_o3_i_o2_1_3_ SM_AMIGA_srsts_i_o3_i_o2_1[3] - Inst IPL_c_i_0_ IPL_c_i[0] - Inst SM_AMIGA_srsts_i_0_o2_1_ SM_AMIGA_srsts_i_0_o2[1] - Inst IPL_D0_0_i_0_ IPL_D0_0_i[0] - Inst cpu_est_0_0_0_ cpu_est_0_0[0] - Inst SM_AMIGA_srsts_i_o3_i_a2_1_3_ SM_AMIGA_srsts_i_o3_i_a2_1[3] - Inst pos_clk_un9_clk_000_pe_0_i pos_clk.un9_clk_000_pe_0_i - Inst SM_AMIGA_i_4_ SM_AMIGA_i[4] - Inst cpu_est_2_i_0_o2_i_3_ cpu_est_2_i_0_o2_i[3] - Inst SM_AMIGA_srsts_i_0_a2_4_ SM_AMIGA_srsts_i_0_a2[4] - Inst A_c_i_0_ A_c_i[0] - Inst SM_AMIGA_i_5_ SM_AMIGA_i[5] - Inst SIZE_c_i_1_ SIZE_c_i[1] - Inst SM_AMIGA_srsts_i_0_a2_5_ SM_AMIGA_srsts_i_0_a2[5] - Inst SM_AMIGA_6_ SM_AMIGA[6] + Inst cpu_est_2_0_0_0_a2_1_ cpu_est_2_0_0_0_a2[1] + Inst pos_clk_DS_000_DMA_4_f0_i_a2_i_a2 pos_clk.DS_000_DMA_4_f0_i_a2_i_a2 + Inst pos_clk_un6_bg_030_i pos_clk.un6_bg_030_i + Inst pos_clk_un6_bgack_000_0_0_a2 pos_clk.un6_bgack_000_0_0_a2 + Inst pos_clk_un9_bg_030_i pos_clk.un9_bg_030_i + Inst pos_clk_un34_as_030_d0_i_i_o2_1 pos_clk.un34_as_030_d0_i_i_o2_1 + Inst pos_clk_un6_bgack_000_0_0 pos_clk.un6_bgack_000_0_0 + Inst pos_clk_un34_as_030_d0_i_i_o2_2 pos_clk.un34_as_030_d0_i_i_o2_2 + Inst pos_clk_DS_000_DMA_4_f0_i_a2_i pos_clk.DS_000_DMA_4_f0_i_a2_i + Inst CLK_000_D_i_0_ CLK_000_D_i[0] Inst SM_AMIGA_i_6_ SM_AMIGA_i[6] + Inst DS_000_DMA_0_r DS_000_DMA_0.r + Inst DS_000_DMA_0_m DS_000_DMA_0.m + Inst DS_000_DMA_0_n DS_000_DMA_0.n + Inst pos_clk_RW_000_INT_5_0_0_i pos_clk.RW_000_INT_5_0_0_i + Inst DS_000_DMA_0_p DS_000_DMA_0.p + Inst AS_000_DMA_0_r AS_000_DMA_0.r + Inst pos_clk_un34_as_030_d0_i_i_i pos_clk.un34_as_030_d0_i_i_i + Inst AS_000_DMA_0_m AS_000_DMA_0.m + Inst AS_000_DMA_0_n AS_000_DMA_0.n + Inst AS_000_DMA_0_p AS_000_DMA_0.p + Inst BGACK_030_INT_0_r BGACK_030_INT_0.r + Inst BGACK_030_INT_0_m BGACK_030_INT_0.m + Inst BGACK_030_INT_0_n BGACK_030_INT_0.n + Inst BGACK_030_INT_0_p BGACK_030_INT_0.p + Inst DS_000_ENABLE_0_r DS_000_ENABLE_0.r + Inst DS_000_ENABLE_0_m DS_000_ENABLE_0.m + Inst pos_clk_SIZE_DMA_6_0_0_0_i_1_ pos_clk.SIZE_DMA_6_0_0_0_i[1] + Inst DS_000_ENABLE_0_n DS_000_ENABLE_0.n + Inst SM_AMIGA_i_7_ SM_AMIGA_i[7] + Inst DS_000_ENABLE_0_p DS_000_ENABLE_0.p + Inst SM_AMIGA_6_ SM_AMIGA[6] + Inst pos_clk_SIZE_DMA_6_0_0_0_i_0_ pos_clk.SIZE_DMA_6_0_0_0_i[0] Inst SM_AMIGA_5_ SM_AMIGA[5] - Inst IPL_030_1_i_2_ IPL_030_1_i[2] - Inst SM_AMIGA_srsts_i_0_a2_6_ SM_AMIGA_srsts_i_0_a2[6] Inst SM_AMIGA_4_ SM_AMIGA[4] - Inst SM_AMIGA_nss_i_i_0_a2_0_0_ SM_AMIGA_nss_i_i_0_a2_0[0] Inst SM_AMIGA_3_ SM_AMIGA[3] - Inst IPL_030_1_i_1_ IPL_030_1_i[1] Inst SM_AMIGA_2_ SM_AMIGA[2] Inst SM_AMIGA_1_ SM_AMIGA[1] - Inst IPL_030_1_i_0_ IPL_030_1_i[0] - Inst pos_clk_DSACK1_INT_1_i_a2 pos_clk.DSACK1_INT_1_i_a2 + Inst pos_clk_RW_000_DMA_3_i_a2_i_i pos_clk.RW_000_DMA_3_i_a2_i_i + Inst CYCLE_DMA_i_0_ CYCLE_DMA_i[0] Inst SM_AMIGA_0_ SM_AMIGA[0] - Inst IPL_c_i_2_ IPL_c_i[2] + Inst pos_clk_CYCLE_DMA_5_0_i_0_a2 pos_clk.CYCLE_DMA_5_0_i_0_a2 + Inst cpu_est_3_ cpu_est[3] Inst IPL_030DFF_0_ IPL_030DFF[0] - Inst IPL_D0_0_i_2_ IPL_D0_0_i[2] + Inst SM_AMIGA_srsts_i_0_0_a2_0_0_ SM_AMIGA_srsts_i_0_0_a2_0[0] Inst IPL_030DFF_1_ IPL_030DFF[1] - Inst IPL_c_i_1_ IPL_c_i[1] - Inst pos_clk_AS_000_INT_1_i_a2 pos_clk.AS_000_INT_1_i_a2 + Inst SM_AMIGA_nss_i_i_0_0_a2_0_0_ SM_AMIGA_nss_i_i_0_0_a2_0[0] Inst IPL_030DFF_2_ IPL_030DFF[2] - Inst IPL_D0_0_i_1_ IPL_D0_0_i[1] Inst IPL_D0_0_ IPL_D0[0] - Inst SM_AMIGA_srsts_i_0_o2_0_i_6_ SM_AMIGA_srsts_i_0_o2_0_i[6] Inst IPL_D0_1_ IPL_D0[1] + Inst pos_clk_un5_bgack_030_int_d_i_0_a2_i_o2_i pos_clk.un5_bgack_030_int_d_i_0_a2_i_o2_i Inst IPL_D0_2_ IPL_D0[2] - Inst SM_AMIGA_i_7_ SM_AMIGA_i[7] + Inst SM_AMIGA_srsts_i_0_0_o2_i_6_ SM_AMIGA_srsts_i_0_0_o2_i[6] + Inst CLK_000_D_0_ CLK_000_D[0] + Inst pos_clk_un21_bgack_030_int_i_i_a2_i_a2 pos_clk.un21_bgack_030_int_i_i_a2_i_a2 Inst CLK_000_D_1_ CLK_000_D[1] - Inst pos_clk_un14_clk_000_ne_i pos_clk.un14_clk_000_ne_i + Inst SM_AMIGA_srsts_i_0_0_a2_0_3_ SM_AMIGA_srsts_i_0_0_a2_0[3] Inst CLK_000_D_2_ CLK_000_D[2] Inst CLK_000_D_3_ CLK_000_D[3] - Inst pos_clk_un34_as_030_d0_i_a2 pos_clk.un34_as_030_d0_i_a2 + Inst pos_clk_SIZE_DMA_6_0_0_0_o2_i_0_ pos_clk.SIZE_DMA_6_0_0_0_o2_i[0] Inst CLK_000_D_4_ CLK_000_D[4] + Inst CLK_000_D_i_4_ CLK_000_D_i[4] + Inst CLK_000_D_5_ CLK_000_D[5] + Inst SM_AMIGA_nss_i_i_0_0_o2_i_0_ SM_AMIGA_nss_i_i_0_0_o2_i[0] Inst CYCLE_DMA_0_ CYCLE_DMA[0] - Inst CLK_000_D_i_3_ CLK_000_D_i[3] Inst CYCLE_DMA_1_ CYCLE_DMA[1] - Inst SM_AMIGA_srsts_i_o3_0_i_o2_1_ SM_AMIGA_srsts_i_o3_0_i_o2[1] + Inst pos_clk_un34_as_030_d0_i_i_o2_i pos_clk.un34_as_030_d0_i_i_o2_i Inst SIZE_DMA_0_ SIZE_DMA[0] - Inst cpu_est_2_0_0_i_2_ cpu_est_2_0_0_i[2] - Inst SM_AMIGA_srsts_i_0_o2_6_ SM_AMIGA_srsts_i_0_o2[6] + Inst UDS_000_INT_0_r UDS_000_INT_0.r Inst SIZE_DMA_1_ SIZE_DMA[1] - Inst CLK_000_D_i_0_ CLK_000_D_i[0] + Inst UDS_000_INT_0_m UDS_000_INT_0.m Inst cpu_est_0_ cpu_est[0] + Inst SIZE_c_i_1_ SIZE_c_i[1] + Inst UDS_000_INT_0_n UDS_000_INT_0.n Inst cpu_est_1_ cpu_est[1] - Inst cpu_est_2_0_0_i_1_ cpu_est_2_0_0_i[1] - Inst pos_clk_un21_bgack_030_int_i_0_x2 pos_clk.un21_bgack_030_int_i_0_x2 + Inst UDS_000_INT_0_p UDS_000_INT_0.p Inst cpu_est_2_ cpu_est[2] - Inst cpu_est_3_ cpu_est[3] - Inst pos_clk_un34_as_030_d0_i pos_clk.un34_as_030_d0_i + Inst LDS_000_INT_0_r LDS_000_INT_0.r Inst RST_DLY_0_ RST_DLY[0] + Inst LDS_000_INT_0_m LDS_000_INT_0.m Inst RST_DLY_1_ RST_DLY[1] - Inst pos_clk_un34_as_030_d0_i_i pos_clk.un34_as_030_d0_i_i + Inst LDS_000_INT_0_n LDS_000_INT_0.n Inst RST_DLY_2_ RST_DLY[2] - Inst pos_clk_RW_000_INT_5_0 pos_clk.RW_000_INT_5_0 - Inst CLK_000_D_0_ CLK_000_D[0] - Inst SM_AMIGA_srsts_i_0_o2_i_6_ SM_AMIGA_srsts_i_0_o2_i[6] - Inst SM_AMIGA_nss_i_i_0_o2_i_0_ SM_AMIGA_nss_i_i_0_o2_i[0] - Inst CLK_000_D_i_2_ CLK_000_D_i[2] - Inst cpu_est_2_i_0_a2_3_ cpu_est_2_i_0_a2[3] - Inst cpu_est_2_0_0_a3_1_ cpu_est_2_0_0_a3[1] - Inst pos_clk_un21_bgack_030_int_i_0_o2_i pos_clk.un21_bgack_030_int_i_0_o2_i - Inst cpu_est_2_0_0_a3_2_ cpu_est_2_0_0_a3[2] - Inst cpu_est_2_i_0_a3_3_ cpu_est_2_i_0_a3[3] - Inst SM_AMIGA_srsts_i_0_o2_i_0_ SM_AMIGA_srsts_i_0_o2_i[0] - Inst cpu_est_i_3_ cpu_est_i[3] - Inst SM_AMIGA_srsts_i_0_o2_i_4_ SM_AMIGA_srsts_i_0_o2_i[4] - Inst cpu_est_i_2_ cpu_est_i[2] - Inst pos_clk_un34_as_030_d0_i_o2_i pos_clk.un34_as_030_d0_i_o2_i + Inst LDS_000_INT_0_p LDS_000_INT_0.p + Inst SM_AMIGA_srsts_i_0_0_a2_4_ SM_AMIGA_srsts_i_0_0_a2[4] + Inst RST_DLY_i_1_ RST_DLY_i[1] + Inst pos_clk_un21_bgack_030_int_i_i_a2_i_o2_i pos_clk.un21_bgack_030_int_i_i_a2_i_o2_i + Inst SM_AMIGA_srsts_i_0_0_o2_i_3_ SM_AMIGA_srsts_i_0_0_o2_i[3] + Inst SM_AMIGA_srsts_i_0_0_o2_i_4_ SM_AMIGA_srsts_i_0_0_o2_i[4] + Inst SM_AMIGA_i_4_ SM_AMIGA_i[4] + Inst SM_AMIGA_srsts_i_0_0_o2_3_ SM_AMIGA_srsts_i_0_0_o2[3] + Inst pos_clk_un21_bgack_030_int_i_i_a2_i_x2 pos_clk.un21_bgack_030_int_i_i_a2_i_x2 + Inst pos_clk_RW_000_INT_5_0_0_o2 pos_clk.RW_000_INT_5_0_0_o2 + Inst SM_AMIGA_srsts_i_0_0_o2_6_ SM_AMIGA_srsts_i_0_0_o2[6] + Inst pos_clk_un5_bgack_030_int_d_i_0_a2_i_o2 pos_clk.un5_bgack_030_int_d_i_0_a2_i_o2 Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__r un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.r Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__m un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.m Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.n + Inst A_c_i_0_ A_c_i[0] Inst un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0__p un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.p - Inst SM_AMIGA_srsts_i_0_o2_0_6_ SM_AMIGA_srsts_i_0_o2_0[6] - Inst SM_AMIGA_srsts_i_0_o2_4_ SM_AMIGA_srsts_i_0_o2[4] - Inst SM_AMIGA_srsts_i_0_o2_0_ SM_AMIGA_srsts_i_0_o2[0] - Inst CLK_000_D_i_1_ CLK_000_D_i[1] - Inst pos_clk_RW_000_INT_5_0_i pos_clk.RW_000_INT_5_0_i - Inst A_DECODE_i_18_ A_DECODE_i[18] - Inst A_DECODE_i_19_ A_DECODE_i[19] - Inst SM_AMIGA_srsts_i_o3_i_o2_i_3_ SM_AMIGA_srsts_i_o3_i_o2_i[3] - Inst SM_AMIGA_srsts_i_0_o2_i_1_ SM_AMIGA_srsts_i_0_o2_i[1] - Inst A_DECODE_i_16_ A_DECODE_i[16] + Inst SM_AMIGA_i_i_7_ SM_AMIGA_i_i[7] + Inst SM_AMIGA_srsts_i_0_0_o2_4_ SM_AMIGA_srsts_i_0_0_o2[4] + Inst SM_AMIGA_srsts_i_0_0_5_ SM_AMIGA_srsts_i_0_0[5] Inst SIZE_0_ SIZE[0] - Inst cpu_est_2_i_0_o2_3_ cpu_est_2_i_0_o2[3] + Inst RST_DLY_i_0_ RST_DLY_i[0] Inst SIZE_1_ SIZE[1] - Inst pos_clk_un9_clk_000_pe_0 pos_clk.un9_clk_000_pe_0 + Inst pos_clk_DS_000_DMA_4_f0_i_a2_i_i pos_clk.DS_000_DMA_4_f0_i_a2_i_i Inst AHIGH_24_ AHIGH[24] - Inst cpu_est_2_0_0_1_ cpu_est_2_0_0[1] + Inst SM_AMIGA_i_5_ SM_AMIGA_i[5] Inst AHIGH_25_ AHIGH[25] - Inst cpu_est_2_0_0_2_ cpu_est_2_0_0[2] + Inst SM_AMIGA_srsts_i_0_0_a2_5_ SM_AMIGA_srsts_i_0_0_a2[5] Inst AHIGH_26_ AHIGH[26] - Inst cpu_est_2_i_0_a2_0_3_ cpu_est_2_i_0_a2_0[3] + Inst pos_clk_A0_DMA_3_0_a2_0_a2 pos_clk.A0_DMA_3_0_a2_0_a2 Inst AHIGH_27_ AHIGH[27] + Inst A_i_1_ A_i[1] Inst AHIGH_28_ AHIGH[28] + Inst pos_clk_un6_bgack_000_0_0_i pos_clk.un6_bgack_000_0_0_i + Inst pos_clk_AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a2 pos_clk.AMIGA_BUS_ENABLE_DMA_HIGH_3_i_a2_0_a2 Inst AHIGH_29_ AHIGH[29] + Inst pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a2 pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2_0_a2 Inst AHIGH_30_ AHIGH[30] Inst AHIGH_31_ AHIGH[31] - Inst pos_clk_SIZE_DMA_6_0_0_i_0_ pos_clk.SIZE_DMA_6_0_0_i[0] - Inst pos_clk_SIZE_DMA_6_0_0_i_1_ pos_clk.SIZE_DMA_6_0_0_i[1] - Inst pos_clk_un19_bgack_030_int_i_0 pos_clk.un19_bgack_030_int_i_0 - Inst IPL_D0_0_2_ IPL_D0_0[2] - Inst SM_AMIGA_srsts_i_o3_i_2_ SM_AMIGA_srsts_i_o3_i[2] - Inst IPL_030_1_0_ IPL_030_1[0] - Inst IPL_030_1_1_ IPL_030_1[1] - Inst IPL_030_1_2_ IPL_030_1[2] - Inst cpu_est_0_1__r cpu_est_0_1_.r - Inst cpu_est_0_1__m cpu_est_0_1_.m - Inst cpu_est_0_1__n cpu_est_0_1_.n - Inst cpu_est_0_1__p cpu_est_0_1_.p - Inst cpu_est_0_2__r cpu_est_0_2_.r - Inst cpu_est_0_2__m cpu_est_0_2_.m + Inst pos_clk_AS_000_INT_1_i_a2_0_a2 pos_clk.AS_000_INT_1_i_a2_0_a2 + Inst pos_clk_un34_as_030_d0_i_i pos_clk.un34_as_030_d0_i_i + Inst pos_clk_RW_000_INT_5_0_0 pos_clk.RW_000_INT_5_0_0 + Inst cpu_est_2_0_0_0_i_2_ cpu_est_2_0_0_0_i[2] + Inst pos_clk_RW_000_DMA_3_i_a2_i pos_clk.RW_000_DMA_3_i_a2_i + Inst pos_clk_SIZE_DMA_6_0_0_0_0_ pos_clk.SIZE_DMA_6_0_0_0[0] + Inst cpu_est_2_0_0_0_i_1_ cpu_est_2_0_0_0_i[1] + Inst pos_clk_SIZE_DMA_6_0_0_0_1_ pos_clk.SIZE_DMA_6_0_0_0[1] + Inst pos_clk_SIZE_DMA_6_0_0_0_o2_0_ pos_clk.SIZE_DMA_6_0_0_0_o2[0] + Inst pos_clk_un9_clk_000_pe_0_0_i pos_clk.un9_clk_000_pe_0_0_i + Inst CLK_000_D_i_2_ CLK_000_D_i[2] Inst A_DECODE_16_ A_DECODE[16] - Inst cpu_est_0_2__n cpu_est_0_2_.n Inst A_DECODE_17_ A_DECODE[17] - Inst cpu_est_0_2__p cpu_est_0_2_.p + Inst A_DECODE_i_18_ A_DECODE_i[18] Inst A_DECODE_18_ A_DECODE[18] - Inst cpu_est_0_3__r cpu_est_0_3_.r + Inst SM_AMIGA_srsts_i_0_0_o2_0_i_3_ SM_AMIGA_srsts_i_0_0_o2_0_i[3] + Inst A_DECODE_i_19_ A_DECODE_i[19] Inst A_DECODE_19_ A_DECODE[19] - Inst cpu_est_0_3__m cpu_est_0_3_.m + Inst pos_clk_CYCLE_DMA_5_0_i_0_o2_i pos_clk.CYCLE_DMA_5_0_i_0_o2_i + Inst A_DECODE_i_16_ A_DECODE_i[16] Inst A_DECODE_20_ A_DECODE[20] - Inst pos_clk_un6_bgack_000_0_i pos_clk.un6_bgack_000_0_i - Inst cpu_est_0_3__n cpu_est_0_3_.n + Inst SM_AMIGA_srsts_i_0_0_o2_i_1_ SM_AMIGA_srsts_i_0_0_o2_i[1] Inst A_DECODE_21_ A_DECODE[21] - Inst pos_clk_RW_000_DMA_3_0_i pos_clk.RW_000_DMA_3_0_i - Inst cpu_est_0_3__p cpu_est_0_3_.p + Inst SM_AMIGA_srsts_i_0_0_o2_i_2_ SM_AMIGA_srsts_i_0_0_o2_i[2] Inst A_DECODE_22_ A_DECODE[22] - Inst IPL_030_0_0__r IPL_030_0_0_.r + Inst SM_AMIGA_srsts_i_0_0_a2_6_ SM_AMIGA_srsts_i_0_0_a2[6] Inst A_DECODE_23_ A_DECODE[23] - Inst IPL_030_0_0__m IPL_030_0_0_.m + Inst SM_AMIGA_nss_i_i_0_0_a2_0_ SM_AMIGA_nss_i_i_0_0_a2[0] Inst A_0_ A[0] - Inst IPL_030_0_0__n IPL_030_0_0_.n + Inst pos_clk_SIZE_DMA_6_0_0_0_a2_0_ pos_clk.SIZE_DMA_6_0_0_0_a2[0] Inst A_1_ A[1] - Inst IPL_030_0_0__p IPL_030_0_0_.p - Inst pos_clk_DS_000_DMA_4_f0_0_i pos_clk.DS_000_DMA_4_f0_0_i - Inst IPL_030_0_1__r IPL_030_0_1_.r - Inst pos_clk_SIZE_DMA_6_0_0_o2_i_0_ pos_clk.SIZE_DMA_6_0_0_o2_i[0] - Inst IPL_030_0_1__m IPL_030_0_1_.m - Inst IPL_030_0_1__n IPL_030_0_1_.n - Inst IPL_030_0_1__p IPL_030_0_1_.p - Inst IPL_030_0_2__r IPL_030_0_2_.r - Inst IPL_030_0_2__m IPL_030_0_2_.m - Inst IPL_030_0_2__n IPL_030_0_2_.n - Inst IPL_030_0_2__p IPL_030_0_2_.p - Inst UDS_000_INT_0_r UDS_000_INT_0.r - Inst UDS_000_INT_0_m UDS_000_INT_0.m - Inst UDS_000_INT_0_n UDS_000_INT_0.n - Inst UDS_000_INT_0_p UDS_000_INT_0.p - Inst IPL_030_0_ IPL_030[0] + Inst pos_clk_SIZE_DMA_6_0_0_0_a2_1_ pos_clk.SIZE_DMA_6_0_0_0_a2[1] + Inst SM_AMIGA_srsts_i_0_0_o2_i_0_ SM_AMIGA_srsts_i_0_0_o2_i[0] + Inst cpu_est_2_0_0_0_o2_i_2_ cpu_est_2_0_0_0_o2_i[2] + Inst IPL_c_i_2_ IPL_c_i[2] + Inst IPL_D0_0_i_2_ IPL_D0_0_i[2] + Inst IPL_030_1_i_0_ IPL_030_1_i[0] Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_r AMIGA_BUS_ENABLE_DMA_HIGH_0.r - Inst IPL_030_1_ IPL_030[1] Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_m AMIGA_BUS_ENABLE_DMA_HIGH_0.m - Inst IPL_030_2_ IPL_030[2] + Inst IPL_030_1_i_1_ IPL_030_1_i[1] Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_n AMIGA_BUS_ENABLE_DMA_HIGH_0.n - Inst IPL_0_ IPL[0] Inst AMIGA_BUS_ENABLE_DMA_HIGH_0_p AMIGA_BUS_ENABLE_DMA_HIGH_0.p + Inst IPL_030_1_i_2_ IPL_030_1_i[2] + Inst BG_000_0_r BG_000_0.r + Inst BG_000_0_m BG_000_0.m + Inst IPL_030_0_ IPL_030[0] + Inst BG_000_0_n BG_000_0.n + Inst IPL_030_1_ IPL_030[1] + Inst BG_000_0_p BG_000_0.p + Inst IPL_030_2_ IPL_030[2] + Inst IPL_0_ IPL[0] Inst IPL_1_ IPL[1] Inst IPL_2_ IPL[2] - Inst pos_clk_un6_bg_030_i pos_clk.un6_bg_030_i - Inst pos_clk_un9_bg_030_i pos_clk.un9_bg_030_i - Inst IPL_D0_0_0_ IPL_D0_0[0] + Inst cpu_est_2_i_0_0_o2_i_3_ cpu_est_2_i_0_0_o2_i[3] + Inst SIZE_DMA_0_0__r SIZE_DMA_0_0_.r + Inst IPL_c_i_0_ IPL_c_i[0] + Inst SIZE_DMA_0_0__m SIZE_DMA_0_0_.m + Inst IPL_D0_0_i_0_ IPL_D0_0_i[0] + Inst SIZE_DMA_0_0__n SIZE_DMA_0_0_.n + Inst IPL_c_i_1_ IPL_c_i[1] + Inst SIZE_DMA_0_0__p SIZE_DMA_0_0_.p + Inst IPL_D0_0_i_1_ IPL_D0_0_i[1] + Inst SIZE_DMA_0_1__r SIZE_DMA_0_1_.r + Inst IPL_D0_0_2_ IPL_D0_0[2] + Inst SIZE_DMA_0_1__m SIZE_DMA_0_1_.m Inst FC_0_ FC[0] Inst IPL_D0_0_1_ IPL_D0_0[1] - Inst FC_1_ FC[1] - Inst pos_clk_un9_bg_030 pos_clk.un9_bg_030 - Inst AS_000_DMA_0_r AS_000_DMA_0.r - Inst AS_000_DMA_0_m AS_000_DMA_0.m - Inst pos_clk_CYCLE_DMA_5_1_i_1 pos_clk.CYCLE_DMA_5_1_i_1 - Inst AS_000_DMA_0_n AS_000_DMA_0.n - Inst pos_clk_CYCLE_DMA_5_1_i pos_clk.CYCLE_DMA_5_1_i - Inst AS_000_DMA_0_p AS_000_DMA_0.p - Inst DS_000_ENABLE_0_r DS_000_ENABLE_0.r - Inst DS_000_ENABLE_0_m DS_000_ENABLE_0.m - Inst DS_000_ENABLE_0_n DS_000_ENABLE_0.n - Inst BG_000_0_r BG_000_0.r - Inst DS_000_ENABLE_0_p DS_000_ENABLE_0.p - Inst cpu_est_2_0_0_a3_0_1_1_ cpu_est_2_0_0_a3_0_1[1] - Inst BG_000_0_m BG_000_0.m - Inst LDS_000_INT_0_r LDS_000_INT_0.r - Inst cpu_est_2_0_0_a3_0_1_ cpu_est_2_0_0_a3_0[1] - Inst BG_000_0_n BG_000_0.n - Inst LDS_000_INT_0_m LDS_000_INT_0.m - Inst BG_000_0_p BG_000_0.p - Inst LDS_000_INT_0_n LDS_000_INT_0.n - Inst LDS_000_INT_0_p LDS_000_INT_0.p - Inst cpu_est_2_i_0_1_3_ cpu_est_2_i_0_1[3] - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_r AMIGA_BUS_ENABLE_DMA_LOW_0.r - Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r - Inst cpu_est_2_i_0_3_ cpu_est_2_i_0[3] - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_m AMIGA_BUS_ENABLE_DMA_LOW_0.m - Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_n AMIGA_BUS_ENABLE_DMA_LOW_0.n - Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n - Inst AMIGA_BUS_ENABLE_DMA_LOW_0_p AMIGA_BUS_ENABLE_DMA_LOW_0.p - Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p - Inst SM_AMIGA_srsts_i_0_1_0_ SM_AMIGA_srsts_i_0_1[0] - Inst A0_DMA_0_r A0_DMA_0.r - Inst RW_000_INT_0_r RW_000_INT_0.r - Inst SM_AMIGA_srsts_i_0_0_ SM_AMIGA_srsts_i_0[0] - Inst A0_DMA_0_m A0_DMA_0.m - Inst RW_000_INT_0_m RW_000_INT_0.m - Inst SM_AMIGA_nss_i_i_0_1_0_ SM_AMIGA_nss_i_i_0_1[0] - Inst A0_DMA_0_n A0_DMA_0.n - Inst RW_000_INT_0_n RW_000_INT_0.n - Inst SM_AMIGA_nss_i_i_0_0_ SM_AMIGA_nss_i_i_0[0] - Inst A0_DMA_0_p A0_DMA_0.p - Inst RW_000_INT_0_p RW_000_INT_0.p - Inst SM_AMIGA_srsts_i_0_1_6_ SM_AMIGA_srsts_i_0_1[6] - Inst RW_000_DMA_0_r RW_000_DMA_0.r - Inst VMA_INT_0_r VMA_INT_0.r - Inst SM_AMIGA_srsts_i_0_6_ SM_AMIGA_srsts_i_0[6] - Inst RW_000_DMA_0_m RW_000_DMA_0.m - Inst VMA_INT_0_m VMA_INT_0.m - Inst SM_AMIGA_srsts_i_0_1_5_ SM_AMIGA_srsts_i_0_1[5] - Inst RW_000_DMA_0_n RW_000_DMA_0.n - Inst VMA_INT_0_n VMA_INT_0.n - Inst SM_AMIGA_srsts_i_0_5_ SM_AMIGA_srsts_i_0[5] - Inst RW_000_DMA_0_p RW_000_DMA_0.p - Inst VMA_INT_0_p VMA_INT_0.p - Inst SM_AMIGA_srsts_i_0_1_4_ SM_AMIGA_srsts_i_0_1[4] - Inst BGACK_030_INT_0_r BGACK_030_INT_0.r - Inst SM_AMIGA_srsts_i_0_4_ SM_AMIGA_srsts_i_0[4] - Inst BGACK_030_INT_0_m BGACK_030_INT_0.m - Inst SM_AMIGA_srsts_i_0_1_2_ SM_AMIGA_srsts_i_0_1[2] - Inst BGACK_030_INT_0_n BGACK_030_INT_0.n - Inst SM_AMIGA_srsts_i_0_2_ SM_AMIGA_srsts_i_0[2] - Inst BGACK_030_INT_0_p BGACK_030_INT_0.p - Inst DS_000_DMA_0_r DS_000_DMA_0.r - Inst DS_000_DMA_0_m DS_000_DMA_0.m - Inst SM_AMIGA_srsts_i_o3_i_a2_0_1_3_ SM_AMIGA_srsts_i_o3_i_a2_0_1[3] - Inst DS_000_DMA_0_n DS_000_DMA_0.n - Inst SM_AMIGA_srsts_i_o3_i_a2_0_3_ SM_AMIGA_srsts_i_o3_i_a2_0[3] - Inst DS_000_DMA_0_p DS_000_DMA_0.p - Inst SM_AMIGA_srsts_i_0_1_1_ SM_AMIGA_srsts_i_0_1[1] - Inst pos_clk_DS_000_DMA_4_f0_0_a2 pos_clk.DS_000_DMA_4_f0_0_a2 - Inst SM_AMIGA_srsts_i_0_1_ SM_AMIGA_srsts_i_0[1] - Inst SM_AMIGA_srsts_i_0_1_3_ SM_AMIGA_srsts_i_0_1[3] - Inst SM_AMIGA_srsts_i_0_3_ SM_AMIGA_srsts_i_0[3] - Inst CYCLE_DMA_i_0_ CYCLE_DMA_i[0] - Inst pos_clk_CYCLE_DMA_5_0_i_a2 pos_clk.CYCLE_DMA_5_0_i_a2 - Inst pos_clk_un6_bgack_000_0_a2 pos_clk.un6_bgack_000_0_a2 - Inst pos_clk_un6_bg_030_0_a2_1 pos_clk.un6_bg_030_0_a2_1 - Inst pos_clk_DS_000_DMA_4_f0_0 pos_clk.DS_000_DMA_4_f0_0 - Inst pos_clk_un6_bg_030_0_a2 pos_clk.un6_bg_030_0_a2 - Inst pos_clk_RW_000_DMA_3_0 pos_clk.RW_000_DMA_3_0 - Inst pos_clk_un6_bgack_000_0 pos_clk.un6_bgack_000_0 - Inst pos_clk_CYCLE_DMA_5_1_i_o2 pos_clk.CYCLE_DMA_5_1_i_o2 - Inst pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk.CYCLE_DMA_5_1_i_x2 - Inst pos_clk_CYCLE_DMA_5_0_i_1 pos_clk.CYCLE_DMA_5_0_i_1 - Inst SIZE_DMA_0_1__r SIZE_DMA_0_1_.r - Inst pos_clk_CYCLE_DMA_5_0_i_2 pos_clk.CYCLE_DMA_5_0_i_2 - Inst SIZE_DMA_0_1__m SIZE_DMA_0_1_.m - Inst pos_clk_CYCLE_DMA_5_0_i pos_clk.CYCLE_DMA_5_0_i Inst SIZE_DMA_0_1__n SIZE_DMA_0_1_.n + Inst FC_1_ FC[1] + Inst IPL_D0_0_0_ IPL_D0_0[0] Inst SIZE_DMA_0_1__p SIZE_DMA_0_1_.p - Inst SIZE_DMA_0_0__r SIZE_DMA_0_0_.r - Inst SIZE_DMA_0_0__m SIZE_DMA_0_0_.m - Inst SIZE_DMA_0_0__n SIZE_DMA_0_0_.n - Inst SIZE_DMA_0_0__p SIZE_DMA_0_0_.p - Inst pos_clk_AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2 pos_clk.AMIGA_BUS_ENABLE_DMA_LOW_3_i_a2 - Inst pos_clk_A0_DMA_3_0_a2 pos_clk.A0_DMA_3_0_a2 - Inst pos_clk_un5_bgack_030_int_d_i_0_a2 pos_clk.un5_bgack_030_int_d_i_0_a2 - Inst pos_clk_un34_as_030_d0_i_a2_0_4 pos_clk.un34_as_030_d0_i_a2_0_4 - Inst pos_clk_un34_as_030_d0_i_a2_0_5 pos_clk.un34_as_030_d0_i_a2_0_5 - Inst pos_clk_SIZE_DMA_6_0_0_1_ pos_clk.SIZE_DMA_6_0_0[1] - Inst pos_clk_un34_as_030_d0_i_a2_0 pos_clk.un34_as_030_d0_i_a2_0 - Inst pos_clk_SIZE_DMA_6_0_0_0_ pos_clk.SIZE_DMA_6_0_0[0] - Inst RST_DLY_i_0_ RST_DLY_i[0] - Inst RST_DLY_i_1_ RST_DLY_i[1] - Inst pos_clk_SIZE_DMA_6_0_0_o2_0_ pos_clk.SIZE_DMA_6_0_0_o2[0] - Inst SM_AMIGA_srsts_i_o3_2_ SM_AMIGA_srsts_i_o3[2] + Inst pos_clk_un9_bg_030 pos_clk.un9_bg_030 + Inst SM_AMIGA_srsts_i_0_0_a2_0_ SM_AMIGA_srsts_i_0_0_a2[0] + Inst SM_AMIGA_srsts_i_0_0_2_ SM_AMIGA_srsts_i_0_0[2] + Inst SM_AMIGA_srsts_i_0_0_1_0_ SM_AMIGA_srsts_i_0_0_1[0] + Inst SM_AMIGA_srsts_i_0_0_0_ SM_AMIGA_srsts_i_0_0[0] + Inst cpu_est_i_2_ cpu_est_i[2] + Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r + Inst pos_clk_un6_bg_030_0_a2_0_a2_1 pos_clk.un6_bg_030_0_a2_0_a2_1 + Inst cpu_est_2_i_0_0_a2_3_ cpu_est_2_i_0_0_a2[3] + Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m + Inst pos_clk_un6_bg_030_0_a2_0_a2 pos_clk.un6_bg_030_0_a2_0_a2 + Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n + Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p + Inst RW_000_INT_0_r RW_000_INT_0.r + Inst cpu_est_2_0_0_a2_0_1_1_ cpu_est_2_0_0_a2_0_1[1] + Inst IPL_030_0_2__r IPL_030_0_2_.r + Inst RW_000_INT_0_m RW_000_INT_0.m + Inst cpu_est_2_0_0_a2_0_1_ cpu_est_2_0_0_a2_0[1] + Inst IPL_030_0_2__m IPL_030_0_2_.m + Inst RW_000_INT_0_n RW_000_INT_0.n + Inst IPL_030_0_2__n IPL_030_0_2_.n + Inst RW_000_INT_0_p RW_000_INT_0.p + Inst IPL_030_0_2__p IPL_030_0_2_.p + Inst RW_000_DMA_0_r RW_000_DMA_0.r + Inst IPL_030_0_1__r IPL_030_0_1_.r + Inst RW_000_DMA_0_m RW_000_DMA_0.m + Inst IPL_030_0_1__m IPL_030_0_1_.m + Inst RW_000_DMA_0_n RW_000_DMA_0.n + Inst IPL_030_0_1__n IPL_030_0_1_.n + Inst RW_000_DMA_0_p RW_000_DMA_0.p + Inst IPL_030_0_1__p IPL_030_0_1_.p + Inst A0_DMA_0_r A0_DMA_0.r + Inst SM_AMIGA_srsts_i_0_0_1_1_ SM_AMIGA_srsts_i_0_0_1[1] + Inst IPL_030_0_0__r IPL_030_0_0_.r + Inst A0_DMA_0_m A0_DMA_0.m + Inst SM_AMIGA_srsts_i_0_0_1_ SM_AMIGA_srsts_i_0_0[1] + Inst IPL_030_0_0__m IPL_030_0_0_.m + Inst A0_DMA_0_n A0_DMA_0.n + Inst IPL_030_0_0__n IPL_030_0_0_.n + Inst A0_DMA_0_p A0_DMA_0.p + Inst IPL_030_0_0__p IPL_030_0_0_.p + Inst cpu_est_0_3__r cpu_est_0_3_.r + Inst AMIGA_BUS_ENABLE_DMA_LOW_0_r AMIGA_BUS_ENABLE_DMA_LOW_0.r + Inst cpu_est_0_3__m cpu_est_0_3_.m + Inst AMIGA_BUS_ENABLE_DMA_LOW_0_m AMIGA_BUS_ENABLE_DMA_LOW_0.m + Inst cpu_est_0_3__n cpu_est_0_3_.n + Inst AMIGA_BUS_ENABLE_DMA_LOW_0_n AMIGA_BUS_ENABLE_DMA_LOW_0.n + Inst cpu_est_0_3__p cpu_est_0_3_.p + Inst AMIGA_BUS_ENABLE_DMA_LOW_0_p AMIGA_BUS_ENABLE_DMA_LOW_0.p + Inst SM_AMIGA_nss_i_i_0_0_1_0_ SM_AMIGA_nss_i_i_0_0_1[0] + Inst IPL_030_1_2_ IPL_030_1[2] + Inst SM_AMIGA_nss_i_i_0_0_0_ SM_AMIGA_nss_i_i_0_0[0] + Inst IPL_030_1_1_ IPL_030_1[1] + Inst SM_AMIGA_srsts_i_0_0_1_6_ SM_AMIGA_srsts_i_0_0_1[6] + Inst IPL_030_1_0_ IPL_030_1[0] + Inst SM_AMIGA_srsts_i_0_0_6_ SM_AMIGA_srsts_i_0_0[6] + Inst SM_AMIGA_srsts_i_0_0_1_4_ SM_AMIGA_srsts_i_0_0_1[4] + Inst SM_AMIGA_srsts_i_0_0_4_ SM_AMIGA_srsts_i_0_0[4] + Inst pos_clk_DSACK1_INT_1_i_a2_0_a2 pos_clk.DSACK1_INT_1_i_a2_0_a2 + Inst SM_AMIGA_srsts_i_0_0_1_2_ SM_AMIGA_srsts_i_0_0_1[2] + Inst SM_AMIGA_srsts_i_0_0_a2_2_3_ SM_AMIGA_srsts_i_0_0_a2_2[3] + Inst pos_clk_un9_clk_000_pe_0_0_a2_0_1 pos_clk.un9_clk_000_pe_0_0_a2_0_1 + Inst cpu_est_0_0_a2_0_0_ cpu_est_0_0_a2_0[0] + Inst pos_clk_un9_clk_000_pe_0_0_a2_0_2 pos_clk.un9_clk_000_pe_0_0_a2_0_2 + Inst cpu_est_i_0_ cpu_est_i[0] + Inst pos_clk_un9_clk_000_pe_0_0_a2_0 pos_clk.un9_clk_000_pe_0_0_a2_0 + Inst cpu_est_0_0_a2_0_ cpu_est_0_0_a2[0] + Inst pos_clk_un9_clk_000_pe_0_0_a2_1 pos_clk.un9_clk_000_pe_0_0_a2_1 + Inst SM_AMIGA_srsts_i_0_0_a2_3_ SM_AMIGA_srsts_i_0_0_a2[3] + Inst pos_clk_un9_clk_000_pe_0_0_a2_2 pos_clk.un9_clk_000_pe_0_0_a2_2 + Inst SM_AMIGA_i_1_ SM_AMIGA_i[1] + Inst pos_clk_un9_clk_000_pe_0_0_a2 pos_clk.un9_clk_000_pe_0_0_a2 + Inst SM_AMIGA_srsts_i_0_0_a2_1_ SM_AMIGA_srsts_i_0_0_a2[1] + Inst SM_AMIGA_srsts_i_0_0_a2_1_1_3_ SM_AMIGA_srsts_i_0_0_a2_1_1[3] Inst SM_AMIGA_i_2_ SM_AMIGA_i[2] - Inst SM_AMIGA_srsts_i_a4_2_ SM_AMIGA_srsts_i_a4[2] - Inst pos_clk_un19_bgack_030_int pos_clk.un19_bgack_030_int - Inst pos_clk_SIZE_DMA_6_0_0_a2_1_ pos_clk.SIZE_DMA_6_0_0_a2[1] - Inst SM_AMIGA_srsts_i_o3_i_o2_3_ SM_AMIGA_srsts_i_o3_i_o2[3] - Inst pos_clk_SIZE_DMA_6_0_0_a2_0_ pos_clk.SIZE_DMA_6_0_0_a2[0] - Inst pos_clk_un10_sm_amiga_1 pos_clk.un10_sm_amiga_1 - Net clk_000_d_i_0__n CLK_000_D_i[0] - Net vcc_n_n VCC - Net clk_000_d_i_3__n CLK_000_D_i[3] - Net clk_000_d_i_1__n CLK_000_D_i[1] - Net cpu_est_i_2__n cpu_est_i[2] - Net gnd_n_n GND - Net cpu_est_i_3__n cpu_est_i[3] + Inst SM_AMIGA_srsts_i_0_0_a2_1_2_3_ SM_AMIGA_srsts_i_0_0_a2_1_2[3] + Inst SM_AMIGA_srsts_i_0_0_a2_2_ SM_AMIGA_srsts_i_0_0_a2[2] + Inst SM_AMIGA_srsts_i_0_0_a2_1_3_ SM_AMIGA_srsts_i_0_0_a2_1[3] + Inst SM_AMIGA_i_0_ SM_AMIGA_i[0] + Inst pos_clk_CYCLE_DMA_5_1_i_1 pos_clk.CYCLE_DMA_5_1_i_1 + Inst pos_clk_CYCLE_DMA_5_1_i pos_clk.CYCLE_DMA_5_1_i + Inst cpu_est_2_0_0_0_o2_2_ cpu_est_2_0_0_0_o2[2] + Inst SM_AMIGA_srsts_i_0_0_1_3_ SM_AMIGA_srsts_i_0_0_1[3] + Inst SM_AMIGA_srsts_i_0_0_o2_0_ SM_AMIGA_srsts_i_0_0_o2[0] + Inst SM_AMIGA_srsts_i_0_0_3_ SM_AMIGA_srsts_i_0_0[3] + Inst cpu_est_i_1_ cpu_est_i[1] + Inst pos_clk_un34_as_030_d0_i_i_a2_1 pos_clk.un34_as_030_d0_i_i_a2_1 + Inst pos_clk_un34_as_030_d0_i_i_a2_2 pos_clk.un34_as_030_d0_i_i_a2_2 + Inst pos_clk_un34_as_030_d0_i_i_a2_3 pos_clk.un34_as_030_d0_i_i_a2_3 + Inst SM_AMIGA_i_3_ SM_AMIGA_i[3] + Inst pos_clk_un34_as_030_d0_i_i_a2 pos_clk.un34_as_030_d0_i_i_a2 + Inst SM_AMIGA_srsts_i_0_0_o2_1_ SM_AMIGA_srsts_i_0_0_o2[1] + Inst pos_clk_CYCLE_DMA_5_0_i_0_o2 pos_clk.CYCLE_DMA_5_0_i_0_o2 + Inst pos_clk_un9_clk_000_pe_0_0_o2 pos_clk.un9_clk_000_pe_0_0_o2 + Inst cpu_est_i_3_ cpu_est_i[3] + Inst cpu_est_2_i_0_0_o2_3_ cpu_est_2_i_0_0_o2[3] + Inst pos_clk_CYCLE_DMA_5_0_i_0_1 pos_clk.CYCLE_DMA_5_0_i_0_1 + Inst cpu_est_0_0_0_ cpu_est_0_0[0] + Inst pos_clk_CYCLE_DMA_5_0_i_0_2 pos_clk.CYCLE_DMA_5_0_i_0_2 + Inst pos_clk_CYCLE_DMA_5_0_i_0 pos_clk.CYCLE_DMA_5_0_i_0 + Inst cpu_est_2_i_0_0_3_ cpu_est_2_i_0_0[3] + Inst cpu_est_2_0_0_0_a2_2_ cpu_est_2_0_0_0_a2[2] + Inst SM_AMIGA_srsts_i_0_0_a2_0_1_ SM_AMIGA_srsts_i_0_0_a2_0[1] + Inst cpu_est_2_0_0_a2_0_2_ cpu_est_2_0_0_a2_0[2] + Inst pos_clk_un9_clk_000_pe_0_0 pos_clk.un9_clk_000_pe_0_0 + Inst cpu_est_2_0_0_0_1_ cpu_est_2_0_0_0[1] + Inst cpu_est_2_0_0_0_2_ cpu_est_2_0_0_0[2] + Inst CLK_000_D_i_1_ CLK_000_D_i[1] + Inst SM_AMIGA_nss_i_i_0_0_o2_1_0_ SM_AMIGA_nss_i_i_0_0_o2_1[0] + Inst SM_AMIGA_nss_i_i_0_0_o2_2_0_ SM_AMIGA_nss_i_i_0_0_o2_2[0] + Inst pos_clk_CYCLE_DMA_5_1_i_x2 pos_clk.CYCLE_DMA_5_1_i_x2 + Inst SM_AMIGA_nss_i_i_0_0_o2_0_ SM_AMIGA_nss_i_i_0_0_o2[0] + Inst pos_clk_un34_as_030_d0_i_i_o2_3 pos_clk.un34_as_030_d0_i_i_o2_3 + Inst pos_clk_un34_as_030_d0_i_i_o2_4 pos_clk.un34_as_030_d0_i_i_o2_4 + Net rst_dly_i_1__n RST_DLY_i[1] + Net sm_amiga_i_5__n SM_AMIGA_i[5] + Net a_c_i_0__n A_c_i[0] + Net rst_dly_i_0__n RST_DLY_i[0] + Net size_c_i_1__n SIZE_c_i[1] + Net sm_amiga_i_i_7__n SM_AMIGA_i_i[7] + Net pos_clk_un10_sm_amiga_i_n pos_clk.un10_sm_amiga_i + Net a_i_1__n A_i[1] Net a_decode_i_16__n A_DECODE_i[16] - Net pos_clk_rw_000_int_5_0_n pos_clk.RW_000_INT_5_0 Net a_decode_i_18__n A_DECODE_i[18] + Net vcc_n_n VCC Net a_decode_i_19__n A_DECODE_i[19] Net ahigh_i_30__n AHIGH_i[30] + Net gnd_n_n GND Net ahigh_i_31__n AHIGH_i[31] Net ahigh_i_28__n AHIGH_i[28] Net ahigh_i_29__n AHIGH_i[29] Net ahigh_i_26__n AHIGH_i[26] Net ahigh_i_27__n AHIGH_i[27] Net ahigh_i_24__n AHIGH_i[24] - Net cpu_est_3__n cpu_est[3] Net ahigh_i_25__n AHIGH_i[25] + Net cpu_est_2__n cpu_est[2] + Net cpu_est_3__n cpu_est[3] Net cpu_est_0__n cpu_est[0] Net cpu_est_1__n cpu_est[1] - Net cpu_est_2__n cpu_est[2] - Net clk_000_d_i_2__n CLK_000_D_i[2] - Net pos_clk_un21_bgack_030_int_i_0_i_n pos_clk.un21_bgack_030_int_i_0_i Net cycle_dma_0__n CYCLE_DMA[0] Net cycle_dma_1__n CYCLE_DMA[1] + Net clk_000_d_i_4__n CLK_000_D_i[4] Net clk_000_d_2__n CLK_000_D[2] - Net clk_000_d_3__n CLK_000_D[3] + Net clk_000_d_4__n CLK_000_D[4] Net clk_000_d_1__n CLK_000_D[1] Net clk_000_d_0__n CLK_000_D[0] - Net pos_clk_un14_clk_000_ne_i_n pos_clk.un14_clk_000_ne_i + Net size_c_0__n SIZE_c[0] + Net size_0__n SIZE[0] Net ipl_d0_0__n IPL_D0[0] + Net size_c_1__n SIZE_c[1] Net ipl_d0_1__n IPL_D0[1] Net ipl_d0_2__n IPL_D0[2] - Net clk_000_d_4__n CLK_000_D[4] - Net size_c_0__n SIZE_c[0] - Net pos_clk_un6_bg_030_n pos_clk.un6_bg_030 - Net size_0__n SIZE[0] - Net size_c_1__n SIZE_c[1] - Net cpu_est_2_0_2__n cpu_est_2_0[2] - Net pos_clk_ipl_n pos_clk.ipl - Net sm_amiga_1__n SM_AMIGA[1] Net ahigh_c_24__n AHIGH_c[24] + Net clk_000_d_3__n CLK_000_D[3] Net ahigh_24__n AHIGH[24] - Net cpu_est_2_0_1__n cpu_est_2_0[1] + Net clk_000_d_5__n CLK_000_D[5] Net ahigh_c_25__n AHIGH_c[25] + Net pos_clk_size_dma_6_0_1__n pos_clk.SIZE_DMA_6_0[1] + Net pos_clk_un6_bg_030_n pos_clk.un6_bg_030 Net ahigh_25__n AHIGH[25] Net ahigh_c_26__n AHIGH_c[26] - Net pos_clk_un9_clk_000_pe_0_n pos_clk.un9_clk_000_pe_0 - Net sm_amiga_6__n SM_AMIGA[6] + Net pos_clk_size_dma_6_0_0__n pos_clk.SIZE_DMA_6_0[0] + Net pos_clk_ipl_n pos_clk.ipl Net ahigh_26__n AHIGH[26] - Net sm_amiga_4__n SM_AMIGA[4] + Net sm_amiga_1__n SM_AMIGA[1] Net ahigh_c_27__n AHIGH_c[27] - Net pos_clk_un10_sm_amiga_i_n pos_clk.un10_sm_amiga_i - Net sm_amiga_0__n SM_AMIGA[0] Net ahigh_27__n AHIGH[27] - Net a_c_i_0__n A_c_i[0] - Net size_dma_0__n SIZE_DMA[0] Net ahigh_c_28__n AHIGH_c[28] - Net size_c_i_1__n SIZE_c_i[1] - Net size_dma_1__n SIZE_DMA[1] Net ahigh_28__n AHIGH[28] + Net pos_clk_un9_bg_030_n pos_clk.un9_bg_030 Net ahigh_c_29__n AHIGH_c[29] + Net sm_amiga_6__n SM_AMIGA[6] Net ahigh_29__n AHIGH[29] - Net rst_dly_0__n RST_DLY[0] + Net sm_amiga_4__n SM_AMIGA[4] Net ahigh_c_30__n AHIGH_c[30] - Net rst_dly_1__n RST_DLY[1] + Net sm_amiga_0__n SM_AMIGA[0] Net ahigh_30__n AHIGH[30] - Net rst_dly_2__n RST_DLY[2] + Net size_dma_0__n SIZE_DMA[0] Net ahigh_c_31__n AHIGH_c[31] - Net ipl_c_i_2__n IPL_c_i[2] - Net pos_clk_un9_clk_000_pe_n pos_clk.un9_clk_000_pe - Net ipl_c_i_1__n IPL_c_i[1] + Net size_dma_1__n SIZE_DMA[1] + Net rst_dly_0__n RST_DLY[0] + Net rst_dly_1__n RST_DLY[1] + Net rst_dly_2__n RST_DLY[2] + Net pos_clk_a0_dma_3_n pos_clk.A0_DMA_3 Net pos_clk_rw_000_int_5_n pos_clk.RW_000_INT_5 - Net ipl_c_i_0__n IPL_c_i[0] Net sm_amiga_5__n SM_AMIGA[5] Net sm_amiga_3__n SM_AMIGA[3] Net sm_amiga_2__n SM_AMIGA[2] - Net pos_clk_un21_bgack_030_int_i_0_i_1_n pos_clk.un21_bgack_030_int_i_0_i_1 + Net pos_clk_rw_000_int_5_0_n pos_clk.RW_000_INT_5_0 Net a_decode_c_16__n A_DECODE_c[16] Net a_decode_16__n A_DECODE[16] Net a_decode_c_17__n A_DECODE_c[17] - Net pos_clk_un10_sm_amiga_i_1_n pos_clk.un10_sm_amiga_i_1 Net a_decode_17__n A_DECODE[17] + Net pos_clk_un6_bg_030_i_n pos_clk.un6_bg_030_i Net a_decode_c_18__n A_DECODE_c[18] + Net pos_clk_un9_bg_030_0_n pos_clk.un9_bg_030_0 Net a_decode_18__n A_DECODE[18] Net a_decode_c_19__n A_DECODE_c[19] Net a_decode_19__n A_DECODE[19] - Net pos_clk_un14_clk_000_ne_1_n pos_clk.un14_clk_000_ne_1 Net a_decode_c_20__n A_DECODE_c[20] - Net pos_clk_un14_clk_000_ne_2_n pos_clk.un14_clk_000_ne_2 Net a_decode_20__n A_DECODE[20] Net a_decode_c_21__n A_DECODE_c[21] Net a_decode_21__n A_DECODE[21] Net a_decode_c_22__n A_DECODE_c[22] Net a_decode_22__n A_DECODE[22] + Net pos_clk_un10_sm_amiga_i_1_n pos_clk.un10_sm_amiga_i_1 Net a_decode_c_23__n A_DECODE_c[23] Net a_c_0__n A_c[0] Net a_0__n A[0] Net a_c_1__n A_c[1] Net sm_amiga_i_7__n SM_AMIGA_i[7] - Net cpu_est_2_1__n cpu_est_2[1] - Net cpu_est_2_2__n cpu_est_2[2] - Net pos_clk_un21_bgack_030_int_i_0_n pos_clk.un21_bgack_030_int_i_0 - Net pos_clk_un6_bg_030_1_n pos_clk.un6_bg_030_1 + Net pos_clk_size_dma_6_0__n pos_clk.SIZE_DMA_6[0] + Net pos_clk_size_dma_6_1__n pos_clk.SIZE_DMA_6[1] Net ipl_030_c_0__n IPL_030_c[0] Net ipl_030_0__n IPL_030[0] Net ipl_030_c_1__n IPL_030_c[1] Net ipl_030_1__n IPL_030[1] Net ipl_030_c_2__n IPL_030_c[2] - Net pos_clk_un19_bgack_030_int_n pos_clk.un19_bgack_030_int Net ipl_c_0__n IPL_c[0] Net ipl_0__n IPL[0] Net ipl_c_1__n IPL_c[1] Net ipl_1__n IPL[1] Net ipl_c_2__n IPL_c[2] + Net pos_clk_un6_bg_030_1_n pos_clk.un6_bg_030_1 Net pos_clk_ipl_1_n pos_clk.ipl_1 - Net bg_000_0_un3_n BG_000_0.un3 - Net bg_000_0_un1_n BG_000_0.un1 - Net bg_000_0_un0_n BG_000_0.un0 - Net amiga_bus_enable_dma_low_0_un3_n AMIGA_BUS_ENABLE_DMA_LOW_0.un3 - Net pos_clk_un14_clk_000_ne_n pos_clk.un14_clk_000_ne - Net amiga_bus_enable_dma_low_0_un1_n AMIGA_BUS_ENABLE_DMA_LOW_0.un1 - Net amiga_bus_enable_dma_low_0_un0_n AMIGA_BUS_ENABLE_DMA_LOW_0.un0 - Net a0_dma_0_un3_n A0_DMA_0.un3 - Net a0_dma_0_un1_n A0_DMA_0.un1 - Net a0_dma_0_un0_n A0_DMA_0.un0 - Net rw_000_dma_0_un3_n RW_000_DMA_0.un3 - Net rw_000_dma_0_un1_n RW_000_DMA_0.un1 + Net ipl_030_0_2__un3_n IPL_030_0_2_.un3 + Net ipl_030_0_2__un1_n IPL_030_0_2_.un1 + Net ipl_030_0_2__un0_n IPL_030_0_2_.un0 + Net ipl_030_0_1__un3_n IPL_030_0_1_.un3 + Net ipl_030_0_1__un1_n IPL_030_0_1_.un1 Net fc_c_0__n FC_c[0] - Net rw_000_dma_0_un0_n RW_000_DMA_0.un0 + Net ipl_030_0_1__un0_n IPL_030_0_1_.un0 Net fc_0__n FC[0] - Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3 + Net ipl_030_0_0__un3_n IPL_030_0_0_.un3 Net fc_c_1__n FC_c[1] - Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1 - Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0 - Net ds_000_dma_0_un3_n DS_000_DMA_0.un3 - Net ds_000_dma_0_un1_n DS_000_DMA_0.un1 - Net ds_000_dma_0_un0_n DS_000_DMA_0.un0 - Net size_dma_0_1__un3_n SIZE_DMA_0_1_.un3 - Net size_dma_0_1__un1_n SIZE_DMA_0_1_.un1 - Net size_dma_0_1__un0_n SIZE_DMA_0_1_.un0 - Net size_dma_0_0__un3_n SIZE_DMA_0_0_.un3 - Net pos_clk_un6_bg_030_i_n pos_clk.un6_bg_030_i - Net size_dma_0_0__un1_n SIZE_DMA_0_0_.un1 - Net pos_clk_un9_bg_030_0_n pos_clk.un9_bg_030_0 - Net size_dma_0_0__un0_n SIZE_DMA_0_0_.un0 - Net un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un3 - Net un1_amiga_bus_enable_dma_high_i_m2_0__un1_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un1 - Net un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un0 + Net ipl_030_0_0__un1_n IPL_030_0_0_.un1 + Net ipl_030_0_0__un0_n IPL_030_0_0_.un0 + Net cpu_est_0_3__un3_n cpu_est_0_3_.un3 + Net cpu_est_0_3__un1_n cpu_est_0_3_.un1 + Net cpu_est_0_3__un0_n cpu_est_0_3_.un0 + Net vma_int_0_un3_n VMA_INT_0.un3 + Net vma_int_0_un1_n VMA_INT_0.un1 + Net vma_int_0_un0_n VMA_INT_0.un0 Net cpu_est_0_1__un3_n cpu_est_0_1_.un3 Net cpu_est_0_1__un1_n cpu_est_0_1_.un1 Net cpu_est_0_1__un0_n cpu_est_0_1_.un0 Net cpu_est_0_2__un3_n cpu_est_0_2_.un3 + Net ipl_c_i_0__n IPL_c_i[0] Net cpu_est_0_2__un1_n cpu_est_0_2_.un1 Net cpu_est_0_2__un0_n cpu_est_0_2_.un0 - Net cpu_est_0_3__un3_n cpu_est_0_3_.un3 - Net cpu_est_0_3__un1_n cpu_est_0_3_.un1 - Net cpu_est_0_3__un0_n cpu_est_0_3_.un0 - Net ipl_030_0_0__un3_n IPL_030_0_0_.un3 - Net ipl_030_0_0__un1_n IPL_030_0_0_.un1 - Net ipl_030_0_0__un0_n IPL_030_0_0_.un0 - Net ipl_030_0_1__un3_n IPL_030_0_1_.un3 - Net ipl_030_0_1__un1_n IPL_030_0_1_.un1 - Net ipl_030_0_1__un0_n IPL_030_0_1_.un0 - Net ipl_030_0_2__un3_n IPL_030_0_2_.un3 - Net ipl_030_0_2__un1_n IPL_030_0_2_.un1 - Net ipl_030_0_2__un0_n IPL_030_0_2_.un0 + Net ipl_c_i_1__n IPL_c_i[1] + Net ds_000_dma_0_un3_n DS_000_DMA_0.un3 + Net ds_000_dma_0_un1_n DS_000_DMA_0.un1 + Net ipl_c_i_2__n IPL_c_i[2] + Net ds_000_dma_0_un0_n DS_000_DMA_0.un0 + Net as_000_dma_0_un3_n AS_000_DMA_0.un3 + Net as_000_dma_0_un1_n AS_000_DMA_0.un1 + Net as_000_dma_0_un0_n AS_000_DMA_0.un0 + Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3 + Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1 + Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0 + Net ds_000_enable_0_un3_n DS_000_ENABLE_0.un3 + Net ds_000_enable_0_un1_n DS_000_ENABLE_0.un1 + Net ds_000_enable_0_un0_n DS_000_ENABLE_0.un0 + Net pos_clk_un6_bgack_000_n pos_clk.un6_bgack_000 Net uds_000_int_0_un3_n UDS_000_INT_0.un3 Net uds_000_int_0_un1_n UDS_000_INT_0.un1 Net uds_000_int_0_un0_n UDS_000_INT_0.un0 + Net lds_000_int_0_un3_n LDS_000_INT_0.un3 + Net lds_000_int_0_un1_n LDS_000_INT_0.un1 + Net lds_000_int_0_un0_n LDS_000_INT_0.un0 + Net un1_amiga_bus_enable_dma_high_i_m2_0__un3_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un3 + Net un1_amiga_bus_enable_dma_high_i_m2_0__un1_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un1 + Net un1_amiga_bus_enable_dma_high_i_m2_0__un0_n un1_AMIGA_BUS_ENABLE_DMA_HIGH_i_m2_0_.un0 Net amiga_bus_enable_dma_high_0_un3_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un3 Net amiga_bus_enable_dma_high_0_un1_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un1 + Net pos_clk_un9_clk_000_pe_n pos_clk.un9_clk_000_pe Net amiga_bus_enable_dma_high_0_un0_n AMIGA_BUS_ENABLE_DMA_HIGH_0.un0 - Net as_000_dma_0_un3_n AS_000_DMA_0.un3 - Net as_000_dma_0_un1_n AS_000_DMA_0.un1 - Net pos_clk_un6_bgack_000_0_n pos_clk.un6_bgack_000_0 - Net as_000_dma_0_un0_n AS_000_DMA_0.un0 - Net pos_clk_rw_000_dma_3_0_n pos_clk.RW_000_DMA_3_0 - Net ds_000_enable_0_un3_n DS_000_ENABLE_0.un3 - Net pos_clk_a0_dma_3_n pos_clk.A0_DMA_3 - Net ds_000_enable_0_un1_n DS_000_ENABLE_0.un1 - Net ds_000_enable_0_un0_n DS_000_ENABLE_0.un0 - Net lds_000_int_0_un3_n LDS_000_INT_0.un3 - Net pos_clk_size_dma_6_1__n pos_clk.SIZE_DMA_6[1] - Net lds_000_int_0_un1_n LDS_000_INT_0.un1 - Net pos_clk_size_dma_6_0__n pos_clk.SIZE_DMA_6[0] - Net pos_clk_ds_000_dma_4_0_n pos_clk.DS_000_DMA_4_0 - Net lds_000_int_0_un0_n LDS_000_INT_0.un0 + Net cpu_est_2_1__n cpu_est_2[1] + Net bg_000_0_un3_n BG_000_0.un3 + Net cpu_est_2_2__n cpu_est_2[2] + Net bg_000_0_un1_n BG_000_0.un1 + Net bg_000_0_un0_n BG_000_0.un0 + Net size_dma_0_0__un3_n SIZE_DMA_0_0_.un3 + Net size_dma_0_0__un1_n SIZE_DMA_0_0_.un1 + Net size_dma_0_0__un0_n SIZE_DMA_0_0_.un0 + Net size_dma_0_1__un3_n SIZE_DMA_0_1_.un3 + Net size_dma_0_1__un1_n SIZE_DMA_0_1_.un1 + Net size_dma_0_1__un0_n SIZE_DMA_0_1_.un0 Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3 Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1 Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0 Net rw_000_int_0_un3_n RW_000_INT_0.un3 - Net pos_clk_ds_000_dma_4_n pos_clk.DS_000_DMA_4 Net rw_000_int_0_un1_n RW_000_INT_0.un1 - Net pos_clk_rw_000_dma_3_n pos_clk.RW_000_DMA_3 - Net pos_clk_size_dma_6_0_0__n pos_clk.SIZE_DMA_6_0[0] Net rw_000_int_0_un0_n RW_000_INT_0.un0 - Net pos_clk_un6_bgack_000_n pos_clk.un6_bgack_000 - Net vma_int_0_un3_n VMA_INT_0.un3 - Net pos_clk_size_dma_6_0_1__n pos_clk.SIZE_DMA_6_0[1] - Net vma_int_0_un1_n VMA_INT_0.un1 - Net vma_int_0_un0_n VMA_INT_0.un0 + Net rw_000_dma_0_un3_n RW_000_DMA_0.un3 + Net rw_000_dma_0_un1_n RW_000_DMA_0.un1 + Net rw_000_dma_0_un0_n RW_000_DMA_0.un0 + Net a0_dma_0_un3_n A0_DMA_0.un3 + Net a0_dma_0_un1_n A0_DMA_0.un1 + Net cpu_est_2_0_2__n cpu_est_2_0[2] + Net a0_dma_0_un0_n A0_DMA_0.un0 + Net amiga_bus_enable_dma_low_0_un3_n AMIGA_BUS_ENABLE_DMA_LOW_0.un3 + Net amiga_bus_enable_dma_low_0_un1_n AMIGA_BUS_ENABLE_DMA_LOW_0.un1 + Net cpu_est_2_0_1__n cpu_est_2_0[1] + Net amiga_bus_enable_dma_low_0_un0_n AMIGA_BUS_ENABLE_DMA_LOW_0.un0 Net a_decode_15__n A_DECODE[15] - Net pos_clk_un19_bgack_030_int_i_n pos_clk.un19_bgack_030_int_i + Net pos_clk_un9_clk_000_pe_0_n pos_clk.un9_clk_000_pe_0 Net a_decode_14__n A_DECODE[14] + Net clk_000_d_i_2__n CLK_000_D_i[2] Net a_decode_13__n A_DECODE[13] - Net pos_clk_un9_bg_030_n pos_clk.un9_bg_030 Net a_decode_12__n A_DECODE[12] + Net cpu_est_i_2__n cpu_est_i[2] Net a_decode_11__n A_DECODE[11] - Net a_decode_10__n A_DECODE[10] - Net cycle_dma_i_0__n CYCLE_DMA_i[0] - Net a_decode_9__n A_DECODE[9] - Net rst_dly_i_0__n RST_DLY_i[0] - Net rst_dly_i_1__n RST_DLY_i[1] - Net a_decode_8__n A_DECODE[8] - Net rst_dly_i_2__n RST_DLY_i[2] - Net a_decode_7__n A_DECODE[7] - Net sm_amiga_i_2__n SM_AMIGA_i[2] - Net a_decode_6__n A_DECODE[6] - Net sm_amiga_i_3__n SM_AMIGA_i[3] - Net a_decode_5__n A_DECODE[5] - Net cpu_est_i_1__n cpu_est_i[1] - Net cpu_est_i_0__n cpu_est_i[0] - Net a_decode_4__n A_DECODE[4] - Net sm_amiga_i_1__n SM_AMIGA_i[1] - Net a_decode_3__n A_DECODE[3] - Net a_i_1__n A_i[1] - Net a_decode_2__n A_DECODE[2] Net sm_amiga_i_0__n SM_AMIGA_i[0] - Net sm_amiga_i_i_7__n SM_AMIGA_i_i[7] + Net sm_amiga_i_2__n SM_AMIGA_i[2] + Net a_decode_10__n A_DECODE[10] + Net sm_amiga_i_1__n SM_AMIGA_i[1] + Net cpu_est_i_0__n cpu_est_i[0] + Net a_decode_9__n A_DECODE[9] + Net a_decode_8__n A_DECODE[8] + Net a_decode_7__n A_DECODE[7] + Net cpu_est_i_3__n cpu_est_i[3] + Net sm_amiga_i_3__n SM_AMIGA_i[3] + Net a_decode_6__n A_DECODE[6] + Net cpu_est_i_1__n cpu_est_i[1] + Net clk_000_d_i_1__n CLK_000_D_i[1] + Net a_decode_5__n A_DECODE[5] + Net pos_clk_un6_bgack_000_0_n pos_clk.un6_bgack_000_0 + Net rst_dly_i_2__n RST_DLY_i[2] + Net a_decode_4__n A_DECODE[4] + Net a_decode_3__n A_DECODE[3] Net sm_amiga_i_6__n SM_AMIGA_i[6] - Net sm_amiga_i_5__n SM_AMIGA_i[5] + Net a_decode_2__n A_DECODE[2] + Net clk_000_d_i_0__n CLK_000_D_i[0] + Net cycle_dma_i_0__n CYCLE_DMA_i[0] Net sm_amiga_i_4__n SM_AMIGA_i[4] End Section Type Name diff --git a/Logic/bus68030.srf b/Logic/bus68030.srf index 02f5b90..4e5d5fe 100644 --- a/Logic/bus68030.srf +++ b/Logic/bus68030.srf @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Thu Oct 06 21:34:49 2016 +#Thu Oct 06 22:04:05 2016 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014 @N|Running in 64-bit mode @@ -28,7 +28,7 @@ Post processing for work.bus68030.behavioral @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":130:34:130:36|Pruning register CLK_OUT_EXP_INT_1 @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":126:36:126:38|Pruning register CLK_OUT_PRE_25_3 @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":154:2:154:3|Pruning register CLK_030_D0_2 -@W: CL271 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning bits 12 to 5 of CLK_000_D_3(12 downto 0) -- not in use ... +@W: CL271 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning bits 12 to 6 of CLK_000_D_3(12 downto 0) -- not in use ... @N: CL201 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Trying to extract state machine for register SM_AMIGA Extracted state machine for register SM_AMIGA State machine has 8 reachable states with original encodings of: @@ -47,7 +47,7 @@ State machine has 8 reachable states with original encodings of: At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 71MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Oct 06 21:34:49 2016 +# Thu Oct 06 22:04:05 2016 ###########################################################] Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014 @@ -57,6 +57,48 @@ File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\synwork\BUS68030_c At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Oct 06 21:34:50 2016 +# Thu Oct 06 22:04:06 2016 + +###########################################################] +Map & Optimize Report + +Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May 6 2014 +Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc. All other use or distribution of the software is strictly prohibited. +Product Version I-2014.03LC +@N: MF248 |Running in 64-bit mode. +@N:"c:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Found counter in view:work.BUS68030(behavioral) inst RST_DLY[2:0] +Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral)) +original code -> new code + 000 -> 00000000 + 001 -> 00000011 + 010 -> 00000101 + 011 -> 00001001 + 100 -> 00010001 + 101 -> 00100001 + 110 -> 01000001 + 111 -> 10000001 +--------------------------------------- +Resource Usage Report + +Simple gate primitives: +DFF 56 uses +BI_DIR 18 uses +BUFTH 4 uses +IBUF 38 uses +OBUF 15 uses +AND2 269 uses +INV 238 uses +XOR2 5 uses +OR2 23 uses + + +@N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. +I-2014.03LC +Mapper successful! + +At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) + +Process took 0h:00m:01s realtime, 0h:00m:01s cputime +# Thu Oct 06 22:04:07 2016 ###########################################################] diff --git a/Logic/dm/BUS68030_comp.xdm b/Logic/dm/BUS68030_comp.xdm index 4faa0ad..88e9350 100644 --- a/Logic/dm/BUS68030_comp.xdm +++ b/Logic/dm/BUS68030_comp.xdm @@ -27,8 +27,8 @@ SRSqS SRSqSSqSSqSS +/>SqSSqSS S SR"/ /S<7>CV diff --git a/Logic/run_options.txt b/Logic/run_options.txt index 157e2cb..3abf4a4 100644 --- a/Logic/run_options.txt +++ b/Logic/run_options.txt @@ -1,7 +1,7 @@ #-- Synopsys, Inc. #-- Version I-2014.03LC #-- Project file C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\run_options.txt -#-- Written on Thu Oct 06 21:34:49 2016 +#-- Written on Thu Oct 06 22:04:05 2016 #project files diff --git a/Logic/synlog/BUS68030_multi_srs_gen.srr b/Logic/synlog/BUS68030_multi_srs_gen.srr index 59c6739..a3d95d6 100644 --- a/Logic/synlog/BUS68030_multi_srs_gen.srr +++ b/Logic/synlog/BUS68030_multi_srs_gen.srr @@ -5,6 +5,6 @@ File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\synwork\BUS68030_c At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Oct 06 21:34:50 2016 +# Thu Oct 06 22:04:06 2016 ###########################################################] diff --git a/Logic/synlog/bus68030_fpga_mapper.srr b/Logic/synlog/bus68030_fpga_mapper.srr index 26c2ea0..f1d908f 100644 --- a/Logic/synlog/bus68030_fpga_mapper.srr +++ b/Logic/synlog/bus68030_fpga_mapper.srr @@ -17,15 +17,15 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFF 55 uses +DFF 56 uses BI_DIR 18 uses BUFTH 4 uses IBUF 38 uses OBUF 15 uses -AND2 272 uses -INV 237 uses -OR2 23 uses +AND2 269 uses +INV 238 uses XOR2 5 uses +OR2 23 uses @N: FC100 |Timing Report not generated for this device, please use place and route tools for timing analysis. @@ -35,6 +35,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Thu Oct 06 21:34:50 2016 +# Thu Oct 06 22:04:07 2016 ###########################################################] diff --git a/Logic/synlog/report/BUS68030_compiler_runstatus.xml b/Logic/synlog/report/BUS68030_compiler_runstatus.xml index d2b4cd1..f3a8880 100644 --- a/Logic/synlog/report/BUS68030_compiler_runstatus.xml +++ b/Logic/synlog/report/BUS68030_compiler_runstatus.xml @@ -35,7 +35,7 @@ The file contains the job information from compiler to be displayed as part of t - - 1475782489 + 1475784245 \ No newline at end of file diff --git a/Logic/synlog/report/BUS68030_compiler_warnings.txt b/Logic/synlog/report/BUS68030_compiler_warnings.txt index 9eba205..9c11c4a 100644 --- a/Logic/synlog/report/BUS68030_compiler_warnings.txt +++ b/Logic/synlog/report/BUS68030_compiler_warnings.txt @@ -5,6 +5,6 @@ @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":130:34:130:36|Pruning register CLK_OUT_EXP_INT_1 @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":126:36:126:38|Pruning register CLK_OUT_PRE_25_3 @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":154:2:154:3|Pruning register CLK_030_D0_2 -@W: CL271 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning bits 12 to 5 of CLK_000_D_3(12 downto 0) -- not in use ... +@W: CL271 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning bits 12 to 6 of CLK_000_D_3(12 downto 0) -- not in use ... @W: CL246 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":24:1:24:8|Input port bits 15 to 2 of a_decode(23 downto 2) are unused diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml index fac3a99..c28a307 100644 --- a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml +++ b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml @@ -40,7 +40,7 @@ The file contains the job information from mapper to be displayed as part of the 105MB -1475782490 +1475784247 diff --git a/Logic/syntmp/BUS68030_srr.htm b/Logic/syntmp/BUS68030_srr.htm index b89b405..cdd9d08 100644 --- a/Logic/syntmp/BUS68030_srr.htm +++ b/Logic/syntmp/BUS68030_srr.htm @@ -1,5 +1,5 @@
-
+
 #Build: Synplify Pro I-2014.03LC , Build 063R, May 27 2014
 #install: E:\ispLEVER_Classic2_0\synpbase
 #OS: Windows 7 6.2
@@ -8,30 +8,30 @@
 #Implementation: logic
 
 $ Start of Compile
-#Thu Oct 06 21:34:49 2016
+#Thu Oct 06 22:04:05 2016
 
 Synopsys VHDL Compiler, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 Copyright (C) 1994-2014 Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use, reproduction, or distribution of this software is strictly prohibited.
 
-@N:CD720 : std.vhd(123) | Setting time resolution to ns
-@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
+@N:CD720 : std.vhd(123) | Setting time resolution to ns
+@N: : 68030-68000-bus.vhd(13) | Top entity is set to BUS68030.
 File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd changed - recompiling
 VHDL syntax check successful!
 File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd changed - recompiling
-@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
-@N:CD233 : 68030-68000-bus.vhd(70) | Using sequential encoding for type sm_e
-@N:CD233 : 68030-68000-bus.vhd(87) | Using sequential encoding for type sm_68000
-@W:CD638 : 68030-68000-bus.vhd(128) | Signal clk_out_pre is undriven 
+@N:CD630 : 68030-68000-bus.vhd(13) | Synthesizing work.bus68030.behavioral 
+@N:CD233 : 68030-68000-bus.vhd(70) | Using sequential encoding for type sm_e
+@N:CD233 : 68030-68000-bus.vhd(87) | Using sequential encoding for type sm_68000
+@W:CD638 : 68030-68000-bus.vhd(128) | Signal clk_out_pre is undriven 
 Post processing for work.bus68030.behavioral
-@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register DS_030_D0_3  
-@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register nEXP_SPACE_D0_3  
-@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register BGACK_030_INT_PRE_2  
-@W:CL169 : 68030-68000-bus.vhd(130) | Pruning register CLK_OUT_EXP_INT_1  
-@W:CL169 : 68030-68000-bus.vhd(126) | Pruning register CLK_OUT_PRE_25_3  
-@W:CL169 : 68030-68000-bus.vhd(154) | Pruning register CLK_030_D0_2  
-@W:CL271 : 68030-68000-bus.vhd(131) | Pruning bits 12 to 5 of CLK_000_D_3(12 downto 0) -- not in use ... 
-@N:CL201 : 68030-68000-bus.vhd(131) | Trying to extract state machine for register SM_AMIGA
+@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register DS_030_D0_3  
+@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register nEXP_SPACE_D0_3  
+@W:CL169 : 68030-68000-bus.vhd(131) | Pruning register BGACK_030_INT_PRE_2  
+@W:CL169 : 68030-68000-bus.vhd(130) | Pruning register CLK_OUT_EXP_INT_1  
+@W:CL169 : 68030-68000-bus.vhd(126) | Pruning register CLK_OUT_PRE_25_3  
+@W:CL169 : 68030-68000-bus.vhd(154) | Pruning register CLK_030_D0_2  
+@W:CL271 : 68030-68000-bus.vhd(131) | Pruning bits 12 to 6 of CLK_000_D_3(12 downto 0) -- not in use ... 
+@N:CL201 : 68030-68000-bus.vhd(131) | Trying to extract state machine for register SM_AMIGA
 Extracted state machine for register SM_AMIGA
 State machine has 8 reachable states with original encodings of:
    000
@@ -42,24 +42,24 @@ State machine has 8 reachable states with original encodings of:
    101
    110
    111
-@N:CL201 : 68030-68000-bus.vhd(131) | Trying to extract state machine for register cpu_est
-@W:CL246 : 68030-68000-bus.vhd(24) | Input port bits 15 to 2 of a_decode(23 downto 2) are unused 
+@N:CL201 : 68030-68000-bus.vhd(131) | Trying to extract state machine for register cpu_est
+@W:CL246 : 68030-68000-bus.vhd(24) | Input port bits 15 to 2 of a_decode(23 downto 2) are unused 
 @END
 
 At c_vhdl Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 70MB peak: 71MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Thu Oct 06 21:34:49 2016
+# Thu Oct 06 22:04:05 2016
 
 ###########################################################]
 Synopsys Netlist Linker, version comp201403rcp1, Build 060R, built May 27 2014
-@N: :  | Running in 64-bit mode 
+@N: :  | Running in 64-bit mode 
 File C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\synwork\BUS68030_comp.srs changed - recompiling
 
 At syn_nfilter Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 67MB peak: 68MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Thu Oct 06 21:34:50 2016
+# Thu Oct 06 22:04:06 2016
 
 ###########################################################]
 Map & Optimize Report
@@ -67,8 +67,8 @@ Map & Optimize Report
 Synopsys CPLD Technology Mapper, Version maplat, Build 923R, Built May  6 2014
 Copyright (C) 1994-2013, Synopsys, Inc. This software and the associated documentation are proprietary to Synopsys, Inc. This software may only be used in accordance with the terms and conditions of a written license agreement with Synopsys, Inc.  All other use or distribution of the software is strictly prohibited.
 Product Version I-2014.03LC 
-@N:MF248 :  | Running in 64-bit mode. 
-@N: : 68030-68000-bus.vhd(131) | Found counter in view:work.BUS68030(behavioral) inst RST_DLY[2:0]
+@N:MF248 :  | Running in 64-bit mode. 
+@N: : 68030-68000-bus.vhd(131) | Found counter in view:work.BUS68030(behavioral) inst RST_DLY[2:0]
 Encoding state machine SM_AMIGA[0:7] (view:work.BUS68030(behavioral))
 original code -> new code
    000 -> 00000000
@@ -83,25 +83,25 @@ original code -> new code
 Resource Usage Report
 
 Simple gate primitives:
-DFF             55 uses
+DFF             56 uses
 BI_DIR          18 uses
 BUFTH           4 uses
 IBUF            38 uses
 OBUF            15 uses
-AND2            272 uses
-INV             237 uses
-OR2             23 uses
+AND2            269 uses
+INV             238 uses
 XOR2            5 uses
+OR2             23 uses
 
 
-@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
+@N:FC100 :  | Timing Report not generated for this device, please use place and route tools for timing analysis. 
 I-2014.03LC 
 Mapper successful!
 
 At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 39MB peak: 105MB)
 
 Process took 0h:00m:01s realtime, 0h:00m:01s cputime
-# Thu Oct 06 21:34:50 2016
+# Thu Oct 06 22:04:07 2016
 
 ###########################################################]
 
diff --git a/Logic/syntmp/BUS68030_toc.htm b/Logic/syntmp/BUS68030_toc.htm
index ef82d75..1674e4a 100644
--- a/Logic/syntmp/BUS68030_toc.htm
+++ b/Logic/syntmp/BUS68030_toc.htm
@@ -16,7 +16,7 @@
 
  • Mapper Report
  • -
  • Session Log (21:34 06-Oct) +
  • Session Log (22:03 06-Oct)
    • diff --git a/Logic/syntmp/run_option.xml b/Logic/syntmp/run_option.xml index 6f6f940..855fb8b 100644 --- a/Logic/syntmp/run_option.xml +++ b/Logic/syntmp/run_option.xml @@ -3,7 +3,7 @@ Synopsys, Inc. Version I-2014.03LC Project file C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\syntmp\run_option.xml - Written on Thu Oct 06 21:34:49 2016 + Written on Thu Oct 06 22:04:05 2016 --> diff --git a/Logic/syntmp/statusReport.html b/Logic/syntmp/statusReport.html index 0e4ee76..083f3fc 100644 --- a/Logic/syntmp/statusReport.html +++ b/Logic/syntmp/statusReport.html @@ -38,7 +38,7 @@ - 0m:00s - -06.10.2016
      21:34:49 +06.10.2016
      22:04:05 @@ -49,12 +49,12 @@ 0m:00s 0m:00s 105MB -06.10.2016
      21:34:50 +06.10.2016
      22:04:07 Multi-srs Generator - Complete0m:00s06.10.2016
      21:34:50 + Complete0m:00s06.10.2016
      22:04:06 \ No newline at end of file diff --git a/Logic/synwork/BUS68030_comp.fdep b/Logic/synwork/BUS68030_comp.fdep index 96bdf6f..d7e668c 100644 --- a/Logic/synwork/BUS68030_comp.fdep +++ b/Logic/synwork/BUS68030_comp.fdep @@ -9,7 +9,7 @@ #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\umr_capim.vhd":1401223968 #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\arith.vhd":1401223722 #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\unsigned.vhd":1401223722 -#CUR:"C:\\users\\matze\\amiga\\hardwarehacks\\68030-tk\\github\\logic\\68030-68000-bus.vhd":1475782462 +#CUR:"C:\\users\\matze\\amiga\\hardwarehacks\\68030-tk\\github\\logic\\68030-68000-bus.vhd":1475784213 0 "C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.fdeporig b/Logic/synwork/BUS68030_comp.fdeporig index 02ae687..51d1a5c 100644 --- a/Logic/synwork/BUS68030_comp.fdeporig +++ b/Logic/synwork/BUS68030_comp.fdeporig @@ -9,7 +9,7 @@ #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\umr_capim.vhd":1401223968 #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\arith.vhd":1401223722 #CUR:"E:\\ispLEVER_Classic2_0\\synpbase\\lib\\vhd\\unsigned.vhd":1401223722 -#CUR:"C:\\users\\matze\\amiga\\hardwarehacks\\68030-tk\\github\\logic\\68030-68000-bus.vhd":1475782462 +#CUR:"C:\\users\\matze\\amiga\\hardwarehacks\\68030-tk\\github\\logic\\68030-68000-bus.vhd":1475784213 0 "C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_comp.srs b/Logic/synwork/BUS68030_comp.srs index d263013..d2864e7 100644 Binary files a/Logic/synwork/BUS68030_comp.srs and b/Logic/synwork/BUS68030_comp.srs differ diff --git a/Logic/synwork/BUS68030_comp.tlg b/Logic/synwork/BUS68030_comp.tlg index 42cbbb6..4ed259f 100644 --- a/Logic/synwork/BUS68030_comp.tlg +++ b/Logic/synwork/BUS68030_comp.tlg @@ -9,7 +9,7 @@ Post processing for work.bus68030.behavioral @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":130:34:130:36|Pruning register CLK_OUT_EXP_INT_1 @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":126:36:126:38|Pruning register CLK_OUT_PRE_25_3 @W: CL169 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":154:2:154:3|Pruning register CLK_030_D0_2 -@W: CL271 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning bits 12 to 5 of CLK_000_D_3(12 downto 0) -- not in use ... +@W: CL271 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Pruning bits 12 to 6 of CLK_000_D_3(12 downto 0) -- not in use ... @N: CL201 :"C:\users\matze\amiga\hardwarehacks\68030-tk\github\logic\68030-68000-bus.vhd":131:38:131:40|Trying to extract state machine for register SM_AMIGA Extracted state machine for register SM_AMIGA State machine has 8 reachable states with original encodings of: diff --git a/Logic/synwork/BUS68030_mult.srs b/Logic/synwork/BUS68030_mult.srs index 7ec3484..6a70794 100644 Binary files a/Logic/synwork/BUS68030_mult.srs and b/Logic/synwork/BUS68030_mult.srs differ diff --git a/Logic/synwork/BUS68030_mult_srs/skeleton.srs b/Logic/synwork/BUS68030_mult_srs/skeleton.srs index eba1173..5113517 100644 Binary files a/Logic/synwork/BUS68030_mult_srs/skeleton.srs and b/Logic/synwork/BUS68030_mult_srs/skeleton.srs differ diff --git a/Logic/synwork/BUS68030_s.srs b/Logic/synwork/BUS68030_s.srs index d263013..d2864e7 100644 Binary files a/Logic/synwork/BUS68030_s.srs and b/Logic/synwork/BUS68030_s.srs differ