diff --git a/Logic/68030-68000-bus.vhd b/Logic/68030-68000-bus.vhd index a40a0f6..ec046cd 100644 --- a/Logic/68030-68000-bus.vhd +++ b/Logic/68030-68000-bus.vhd @@ -129,6 +129,7 @@ signal CLK_000_D0: STD_LOGIC := '1'; signal CLK_000_D1: STD_LOGIC := '1'; signal CLK_000_D2: STD_LOGIC := '1'; signal CLK_000_D3: STD_LOGIC := '1'; +signal CLK_000_D4: STD_LOGIC := '1'; signal CLK_000_P_SYNC: STD_LOGIC_VECTOR ( 12 downto 0 ) := "0000000000000"; signal CLK_000_N_SYNC: STD_LOGIC_VECTOR ( 12 downto 0 ) := "0000000000000"; signal CLK_000_PE: STD_LOGIC := '0'; @@ -170,6 +171,7 @@ begin CLK_000_D1 <= '1'; CLK_000_D2 <= '1'; CLK_000_D3 <= '1'; + CLK_000_D4 <= '1'; VPA_D <= '1'; DTACK_D0 <= '1'; SM_AMIGA <= IDLE_P; @@ -239,6 +241,7 @@ begin CLK_000_D1 <= CLK_000_D0; CLK_000_D2 <= CLK_000_D1; CLK_000_D3 <= CLK_000_D2; + CLK_000_D4 <= CLK_000_D3; --shift registers for edge detection CLK_000_P_SYNC( 12 downto 1 ) <= CLK_000_P_SYNC( 11 downto 0 ); @@ -257,7 +260,8 @@ begin --now: 68000 state machine and signals -- e-clock - if(CLK_000_PE = '1') then + --if(CLK_000_PE = '1') then + if(CLK_000_D1 = '0' and CLK_000_D0 = '1') then case (cpu_est) is when E1 => cpu_est <= E2 ; when E2 => cpu_est <= E3 ; @@ -287,7 +291,10 @@ begin --bgack is simple: assert as soon as Amiga asserts but hold bg_ack for one amiga-clock if(BGACK_000='0') then BGACK_030_INT <= '0'; - elsif (BGACK_000='1' AND CLK_000_PE='1') then -- BGACK_000 is high here! + elsif ( BGACK_000='1' + --AND CLK_000_PE='1' + AND CLK_000_D1='0' and CLK_000_D0='1' + ) then -- BGACK_000 is high here! BGACK_030_INT <= '1'; --hold this signal high until 7m clock goes high end if; BGACK_030_INT_D <= BGACK_030_INT; @@ -295,15 +302,18 @@ begin --bus grant only in idle state if(BG_030= '1')then BG_000 <= '1'; - elsif( BG_030= '0' AND (SM_AMIGA = IDLE_P) + elsif( BG_030= '0' --AND (SM_AMIGA = IDLE_P) and nEXP_SPACE = '1' and AS_030='1' - and CLK_000='1' ) then --bus granted no local access and no AS_030 running! + --and CLK_000_PE='1' + and CLK_000_D0='1' AND CLK_000_D1='0' + ) then --bus granted no local access and no AS_030 running! BG_000 <= '0'; end if; --interrupt buffering to avoid ghost interrupts - if(CLK_000_PE='1')then + --if(CLK_000_PE='1')then + if(CLK_000_D1='0' and CLK_000_D0='1')then IPL_030<=IPL; end if; @@ -370,7 +380,8 @@ begin end if; end if; when IDLE_N => --68000:S1 place Adress on bus and wait for rising edge, on a rising CLK_000 look for a amiga adressrobe - if(CLK_000_PE='1')then --go to s2 + --if(CLK_000_PE='1')then --go to s2 + if(CLK_000_D0='1')then --go to s2 SM_AMIGA <= AS_SET_P; --as for amiga set! AS_000_INT <= '0'; RW_000_INT <= RW; @@ -379,32 +390,40 @@ begin end if; end if; when AS_SET_P => --68000:S2 Amiga cycle starts here: since AS is asserted during transition to this state we simply wait here - if(CLK_000_NE='1')then --go to s3 + --if(CLK_000_NE='1')then --go to s3 + if(CLK_000_D0='0')then --go to s3 SM_AMIGA<=AS_SET_N; end if; when AS_SET_N => --68000:S3: nothing happens here; on a transition to s4: assert uds/lds on write - if(CLK_000_PE='1')then --go to s4 + --if(CLK_000_PE='1')then --go to s4 + if(CLK_000_D0='1')then --go to s4 DS_000_ENABLE <= '1';--write: set udl/lds earlier than in the specs. this does not seem to harm anything and is saver, than sampling uds/lds too late -- set DS-Enable without respect to rw: this simplifies the life for the syntesizer SM_AMIGA <= SAMPLE_DTACK_P; end if; when SAMPLE_DTACK_P=> --68000:S4 wait for dtack or VMA - if( CLK_000_NE='1' and --falling edge + --if( CLK_000_NE='1' and --falling edge + if( CLK_000_D0 = '0' and CLK_000_D1='1' and --falling edge ((VPA = '1' AND DTACK='0') OR --DTACK end cycle (VPA='0' AND cpu_est=E9 AND VMA_INT='0')) --VPA end cycle )then --go to s5 SM_AMIGA<=DATA_FETCH_N; end if; when DATA_FETCH_N=> --68000:S5 nothing happens here just wait for positive clock - if(CLK_000_PE = '1')then --go to s6 + --if(CLK_000_PE = '1')then --go to s6 + if(CLK_000_D0='1')then --go to s6 SM_AMIGA<=DATA_FETCH_P; end if; when DATA_FETCH_P => --68000:S6: READ: here comes the data on the bus! - if( CLK_000_N_SYNC(6)='1') then --go to s7 next 030-clock is not a falling edge: dsack is sampled at the falling edge + --if( CLK_000_N_SYNC(6)='1') then --go to s7 next 030-clock is not a falling edge: dsack is sampled at the falling edge + -- DSACK1_INT <='0'; + --end if; + if( CLK_000_D3 ='1' AND CLK_000_D4 = '0' ) then --go to s7 next 030-clock is high: dsack is sampled at the falling edge DSACK1_INT <='0'; end if; - if( CLK_000_NE ='1') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge + --if( CLK_000_NE ='1') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge + if( CLK_000_D0 ='0') then --go to s7 next 030-clock is high: dsack is sampled at the falling edge SM_AMIGA<=END_CYCLE_N; if(AS_030 ='1') then AMIGA_BUS_ENABLE_INT <= '1'; @@ -415,7 +434,8 @@ begin AMIGA_BUS_ENABLE_INT <= '1'; end if; - if(CLK_000_PE='1')then --go to s0 + --if(CLK_000_PE='1')then --go to s0 + if(CLK_000_D0='1')then --go to s0 SM_AMIGA<=IDLE_P; end if; end case; @@ -429,19 +449,19 @@ begin --dma stuff --as can only be done if we know the uds/lds! - if(BGACK_030_INT='0' and AS_000='0' and (UDS_000='0' or LDS_000='0'))then --sampled on rising edges! + if(BGACK_030_INT='0' and AS_000='0' and (UDS_000='0' or LDS_000='0'))then --set AS_000 - if( CLK_030='0') then - AS_000_DMA <= '0'; + if( CLK_030='1') then + AS_000_DMA <= '0'; --sampled on rising edges! RW_000_DMA <= RW_000; - elsif(AS_000_DMA = '0' and CLK_030='1')then + elsif(AS_000_DMA = '0' and CLK_030='0')then CLK_030_H <= '1'; end if; if(RW_000='1') then DS_000_DMA <=AS_000_DMA; - elsif(RW_000='0' and CLK_030_H = '1' and CLK_030='0')then + elsif(RW_000='0' and CLK_030_H = '1' and CLK_030='1')then DS_000_DMA <=AS_000_DMA; -- write: one clock delayed! end if; -- now determine the size: if both uds and lds is set its 16 bit else 8 bit! @@ -488,6 +508,8 @@ begin --output clock assignment CLK_DIV_OUT <= CLK_OUT_INT; CLK_EXP <= CLK_OUT_INT; + --CLK_DIV_OUT <= CLK_OUT_PRE_33; + --CLK_EXP <= CLK_OUT_PRE_33; AVEC_EXP <= CLK_000_PE; AMIGA_BUS_ENABLE <= AMIGA_BUS_ENABLE_INT; --dma stuff @@ -514,11 +536,10 @@ begin --cache inhibit: For now: disable - CIIN <= '1' WHEN A(31 downto 20) = x"00F" ELSE - '1' WHEN A(31 downto 16) = x"00E0" ELSE - '1' WHEN A(31 downto 20) = x"002" ELSE - '1' WHEN A(31 downto 20) = x"004" ELSE - 'Z' WHEN not(A(31 downto 24) = x"00") ELSE + CIIN <= '1' WHEN A(31 downto 20) = x"00F" and AS_030 ='0' ELSE + --'1' WHEN A(31 downto 20) = x"002" ELSE + --'1' WHEN A(31 downto 20) = x"004" ELSE + 'Z' WHEN (not(A(31 downto 24) = x"00") and AS_030 ='0') OR nEXP_SPACE = '0' ELSE '0'; --bus buffers diff --git a/Logic/68030_TK.tcl b/Logic/68030_TK.tcl index 5b34ec8..eb7ed0e 100644 --- a/Logic/68030_TK.tcl +++ b/Logic/68030_TK.tcl @@ -206577,3 +206577,4212 @@ if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 6 ########## Tcl recorder end at 06/09/14 20:20:24 ########### + +########## Tcl recorder starts at 06/15/14 12:13:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 12:13:59 ########### + + +########## Tcl recorder starts at 06/15/14 12:13:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 12:13:59 ########### + + +########## Tcl recorder starts at 06/15/14 12:25:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 12:25:19 ########### + + +########## Tcl recorder starts at 06/15/14 12:25:20 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 12:25:20 ########### + + +########## Tcl recorder starts at 06/15/14 13:00:08 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 13:00:08 ########### + + +########## Tcl recorder starts at 06/15/14 13:00:08 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 13:00:08 ########### + + +########## Tcl recorder starts at 06/15/14 13:01:35 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 13:01:35 ########### + + +########## Tcl recorder starts at 06/15/14 13:01:35 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 13:01:35 ########### + + +########## Tcl recorder starts at 06/15/14 15:15:03 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:15:03 ########### + + +########## Tcl recorder starts at 06/15/14 15:15:03 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:15:03 ########### + + +########## Tcl recorder starts at 06/15/14 15:16:40 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:16:40 ########### + + +########## Tcl recorder starts at 06/15/14 15:16:40 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:16:40 ########### + + +########## Tcl recorder starts at 06/15/14 15:18:06 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:18:06 ########### + + +########## Tcl recorder starts at 06/15/14 15:18:06 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:18:06 ########### + + +########## Tcl recorder starts at 06/15/14 15:29:33 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:29:33 ########### + + +########## Tcl recorder starts at 06/15/14 15:29:33 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:29:33 ########### + + +########## Tcl recorder starts at 06/15/14 15:38:44 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:38:44 ########### + + +########## Tcl recorder starts at 06/15/14 15:38:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:38:44 ########### + + +########## Tcl recorder starts at 06/15/14 15:39:43 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:39:43 ########### + + +########## Tcl recorder starts at 06/15/14 15:39:44 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 15:39:44 ########### + + +########## Tcl recorder starts at 06/15/14 16:02:31 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:02:31 ########### + + +########## Tcl recorder starts at 06/15/14 16:02:31 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:02:31 ########### + + +########## Tcl recorder starts at 06/15/14 16:04:13 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:04:13 ########### + + +########## Tcl recorder starts at 06/15/14 16:04:13 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:04:13 ########### + + +########## Tcl recorder starts at 06/15/14 16:06:19 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:06:19 ########### + + +########## Tcl recorder starts at 06/15/14 16:06:19 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:06:19 ########### + + +########## Tcl recorder starts at 06/15/14 16:07:16 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:07:16 ########### + + +########## Tcl recorder starts at 06/15/14 16:07:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:07:16 ########### + + +########## Tcl recorder starts at 06/15/14 16:12:54 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:12:54 ########### + + +########## Tcl recorder starts at 06/15/14 16:12:54 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:12:54 ########### + + +########## Tcl recorder starts at 06/15/14 16:13:59 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:13:59 ########### + + +########## Tcl recorder starts at 06/15/14 16:13:59 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:13:59 ########### + + +########## Tcl recorder starts at 06/15/14 16:20:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:20:51 ########### + + +########## Tcl recorder starts at 06/15/14 16:20:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:20:51 ########### + + +########## Tcl recorder starts at 06/15/14 16:21:16 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:21:16 ########### + + +########## Tcl recorder starts at 06/15/14 16:21:16 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:21:16 ########### + + +########## Tcl recorder starts at 06/15/14 16:24:17 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:24:17 ########### + + +########## Tcl recorder starts at 06/15/14 16:24:17 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:24:17 ########### + + +########## Tcl recorder starts at 06/15/14 16:30:22 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:30:22 ########### + + +########## Tcl recorder starts at 06/15/14 16:30:22 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:30:22 ########### + + +########## Tcl recorder starts at 06/15/14 16:32:51 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:32:51 ########### + + +########## Tcl recorder starts at 06/15/14 16:32:51 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:32:51 ########### + + +########## Tcl recorder starts at 06/15/14 16:34:34 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:34:34 ########### + + +########## Tcl recorder starts at 06/15/14 16:34:34 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:34:34 ########### + + +########## Tcl recorder starts at 06/15/14 16:36:36 ########## + +# Commands to make the Process: +# Hierarchy +if [runCmd "\"$cpld_bin/vhd2jhd\" 68030-68000-bus.vhd -o 68030-68000-bus.jhd -m \"$install_dir/ispcpld/generic/lib/vhd/location.map\" -p \"$install_dir/ispcpld/generic/lib\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:36:36 ########### + + +########## Tcl recorder starts at 06/15/14 16:36:37 ########## + +# Commands to make the Process: +# JEDEC File +if [catch {open BUS68030.cmd w} rspFile] { + puts stderr "Cannot create response file BUS68030.cmd: $rspFile" +} else { + puts $rspFile "STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: \"$proj_dir\" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC +" + close $rspFile +} +if [runCmd "\"$cpld_bin/Synpwrap\" -e BUS68030 -target mach -pro "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete BUS68030.cmd +if [runCmd "\"$cpld_bin/edif2blf\" -edf BUS68030.edi -out BUS68030.bl0 -err automake.err -log BUS68030.log -prj 68030_tk -lib \"$install_dir/ispcpld/dat/mach.edn\" -net_Vcc VCC -net_GND GND -nbx -dse -tlw -cvt YES -xor"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" BUS68030.bl0 -collapse none -reduce none -keepwires -err automake.err -family"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblflink\" \"BUS68030.bl1\" -o \"68030_tk.bl2\" -omod \"68030_tk\" -err \"automake.err\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/impsrc\" -prj 68030_tk -lci 68030_tk.lct -log 68030_tk.imp -err automake.err -tti 68030_tk.bl2 -dir $proj_dir"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -blifopt 68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mblifopt\" 68030_tk.bl2 -sweep -mergefb -err automake.err -o 68030_tk.bl3 @68030_tk.b2_"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -diofft 68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/mdiofft\" 68030_tk.bl3 -pla -family AMDMACH -idev van -o 68030_tk.tt2 -oxrf 68030_tk.xrf -err automake.err @68030_tk.d0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/tt2tott3\" -prj 68030_tk -dir $proj_dir -log 68030_tk.log -tti 68030_tk.tt2 -tto 68030_tk.tt3"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/abelvci\" -vci 68030_tk.lct -dev mach4a -prefit 68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/prefit\" -inp 68030_tk.tt3 -out 68030_tk.tt4 -err automake.err -log 68030_tk.log -percent 68030_tk.tte -mod BUS68030 @68030_tk.l0"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/blif2eqn\" 68030_tk.tte -o 68030_tk.eq3 -use_short -err automake.err "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/lci2vci\" -lci 68030_tk.lct -out 68030_tk.vct -log 68030_tk.l2v"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [catch {open 68030_tk.rsp w} rspFile] { + puts stderr "Cannot create response file 68030_tk.rsp: $rspFile" +} else { + puts $rspFile "-inp \"68030_tk.tt4\" -vci \"68030_tk.vct\" -log \"68030_tk.log\" -eqn \"68030_tk.eq3\" -dev mach447a -dat \"$install_dir/ispcpld/dat/mach4a/\" -msg \"$install_dir/ispcpld/dat/\" -err automake.err -tmv \"NoInput.tmv\" +" + close $rspFile +} +if [runCmd "\"$cpld_bin/machfitr\" \"@68030_tk.rsp\""] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +file delete 68030_tk.rsp +if [runCmd "\"$cpld_bin/lci2vci\" -vci 68030_tk.vco -out 68030_tk.lco -log 68030_tk.v2l"] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} +if [runCmd "\"$cpld_bin/synsvf\" -exe \"$install_dir/ispvmsystem/ispufw\" -prj 68030_tk -if 68030_tk.jed -j2s -log 68030_tk.svl "] { + return +} else { + vwait done + if [checkResult $done] { + return + } +} + +########## Tcl recorder end at 06/15/14 16:36:37 ########### + diff --git a/Logic/68030_tk.bl2 b/Logic/68030_tk.bl2 index 557dcee..730ba73 100644 --- a/Logic/68030_tk.bl2 +++ b/Logic/68030_tk.bl2 @@ -1,120 +1,121 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Mon Jun 09 20:20:30 2014 +#$ DATE Sun Jun 15 16:36:43 2014 #$ MODULE 68030_tk -#$ PINS 59 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 \ -# LDS_000 SIZE_0_ A0 A_30_ nEXP_SPACE A_29_ BERR A_28_ BG_030 A_27_ BG_000 A_26_ BGACK_030 \ -# A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000 A_22_ CLK_OSZI A_21_ CLK_DIV_OUT A_20_ \ -# CLK_EXP A_19_ FPU_CS A_18_ DSACK1 A_17_ DTACK A_16_ AVEC IPL_030_1_ AVEC_EXP IPL_030_0_ E \ -# IPL_1_ VPA IPL_0_ VMA FC_0_ RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ -# AMIGA_BUS_ENABLE_LOW CIIN -#$ NODES 491 a_i_20__n un19_fpu_cs_1 a_i_23__n un19_fpu_cs_2 cpu_est_i_2__n \ -# un19_fpu_cs_3 VPA_D_i SM_AMIGA_0_sqmuxa_1_1 clk_cnt_n_i_0__n \ -# DS_000_ENABLE_0_sqmuxa_1 a_i_30__n AS_030_000_SYNC_0_sqmuxa_1 \ -# inst_BGACK_030_INTreg a_i_31__n AS_030_000_SYNC_0_sqmuxa_2 vcc_n_n a_i_28__n \ -# N_102_1 inst_avec_expreg a_i_29__n N_101_1 inst_VMA_INTreg a_i_26__n N_100_1 \ -# inst_AMIGA_BUS_ENABLE_INTreg a_i_27__n N_110_1 inst_CLK_OUT_PRE_33reg a_i_24__n \ -# N_104_1 inst_AS_030_000_SYNC a_i_25__n N_104_2 inst_BGACK_030_INT_D N_104_3 \ -# inst_AS_000_DMA RST_i state_machine_un10_clk_000_ne_1_n inst_VPA_D \ -# sm_amiga_ns_0_1_0__n inst_CLK_OUT_PRE_50_D sm_amiga_ns_0_2_0__n inst_CLK_000_D0 \ -# CLK_OSZI_i sm_amiga_ns_0_3_0__n CLK_CNT_N_0_ cpu_est_ns_0_1_2__n \ -# inst_CLK_OUT_PRE_50 CLK_OUT_PRE_50_D_i N_30_1 inst_CLK_OUT_PRE_25 AS_030_c N_222_1 \ -# inst_CLK_000_D1 cpu_estse_1_un3_n inst_CLK_000_D2 AS_000_c cpu_estse_1_un1_n \ -# inst_CLK_000_D3 cpu_estse_1_un0_n inst_CLK_000_NE RW_000_c ipl_030_0_2__un3_n \ -# inst_CLK_OUT_PRE_D ipl_030_0_2__un1_n inst_CLK_OUT_PRE DS_030_c ipl_030_0_2__un0_n \ -# CLK_000_P_SYNC_9_ ipl_030_0_1__un3_n CLK_000_N_SYNC_11_ UDS_000_c \ -# ipl_030_0_1__un1_n state_machine_un10_clk_000_d0_n ipl_030_0_1__un0_n \ -# inst_AS_000_INT LDS_000_c ipl_030_0_0__un3_n SM_AMIGA_7_ ipl_030_0_0__un1_n \ -# SM_AMIGA_1_ size_c_0__n ipl_030_0_0__un0_n SM_AMIGA_0_ rw_000_int_0_un3_n \ -# SM_AMIGA_6_ size_c_1__n rw_000_int_0_un1_n SM_AMIGA_4_ rw_000_int_0_un0_n \ -# CLK_000_N_SYNC_6_ a_c_16__n dsack1_int_0_un3_n CLK_CNT_P_1_ dsack1_int_0_un1_n \ -# CLK_CNT_N_1_ a_c_17__n dsack1_int_0_un0_n inst_RW_000_INT ds_000_enable_0_un3_n \ -# inst_DSACK1_INT a_c_18__n ds_000_enable_0_un1_n \ -# state_machine_un3_clk_out_pre_50_n ds_000_enable_0_un0_n inst_CLK_030_H a_c_19__n \ -# as_000_int_0_un3_n CLK_CNT_P_0_ as_000_int_0_un1_n inst_RW_000_DMA a_c_20__n \ -# as_000_int_0_un0_n un1_LDS_000_INT as_030_000_sync_0_un3_n inst_LDS_000_INT \ -# a_c_21__n as_030_000_sync_0_un1_n inst_DS_000_ENABLE as_030_000_sync_0_un0_n \ -# un1_UDS_000_INT a_c_22__n bgack_030_int_0_un3_n inst_UDS_000_INT \ -# bgack_030_int_0_un1_n a_c_23__n bgack_030_int_0_un0_n as_000_dma_0_un3_n \ -# state_machine_un12_clk_000_d0_n a_c_24__n as_000_dma_0_un1_n as_000_dma_0_un0_n \ -# inst_DS_000_DMA a_c_25__n ds_000_dma_0_un3_n SIZE_DMA_0_ ds_000_dma_0_un1_n \ -# SIZE_DMA_1_ a_c_26__n ds_000_dma_0_un0_n inst_A0_DMA rw_000_dma_0_un3_n G_109 \ -# a_c_27__n rw_000_dma_0_un1_n G_115 rw_000_dma_0_un0_n CLK_000_P_SYNC_0_ a_c_28__n \ -# clk_030_h_0_un3_n CLK_000_P_SYNC_1_ clk_030_h_0_un1_n CLK_000_P_SYNC_2_ a_c_29__n \ -# clk_030_h_0_un0_n CLK_000_P_SYNC_3_ amiga_bus_enable_int_0_un3_n \ -# CLK_000_P_SYNC_4_ a_c_30__n amiga_bus_enable_int_0_un1_n CLK_000_P_SYNC_5_ \ -# amiga_bus_enable_int_0_un0_n CLK_000_P_SYNC_6_ a_c_31__n bg_000_0_un3_n \ -# CLK_000_P_SYNC_7_ bg_000_0_un1_n CLK_000_P_SYNC_8_ A0_c bg_000_0_un0_n \ -# CLK_000_N_SYNC_0_ lds_000_int_0_un3_n CLK_000_N_SYNC_1_ nEXP_SPACE_c \ -# lds_000_int_0_un1_n CLK_000_N_SYNC_2_ lds_000_int_0_un0_n CLK_000_N_SYNC_3_ BERR_c \ -# uds_000_int_0_un3_n CLK_000_N_SYNC_4_ uds_000_int_0_un1_n CLK_000_N_SYNC_5_ \ -# BG_030_c uds_000_int_0_un0_n CLK_000_N_SYNC_7_ vma_int_0_un3_n CLK_000_N_SYNC_8_ \ -# BG_000DFFSHreg vma_int_0_un1_n CLK_000_N_SYNC_9_ vma_int_0_un0_n \ -# CLK_000_N_SYNC_10_ cpu_estse_0_un3_n un28_ciin BGACK_000_c cpu_estse_0_un1_n \ -# un19_fpu_cs cpu_estse_0_un0_n SM_AMIGA_5_ CLK_030_c cpu_estse_2_un3_n SM_AMIGA_3_ \ -# cpu_estse_2_un1_n SM_AMIGA_2_ CLK_000_c cpu_estse_2_un0_n CLK_OSZI_c CLK_OUT_INTreg \ -# IPL_030DFFSH_0_reg IPL_030DFFSH_1_reg IPL_030DFFSH_2_reg ipl_c_0__n ipl_c_1__n \ -# CLK_OUT_PRE_25_0 ipl_c_2__n DSACK1_c DTACK_c VPA_c cpu_est_0_ RST_c cpu_est_1_ \ -# cpu_est_2_ RESETDFFRHreg cpu_est_3_reg RW_c fc_c_0__n cpu_est_ns_1__n fc_c_1__n \ -# cpu_est_ns_2__n un6_clk_pre_66 un2_clk_pre_66 AMIGA_BUS_DATA_DIR_c N_222 N_37 N_223 \ -# N_224 SM_AMIGA_0_sqmuxa_i N_227 DS_000_ENABLE_0_sqmuxa_i N_219 \ -# un1_SM_AMIGA_0_sqmuxa_2_i N_228 state_machine_un10_clk_000_ne_i_n N_229 \ -# state_machine_un4_clk_000_ne_i_n N_230 state_machine_un6_clk_000_ne_i_n N_28 \ -# state_machine_rw_000_int_3_0_n N_29 N_75_0 N_30 sm_amiga_ns_0_0__n un28_ciin_0_x2 \ -# N_105_i N_31 N_106_i N_220 sm_amiga_ns_0_1__n N_32 N_107_i N_35 sm_amiga_ns_0_2__n \ -# state_machine_un5_clk_000_n_sync_n N_110_i N_178 sm_amiga_ns_0_4__n N_179 N_114_i \ -# N_179_1 N_113_i un19_fpu_cs_5 sm_amiga_ns_0_6__n state_machine_un28_as_030_n N_91_i \ -# N_247 N_92_i state_machine_un8_bgack_030_int_n sm_amiga_i_5__n \ -# state_machine_un10_bgack_030_int_n N_93_i CLK_030_H_1_sqmuxa N_95_0 \ -# AS_000_DMA_1_sqmuxa N_103_i DS_000_DMA_1_sqmuxa N_100_i DS_000_DMA_1_sqmuxa_1 \ -# N_102_i state_machine_un24_bgack_030_int_n N_101_i state_machine_clk_030_h_2_n \ -# N_104_i state_machine_clk_030_h_2_f1_n state_machine_un4_bgack_000_0_n \ -# state_machine_un31_bgack_030_int_n N_33_i state_machine_ds_000_dma_3_n N_220_0 \ -# un1_bgack_030_int_d state_machine_un3_bgack_030_int_d_i_n \ -# un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa un1_bgack_030_int_d_0 \ -# state_machine_un10_bg_030_n AMIGA_BUS_ENABLE_INT_3_sqmuxa_i \ -# state_machine_un3_bgack_030_int_d_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i \ -# AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 sm_amiga_i_6__n SM_AMIGA_0_sqmuxa_1 N_96_i \ -# AMIGA_BUS_ENABLE_INT_3_sqmuxa sm_amiga_i_2__n N_98 sm_amiga_i_4__n \ -# AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 N_115_i state_machine_un8_bg_030_n \ -# AMIGA_BUS_ENABLE_INT_2_sqmuxa N_111_i N_103 N_112_i N_91 sm_amiga_ns_0_5__n N_109 \ -# N_109_i N_112 N_108_i N_115 sm_amiga_ns_0_3__n N_95 AMIGA_BUS_ENABLE_INT_2_sqmuxa_i \ -# N_108 AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i N_111 un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 \ -# N_96 BG_030_c_i state_machine_un4_bgack_000_n state_machine_un8_bg_030_i_n N_33 \ -# state_machine_un10_bg_030_0_n DS_000_ENABLE_0_sqmuxa \ -# state_machine_un5_bgack_030_int_d_i_n AS_030_000_SYNC_0_sqmuxa \ -# state_machine_un10_bgack_030_int_0_n un2_as_030 state_machine_ds_000_dma_3_0_n \ -# un1_AS_030_000_SYNC_0_sqmuxa_1_1 state_machine_size_dma_4_0_0__n \ -# un1_SM_AMIGA_0_sqmuxa_2 state_machine_size_dma_4_0_1__n \ -# un1_AS_030_000_SYNC_0_sqmuxa_1 CLK_030_H_i N_102 CLK_030_H_1_sqmuxa_i N_92 \ -# state_machine_clk_030_h_2_f1_0_n N_101 un3_dtack_i N_93 cpu_est_ns_0_1__n N_100 \ -# N_37_i N_110 N_224_i N_107 N_32_i N_114_1 N_223_i N_104 N_178_i N_114 N_179_i \ -# state_machine_un10_clk_000_ne_n AMIGA_BUS_DATA_DIR_c_0 \ -# state_machine_un6_clk_000_ne_n N_219_i N_113 N_30_i SM_AMIGA_0_sqmuxa N_31_i N_99 \ -# un28_ciin_0 N_105 N_28_i N_106 N_29_i N_75 cpu_est_ns_e_0_0__n \ -# state_machine_rw_000_int_3_n N_228_i state_machine_un4_clk_000_ne_n N_229_i \ -# DSACK1_INT_1_sqmuxa N_230_i DSACK1_INT_0_sqmuxa cpu_est_ns_0_2__n \ -# AS_000_INT_1_sqmuxa N_35_i un19_fpu_cs_i N_227_i \ -# un1_AS_030_000_SYNC_0_sqmuxa_1_1_i N_164_i N_99_i N_222_i DSACK1_INT_0_sqmuxa_i \ -# state_machine_un10_clk_000_d0_i_n DTACK_i state_machine_un12_clk_000_d0_0_n \ -# BERR_i un2_clk_pre_66_i CLK_000_NE_i un6_clk_pre_66_i sm_amiga_i_1__n CLK_PRE_66_0 \ -# VPA_i LDS_000_INT_i VMA_INT_i un1_LDS_000_INT_0 sm_amiga_i_0__n UDS_000_INT_i \ -# SM_AMIGA_0_sqmuxa_1_i un1_UDS_000_INT_0 sm_amiga_i_3__n \ -# state_machine_un7_ds_030_i_n avec_exp_i A0_c_i AS_030_i size_c_i_1__n \ -# AS_030_000_SYNC_0_sqmuxa_i un3_dtack_i_1 AS_030_000_SYNC_i N_247_1 a_i_16__n \ -# N_247_2 a_i_19__n N_247_3 a_i_17__n N_247_4 a_i_18__n N_247_5 sm_amiga_i_7__n N_247_6 \ -# N_98_i state_machine_un7_ds_030_i_1_n BGACK_030_INT_i N_31_1 BGACK_030_INT_D_i \ -# N_31_2 DS_000_DMA_1_sqmuxa_1_i N_179_1_0 state_machine_un8_bgack_030_int_i_n \ -# state_machine_clk_000_p_sync_3_1_0__n CLK_030_i \ -# state_machine_clk_000_p_sync_3_2_0__n UDS_000_i state_machine_un28_as_030_1_n \ -# LDS_000_i state_machine_un28_as_030_2_n state_machine_un31_bgack_030_int_i_n \ -# state_machine_clk_000_n_sync_2_1_0__n RW_000_i cpu_est_ns_0_1_1__n \ -# state_machine_un24_bgack_030_int_i_n cpu_est_ns_0_2_1__n AS_000_DMA_i \ -# state_machine_un10_clk_000_d0_1_n CLK_000_D2_i state_machine_un10_clk_000_d0_2_n \ -# CLK_000_D3_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 CLK_000_D1_i \ -# AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 state_machine_un28_as_030_i_n N_96_i_1 \ -# nEXP_SPACE_i state_machine_un8_bg_030_1_n AS_000_i state_machine_un8_bg_030_2_n \ -# RW_i un1_bgack_030_int_d_0_1 CLK_000_D0_i N_33_1 cpu_est_i_3__n N_33_2 \ -# cpu_est_i_1__n un19_fpu_cs_5_1 cpu_est_i_0__n un19_fpu_cs_5_2 +#$ PINS 59 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ +# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 IPL_030_1_ DS_030 \ +# IPL_030_0_ UDS_000 IPL_1_ LDS_000 IPL_0_ A0 FC_0_ nEXP_SPACE BERR BG_030 BG_000 BGACK_030 \ +# BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 DTACK AVEC AVEC_EXP \ +# E VPA VMA RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN \ +# SIZE_0_ A_30_ A_29_ +#$ NODES 473 sm_amiga_ns_0_2_0__n CLK_OSZI_i sm_amiga_ns_0_3_0__n \ +# uds_000_int_0_un3_n uds_000_int_0_un1_n un16_ciin_i uds_000_int_0_un0_n \ +# CLK_OUT_PRE_50_D_i ipl_030_0_0__un3_n inst_BGACK_030_INTreg AS_030_c \ +# ipl_030_0_0__un1_n vcc_n_n ipl_030_0_0__un0_n inst_avec_expreg AS_000_c \ +# ipl_030_0_1__un3_n inst_VMA_INTreg ipl_030_0_1__un1_n \ +# inst_AMIGA_BUS_ENABLE_INTreg RW_000_c ipl_030_0_1__un0_n inst_CLK_OUT_PRE_33reg \ +# ipl_030_0_2__un3_n inst_AS_030_000_SYNC DS_030_c ipl_030_0_2__un1_n \ +# inst_BGACK_030_INT_D ipl_030_0_2__un0_n inst_AS_000_DMA UDS_000_c \ +# cpu_estse_0_un3_n inst_VPA_D cpu_estse_0_un1_n inst_CLK_OUT_PRE_50_D LDS_000_c \ +# cpu_estse_0_un0_n inst_CLK_000_D0 cpu_estse_1_un3_n inst_CLK_000_D1 size_c_0__n \ +# cpu_estse_1_un1_n inst_CLK_000_D4 cpu_estse_1_un0_n CLK_CNT_N_0_ size_c_1__n \ +# cpu_estse_2_un3_n inst_CLK_OUT_PRE_50 cpu_estse_2_un1_n inst_CLK_OUT_PRE_25 \ +# a_c_16__n cpu_estse_2_un0_n inst_CLK_000_D2 as_030_000_sync_0_un3_n \ +# inst_CLK_000_D3 a_c_17__n as_030_000_sync_0_un1_n inst_CLK_OUT_PRE_D \ +# as_030_000_sync_0_un0_n inst_CLK_OUT_PRE a_c_18__n ds_000_enable_0_un3_n \ +# CLK_000_P_SYNC_9_ ds_000_enable_0_un1_n inst_AS_000_INT a_c_19__n \ +# ds_000_enable_0_un0_n SM_AMIGA_7_ lds_000_int_0_un3_n SM_AMIGA_6_ a_c_20__n \ +# lds_000_int_0_un1_n SM_AMIGA_1_ lds_000_int_0_un0_n SM_AMIGA_0_ a_c_21__n \ +# bgack_030_int_0_un3_n SM_AMIGA_4_ bgack_030_int_0_un1_n inst_CLK_030_H a_c_22__n \ +# bgack_030_int_0_un0_n CLK_CNT_P_1_ amiga_bus_enable_int_0_un3_n CLK_CNT_N_1_ \ +# a_c_23__n amiga_bus_enable_int_0_un1_n inst_RW_000_INT \ +# amiga_bus_enable_int_0_un0_n inst_DSACK1_INT a_c_24__n ds_000_dma_0_un3_n \ +# state_machine_un3_clk_out_pre_50_n ds_000_dma_0_un1_n CLK_CNT_P_0_ a_c_25__n \ +# ds_000_dma_0_un0_n inst_RW_000_DMA as_000_dma_0_un3_n un1_LDS_000_INT a_c_26__n \ +# as_000_dma_0_un1_n inst_LDS_000_INT as_000_dma_0_un0_n inst_DS_000_ENABLE \ +# a_c_27__n clk_030_h_0_un3_n un1_UDS_000_INT clk_030_h_0_un1_n inst_UDS_000_INT \ +# a_c_28__n clk_030_h_0_un0_n rw_000_dma_0_un3_n a_c_29__n rw_000_dma_0_un1_n \ +# inst_DS_000_DMA rw_000_dma_0_un0_n SIZE_DMA_0_ a_c_30__n vma_int_0_un3_n \ +# SIZE_DMA_1_ vma_int_0_un1_n inst_A0_DMA a_c_31__n vma_int_0_un0_n G_108 \ +# as_000_int_0_un3_n G_114 A0_c as_000_int_0_un1_n CLK_000_P_SYNC_0_ \ +# as_000_int_0_un0_n CLK_000_P_SYNC_1_ nEXP_SPACE_c dsack1_int_0_un3_n \ +# CLK_000_P_SYNC_2_ dsack1_int_0_un1_n CLK_000_P_SYNC_3_ BERR_c dsack1_int_0_un0_n \ +# CLK_000_P_SYNC_4_ rw_000_int_0_un3_n CLK_000_P_SYNC_5_ BG_030_c rw_000_int_0_un1_n \ +# CLK_000_P_SYNC_6_ rw_000_int_0_un0_n CLK_000_P_SYNC_7_ BG_000DFFSHreg \ +# bg_000_0_un3_n CLK_000_P_SYNC_8_ bg_000_0_un1_n un19_fpu_cs bg_000_0_un0_n un5_ciin \ +# BGACK_000_c CLK_030_c un16_ciin SM_AMIGA_5_ SM_AMIGA_3_ CLK_OSZI_c SM_AMIGA_2_ \ +# CLK_OUT_INTreg IPL_030DFFSH_0_reg IPL_030DFFSH_1_reg IPL_030DFFSH_2_reg ipl_c_0__n \ +# ipl_c_1__n ipl_c_2__n CLK_OUT_PRE_25_0 DSACK1_c DTACK_c VPA_c cpu_est_0_ cpu_est_1_ \ +# RST_c cpu_est_2_ cpu_est_3_reg RESETDFFRHreg cpu_estse RW_c fc_c_0__n un6_clk_pre_66 \ +# un2_clk_pre_66 fc_c_1__n N_96 state_machine_un34_clk_000_d0_n \ +# state_machine_un16_clk_000_d0_n AMIGA_BUS_DATA_DIR_c N_88 N_111 \ +# state_machine_un8_bgack_030_int_n N_175_1 UDS_000_INT_i \ +# state_machine_un10_clk_000_d0_2_n un1_UDS_000_INT_0 \ +# state_machine_un38_clk_000_d0_1_n SM_AMIGA_0_sqmuxa_i N_171 \ +# DS_000_ENABLE_0_sqmuxa_i cpu_est_ns_1__n un1_SM_AMIGA_0_sqmuxa_2_i \ +# DSACK1_INT_0_sqmuxa N_100_i state_machine_un1_as_030_n sm_amiga_ns_0_0__n \ +# AS_030_000_SYNC_0_sqmuxa N_102_i state_machine_un10_bg_030_n N_103_i un8_ciin \ +# sm_amiga_ns_0_1__n un12_ciin N_106_i un14_ciin N_105_i state_machine_un8_bg_030_n \ +# sm_amiga_ns_0_3__n AS_000_INT_1_sqmuxa N_107_i DSACK1_INT_1_sqmuxa \ +# sm_amiga_ns_0_4__n state_machine_rw_000_int_3_n N_108_i N_71 N_109_i \ +# state_machine_un12_clk_000_d0_n sm_amiga_ns_0_5__n \ +# state_machine_un10_clk_000_d0_n N_110_i state_machine_un5_clk_000_d0_n N_163 \ +# N_88_i N_164 N_90_i N_165 N_93_0 state_machine_un38_clk_000_d0_n N_97_i \ +# state_machine_un31_bgack_030_int_n N_98_i N_174 N_99_i N_175 N_101_i N_112 \ +# sm_amiga_i_4__n state_machine_un32_clk_000_d0_n N_91_i \ +# state_machine_clk_030_h_2_n N_168_i DS_000_DMA_1_sqmuxa_1 cpu_est_ns_0_2__n \ +# AS_000_DMA_1_sqmuxa state_machine_un10_clk_000_d0_2_i_n CLK_030_H_1_sqmuxa_1 \ +# N_167_i DS_000_DMA_1_sqmuxa N_170_i state_machine_un24_bgack_030_int_n N_169_i \ +# state_machine_un10_bgack_030_int_n N_160_i state_machine_clk_030_h_2_f1_n N_92_0 \ +# CLK_030_H_1_sqmuxa N_104_i un1_bgack_030_int_d un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa \ +# state_machine_un1_as_030_i_n state_machine_un3_bgack_030_int_d_n \ +# state_machine_un6_clk_000_p_sync_i_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 \ +# state_machine_un6_bgack_000_0_n SM_AMIGA_0_sqmuxa_1 LDS_000_INT_i \ +# AMIGA_BUS_ENABLE_INT_3_sqmuxa un1_LDS_000_INT_0 AMIGA_BUS_ENABLE_INT_2_sqmuxa \ +# state_machine_un7_ds_030_i_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 A0_c_i N_95 \ +# size_c_i_1__n un1_AS_030_000_SYNC_0_sqmuxa_1 \ +# state_machine_un5_bgack_030_int_d_i_n un1_SM_AMIGA_0_sqmuxa_2 \ +# AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i un2_as_030 AMIGA_BUS_ENABLE_INT_2_sqmuxa_i \ +# state_machine_un6_bgack_000_n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 \ +# state_machine_un6_clk_000_p_sync_n state_machine_un3_bgack_030_int_d_i_n N_104 \ +# un1_bgack_030_int_d_0 N_92 AMIGA_BUS_ENABLE_INT_3_sqmuxa_i cpu_est_ns_2__n \ +# AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i N_167 un3_dtack_i N_168 CLK_030_H_i N_169 \ +# CLK_030_H_1_sqmuxa_i N_170 state_machine_clk_030_h_2_f1_0_n N_102 \ +# state_machine_un10_bgack_030_int_0_n DS_000_ENABLE_0_sqmuxa \ +# state_machine_un38_clk_000_d0_i_n N_101 state_machine_un32_clk_000_d0_i_n N_90 \ +# state_machine_un34_clk_000_d0_0_n N_91 N_112_i N_100 sm_amiga_ns_0_7__n \ +# state_machine_un30_clk_000_d0_n N_175_i N_98 N_174_i N_97 AMIGA_BUS_DATA_DIR_c_0 \ +# N_107 state_machine_size_dma_4_0_1__n N_99 state_machine_size_dma_4_0_0__n N_93 \ +# N_171_i N_110 N_164_i N_108 N_163_i N_109 cpu_est_ns_0_1__n SM_AMIGA_0_sqmuxa \ +# state_machine_un10_clk_000_d0_i_n N_105 state_machine_un5_clk_000_d0_i_n N_106 \ +# state_machine_un12_clk_000_d0_0_n N_103 N_71_0 un19_fpu_cs_i \ +# state_machine_rw_000_int_3_0_n CLK_000_D1_i BG_030_c_i sm_amiga_i_1__n \ +# state_machine_un8_bg_030_i_n sm_amiga_i_0__n state_machine_un10_bg_030_0_n \ +# CLK_000_D0_i un8_ciin_i sm_amiga_i_2__n un14_ciin_0 BERR_i un2_clk_pre_66_i \ +# state_machine_un30_clk_000_d0_i_n un6_clk_pre_66_i sm_amiga_i_3__n CLK_PRE_66_0 \ +# SM_AMIGA_0_sqmuxa_1_i cpu_est_ns_0_1_1__n CLK_000_D3_i cpu_est_ns_0_2_1__n \ +# CLK_000_D2_i N_91_i_1 cpu_est_i_1__n un5_ciin_1 cpu_est_i_0__n un5_ciin_2 \ +# cpu_est_i_3__n un5_ciin_3 state_machine_un38_clk_000_d0_1_i_n un5_ciin_4 \ +# AS_030_000_SYNC_i un5_ciin_5 CLK_000_D4_i un5_ciin_6 sm_amiga_i_5__n un5_ciin_7 \ +# sm_amiga_i_6__n un5_ciin_8 a_i_19__n un5_ciin_9 a_i_18__n un5_ciin_10 AS_030_i \ +# un5_ciin_11 a_i_16__n state_machine_un8_bg_030_1_n AS_030_000_SYNC_0_sqmuxa_i \ +# state_machine_un8_bg_030_2_n N_95_i un12_ciin_1 BGACK_030_INT_i un12_ciin_2 \ +# BGACK_030_INT_D_i un12_ciin_3 AS_000_i un12_ciin_4 CLK_030_i un12_ciin_5 \ +# AS_000_DMA_i un12_ciin_6 state_machine_un24_bgack_030_int_i_n \ +# AS_030_000_SYNC_0_sqmuxa_1 RW_000_i AS_030_000_SYNC_0_sqmuxa_2 UDS_000_i \ +# state_machine_un38_clk_000_d0_1_0_n LDS_000_i state_machine_un5_clk_000_d0_1_n \ +# state_machine_un8_bgack_030_int_i_n state_machine_un5_clk_000_d0_2_n \ +# CLK_030_H_1_sqmuxa_1_i state_machine_un10_clk_000_d0_1_n DS_000_DMA_1_sqmuxa_1_i \ +# state_machine_un10_clk_000_d0_2_0_n DTACK_i state_machine_un10_clk_000_d0_3_n \ +# sm_amiga_i_7__n N_175_1_0 N_111_i un3_dtack_i_1 nEXP_SPACE_i \ +# un1_bgack_030_int_d_0_1 RW_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 \ +# state_machine_un31_bgack_030_int_i_n AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i \ +# state_machine_un7_ds_030_i_1_n VPA_i un19_fpu_cs_1 VMA_INT_i un19_fpu_cs_2 \ +# cpu_est_i_2__n un19_fpu_cs_3 N_165_i_0 un19_fpu_cs_4 DSACK1_INT_0_sqmuxa_i \ +# un19_fpu_cs_5 N_96_i un19_fpu_cs_6 a_i_31__n DSACK1_INT_0_sqmuxa_1 a_i_30__n \ +# SM_AMIGA_0_sqmuxa_1_1 a_i_29__n cpu_est_ns_0_1_2__n a_i_28__n \ +# state_machine_clk_000_p_sync_3_1_0__n a_i_27__n DS_000_ENABLE_0_sqmuxa_1 \ +# a_i_26__n N_101_1 a_i_25__n N_101_2 a_i_24__n N_101_3 un5_ciin_i N_100_1 un12_ciin_i \ +# N_98_1 clk_cnt_n_i_0__n N_97_1 N_107_1 RST_i sm_amiga_ns_0_1_0__n .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BERR.BLIF \ BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF VPA.BLIF \ @@ -122,331 +123,317 @@ RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF \ A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF \ A_17_.BLIF A_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF SIZE_1_.BLIF \ AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF \ -A0.BLIF DSACK1.BLIF DTACK.BLIF RW.BLIF SIZE_0_.BLIF a_i_20__n.BLIF \ -un19_fpu_cs_1.BLIF a_i_23__n.BLIF un19_fpu_cs_2.BLIF cpu_est_i_2__n.BLIF \ -un19_fpu_cs_3.BLIF VPA_D_i.BLIF SM_AMIGA_0_sqmuxa_1_1.BLIF \ -clk_cnt_n_i_0__n.BLIF DS_000_ENABLE_0_sqmuxa_1.BLIF a_i_30__n.BLIF \ -AS_030_000_SYNC_0_sqmuxa_1.BLIF inst_BGACK_030_INTreg.BLIF a_i_31__n.BLIF \ -AS_030_000_SYNC_0_sqmuxa_2.BLIF vcc_n_n.BLIF a_i_28__n.BLIF N_102_1.BLIF \ -inst_avec_expreg.BLIF a_i_29__n.BLIF N_101_1.BLIF inst_VMA_INTreg.BLIF \ -a_i_26__n.BLIF N_100_1.BLIF inst_AMIGA_BUS_ENABLE_INTreg.BLIF a_i_27__n.BLIF \ -N_110_1.BLIF inst_CLK_OUT_PRE_33reg.BLIF a_i_24__n.BLIF N_104_1.BLIF \ -inst_AS_030_000_SYNC.BLIF a_i_25__n.BLIF N_104_2.BLIF \ -inst_BGACK_030_INT_D.BLIF N_104_3.BLIF inst_AS_000_DMA.BLIF RST_i.BLIF \ -state_machine_un10_clk_000_ne_1_n.BLIF inst_VPA_D.BLIF \ -sm_amiga_ns_0_1_0__n.BLIF inst_CLK_OUT_PRE_50_D.BLIF sm_amiga_ns_0_2_0__n.BLIF \ -inst_CLK_000_D0.BLIF CLK_OSZI_i.BLIF sm_amiga_ns_0_3_0__n.BLIF \ -CLK_CNT_N_0_.BLIF cpu_est_ns_0_1_2__n.BLIF inst_CLK_OUT_PRE_50.BLIF \ -CLK_OUT_PRE_50_D_i.BLIF N_30_1.BLIF inst_CLK_OUT_PRE_25.BLIF AS_030_c.BLIF \ -N_222_1.BLIF inst_CLK_000_D1.BLIF cpu_estse_1_un3_n.BLIF inst_CLK_000_D2.BLIF \ -AS_000_c.BLIF cpu_estse_1_un1_n.BLIF inst_CLK_000_D3.BLIF \ -cpu_estse_1_un0_n.BLIF inst_CLK_000_NE.BLIF RW_000_c.BLIF \ -ipl_030_0_2__un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF ipl_030_0_2__un1_n.BLIF \ -inst_CLK_OUT_PRE.BLIF DS_030_c.BLIF ipl_030_0_2__un0_n.BLIF \ -CLK_000_P_SYNC_9_.BLIF ipl_030_0_1__un3_n.BLIF CLK_000_N_SYNC_11_.BLIF \ -UDS_000_c.BLIF ipl_030_0_1__un1_n.BLIF state_machine_un10_clk_000_d0_n.BLIF \ -ipl_030_0_1__un0_n.BLIF inst_AS_000_INT.BLIF LDS_000_c.BLIF \ -ipl_030_0_0__un3_n.BLIF SM_AMIGA_7_.BLIF ipl_030_0_0__un1_n.BLIF \ -SM_AMIGA_1_.BLIF size_c_0__n.BLIF ipl_030_0_0__un0_n.BLIF SM_AMIGA_0_.BLIF \ -rw_000_int_0_un3_n.BLIF SM_AMIGA_6_.BLIF size_c_1__n.BLIF \ -rw_000_int_0_un1_n.BLIF SM_AMIGA_4_.BLIF rw_000_int_0_un0_n.BLIF \ -CLK_000_N_SYNC_6_.BLIF a_c_16__n.BLIF dsack1_int_0_un3_n.BLIF \ -CLK_CNT_P_1_.BLIF dsack1_int_0_un1_n.BLIF CLK_CNT_N_1_.BLIF a_c_17__n.BLIF \ -dsack1_int_0_un0_n.BLIF inst_RW_000_INT.BLIF ds_000_enable_0_un3_n.BLIF \ -inst_DSACK1_INT.BLIF a_c_18__n.BLIF ds_000_enable_0_un1_n.BLIF \ -state_machine_un3_clk_out_pre_50_n.BLIF ds_000_enable_0_un0_n.BLIF \ -inst_CLK_030_H.BLIF a_c_19__n.BLIF as_000_int_0_un3_n.BLIF CLK_CNT_P_0_.BLIF \ -as_000_int_0_un1_n.BLIF inst_RW_000_DMA.BLIF a_c_20__n.BLIF \ -as_000_int_0_un0_n.BLIF un1_LDS_000_INT.BLIF as_030_000_sync_0_un3_n.BLIF \ -inst_LDS_000_INT.BLIF a_c_21__n.BLIF as_030_000_sync_0_un1_n.BLIF \ -inst_DS_000_ENABLE.BLIF as_030_000_sync_0_un0_n.BLIF un1_UDS_000_INT.BLIF \ -a_c_22__n.BLIF bgack_030_int_0_un3_n.BLIF inst_UDS_000_INT.BLIF \ -bgack_030_int_0_un1_n.BLIF a_c_23__n.BLIF bgack_030_int_0_un0_n.BLIF \ -as_000_dma_0_un3_n.BLIF state_machine_un12_clk_000_d0_n.BLIF a_c_24__n.BLIF \ -as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF inst_DS_000_DMA.BLIF \ -a_c_25__n.BLIF ds_000_dma_0_un3_n.BLIF SIZE_DMA_0_.BLIF \ -ds_000_dma_0_un1_n.BLIF SIZE_DMA_1_.BLIF a_c_26__n.BLIF \ -ds_000_dma_0_un0_n.BLIF inst_A0_DMA.BLIF rw_000_dma_0_un3_n.BLIF G_109.BLIF \ -a_c_27__n.BLIF rw_000_dma_0_un1_n.BLIF G_115.BLIF rw_000_dma_0_un0_n.BLIF \ -CLK_000_P_SYNC_0_.BLIF a_c_28__n.BLIF clk_030_h_0_un3_n.BLIF \ -CLK_000_P_SYNC_1_.BLIF clk_030_h_0_un1_n.BLIF CLK_000_P_SYNC_2_.BLIF \ -a_c_29__n.BLIF clk_030_h_0_un0_n.BLIF CLK_000_P_SYNC_3_.BLIF \ -amiga_bus_enable_int_0_un3_n.BLIF CLK_000_P_SYNC_4_.BLIF a_c_30__n.BLIF \ -amiga_bus_enable_int_0_un1_n.BLIF CLK_000_P_SYNC_5_.BLIF \ -amiga_bus_enable_int_0_un0_n.BLIF CLK_000_P_SYNC_6_.BLIF a_c_31__n.BLIF \ -bg_000_0_un3_n.BLIF CLK_000_P_SYNC_7_.BLIF bg_000_0_un1_n.BLIF \ -CLK_000_P_SYNC_8_.BLIF A0_c.BLIF bg_000_0_un0_n.BLIF CLK_000_N_SYNC_0_.BLIF \ -lds_000_int_0_un3_n.BLIF CLK_000_N_SYNC_1_.BLIF nEXP_SPACE_c.BLIF \ -lds_000_int_0_un1_n.BLIF CLK_000_N_SYNC_2_.BLIF lds_000_int_0_un0_n.BLIF \ -CLK_000_N_SYNC_3_.BLIF BERR_c.BLIF uds_000_int_0_un3_n.BLIF \ -CLK_000_N_SYNC_4_.BLIF uds_000_int_0_un1_n.BLIF CLK_000_N_SYNC_5_.BLIF \ -BG_030_c.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_7_.BLIF \ -vma_int_0_un3_n.BLIF CLK_000_N_SYNC_8_.BLIF BG_000DFFSHreg.BLIF \ -vma_int_0_un1_n.BLIF CLK_000_N_SYNC_9_.BLIF vma_int_0_un0_n.BLIF \ -CLK_000_N_SYNC_10_.BLIF cpu_estse_0_un3_n.BLIF un28_ciin.BLIF BGACK_000_c.BLIF \ -cpu_estse_0_un1_n.BLIF un19_fpu_cs.BLIF cpu_estse_0_un0_n.BLIF \ -SM_AMIGA_5_.BLIF CLK_030_c.BLIF cpu_estse_2_un3_n.BLIF SM_AMIGA_3_.BLIF \ -cpu_estse_2_un1_n.BLIF SM_AMIGA_2_.BLIF CLK_000_c.BLIF cpu_estse_2_un0_n.BLIF \ -CLK_OSZI_c.BLIF CLK_OUT_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF \ +A0.BLIF DSACK1.BLIF DTACK.BLIF RW.BLIF SIZE_0_.BLIF sm_amiga_ns_0_2_0__n.BLIF \ +CLK_OSZI_i.BLIF sm_amiga_ns_0_3_0__n.BLIF uds_000_int_0_un3_n.BLIF \ +uds_000_int_0_un1_n.BLIF un16_ciin_i.BLIF uds_000_int_0_un0_n.BLIF \ +CLK_OUT_PRE_50_D_i.BLIF ipl_030_0_0__un3_n.BLIF inst_BGACK_030_INTreg.BLIF \ +AS_030_c.BLIF ipl_030_0_0__un1_n.BLIF vcc_n_n.BLIF ipl_030_0_0__un0_n.BLIF \ +inst_avec_expreg.BLIF AS_000_c.BLIF ipl_030_0_1__un3_n.BLIF \ +inst_VMA_INTreg.BLIF ipl_030_0_1__un1_n.BLIF inst_AMIGA_BUS_ENABLE_INTreg.BLIF \ +RW_000_c.BLIF ipl_030_0_1__un0_n.BLIF inst_CLK_OUT_PRE_33reg.BLIF \ +ipl_030_0_2__un3_n.BLIF inst_AS_030_000_SYNC.BLIF DS_030_c.BLIF \ +ipl_030_0_2__un1_n.BLIF inst_BGACK_030_INT_D.BLIF ipl_030_0_2__un0_n.BLIF \ +inst_AS_000_DMA.BLIF UDS_000_c.BLIF cpu_estse_0_un3_n.BLIF inst_VPA_D.BLIF \ +cpu_estse_0_un1_n.BLIF inst_CLK_OUT_PRE_50_D.BLIF LDS_000_c.BLIF \ +cpu_estse_0_un0_n.BLIF inst_CLK_000_D0.BLIF cpu_estse_1_un3_n.BLIF \ +inst_CLK_000_D1.BLIF size_c_0__n.BLIF cpu_estse_1_un1_n.BLIF \ +inst_CLK_000_D4.BLIF cpu_estse_1_un0_n.BLIF CLK_CNT_N_0_.BLIF size_c_1__n.BLIF \ +cpu_estse_2_un3_n.BLIF inst_CLK_OUT_PRE_50.BLIF cpu_estse_2_un1_n.BLIF \ +inst_CLK_OUT_PRE_25.BLIF a_c_16__n.BLIF cpu_estse_2_un0_n.BLIF \ +inst_CLK_000_D2.BLIF as_030_000_sync_0_un3_n.BLIF inst_CLK_000_D3.BLIF \ +a_c_17__n.BLIF as_030_000_sync_0_un1_n.BLIF inst_CLK_OUT_PRE_D.BLIF \ +as_030_000_sync_0_un0_n.BLIF inst_CLK_OUT_PRE.BLIF a_c_18__n.BLIF \ +ds_000_enable_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF ds_000_enable_0_un1_n.BLIF \ +inst_AS_000_INT.BLIF a_c_19__n.BLIF ds_000_enable_0_un0_n.BLIF \ +SM_AMIGA_7_.BLIF lds_000_int_0_un3_n.BLIF SM_AMIGA_6_.BLIF a_c_20__n.BLIF \ +lds_000_int_0_un1_n.BLIF SM_AMIGA_1_.BLIF lds_000_int_0_un0_n.BLIF \ +SM_AMIGA_0_.BLIF a_c_21__n.BLIF bgack_030_int_0_un3_n.BLIF SM_AMIGA_4_.BLIF \ +bgack_030_int_0_un1_n.BLIF inst_CLK_030_H.BLIF a_c_22__n.BLIF \ +bgack_030_int_0_un0_n.BLIF CLK_CNT_P_1_.BLIF amiga_bus_enable_int_0_un3_n.BLIF \ +CLK_CNT_N_1_.BLIF a_c_23__n.BLIF amiga_bus_enable_int_0_un1_n.BLIF \ +inst_RW_000_INT.BLIF amiga_bus_enable_int_0_un0_n.BLIF inst_DSACK1_INT.BLIF \ +a_c_24__n.BLIF ds_000_dma_0_un3_n.BLIF state_machine_un3_clk_out_pre_50_n.BLIF \ +ds_000_dma_0_un1_n.BLIF CLK_CNT_P_0_.BLIF a_c_25__n.BLIF \ +ds_000_dma_0_un0_n.BLIF inst_RW_000_DMA.BLIF as_000_dma_0_un3_n.BLIF \ +un1_LDS_000_INT.BLIF a_c_26__n.BLIF as_000_dma_0_un1_n.BLIF \ +inst_LDS_000_INT.BLIF as_000_dma_0_un0_n.BLIF inst_DS_000_ENABLE.BLIF \ +a_c_27__n.BLIF clk_030_h_0_un3_n.BLIF un1_UDS_000_INT.BLIF \ +clk_030_h_0_un1_n.BLIF inst_UDS_000_INT.BLIF a_c_28__n.BLIF \ +clk_030_h_0_un0_n.BLIF rw_000_dma_0_un3_n.BLIF a_c_29__n.BLIF \ +rw_000_dma_0_un1_n.BLIF inst_DS_000_DMA.BLIF rw_000_dma_0_un0_n.BLIF \ +SIZE_DMA_0_.BLIF a_c_30__n.BLIF vma_int_0_un3_n.BLIF SIZE_DMA_1_.BLIF \ +vma_int_0_un1_n.BLIF inst_A0_DMA.BLIF a_c_31__n.BLIF vma_int_0_un0_n.BLIF \ +G_108.BLIF as_000_int_0_un3_n.BLIF G_114.BLIF A0_c.BLIF \ +as_000_int_0_un1_n.BLIF CLK_000_P_SYNC_0_.BLIF as_000_int_0_un0_n.BLIF \ +CLK_000_P_SYNC_1_.BLIF nEXP_SPACE_c.BLIF dsack1_int_0_un3_n.BLIF \ +CLK_000_P_SYNC_2_.BLIF dsack1_int_0_un1_n.BLIF CLK_000_P_SYNC_3_.BLIF \ +BERR_c.BLIF dsack1_int_0_un0_n.BLIF CLK_000_P_SYNC_4_.BLIF \ +rw_000_int_0_un3_n.BLIF CLK_000_P_SYNC_5_.BLIF BG_030_c.BLIF \ +rw_000_int_0_un1_n.BLIF CLK_000_P_SYNC_6_.BLIF rw_000_int_0_un0_n.BLIF \ +CLK_000_P_SYNC_7_.BLIF BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF \ +CLK_000_P_SYNC_8_.BLIF bg_000_0_un1_n.BLIF un19_fpu_cs.BLIF \ +bg_000_0_un0_n.BLIF un5_ciin.BLIF BGACK_000_c.BLIF CLK_030_c.BLIF \ +un16_ciin.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF CLK_OSZI_c.BLIF \ +SM_AMIGA_2_.BLIF CLK_OUT_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF \ IPL_030DFFSH_1_reg.BLIF IPL_030DFFSH_2_reg.BLIF ipl_c_0__n.BLIF \ -ipl_c_1__n.BLIF CLK_OUT_PRE_25_0.BLIF ipl_c_2__n.BLIF DSACK1_c.BLIF \ -DTACK_c.BLIF VPA_c.BLIF cpu_est_0_.BLIF RST_c.BLIF cpu_est_1_.BLIF \ -cpu_est_2_.BLIF RESETDFFRHreg.BLIF cpu_est_3_reg.BLIF RW_c.BLIF fc_c_0__n.BLIF \ -cpu_est_ns_1__n.BLIF fc_c_1__n.BLIF cpu_est_ns_2__n.BLIF un6_clk_pre_66.BLIF \ -un2_clk_pre_66.BLIF AMIGA_BUS_DATA_DIR_c.BLIF N_222.BLIF N_37.BLIF N_223.BLIF \ -N_224.BLIF SM_AMIGA_0_sqmuxa_i.BLIF N_227.BLIF DS_000_ENABLE_0_sqmuxa_i.BLIF \ -N_219.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF N_228.BLIF \ -state_machine_un10_clk_000_ne_i_n.BLIF N_229.BLIF \ -state_machine_un4_clk_000_ne_i_n.BLIF N_230.BLIF \ -state_machine_un6_clk_000_ne_i_n.BLIF N_28.BLIF \ -state_machine_rw_000_int_3_0_n.BLIF N_29.BLIF N_75_0.BLIF N_30.BLIF \ -sm_amiga_ns_0_0__n.BLIF un28_ciin_0_x2.BLIF N_105_i.BLIF N_31.BLIF \ -N_106_i.BLIF N_220.BLIF sm_amiga_ns_0_1__n.BLIF N_32.BLIF N_107_i.BLIF \ -N_35.BLIF sm_amiga_ns_0_2__n.BLIF state_machine_un5_clk_000_n_sync_n.BLIF \ -N_110_i.BLIF N_178.BLIF sm_amiga_ns_0_4__n.BLIF N_179.BLIF N_114_i.BLIF \ -N_179_1.BLIF N_113_i.BLIF un19_fpu_cs_5.BLIF sm_amiga_ns_0_6__n.BLIF \ -state_machine_un28_as_030_n.BLIF N_91_i.BLIF N_247.BLIF N_92_i.BLIF \ -state_machine_un8_bgack_030_int_n.BLIF sm_amiga_i_5__n.BLIF \ -state_machine_un10_bgack_030_int_n.BLIF N_93_i.BLIF CLK_030_H_1_sqmuxa.BLIF \ -N_95_0.BLIF AS_000_DMA_1_sqmuxa.BLIF N_103_i.BLIF DS_000_DMA_1_sqmuxa.BLIF \ -N_100_i.BLIF DS_000_DMA_1_sqmuxa_1.BLIF N_102_i.BLIF \ -state_machine_un24_bgack_030_int_n.BLIF N_101_i.BLIF \ -state_machine_clk_030_h_2_n.BLIF N_104_i.BLIF \ -state_machine_clk_030_h_2_f1_n.BLIF state_machine_un4_bgack_000_0_n.BLIF \ -state_machine_un31_bgack_030_int_n.BLIF N_33_i.BLIF \ -state_machine_ds_000_dma_3_n.BLIF N_220_0.BLIF un1_bgack_030_int_d.BLIF \ -state_machine_un3_bgack_030_int_d_i_n.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF un1_bgack_030_int_d_0.BLIF \ -state_machine_un10_bg_030_n.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ -state_machine_un3_bgack_030_int_d_n.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF \ -sm_amiga_i_6__n.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_96_i.BLIF \ -AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF sm_amiga_i_2__n.BLIF N_98.BLIF \ -sm_amiga_i_4__n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF N_115_i.BLIF \ -state_machine_un8_bg_030_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF \ -N_111_i.BLIF N_103.BLIF N_112_i.BLIF N_91.BLIF sm_amiga_ns_0_5__n.BLIF \ -N_109.BLIF N_109_i.BLIF N_112.BLIF N_108_i.BLIF N_115.BLIF \ -sm_amiga_ns_0_3__n.BLIF N_95.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF \ -N_108.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF N_111.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF N_96.BLIF BG_030_c_i.BLIF \ -state_machine_un4_bgack_000_n.BLIF state_machine_un8_bg_030_i_n.BLIF N_33.BLIF \ -state_machine_un10_bg_030_0_n.BLIF DS_000_ENABLE_0_sqmuxa.BLIF \ -state_machine_un5_bgack_030_int_d_i_n.BLIF AS_030_000_SYNC_0_sqmuxa.BLIF \ -state_machine_un10_bgack_030_int_0_n.BLIF un2_as_030.BLIF \ -state_machine_ds_000_dma_3_0_n.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF \ -state_machine_size_dma_4_0_0__n.BLIF un1_SM_AMIGA_0_sqmuxa_2.BLIF \ -state_machine_size_dma_4_0_1__n.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF \ -CLK_030_H_i.BLIF N_102.BLIF CLK_030_H_1_sqmuxa_i.BLIF N_92.BLIF \ -state_machine_clk_030_h_2_f1_0_n.BLIF N_101.BLIF un3_dtack_i.BLIF N_93.BLIF \ -cpu_est_ns_0_1__n.BLIF N_100.BLIF N_37_i.BLIF N_110.BLIF N_224_i.BLIF \ -N_107.BLIF N_32_i.BLIF N_114_1.BLIF N_223_i.BLIF N_104.BLIF N_178_i.BLIF \ -N_114.BLIF N_179_i.BLIF state_machine_un10_clk_000_ne_n.BLIF \ -AMIGA_BUS_DATA_DIR_c_0.BLIF state_machine_un6_clk_000_ne_n.BLIF N_219_i.BLIF \ -N_113.BLIF N_30_i.BLIF SM_AMIGA_0_sqmuxa.BLIF N_31_i.BLIF N_99.BLIF \ -un28_ciin_0.BLIF N_105.BLIF N_28_i.BLIF N_106.BLIF N_29_i.BLIF N_75.BLIF \ -cpu_est_ns_e_0_0__n.BLIF state_machine_rw_000_int_3_n.BLIF N_228_i.BLIF \ -state_machine_un4_clk_000_ne_n.BLIF N_229_i.BLIF DSACK1_INT_1_sqmuxa.BLIF \ -N_230_i.BLIF DSACK1_INT_0_sqmuxa.BLIF cpu_est_ns_0_2__n.BLIF \ -AS_000_INT_1_sqmuxa.BLIF N_35_i.BLIF un19_fpu_cs_i.BLIF N_227_i.BLIF \ -un1_AS_030_000_SYNC_0_sqmuxa_1_1_i.BLIF N_164_i.BLIF N_99_i.BLIF N_222_i.BLIF \ -DSACK1_INT_0_sqmuxa_i.BLIF state_machine_un10_clk_000_d0_i_n.BLIF DTACK_i.BLIF \ -state_machine_un12_clk_000_d0_0_n.BLIF BERR_i.BLIF un2_clk_pre_66_i.BLIF \ -CLK_000_NE_i.BLIF un6_clk_pre_66_i.BLIF sm_amiga_i_1__n.BLIF CLK_PRE_66_0.BLIF \ -VPA_i.BLIF LDS_000_INT_i.BLIF VMA_INT_i.BLIF un1_LDS_000_INT_0.BLIF \ -sm_amiga_i_0__n.BLIF UDS_000_INT_i.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF \ -un1_UDS_000_INT_0.BLIF sm_amiga_i_3__n.BLIF state_machine_un7_ds_030_i_n.BLIF \ -avec_exp_i.BLIF A0_c_i.BLIF AS_030_i.BLIF size_c_i_1__n.BLIF \ -AS_030_000_SYNC_0_sqmuxa_i.BLIF un3_dtack_i_1.BLIF AS_030_000_SYNC_i.BLIF \ -N_247_1.BLIF a_i_16__n.BLIF N_247_2.BLIF a_i_19__n.BLIF N_247_3.BLIF \ -a_i_17__n.BLIF N_247_4.BLIF a_i_18__n.BLIF N_247_5.BLIF sm_amiga_i_7__n.BLIF \ -N_247_6.BLIF N_98_i.BLIF state_machine_un7_ds_030_i_1_n.BLIF \ -BGACK_030_INT_i.BLIF N_31_1.BLIF BGACK_030_INT_D_i.BLIF N_31_2.BLIF \ -DS_000_DMA_1_sqmuxa_1_i.BLIF N_179_1_0.BLIF \ -state_machine_un8_bgack_030_int_i_n.BLIF \ -state_machine_clk_000_p_sync_3_1_0__n.BLIF CLK_030_i.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n.BLIF UDS_000_i.BLIF \ -state_machine_un28_as_030_1_n.BLIF LDS_000_i.BLIF \ -state_machine_un28_as_030_2_n.BLIF state_machine_un31_bgack_030_int_i_n.BLIF \ -state_machine_clk_000_n_sync_2_1_0__n.BLIF RW_000_i.BLIF \ -cpu_est_ns_0_1_1__n.BLIF state_machine_un24_bgack_030_int_i_n.BLIF \ -cpu_est_ns_0_2_1__n.BLIF AS_000_DMA_i.BLIF \ -state_machine_un10_clk_000_d0_1_n.BLIF CLK_000_D2_i.BLIF \ -state_machine_un10_clk_000_d0_2_n.BLIF CLK_000_D3_i.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF CLK_000_D1_i.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF state_machine_un28_as_030_i_n.BLIF \ -N_96_i_1.BLIF nEXP_SPACE_i.BLIF state_machine_un8_bg_030_1_n.BLIF \ -AS_000_i.BLIF state_machine_un8_bg_030_2_n.BLIF RW_i.BLIF \ -un1_bgack_030_int_d_0_1.BLIF CLK_000_D0_i.BLIF N_33_1.BLIF cpu_est_i_3__n.BLIF \ -N_33_2.BLIF cpu_est_i_1__n.BLIF un19_fpu_cs_5_1.BLIF cpu_est_i_0__n.BLIF \ -un19_fpu_cs_5_2.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ -DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF \ -SIZE_1_.PIN.BLIF A0.PIN.BLIF DSACK1.PIN.BLIF DTACK.PIN.BLIF RW.PIN.BLIF +ipl_c_1__n.BLIF ipl_c_2__n.BLIF CLK_OUT_PRE_25_0.BLIF DSACK1_c.BLIF \ +DTACK_c.BLIF VPA_c.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF RST_c.BLIF \ +cpu_est_2_.BLIF cpu_est_3_reg.BLIF RESETDFFRHreg.BLIF cpu_estse.BLIF RW_c.BLIF \ +fc_c_0__n.BLIF un6_clk_pre_66.BLIF un2_clk_pre_66.BLIF fc_c_1__n.BLIF \ +N_96.BLIF state_machine_un34_clk_000_d0_n.BLIF \ +state_machine_un16_clk_000_d0_n.BLIF AMIGA_BUS_DATA_DIR_c.BLIF N_88.BLIF \ +N_111.BLIF state_machine_un8_bgack_030_int_n.BLIF N_175_1.BLIF \ +UDS_000_INT_i.BLIF state_machine_un10_clk_000_d0_2_n.BLIF \ +un1_UDS_000_INT_0.BLIF state_machine_un38_clk_000_d0_1_n.BLIF \ +SM_AMIGA_0_sqmuxa_i.BLIF N_171.BLIF DS_000_ENABLE_0_sqmuxa_i.BLIF \ +cpu_est_ns_1__n.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF DSACK1_INT_0_sqmuxa.BLIF \ +N_100_i.BLIF state_machine_un1_as_030_n.BLIF sm_amiga_ns_0_0__n.BLIF \ +AS_030_000_SYNC_0_sqmuxa.BLIF N_102_i.BLIF state_machine_un10_bg_030_n.BLIF \ +N_103_i.BLIF un8_ciin.BLIF sm_amiga_ns_0_1__n.BLIF un12_ciin.BLIF N_106_i.BLIF \ +un14_ciin.BLIF N_105_i.BLIF state_machine_un8_bg_030_n.BLIF \ +sm_amiga_ns_0_3__n.BLIF AS_000_INT_1_sqmuxa.BLIF N_107_i.BLIF \ +DSACK1_INT_1_sqmuxa.BLIF sm_amiga_ns_0_4__n.BLIF \ +state_machine_rw_000_int_3_n.BLIF N_108_i.BLIF N_71.BLIF N_109_i.BLIF \ +state_machine_un12_clk_000_d0_n.BLIF sm_amiga_ns_0_5__n.BLIF \ +state_machine_un10_clk_000_d0_n.BLIF N_110_i.BLIF \ +state_machine_un5_clk_000_d0_n.BLIF N_163.BLIF N_88_i.BLIF N_164.BLIF \ +N_90_i.BLIF N_165.BLIF N_93_0.BLIF state_machine_un38_clk_000_d0_n.BLIF \ +N_97_i.BLIF state_machine_un31_bgack_030_int_n.BLIF N_98_i.BLIF N_174.BLIF \ +N_99_i.BLIF N_175.BLIF N_101_i.BLIF N_112.BLIF sm_amiga_i_4__n.BLIF \ +state_machine_un32_clk_000_d0_n.BLIF N_91_i.BLIF \ +state_machine_clk_030_h_2_n.BLIF N_168_i.BLIF DS_000_DMA_1_sqmuxa_1.BLIF \ +cpu_est_ns_0_2__n.BLIF AS_000_DMA_1_sqmuxa.BLIF \ +state_machine_un10_clk_000_d0_2_i_n.BLIF CLK_030_H_1_sqmuxa_1.BLIF \ +N_167_i.BLIF DS_000_DMA_1_sqmuxa.BLIF N_170_i.BLIF \ +state_machine_un24_bgack_030_int_n.BLIF N_169_i.BLIF \ +state_machine_un10_bgack_030_int_n.BLIF N_160_i.BLIF \ +state_machine_clk_030_h_2_f1_n.BLIF N_92_0.BLIF CLK_030_H_1_sqmuxa.BLIF \ +N_104_i.BLIF un1_bgack_030_int_d.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF \ +state_machine_un1_as_030_i_n.BLIF state_machine_un3_bgack_030_int_d_n.BLIF \ +state_machine_un6_clk_000_p_sync_i_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF \ +state_machine_un6_bgack_000_0_n.BLIF SM_AMIGA_0_sqmuxa_1.BLIF \ +LDS_000_INT_i.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF un1_LDS_000_INT_0.BLIF \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF state_machine_un7_ds_030_i_n.BLIF \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF A0_c_i.BLIF N_95.BLIF size_c_i_1__n.BLIF \ +un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ +un1_SM_AMIGA_0_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF \ +un2_as_030.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF \ +state_machine_un6_bgack_000_n.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF \ +state_machine_un6_clk_000_p_sync_n.BLIF \ +state_machine_un3_bgack_030_int_d_i_n.BLIF N_104.BLIF \ +un1_bgack_030_int_d_0.BLIF N_92.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ +cpu_est_ns_2__n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF N_167.BLIF \ +un3_dtack_i.BLIF N_168.BLIF CLK_030_H_i.BLIF N_169.BLIF \ +CLK_030_H_1_sqmuxa_i.BLIF N_170.BLIF state_machine_clk_030_h_2_f1_0_n.BLIF \ +N_102.BLIF state_machine_un10_bgack_030_int_0_n.BLIF \ +DS_000_ENABLE_0_sqmuxa.BLIF state_machine_un38_clk_000_d0_i_n.BLIF N_101.BLIF \ +state_machine_un32_clk_000_d0_i_n.BLIF N_90.BLIF \ +state_machine_un34_clk_000_d0_0_n.BLIF N_91.BLIF N_112_i.BLIF N_100.BLIF \ +sm_amiga_ns_0_7__n.BLIF state_machine_un30_clk_000_d0_n.BLIF N_175_i.BLIF \ +N_98.BLIF N_174_i.BLIF N_97.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_107.BLIF \ +state_machine_size_dma_4_0_1__n.BLIF N_99.BLIF \ +state_machine_size_dma_4_0_0__n.BLIF N_93.BLIF N_171_i.BLIF N_110.BLIF \ +N_164_i.BLIF N_108.BLIF N_163_i.BLIF N_109.BLIF cpu_est_ns_0_1__n.BLIF \ +SM_AMIGA_0_sqmuxa.BLIF state_machine_un10_clk_000_d0_i_n.BLIF N_105.BLIF \ +state_machine_un5_clk_000_d0_i_n.BLIF N_106.BLIF \ +state_machine_un12_clk_000_d0_0_n.BLIF N_103.BLIF N_71_0.BLIF \ +un19_fpu_cs_i.BLIF state_machine_rw_000_int_3_0_n.BLIF CLK_000_D1_i.BLIF \ +BG_030_c_i.BLIF sm_amiga_i_1__n.BLIF state_machine_un8_bg_030_i_n.BLIF \ +sm_amiga_i_0__n.BLIF state_machine_un10_bg_030_0_n.BLIF CLK_000_D0_i.BLIF \ +un8_ciin_i.BLIF sm_amiga_i_2__n.BLIF un14_ciin_0.BLIF BERR_i.BLIF \ +un2_clk_pre_66_i.BLIF state_machine_un30_clk_000_d0_i_n.BLIF \ +un6_clk_pre_66_i.BLIF sm_amiga_i_3__n.BLIF CLK_PRE_66_0.BLIF \ +SM_AMIGA_0_sqmuxa_1_i.BLIF cpu_est_ns_0_1_1__n.BLIF CLK_000_D3_i.BLIF \ +cpu_est_ns_0_2_1__n.BLIF CLK_000_D2_i.BLIF N_91_i_1.BLIF cpu_est_i_1__n.BLIF \ +un5_ciin_1.BLIF cpu_est_i_0__n.BLIF un5_ciin_2.BLIF cpu_est_i_3__n.BLIF \ +un5_ciin_3.BLIF state_machine_un38_clk_000_d0_1_i_n.BLIF un5_ciin_4.BLIF \ +AS_030_000_SYNC_i.BLIF un5_ciin_5.BLIF CLK_000_D4_i.BLIF un5_ciin_6.BLIF \ +sm_amiga_i_5__n.BLIF un5_ciin_7.BLIF sm_amiga_i_6__n.BLIF un5_ciin_8.BLIF \ +a_i_19__n.BLIF un5_ciin_9.BLIF a_i_18__n.BLIF un5_ciin_10.BLIF AS_030_i.BLIF \ +un5_ciin_11.BLIF a_i_16__n.BLIF state_machine_un8_bg_030_1_n.BLIF \ +AS_030_000_SYNC_0_sqmuxa_i.BLIF state_machine_un8_bg_030_2_n.BLIF N_95_i.BLIF \ +un12_ciin_1.BLIF BGACK_030_INT_i.BLIF un12_ciin_2.BLIF BGACK_030_INT_D_i.BLIF \ +un12_ciin_3.BLIF AS_000_i.BLIF un12_ciin_4.BLIF CLK_030_i.BLIF \ +un12_ciin_5.BLIF AS_000_DMA_i.BLIF un12_ciin_6.BLIF \ +state_machine_un24_bgack_030_int_i_n.BLIF AS_030_000_SYNC_0_sqmuxa_1.BLIF \ +RW_000_i.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF UDS_000_i.BLIF \ +state_machine_un38_clk_000_d0_1_0_n.BLIF LDS_000_i.BLIF \ +state_machine_un5_clk_000_d0_1_n.BLIF state_machine_un8_bgack_030_int_i_n.BLIF \ +state_machine_un5_clk_000_d0_2_n.BLIF CLK_030_H_1_sqmuxa_1_i.BLIF \ +state_machine_un10_clk_000_d0_1_n.BLIF DS_000_DMA_1_sqmuxa_1_i.BLIF \ +state_machine_un10_clk_000_d0_2_0_n.BLIF DTACK_i.BLIF \ +state_machine_un10_clk_000_d0_3_n.BLIF sm_amiga_i_7__n.BLIF N_175_1_0.BLIF \ +N_111_i.BLIF un3_dtack_i_1.BLIF nEXP_SPACE_i.BLIF un1_bgack_030_int_d_0_1.BLIF \ +RW_i.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF \ +state_machine_un31_bgack_030_int_i_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF \ +VPA_D_i.BLIF state_machine_un7_ds_030_i_1_n.BLIF VPA_i.BLIF un19_fpu_cs_1.BLIF \ +VMA_INT_i.BLIF un19_fpu_cs_2.BLIF cpu_est_i_2__n.BLIF un19_fpu_cs_3.BLIF \ +N_165_i_0.BLIF un19_fpu_cs_4.BLIF DSACK1_INT_0_sqmuxa_i.BLIF \ +un19_fpu_cs_5.BLIF N_96_i.BLIF un19_fpu_cs_6.BLIF a_i_31__n.BLIF \ +DSACK1_INT_0_sqmuxa_1.BLIF a_i_30__n.BLIF SM_AMIGA_0_sqmuxa_1_1.BLIF \ +a_i_29__n.BLIF cpu_est_ns_0_1_2__n.BLIF a_i_28__n.BLIF \ +state_machine_clk_000_p_sync_3_1_0__n.BLIF a_i_27__n.BLIF \ +DS_000_ENABLE_0_sqmuxa_1.BLIF a_i_26__n.BLIF N_101_1.BLIF a_i_25__n.BLIF \ +N_101_2.BLIF a_i_24__n.BLIF N_101_3.BLIF un5_ciin_i.BLIF N_100_1.BLIF \ +un12_ciin_i.BLIF N_98_1.BLIF clk_cnt_n_i_0__n.BLIF N_97_1.BLIF N_107_1.BLIF \ +RST_i.BLIF sm_amiga_ns_0_1_0__n.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ +RW_000.PIN.BLIF DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ +SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF DSACK1.PIN.BLIF DTACK.PIN.BLIF \ +RW.PIN.BLIF .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS AVEC AVEC_EXP \ E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN \ IPL_030_1_ IPL_030_0_ cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D \ cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR \ -cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR SM_AMIGA_7_.D SM_AMIGA_7_.C \ -SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D \ -SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR \ -SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C \ -SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D \ -SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C \ -CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C \ -CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C \ -CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR \ -CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C \ -CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_DMA_0_.D \ -SIZE_DMA_0_.C SIZE_DMA_0_.AP SIZE_DMA_1_.D SIZE_DMA_1_.C SIZE_DMA_1_.AP \ -IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP \ -IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP \ -IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR \ -CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR \ -CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR \ -CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR \ -CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR \ -CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR \ -CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR \ -CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR \ -CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR \ -CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR \ -CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_3_.AR \ -CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_4_.AR \ -CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_5_.AR \ -inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP \ -CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR inst_AS_000_INT.D \ -inst_AS_000_INT.C inst_AS_000_INT.AP inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ -inst_DS_000_ENABLE.AR BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP \ -inst_DSACK1_INT.D inst_DSACK1_INT.C inst_DSACK1_INT.AP inst_LDS_000_INT.D \ -inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.D inst_UDS_000_INT.C \ -inst_UDS_000_INT.AP inst_RW_000_INT.D inst_RW_000_INT.C inst_RW_000_INT.AP \ -inst_VMA_INTreg.D inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_A0_DMA.D \ -inst_A0_DMA.C inst_A0_DMA.AP inst_CLK_030_H.D inst_CLK_030_H.C \ -inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_DMA.AP inst_DS_000_DMA.D \ -inst_DS_000_DMA.C inst_DS_000_DMA.AP inst_AS_000_DMA.D inst_AS_000_DMA.C \ -inst_AS_000_DMA.AP inst_AMIGA_BUS_ENABLE_INTreg.D \ +cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR SM_AMIGA_3_.D SM_AMIGA_3_.C \ +SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D \ +SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR \ +CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C \ +CLK_CNT_P_1_.AR SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_0_.AP SIZE_DMA_1_.D \ +SIZE_DMA_1_.C SIZE_DMA_1_.AP IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C \ +IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C \ +IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C \ +IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D \ +SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR \ +SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR CLK_000_P_SYNC_0_.D \ +CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.D \ +CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.D \ +CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.D \ +CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.D \ +CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.D \ +CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.D \ +CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.D \ +CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.D \ +CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.D \ +CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C \ +CLK_CNT_N_0_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP \ +inst_RW_000_INT.D inst_RW_000_INT.C inst_RW_000_INT.AP inst_VMA_INTreg.D \ +inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D \ +inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP inst_CLK_OUT_PRE_25.D \ +inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR inst_AMIGA_BUS_ENABLE_INTreg.D \ inst_AMIGA_BUS_ENABLE_INTreg.C inst_AMIGA_BUS_ENABLE_INTreg.AP \ inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP \ +inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_000_INT.AP inst_DS_000_ENABLE.D \ +inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR BG_000DFFSHreg.D BG_000DFFSHreg.C \ +BG_000DFFSHreg.AP inst_DSACK1_INT.D inst_DSACK1_INT.C inst_DSACK1_INT.AP \ +inst_LDS_000_INT.D inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.D \ +inst_UDS_000_INT.C inst_UDS_000_INT.AP inst_A0_DMA.D inst_A0_DMA.C \ +inst_A0_DMA.AP inst_CLK_030_H.D inst_CLK_030_H.C inst_RW_000_DMA.D \ +inst_RW_000_DMA.C inst_RW_000_DMA.AP inst_DS_000_DMA.D inst_DS_000_DMA.C \ +inst_DS_000_DMA.AP inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_000_DMA.AP \ +inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP \ inst_CLK_OUT_PRE_33reg.D inst_CLK_OUT_PRE_33reg.C inst_CLK_OUT_PRE_33reg.AR \ -inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D \ -inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.D inst_CLK_000_D2.C \ -inst_CLK_000_D2.AP RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR \ +inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_OUT_PRE.D \ +inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D inst_CLK_000_D3.C \ +inst_CLK_000_D3.AP RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR \ CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_CLK_000_D1.D \ inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.D \ inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.D \ inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.D \ inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.D inst_CLK_000_D0.C \ inst_CLK_000_D0.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_avec_expreg.D \ -inst_avec_expreg.C inst_avec_expreg.AR inst_CLK_000_NE.D inst_CLK_000_NE.C \ -inst_CLK_000_NE.AR inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ -inst_CLK_OUT_PRE_50.AR SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 \ -DSACK1 DTACK RW SIZE_0_ a_i_20__n un19_fpu_cs_1 a_i_23__n un19_fpu_cs_2 \ -cpu_est_i_2__n un19_fpu_cs_3 VPA_D_i SM_AMIGA_0_sqmuxa_1_1 clk_cnt_n_i_0__n \ -DS_000_ENABLE_0_sqmuxa_1 a_i_30__n AS_030_000_SYNC_0_sqmuxa_1 a_i_31__n \ -AS_030_000_SYNC_0_sqmuxa_2 vcc_n_n a_i_28__n N_102_1 a_i_29__n N_101_1 \ -a_i_26__n N_100_1 a_i_27__n N_110_1 a_i_24__n N_104_1 a_i_25__n N_104_2 \ -N_104_3 RST_i state_machine_un10_clk_000_ne_1_n sm_amiga_ns_0_1_0__n \ -sm_amiga_ns_0_2_0__n CLK_OSZI_i sm_amiga_ns_0_3_0__n cpu_est_ns_0_1_2__n \ -CLK_OUT_PRE_50_D_i N_30_1 AS_030_c N_222_1 cpu_estse_1_un3_n AS_000_c \ -cpu_estse_1_un1_n cpu_estse_1_un0_n RW_000_c ipl_030_0_2__un3_n \ -ipl_030_0_2__un1_n DS_030_c ipl_030_0_2__un0_n ipl_030_0_1__un3_n UDS_000_c \ -ipl_030_0_1__un1_n state_machine_un10_clk_000_d0_n ipl_030_0_1__un0_n \ -LDS_000_c ipl_030_0_0__un3_n ipl_030_0_0__un1_n size_c_0__n ipl_030_0_0__un0_n \ -rw_000_int_0_un3_n size_c_1__n rw_000_int_0_un1_n rw_000_int_0_un0_n a_c_16__n \ -dsack1_int_0_un3_n dsack1_int_0_un1_n a_c_17__n dsack1_int_0_un0_n \ -ds_000_enable_0_un3_n a_c_18__n ds_000_enable_0_un1_n \ -state_machine_un3_clk_out_pre_50_n ds_000_enable_0_un0_n a_c_19__n \ -as_000_int_0_un3_n as_000_int_0_un1_n a_c_20__n as_000_int_0_un0_n \ -un1_LDS_000_INT as_030_000_sync_0_un3_n a_c_21__n as_030_000_sync_0_un1_n \ -as_030_000_sync_0_un0_n un1_UDS_000_INT a_c_22__n bgack_030_int_0_un3_n \ -bgack_030_int_0_un1_n a_c_23__n bgack_030_int_0_un0_n as_000_dma_0_un3_n \ -state_machine_un12_clk_000_d0_n a_c_24__n as_000_dma_0_un1_n \ -as_000_dma_0_un0_n a_c_25__n ds_000_dma_0_un3_n ds_000_dma_0_un1_n a_c_26__n \ -ds_000_dma_0_un0_n rw_000_dma_0_un3_n a_c_27__n rw_000_dma_0_un1_n \ -rw_000_dma_0_un0_n a_c_28__n clk_030_h_0_un3_n clk_030_h_0_un1_n a_c_29__n \ -clk_030_h_0_un0_n amiga_bus_enable_int_0_un3_n a_c_30__n \ -amiga_bus_enable_int_0_un1_n amiga_bus_enable_int_0_un0_n a_c_31__n \ -bg_000_0_un3_n bg_000_0_un1_n A0_c bg_000_0_un0_n lds_000_int_0_un3_n \ -nEXP_SPACE_c lds_000_int_0_un1_n lds_000_int_0_un0_n BERR_c \ -uds_000_int_0_un3_n uds_000_int_0_un1_n BG_030_c uds_000_int_0_un0_n \ -vma_int_0_un3_n vma_int_0_un1_n vma_int_0_un0_n cpu_estse_0_un3_n un28_ciin \ -BGACK_000_c cpu_estse_0_un1_n un19_fpu_cs cpu_estse_0_un0_n CLK_030_c \ -cpu_estse_2_un3_n cpu_estse_2_un1_n CLK_000_c cpu_estse_2_un0_n CLK_OSZI_c \ +inst_avec_expreg.C inst_avec_expreg.AR inst_CLK_OUT_PRE_50.D \ +inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR SIZE_1_ AS_030 AS_000 RW_000 \ +DS_030 UDS_000 LDS_000 A0 DSACK1 DTACK RW SIZE_0_ sm_amiga_ns_0_2_0__n \ +CLK_OSZI_i sm_amiga_ns_0_3_0__n uds_000_int_0_un3_n uds_000_int_0_un1_n \ +un16_ciin_i uds_000_int_0_un0_n CLK_OUT_PRE_50_D_i ipl_030_0_0__un3_n AS_030_c \ +ipl_030_0_0__un1_n vcc_n_n ipl_030_0_0__un0_n AS_000_c ipl_030_0_1__un3_n \ +ipl_030_0_1__un1_n RW_000_c ipl_030_0_1__un0_n ipl_030_0_2__un3_n DS_030_c \ +ipl_030_0_2__un1_n ipl_030_0_2__un0_n UDS_000_c cpu_estse_0_un3_n \ +cpu_estse_0_un1_n LDS_000_c cpu_estse_0_un0_n cpu_estse_1_un3_n size_c_0__n \ +cpu_estse_1_un1_n cpu_estse_1_un0_n size_c_1__n cpu_estse_2_un3_n \ +cpu_estse_2_un1_n a_c_16__n cpu_estse_2_un0_n as_030_000_sync_0_un3_n \ +a_c_17__n as_030_000_sync_0_un1_n as_030_000_sync_0_un0_n a_c_18__n \ +ds_000_enable_0_un3_n ds_000_enable_0_un1_n a_c_19__n ds_000_enable_0_un0_n \ +lds_000_int_0_un3_n a_c_20__n lds_000_int_0_un1_n lds_000_int_0_un0_n \ +a_c_21__n bgack_030_int_0_un3_n bgack_030_int_0_un1_n a_c_22__n \ +bgack_030_int_0_un0_n amiga_bus_enable_int_0_un3_n a_c_23__n \ +amiga_bus_enable_int_0_un1_n amiga_bus_enable_int_0_un0_n a_c_24__n \ +ds_000_dma_0_un3_n state_machine_un3_clk_out_pre_50_n ds_000_dma_0_un1_n \ +a_c_25__n ds_000_dma_0_un0_n as_000_dma_0_un3_n un1_LDS_000_INT a_c_26__n \ +as_000_dma_0_un1_n as_000_dma_0_un0_n a_c_27__n clk_030_h_0_un3_n \ +un1_UDS_000_INT clk_030_h_0_un1_n a_c_28__n clk_030_h_0_un0_n \ +rw_000_dma_0_un3_n a_c_29__n rw_000_dma_0_un1_n rw_000_dma_0_un0_n a_c_30__n \ +vma_int_0_un3_n vma_int_0_un1_n a_c_31__n vma_int_0_un0_n as_000_int_0_un3_n \ +A0_c as_000_int_0_un1_n as_000_int_0_un0_n nEXP_SPACE_c dsack1_int_0_un3_n \ +dsack1_int_0_un1_n BERR_c dsack1_int_0_un0_n rw_000_int_0_un3_n BG_030_c \ +rw_000_int_0_un1_n rw_000_int_0_un0_n bg_000_0_un3_n bg_000_0_un1_n \ +un19_fpu_cs bg_000_0_un0_n un5_ciin BGACK_000_c CLK_030_c un16_ciin CLK_OSZI_c \ ipl_c_0__n ipl_c_1__n ipl_c_2__n DSACK1_c DTACK_c VPA_c RST_c RW_c fc_c_0__n \ -cpu_est_ns_1__n fc_c_1__n cpu_est_ns_2__n un6_clk_pre_66 un2_clk_pre_66 \ -AMIGA_BUS_DATA_DIR_c N_222 N_37 N_223 N_224 SM_AMIGA_0_sqmuxa_i N_227 \ -DS_000_ENABLE_0_sqmuxa_i N_219 un1_SM_AMIGA_0_sqmuxa_2_i N_228 \ -state_machine_un10_clk_000_ne_i_n N_229 state_machine_un4_clk_000_ne_i_n N_230 \ -state_machine_un6_clk_000_ne_i_n N_28 state_machine_rw_000_int_3_0_n N_29 \ -N_75_0 N_30 sm_amiga_ns_0_0__n N_105_i N_31 N_106_i N_220 sm_amiga_ns_0_1__n \ -N_32 N_107_i N_35 sm_amiga_ns_0_2__n state_machine_un5_clk_000_n_sync_n \ -N_110_i N_178 sm_amiga_ns_0_4__n N_179 N_114_i N_179_1 N_113_i un19_fpu_cs_5 \ -sm_amiga_ns_0_6__n state_machine_un28_as_030_n N_91_i N_247 N_92_i \ -state_machine_un8_bgack_030_int_n sm_amiga_i_5__n \ -state_machine_un10_bgack_030_int_n N_93_i CLK_030_H_1_sqmuxa N_95_0 \ -AS_000_DMA_1_sqmuxa N_103_i DS_000_DMA_1_sqmuxa N_100_i DS_000_DMA_1_sqmuxa_1 \ -N_102_i state_machine_un24_bgack_030_int_n N_101_i state_machine_clk_030_h_2_n \ -N_104_i state_machine_clk_030_h_2_f1_n state_machine_un4_bgack_000_0_n \ -state_machine_un31_bgack_030_int_n N_33_i state_machine_ds_000_dma_3_n N_220_0 \ -un1_bgack_030_int_d state_machine_un3_bgack_030_int_d_i_n \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa un1_bgack_030_int_d_0 \ -state_machine_un10_bg_030_n AMIGA_BUS_ENABLE_INT_3_sqmuxa_i \ -state_machine_un3_bgack_030_int_d_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 sm_amiga_i_6__n SM_AMIGA_0_sqmuxa_1 N_96_i \ -AMIGA_BUS_ENABLE_INT_3_sqmuxa sm_amiga_i_2__n N_98 sm_amiga_i_4__n \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 N_115_i state_machine_un8_bg_030_n \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa N_111_i N_103 N_112_i N_91 sm_amiga_ns_0_5__n \ -N_109 N_109_i N_112 N_108_i N_115 sm_amiga_ns_0_3__n N_95 \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_i N_108 AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i N_111 \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 N_96 BG_030_c_i \ -state_machine_un4_bgack_000_n state_machine_un8_bg_030_i_n N_33 \ -state_machine_un10_bg_030_0_n DS_000_ENABLE_0_sqmuxa \ -state_machine_un5_bgack_030_int_d_i_n AS_030_000_SYNC_0_sqmuxa \ -state_machine_un10_bgack_030_int_0_n un2_as_030 state_machine_ds_000_dma_3_0_n \ -un1_AS_030_000_SYNC_0_sqmuxa_1_1 state_machine_size_dma_4_0_0__n \ -un1_SM_AMIGA_0_sqmuxa_2 state_machine_size_dma_4_0_1__n \ -un1_AS_030_000_SYNC_0_sqmuxa_1 CLK_030_H_i N_102 CLK_030_H_1_sqmuxa_i N_92 \ -state_machine_clk_030_h_2_f1_0_n N_101 un3_dtack_i N_93 cpu_est_ns_0_1__n \ -N_100 N_37_i N_110 N_224_i N_107 N_32_i N_114_1 N_223_i N_104 N_178_i N_114 \ -N_179_i state_machine_un10_clk_000_ne_n AMIGA_BUS_DATA_DIR_c_0 \ -state_machine_un6_clk_000_ne_n N_219_i N_113 N_30_i SM_AMIGA_0_sqmuxa N_31_i \ -N_99 un28_ciin_0 N_105 N_28_i N_106 N_29_i N_75 cpu_est_ns_e_0_0__n \ -state_machine_rw_000_int_3_n N_228_i state_machine_un4_clk_000_ne_n N_229_i \ -DSACK1_INT_1_sqmuxa N_230_i DSACK1_INT_0_sqmuxa cpu_est_ns_0_2__n \ -AS_000_INT_1_sqmuxa N_35_i un19_fpu_cs_i N_227_i \ -un1_AS_030_000_SYNC_0_sqmuxa_1_1_i N_164_i N_99_i N_222_i \ -DSACK1_INT_0_sqmuxa_i state_machine_un10_clk_000_d0_i_n DTACK_i \ -state_machine_un12_clk_000_d0_0_n BERR_i un2_clk_pre_66_i CLK_000_NE_i \ -un6_clk_pre_66_i sm_amiga_i_1__n CLK_PRE_66_0 VPA_i LDS_000_INT_i VMA_INT_i \ -un1_LDS_000_INT_0 sm_amiga_i_0__n UDS_000_INT_i SM_AMIGA_0_sqmuxa_1_i \ -un1_UDS_000_INT_0 sm_amiga_i_3__n state_machine_un7_ds_030_i_n avec_exp_i \ -A0_c_i AS_030_i size_c_i_1__n AS_030_000_SYNC_0_sqmuxa_i un3_dtack_i_1 \ -AS_030_000_SYNC_i N_247_1 a_i_16__n N_247_2 a_i_19__n N_247_3 a_i_17__n \ -N_247_4 a_i_18__n N_247_5 sm_amiga_i_7__n N_247_6 N_98_i \ -state_machine_un7_ds_030_i_1_n BGACK_030_INT_i N_31_1 BGACK_030_INT_D_i N_31_2 \ -DS_000_DMA_1_sqmuxa_1_i N_179_1_0 state_machine_un8_bgack_030_int_i_n \ -state_machine_clk_000_p_sync_3_1_0__n CLK_030_i \ -state_machine_clk_000_p_sync_3_2_0__n UDS_000_i state_machine_un28_as_030_1_n \ -LDS_000_i state_machine_un28_as_030_2_n state_machine_un31_bgack_030_int_i_n \ -state_machine_clk_000_n_sync_2_1_0__n RW_000_i cpu_est_ns_0_1_1__n \ -state_machine_un24_bgack_030_int_i_n cpu_est_ns_0_2_1__n AS_000_DMA_i \ -state_machine_un10_clk_000_d0_1_n CLK_000_D2_i \ -state_machine_un10_clk_000_d0_2_n CLK_000_D3_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 \ -CLK_000_D1_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 state_machine_un28_as_030_i_n \ -N_96_i_1 nEXP_SPACE_i state_machine_un8_bg_030_1_n AS_000_i \ -state_machine_un8_bg_030_2_n RW_i un1_bgack_030_int_d_0_1 CLK_000_D0_i N_33_1 \ -cpu_est_i_3__n N_33_2 cpu_est_i_1__n un19_fpu_cs_5_1 cpu_est_i_0__n \ -un19_fpu_cs_5_2 AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE \ -SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE G_109 G_115 \ -CLK_OUT_PRE_25_0 un28_ciin_0_x2 -.names cpu_est_ns_e_0_0__n.BLIF cpu_est_0_.D -0 1 +un6_clk_pre_66 un2_clk_pre_66 fc_c_1__n N_96 state_machine_un34_clk_000_d0_n \ +state_machine_un16_clk_000_d0_n AMIGA_BUS_DATA_DIR_c N_88 N_111 \ +state_machine_un8_bgack_030_int_n N_175_1 UDS_000_INT_i \ +state_machine_un10_clk_000_d0_2_n un1_UDS_000_INT_0 \ +state_machine_un38_clk_000_d0_1_n SM_AMIGA_0_sqmuxa_i N_171 \ +DS_000_ENABLE_0_sqmuxa_i cpu_est_ns_1__n un1_SM_AMIGA_0_sqmuxa_2_i \ +DSACK1_INT_0_sqmuxa N_100_i state_machine_un1_as_030_n sm_amiga_ns_0_0__n \ +AS_030_000_SYNC_0_sqmuxa N_102_i state_machine_un10_bg_030_n N_103_i un8_ciin \ +sm_amiga_ns_0_1__n un12_ciin N_106_i un14_ciin N_105_i \ +state_machine_un8_bg_030_n sm_amiga_ns_0_3__n AS_000_INT_1_sqmuxa N_107_i \ +DSACK1_INT_1_sqmuxa sm_amiga_ns_0_4__n state_machine_rw_000_int_3_n N_108_i \ +N_71 N_109_i state_machine_un12_clk_000_d0_n sm_amiga_ns_0_5__n \ +state_machine_un10_clk_000_d0_n N_110_i state_machine_un5_clk_000_d0_n N_163 \ +N_88_i N_164 N_90_i N_165 N_93_0 state_machine_un38_clk_000_d0_n N_97_i \ +state_machine_un31_bgack_030_int_n N_98_i N_174 N_99_i N_175 N_101_i N_112 \ +sm_amiga_i_4__n state_machine_un32_clk_000_d0_n N_91_i \ +state_machine_clk_030_h_2_n N_168_i DS_000_DMA_1_sqmuxa_1 cpu_est_ns_0_2__n \ +AS_000_DMA_1_sqmuxa state_machine_un10_clk_000_d0_2_i_n CLK_030_H_1_sqmuxa_1 \ +N_167_i DS_000_DMA_1_sqmuxa N_170_i state_machine_un24_bgack_030_int_n N_169_i \ +state_machine_un10_bgack_030_int_n N_160_i state_machine_clk_030_h_2_f1_n \ +N_92_0 CLK_030_H_1_sqmuxa N_104_i un1_bgack_030_int_d \ +un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa state_machine_un1_as_030_i_n \ +state_machine_un3_bgack_030_int_d_n state_machine_un6_clk_000_p_sync_i_n \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 state_machine_un6_bgack_000_0_n \ +SM_AMIGA_0_sqmuxa_1 LDS_000_INT_i AMIGA_BUS_ENABLE_INT_3_sqmuxa \ +un1_LDS_000_INT_0 AMIGA_BUS_ENABLE_INT_2_sqmuxa state_machine_un7_ds_030_i_n \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 A0_c_i N_95 size_c_i_1__n \ +un1_AS_030_000_SYNC_0_sqmuxa_1 state_machine_un5_bgack_030_int_d_i_n \ +un1_SM_AMIGA_0_sqmuxa_2 AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i un2_as_030 \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_i state_machine_un6_bgack_000_n \ +un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 state_machine_un6_clk_000_p_sync_n \ +state_machine_un3_bgack_030_int_d_i_n N_104 un1_bgack_030_int_d_0 N_92 \ +AMIGA_BUS_ENABLE_INT_3_sqmuxa_i cpu_est_ns_2__n \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i N_167 un3_dtack_i N_168 CLK_030_H_i N_169 \ +CLK_030_H_1_sqmuxa_i N_170 state_machine_clk_030_h_2_f1_0_n N_102 \ +state_machine_un10_bgack_030_int_0_n DS_000_ENABLE_0_sqmuxa \ +state_machine_un38_clk_000_d0_i_n N_101 state_machine_un32_clk_000_d0_i_n N_90 \ +state_machine_un34_clk_000_d0_0_n N_91 N_112_i N_100 sm_amiga_ns_0_7__n \ +state_machine_un30_clk_000_d0_n N_175_i N_98 N_174_i N_97 \ +AMIGA_BUS_DATA_DIR_c_0 N_107 state_machine_size_dma_4_0_1__n N_99 \ +state_machine_size_dma_4_0_0__n N_93 N_171_i N_110 N_164_i N_108 N_163_i N_109 \ +cpu_est_ns_0_1__n SM_AMIGA_0_sqmuxa state_machine_un10_clk_000_d0_i_n N_105 \ +state_machine_un5_clk_000_d0_i_n N_106 state_machine_un12_clk_000_d0_0_n N_103 \ +N_71_0 un19_fpu_cs_i state_machine_rw_000_int_3_0_n CLK_000_D1_i BG_030_c_i \ +sm_amiga_i_1__n state_machine_un8_bg_030_i_n sm_amiga_i_0__n \ +state_machine_un10_bg_030_0_n CLK_000_D0_i un8_ciin_i sm_amiga_i_2__n \ +un14_ciin_0 BERR_i un2_clk_pre_66_i state_machine_un30_clk_000_d0_i_n \ +un6_clk_pre_66_i sm_amiga_i_3__n CLK_PRE_66_0 SM_AMIGA_0_sqmuxa_1_i \ +cpu_est_ns_0_1_1__n CLK_000_D3_i cpu_est_ns_0_2_1__n CLK_000_D2_i N_91_i_1 \ +cpu_est_i_1__n un5_ciin_1 cpu_est_i_0__n un5_ciin_2 cpu_est_i_3__n un5_ciin_3 \ +state_machine_un38_clk_000_d0_1_i_n un5_ciin_4 AS_030_000_SYNC_i un5_ciin_5 \ +CLK_000_D4_i un5_ciin_6 sm_amiga_i_5__n un5_ciin_7 sm_amiga_i_6__n un5_ciin_8 \ +a_i_19__n un5_ciin_9 a_i_18__n un5_ciin_10 AS_030_i un5_ciin_11 a_i_16__n \ +state_machine_un8_bg_030_1_n AS_030_000_SYNC_0_sqmuxa_i \ +state_machine_un8_bg_030_2_n N_95_i un12_ciin_1 BGACK_030_INT_i un12_ciin_2 \ +BGACK_030_INT_D_i un12_ciin_3 AS_000_i un12_ciin_4 CLK_030_i un12_ciin_5 \ +AS_000_DMA_i un12_ciin_6 state_machine_un24_bgack_030_int_i_n \ +AS_030_000_SYNC_0_sqmuxa_1 RW_000_i AS_030_000_SYNC_0_sqmuxa_2 UDS_000_i \ +state_machine_un38_clk_000_d0_1_0_n LDS_000_i state_machine_un5_clk_000_d0_1_n \ +state_machine_un8_bgack_030_int_i_n state_machine_un5_clk_000_d0_2_n \ +CLK_030_H_1_sqmuxa_1_i state_machine_un10_clk_000_d0_1_n \ +DS_000_DMA_1_sqmuxa_1_i state_machine_un10_clk_000_d0_2_0_n DTACK_i \ +state_machine_un10_clk_000_d0_3_n sm_amiga_i_7__n N_175_1_0 N_111_i \ +un3_dtack_i_1 nEXP_SPACE_i un1_bgack_030_int_d_0_1 RW_i \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 state_machine_un31_bgack_030_int_i_n \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i state_machine_un7_ds_030_i_1_n VPA_i \ +un19_fpu_cs_1 VMA_INT_i un19_fpu_cs_2 cpu_est_i_2__n un19_fpu_cs_3 N_165_i_0 \ +un19_fpu_cs_4 DSACK1_INT_0_sqmuxa_i un19_fpu_cs_5 N_96_i un19_fpu_cs_6 \ +a_i_31__n DSACK1_INT_0_sqmuxa_1 a_i_30__n SM_AMIGA_0_sqmuxa_1_1 a_i_29__n \ +cpu_est_ns_0_1_2__n a_i_28__n state_machine_clk_000_p_sync_3_1_0__n a_i_27__n \ +DS_000_ENABLE_0_sqmuxa_1 a_i_26__n N_101_1 a_i_25__n N_101_2 a_i_24__n N_101_3 \ +un5_ciin_i N_100_1 un12_ciin_i N_98_1 clk_cnt_n_i_0__n N_97_1 N_107_1 RST_i \ +sm_amiga_ns_0_1_0__n AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE \ +LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE G_108 \ +G_114 CLK_OUT_PRE_25_0 cpu_estse .names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D 1- 1 -1 1 @@ -456,25 +443,15 @@ CLK_OUT_PRE_25_0 un28_ciin_0_x2 .names cpu_estse_2_un1_n.BLIF cpu_estse_2_un0_n.BLIF cpu_est_3_reg.D 1- 1 -1 1 -.names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D -0 1 -.names sm_amiga_ns_0_1__n.BLIF SM_AMIGA_6_.D -0 1 -.names sm_amiga_ns_0_2__n.BLIF SM_AMIGA_5_.D -0 1 -.names sm_amiga_ns_0_3__n.BLIF SM_AMIGA_4_.D -0 1 .names sm_amiga_ns_0_4__n.BLIF SM_AMIGA_3_.D 0 1 .names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D 0 1 -.names sm_amiga_ns_0_6__n.BLIF SM_AMIGA_1_.D -0 1 -.names N_103_i.BLIF N_115_i.BLIF SM_AMIGA_0_.D +.names inst_CLK_000_D0.BLIF N_110_i.BLIF SM_AMIGA_1_.D 11 1 -.names G_109.BLIF CLK_CNT_N_0_.D +.names sm_amiga_ns_0_7__n.BLIF SM_AMIGA_0_.D 0 1 -.names G_115.BLIF CLK_CNT_P_0_.D +.names G_114.BLIF CLK_CNT_P_0_.D 0 1 .names state_machine_size_dma_4_0_0__n.BLIF SIZE_DMA_0_.D 0 1 @@ -489,16 +466,37 @@ CLK_OUT_PRE_25_0 un28_ciin_0_x2 .names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF IPL_030DFFSH_2_reg.D 1- 1 -1 1 -.names state_machine_clk_000_n_sync_2_1_0__n.BLIF \ -state_machine_un5_clk_000_n_sync_n.BLIF CLK_000_N_SYNC_0_.D +.names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D +0 1 +.names sm_amiga_ns_0_1__n.BLIF SM_AMIGA_6_.D +0 1 +.names inst_CLK_000_D0.BLIF N_104_i.BLIF SM_AMIGA_5_.D 11 1 +.names sm_amiga_ns_0_3__n.BLIF SM_AMIGA_4_.D +0 1 +.names state_machine_clk_000_p_sync_3_1_0__n.BLIF \ +state_machine_un6_clk_000_p_sync_n.BLIF CLK_000_P_SYNC_0_.D +11 1 +.names G_108.BLIF CLK_CNT_N_0_.D +0 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF inst_RW_000_INT.D +1- 1 +-1 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D +1- 1 +-1 1 .names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF \ inst_BGACK_030_INTreg.D 1- 1 -1 1 -.names state_machine_clk_000_p_sync_3_1_0__n.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n.BLIF CLK_000_P_SYNC_0_.D -11 1 +.names amiga_bus_enable_int_0_un1_n.BLIF amiga_bus_enable_int_0_un0_n.BLIF \ +inst_AMIGA_BUS_ENABLE_INTreg.D +1- 1 +-1 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF \ +inst_AS_030_000_SYNC.D +1- 1 +-1 1 .names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF inst_AS_000_INT.D 1- 1 -1 1 @@ -518,12 +516,6 @@ inst_DS_000_ENABLE.D .names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INT.D 1- 1 -1 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF inst_RW_000_INT.D -1- 1 --1 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D -1- 1 --1 1 .names UDS_000_c.BLIF state_machine_un8_bgack_030_int_n.BLIF inst_A0_DMA.D 11 1 .names clk_030_h_0_un1_n.BLIF clk_030_h_0_un0_n.BLIF inst_CLK_030_H.D @@ -538,201 +530,102 @@ inst_DS_000_ENABLE.D .names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF inst_AS_000_DMA.D 1- 1 -1 1 -.names amiga_bus_enable_int_0_un1_n.BLIF amiga_bus_enable_int_0_un0_n.BLIF \ -inst_AMIGA_BUS_ENABLE_INTreg.D -1- 1 --1 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF \ -inst_AS_030_000_SYNC.D -1- 1 --1 1 .names inst_CLK_OUT_PRE_33reg.BLIF inst_CLK_OUT_PRE_33reg.D 0 1 .names CLK_PRE_66_0.BLIF inst_CLK_OUT_PRE_33reg.C 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names a_c_20__n.BLIF a_i_20__n -0 1 -.names AS_030_i.BLIF a_i_16__n.BLIF un19_fpu_cs_1 -11 1 -.names a_c_23__n.BLIF a_i_23__n -0 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF un19_fpu_cs_2 -11 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names un19_fpu_cs_1.BLIF un19_fpu_cs_2.BLIF un19_fpu_cs_3 -11 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF SM_AMIGA_0_sqmuxa_1_1 -11 1 -.names CLK_CNT_N_0_.BLIF clk_cnt_n_i_0__n -0 1 -.names RW_c.BLIF SM_AMIGA_6_.BLIF DS_000_ENABLE_0_sqmuxa_1 -11 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_7_.BLIF AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names nEXP_SPACE_c.BLIF state_machine_un28_as_030_i_n.BLIF \ -AS_030_000_SYNC_0_sqmuxa_2 -11 1 -.names vcc_n_n - 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names BERR_i.BLIF N_92.BLIF N_102_1 -11 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names N_93.BLIF BERR_i.BLIF N_101_1 -11 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names BERR_i.BLIF N_96.BLIF N_100_1 -11 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names BERR_c.BLIF N_92.BLIF N_110_1 -11 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names N_93_i.BLIF N_96_i.BLIF N_104_1 -11 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names SM_AMIGA_0_sqmuxa_1_i.BLIF sm_amiga_i_0__n.BLIF N_104_2 -11 1 -.names N_104_1.BLIF N_104_2.BLIF N_104_3 -11 1 -.names RST_c.BLIF RST_i -0 1 -.names VPA_i.BLIF N_219_i.BLIF state_machine_un10_clk_000_ne_1_n -11 1 -.names N_101_i.BLIF N_102_i.BLIF sm_amiga_ns_0_1_0__n -11 1 -.names N_104_i.BLIF N_100_i.BLIF sm_amiga_ns_0_2_0__n +.names N_98_i.BLIF N_100_i.BLIF sm_amiga_ns_0_2_0__n 11 1 .names CLK_OSZI_c.BLIF CLK_OSZI_i 0 1 .names sm_amiga_ns_0_1_0__n.BLIF sm_amiga_ns_0_2_0__n.BLIF \ sm_amiga_ns_0_3_0__n 11 1 -.names N_230_i.BLIF N_228_i.BLIF cpu_est_ns_0_1_2__n +.names DS_030_c.BLIF uds_000_int_0_un3_n +0 1 +.names inst_UDS_000_INT.BLIF DS_030_c.BLIF uds_000_int_0_un1_n +11 1 +.names un16_ciin.BLIF un16_ciin_i +0 1 +.names A0_c.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n 11 1 .names inst_CLK_OUT_PRE_50_D.BLIF CLK_OUT_PRE_50_D_i 0 1 -.names un28_ciin_0_x2.BLIF a_i_20__n.BLIF N_30_1 -11 1 -.names CLK_000_D0_i.BLIF N_37.BLIF N_222_1 -11 1 -.names inst_avec_expreg.BLIF cpu_estse_1_un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_0__un3_n 0 1 -.names cpu_est_ns_2__n.BLIF inst_avec_expreg.BLIF cpu_estse_1_un1_n +.names ipl_c_0__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF \ +ipl_030_0_0__un1_n 11 1 -.names cpu_est_2_.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n +.names vcc_n_n + 1 +.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n 11 1 -.names inst_avec_expreg.BLIF ipl_030_0_2__un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_1__un3_n 0 1 -.names ipl_c_2__n.BLIF inst_avec_expreg.BLIF ipl_030_0_2__un1_n -11 1 -.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names inst_avec_expreg.BLIF ipl_030_0_1__un3_n -0 1 -.names ipl_c_1__n.BLIF inst_avec_expreg.BLIF ipl_030_0_1__un1_n -11 1 -.names state_machine_un10_clk_000_d0_1_n.BLIF \ -state_machine_un10_clk_000_d0_2_n.BLIF state_machine_un10_clk_000_d0_n +.names ipl_c_1__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF \ +ipl_030_0_1__un1_n 11 1 .names IPL_030DFFSH_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n 11 1 -.names inst_avec_expreg.BLIF ipl_030_0_0__un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_2__un3_n 0 1 -.names ipl_c_0__n.BLIF inst_avec_expreg.BLIF ipl_030_0_0__un1_n +.names ipl_c_2__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF \ +ipl_030_0_2__un1_n 11 1 -.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n 11 1 -.names N_75.BLIF rw_000_int_0_un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_0_un3_n 0 1 -.names state_machine_rw_000_int_3_n.BLIF N_75.BLIF rw_000_int_0_un1_n +.names cpu_est_ns_1__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF \ +cpu_estse_0_un1_n 11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +.names cpu_est_1_.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n 11 1 -.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_1_un3_n 0 1 -.names inst_DSACK1_INT.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n +.names cpu_est_ns_2__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF \ +cpu_estse_1_un1_n 11 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +.names cpu_est_2_.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n 11 1 -.names un2_as_030.BLIF ds_000_enable_0_un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_2_un3_n 0 1 -.names inst_DS_000_ENABLE.BLIF un2_as_030.BLIF ds_000_enable_0_un1_n +.names N_160_i.BLIF state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_2_un1_n 11 1 -.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_50_D_i.BLIF \ -state_machine_un3_clk_out_pre_50_n +.names cpu_est_3_reg.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n 11 1 -.names un1_SM_AMIGA_0_sqmuxa_2.BLIF ds_000_enable_0_un3_n.BLIF \ -ds_000_enable_0_un0_n -11 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n -11 1 -.names N_99_i.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 .names un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF as_030_000_sync_0_un3_n 0 1 .names inst_AS_030_000_SYNC.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF \ as_030_000_sync_0_un1_n 11 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1_1_i.BLIF as_030_000_sync_0_un3_n.BLIF \ +.names state_machine_un1_as_030_n.BLIF as_030_000_sync_0_un3_n.BLIF \ as_030_000_sync_0_un0_n 11 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT +.names un2_as_030.BLIF ds_000_enable_0_un3_n 0 1 -.names state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un3_n +.names inst_DS_000_ENABLE.BLIF un2_as_030.BLIF ds_000_enable_0_un1_n +11 1 +.names un1_SM_AMIGA_0_sqmuxa_2.BLIF ds_000_enable_0_un3_n.BLIF \ +ds_000_enable_0_un0_n +11 1 +.names DS_030_c.BLIF lds_000_int_0_un3_n 0 1 -.names BGACK_000_c.BLIF state_machine_un4_bgack_000_n.BLIF \ +.names inst_LDS_000_INT.BLIF DS_030_c.BLIF lds_000_int_0_un1_n +11 1 +.names state_machine_un7_ds_030_i_n.BLIF lds_000_int_0_un3_n.BLIF \ +lds_000_int_0_un0_n +11 1 +.names state_machine_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 +.names BGACK_000_c.BLIF state_machine_un6_bgack_000_n.BLIF \ bgack_030_int_0_un1_n 11 1 .names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ bgack_030_int_0_un0_n 11 1 -.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n -0 1 -.names state_machine_un12_clk_000_d0_0_n.BLIF state_machine_un12_clk_000_d0_n -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n -11 1 -.names state_machine_un8_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF \ -as_000_dma_0_un0_n -11 1 -.names DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un3_n -0 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un1_n -11 1 -.names state_machine_ds_000_dma_3_n.BLIF ds_000_dma_0_un3_n.BLIF \ -ds_000_dma_0_un0_n -11 1 -.names AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un3_n -0 1 -.names inst_RW_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un1_n -11 1 -.names DS_000_DMA_1_sqmuxa_1_i.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names RST_c.BLIF clk_030_h_0_un3_n -0 1 -.names state_machine_clk_030_h_2_n.BLIF RST_c.BLIF clk_030_h_0_un1_n -11 1 -.names inst_CLK_030_H.BLIF clk_030_h_0_un3_n.BLIF clk_030_h_0_un0_n -11 1 .names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un3_n 0 1 .names inst_AMIGA_BUS_ENABLE_INTreg.BLIF \ @@ -741,623 +634,712 @@ un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un1_n .names un1_bgack_030_int_d.BLIF amiga_bus_enable_int_0_un3_n.BLIF \ amiga_bus_enable_int_0_un0_n 11 1 +.names DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un3_n +0 1 +.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_50_D_i.BLIF \ +state_machine_un3_clk_out_pre_50_n +11 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un1_n +11 1 +.names CLK_030_H_1_sqmuxa_1_i.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n +0 1 +.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n +11 1 +.names state_machine_un8_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF \ +as_000_dma_0_un0_n +11 1 +.names RST_c.BLIF clk_030_h_0_un3_n +0 1 +.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT +0 1 +.names state_machine_clk_030_h_2_n.BLIF RST_c.BLIF clk_030_h_0_un1_n +11 1 +.names inst_CLK_030_H.BLIF clk_030_h_0_un3_n.BLIF clk_030_h_0_un0_n +11 1 +.names AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un3_n +0 1 +.names inst_RW_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un1_n +11 1 +.names DS_000_DMA_1_sqmuxa_1_i.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un3_n +0 1 +.names N_165_i_0.BLIF state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un1_n +11 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n +0 1 +.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n +11 1 +.names N_96_i.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n +0 1 +.names inst_DSACK1_INT.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n +11 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 +.names N_71.BLIF rw_000_int_0_un3_n +0 1 +.names state_machine_rw_000_int_3_n.BLIF N_71.BLIF rw_000_int_0_un1_n +11 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 .names state_machine_un10_bg_030_n.BLIF bg_000_0_un3_n 0 1 .names BG_030_c.BLIF state_machine_un10_bg_030_n.BLIF bg_000_0_un1_n 11 1 +.names un19_fpu_cs_5.BLIF un19_fpu_cs_6.BLIF un19_fpu_cs +11 1 .names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n 11 1 -.names DS_030_c.BLIF lds_000_int_0_un3_n -0 1 -.names inst_LDS_000_INT.BLIF DS_030_c.BLIF lds_000_int_0_un1_n +.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin 11 1 -.names state_machine_un7_ds_030_i_n.BLIF lds_000_int_0_un3_n.BLIF \ -lds_000_int_0_un0_n +.names un5_ciin_i.BLIF un14_ciin.BLIF un16_ciin 11 1 -.names DS_030_c.BLIF uds_000_int_0_un3_n -0 1 -.names inst_UDS_000_INT.BLIF DS_030_c.BLIF uds_000_int_0_un1_n -11 1 -.names A0_c.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un3_n -0 1 -.names state_machine_un10_clk_000_d0_n.BLIF \ -state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un1_n -11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names inst_avec_expreg.BLIF cpu_estse_0_un3_n -0 1 -.names un28_ciin_0.BLIF un28_ciin -0 1 -.names cpu_est_ns_1__n.BLIF inst_avec_expreg.BLIF cpu_estse_0_un1_n -11 1 -.names un19_fpu_cs_3.BLIF un19_fpu_cs_5.BLIF un19_fpu_cs -11 1 -.names cpu_est_1_.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n -11 1 -.names inst_avec_expreg.BLIF cpu_estse_2_un3_n -0 1 -.names N_164_i.BLIF inst_avec_expreg.BLIF cpu_estse_2_un1_n -11 1 -.names cpu_est_3_reg.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n -11 1 -.names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n -0 1 -.names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n -0 1 .names CLK_CNT_N_1_.BLIF CLK_CNT_P_1_.BLIF un6_clk_pre_66 11 1 .names clk_cnt_n_i_0__n.BLIF CLK_CNT_P_0_.BLIF un2_clk_pre_66 11 1 +.names inst_CLK_000_D0.BLIF SM_AMIGA_6_.BLIF N_96 +11 1 +.names state_machine_un34_clk_000_d0_0_n.BLIF state_machine_un34_clk_000_d0_n +0 1 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF state_machine_un16_clk_000_d0_n +11 1 .names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c 0 1 -.names N_222_1.BLIF VPA_D_i.BLIF N_222 +.names N_88_i.BLIF N_88 +0 1 +.names CLK_000_D0_i.BLIF SM_AMIGA_1_.BLIF N_111 11 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_37 +.names N_175_1.BLIF state_machine_un10_bgack_030_int_n.BLIF \ +state_machine_un8_bgack_030_int_n 11 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_223 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_175_1 11 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_224 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF state_machine_un10_clk_000_d0_2_n +11 1 +.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +11 1 +.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF \ +state_machine_un38_clk_000_d0_1_n 11 1 .names SM_AMIGA_0_sqmuxa.BLIF SM_AMIGA_0_sqmuxa_i 0 1 -.names N_219.BLIF cpu_est_2_.BLIF N_227 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_171 11 1 .names DS_000_ENABLE_0_sqmuxa.BLIF DS_000_ENABLE_0_sqmuxa_i 0 1 -.names N_219_i.BLIF N_219 +.names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n 0 1 .names DS_000_ENABLE_0_sqmuxa_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF \ un1_SM_AMIGA_0_sqmuxa_2_i 11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_228 -11 1 -.names state_machine_un10_clk_000_ne_n.BLIF state_machine_un10_clk_000_ne_i_n -0 1 -.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_229 -11 1 -.names state_machine_un4_clk_000_ne_n.BLIF state_machine_un4_clk_000_ne_i_n -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_230 -11 1 -.names state_machine_un4_clk_000_ne_i_n.BLIF \ -state_machine_un10_clk_000_ne_i_n.BLIF state_machine_un6_clk_000_ne_i_n -11 1 -.names inst_avec_expreg.BLIF cpu_est_i_0__n.BLIF N_28 -11 1 -.names RW_i.BLIF sm_amiga_i_7__n.BLIF state_machine_rw_000_int_3_0_n -11 1 -.names avec_exp_i.BLIF cpu_est_0_.BLIF N_29 -11 1 -.names N_99_i.BLIF sm_amiga_i_7__n.BLIF N_75_0 -11 1 -.names N_30_1.BLIF a_i_23__n.BLIF N_30 -11 1 -.names sm_amiga_ns_0_3_0__n.BLIF N_103_i.BLIF sm_amiga_ns_0_0__n -11 1 -.names N_105.BLIF N_105_i -0 1 -.names N_31_1.BLIF N_31_2.BLIF N_31 -11 1 -.names N_106.BLIF N_106_i -0 1 -.names N_220_0.BLIF N_220 -0 1 -.names N_105_i.BLIF N_106_i.BLIF sm_amiga_ns_0_1__n -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_32 -11 1 -.names N_107.BLIF N_107_i -0 1 -.names N_32.BLIF cpu_est_i_3__n.BLIF N_35 -11 1 -.names N_99_i.BLIF N_107_i.BLIF sm_amiga_ns_0_2__n -11 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF \ -state_machine_un5_clk_000_n_sync_n -11 1 -.names N_110.BLIF N_110_i -0 1 -.names inst_BGACK_030_INTreg.BLIF RW_i.BLIF N_178 -11 1 -.names N_110_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF sm_amiga_ns_0_4__n -11 1 -.names N_179_1_0.BLIF nEXP_SPACE_i.BLIF N_179 -11 1 -.names N_114.BLIF N_114_i -0 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_179_1 -11 1 -.names N_113.BLIF N_113_i -0 1 -.names un19_fpu_cs_5_1.BLIF un19_fpu_cs_5_2.BLIF un19_fpu_cs_5 -11 1 -.names N_113_i.BLIF N_114_i.BLIF sm_amiga_ns_0_6__n -11 1 -.names state_machine_un28_as_030_1_n.BLIF state_machine_un28_as_030_2_n.BLIF \ -state_machine_un28_as_030_n -11 1 -.names BERR_c.BLIF avec_exp_i.BLIF N_91_i -11 1 -.names N_247_5.BLIF N_247_6.BLIF N_247 -11 1 -.names inst_CLK_000_NE.BLIF state_machine_un6_clk_000_ne_n.BLIF N_92_i -11 1 -.names N_179_1.BLIF state_machine_un10_bgack_030_int_n.BLIF \ -state_machine_un8_bgack_030_int_n -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names state_machine_un10_bgack_030_int_0_n.BLIF \ -state_machine_un10_bgack_030_int_n -0 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_93_i -11 1 -.names AS_000_DMA_i.BLIF CLK_030_c.BLIF CLK_030_H_1_sqmuxa -11 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_95_0 -11 1 -.names CLK_030_c.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -AS_000_DMA_1_sqmuxa -11 1 -.names N_103.BLIF N_103_i -0 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF state_machine_un24_bgack_030_int_i_n.BLIF \ -DS_000_DMA_1_sqmuxa +.names DSACK1_INT_0_sqmuxa_1.BLIF SM_AMIGA_1_.BLIF DSACK1_INT_0_sqmuxa 11 1 .names N_100.BLIF N_100_i 0 1 -.names RW_000_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -DS_000_DMA_1_sqmuxa_1 -11 1 -.names N_102.BLIF N_102_i +.names state_machine_un1_as_030_i_n.BLIF state_machine_un1_as_030_n 0 1 -.names inst_CLK_030_H.BLIF CLK_030_i.BLIF state_machine_un24_bgack_030_int_n -11 1 -.names N_101.BLIF N_101_i -0 1 -.names state_machine_clk_030_h_2_f1_n.BLIF \ -state_machine_un8_bgack_030_int_n.BLIF state_machine_clk_030_h_2_n -11 1 -.names N_104.BLIF N_104_i -0 1 -.names state_machine_clk_030_h_2_f1_0_n.BLIF state_machine_clk_030_h_2_f1_n -0 1 -.names BGACK_000_c.BLIF avec_exp_i.BLIF state_machine_un4_bgack_000_0_n -11 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF state_machine_un31_bgack_030_int_n -11 1 -.names N_33.BLIF N_33_i -0 1 -.names state_machine_ds_000_dma_3_0_n.BLIF state_machine_ds_000_dma_3_n -0 1 -.names a_i_20__n.BLIF N_33_i.BLIF N_220_0 -11 1 -.names un1_bgack_030_int_d_0.BLIF un1_bgack_030_int_d -0 1 -.names state_machine_un3_bgack_030_int_d_n.BLIF \ -state_machine_un3_bgack_030_int_d_i_n -0 1 -.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa -0 1 -.names un1_bgack_030_int_d_0_1.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ -un1_bgack_030_int_d_0 -11 1 -.names state_machine_un10_bg_030_0_n.BLIF state_machine_un10_bg_030_n -0 1 -.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i -0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_D_i.BLIF \ -state_machine_un3_bgack_030_int_d_n -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i -0 1 -.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 -11 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names SM_AMIGA_0_sqmuxa_1_1.BLIF state_machine_un5_clk_000_n_sync_n.BLIF \ -SM_AMIGA_0_sqmuxa_1 -11 1 -.names N_96_i_1.BLIF sm_amiga_i_6__n.BLIF N_96_i -11 1 -.names N_98_i.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ -AMIGA_BUS_ENABLE_INT_3_sqmuxa -11 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_1__n.BLIF N_98 -11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AS_030_i.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 -11 1 -.names N_115.BLIF N_115_i -0 1 -.names state_machine_un8_bg_030_1_n.BLIF state_machine_un8_bg_030_2_n.BLIF \ -state_machine_un8_bg_030_n -11 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa -11 1 -.names N_111.BLIF N_111_i -0 1 -.names N_91.BLIF SM_AMIGA_0_.BLIF N_103 -11 1 -.names N_112.BLIF N_112_i -0 1 -.names N_91_i.BLIF N_91 -0 1 -.names N_111_i.BLIF N_112_i.BLIF sm_amiga_ns_0_5__n -11 1 -.names N_91_i.BLIF SM_AMIGA_4_.BLIF N_109 -11 1 -.names N_109.BLIF N_109_i -0 1 -.names N_91_i.BLIF SM_AMIGA_2_.BLIF N_112 -11 1 -.names N_108.BLIF N_108_i -0 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF N_115 -11 1 -.names N_108_i.BLIF N_109_i.BLIF sm_amiga_ns_0_3__n -11 1 -.names N_95_0.BLIF N_95 -0 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i -0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_5_.BLIF N_108 -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i -0 1 -.names N_92_i.BLIF SM_AMIGA_3_.BLIF N_111 -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 -11 1 -.names N_96_i.BLIF N_96 -0 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names state_machine_un4_bgack_000_0_n.BLIF state_machine_un4_bgack_000_n -0 1 -.names state_machine_un8_bg_030_n.BLIF state_machine_un8_bg_030_i_n -0 1 -.names N_33_1.BLIF N_33_2.BLIF N_33 -11 1 -.names BG_030_c_i.BLIF state_machine_un8_bg_030_i_n.BLIF \ -state_machine_un10_bg_030_0_n -11 1 -.names DS_000_ENABLE_0_sqmuxa_1.BLIF inst_avec_expreg.BLIF \ -DS_000_ENABLE_0_sqmuxa -11 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -state_machine_un5_bgack_030_int_d_i_n +.names sm_amiga_ns_0_3_0__n.BLIF N_101_i.BLIF sm_amiga_ns_0_0__n 11 1 .names AS_030_000_SYNC_0_sqmuxa_1.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF \ AS_030_000_SYNC_0_sqmuxa 11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF state_machine_un10_bgack_030_int_0_n +.names N_102.BLIF N_102_i +0 1 +.names state_machine_un10_bg_030_0_n.BLIF state_machine_un10_bg_030_n +0 1 +.names N_103.BLIF N_103_i +0 1 +.names AS_030_i.BLIF un12_ciin_i.BLIF un8_ciin 11 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF \ +.names N_102_i.BLIF N_103_i.BLIF sm_amiga_ns_0_1__n +11 1 +.names un12_ciin_5.BLIF un12_ciin_6.BLIF un12_ciin +11 1 +.names N_106.BLIF N_106_i +0 1 +.names un14_ciin_0.BLIF un14_ciin +0 1 +.names N_105.BLIF N_105_i +0 1 +.names state_machine_un8_bg_030_1_n.BLIF state_machine_un8_bg_030_2_n.BLIF \ +state_machine_un8_bg_030_n +11 1 +.names N_105_i.BLIF N_106_i.BLIF sm_amiga_ns_0_3__n +11 1 +.names N_96_i.BLIF state_machine_un1_as_030_i_n.BLIF AS_000_INT_1_sqmuxa +11 1 +.names N_107.BLIF N_107_i +0 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF \ +DSACK1_INT_1_sqmuxa +11 1 +.names N_107_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF sm_amiga_ns_0_4__n +11 1 +.names state_machine_rw_000_int_3_0_n.BLIF state_machine_rw_000_int_3_n +0 1 +.names N_108.BLIF N_108_i +0 1 +.names N_71_0.BLIF N_71 +0 1 +.names N_109.BLIF N_109_i +0 1 +.names state_machine_un12_clk_000_d0_0_n.BLIF state_machine_un12_clk_000_d0_n +0 1 +.names N_108_i.BLIF N_109_i.BLIF sm_amiga_ns_0_5__n +11 1 +.names state_machine_un10_clk_000_d0_3_n.BLIF cpu_est_i_3__n.BLIF \ +state_machine_un10_clk_000_d0_n +11 1 +.names N_110.BLIF N_110_i +0 1 +.names state_machine_un5_clk_000_d0_1_n.BLIF \ +state_machine_un5_clk_000_d0_2_n.BLIF state_machine_un5_clk_000_d0_n +11 1 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_163 +11 1 +.names BERR_c.BLIF CLK_000_D0_i.BLIF N_88_i +11 1 +.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_164 +11 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_90_i +11 1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_165 +11 1 +.names BERR_c.BLIF SM_AMIGA_1_.BLIF N_93_0 +11 1 +.names state_machine_un38_clk_000_d0_1_0_n.BLIF VPA_i.BLIF \ +state_machine_un38_clk_000_d0_n +11 1 +.names N_97.BLIF N_97_i +0 1 +.names LDS_000_i.BLIF UDS_000_i.BLIF state_machine_un31_bgack_030_int_n +11 1 +.names N_98.BLIF N_98_i +0 1 +.names inst_BGACK_030_INTreg.BLIF RW_i.BLIF N_174 +11 1 +.names N_99.BLIF N_99_i +0 1 +.names N_175_1_0.BLIF nEXP_SPACE_i.BLIF N_175 +11 1 +.names N_101.BLIF N_101_i +0 1 +.names N_88_i.BLIF SM_AMIGA_0_.BLIF N_112 +11 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names DTACK_i.BLIF VPA_c.BLIF state_machine_un32_clk_000_d0_n +11 1 +.names N_91_i_1.BLIF sm_amiga_i_6__n.BLIF N_91_i +11 1 +.names state_machine_clk_030_h_2_f1_n.BLIF \ +state_machine_un8_bgack_030_int_n.BLIF state_machine_clk_030_h_2_n +11 1 +.names N_168.BLIF N_168_i +0 1 +.names RW_000_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ +DS_000_DMA_1_sqmuxa_1 +11 1 +.names cpu_est_ns_0_1_2__n.BLIF state_machine_un10_clk_000_d0_2_i_n.BLIF \ +cpu_est_ns_0_2__n +11 1 +.names CLK_030_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ +AS_000_DMA_1_sqmuxa +11 1 +.names state_machine_un10_clk_000_d0_2_n.BLIF \ +state_machine_un10_clk_000_d0_2_i_n +0 1 +.names AS_000_DMA_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ +CLK_030_H_1_sqmuxa_1 +11 1 +.names N_167.BLIF N_167_i +0 1 +.names DS_000_DMA_1_sqmuxa_1.BLIF state_machine_un24_bgack_030_int_i_n.BLIF \ +DS_000_DMA_1_sqmuxa +11 1 +.names N_170.BLIF N_170_i +0 1 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF state_machine_un24_bgack_030_int_n +11 1 +.names N_169.BLIF N_169_i +0 1 +.names state_machine_un10_bgack_030_int_0_n.BLIF \ +state_machine_un10_bgack_030_int_n +0 1 +.names N_169_i.BLIF N_170_i.BLIF N_160_i +11 1 +.names state_machine_clk_030_h_2_f1_0_n.BLIF state_machine_clk_030_h_2_f1_n +0 1 +.names BERR_c.BLIF SM_AMIGA_5_.BLIF N_92_0 +11 1 +.names AS_000_DMA_i.BLIF CLK_030_i.BLIF CLK_030_H_1_sqmuxa +11 1 +.names N_104.BLIF N_104_i +0 1 +.names un1_bgack_030_int_d_0.BLIF un1_bgack_030_int_d +0 1 +.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF \ +un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa +0 1 +.names AS_030_i.BLIF BERR_c.BLIF state_machine_un1_as_030_i_n +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_D_i.BLIF \ +state_machine_un3_bgack_030_int_d_n +11 1 +.names state_machine_un6_clk_000_p_sync_n.BLIF \ +state_machine_un6_clk_000_p_sync_i_n +0 1 +.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 +11 1 +.names BGACK_000_c.BLIF state_machine_un6_clk_000_p_sync_i_n.BLIF \ +state_machine_un6_bgack_000_0_n +11 1 +.names SM_AMIGA_0_sqmuxa_1_1.BLIF state_machine_un16_clk_000_d0_n.BLIF \ +SM_AMIGA_0_sqmuxa_1 +11 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names N_95_i.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ +AMIGA_BUS_ENABLE_INT_3_sqmuxa +11 1 +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 +11 1 +.names AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa +11 1 +.names state_machine_un7_ds_030_i_1_n.BLIF size_c_0__n.BLIF \ +state_machine_un7_ds_030_i_n +11 1 +.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AS_030_i.BLIF \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 +11 1 +.names A0_c.BLIF A0_c_i +0 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_1__n.BLIF N_95 +11 1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names AS_030_000_SYNC_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF \ +un1_AS_030_000_SYNC_0_sqmuxa_1 +11 1 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ +state_machine_un5_bgack_030_int_d_i_n +11 1 +.names un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un1_SM_AMIGA_0_sqmuxa_2 +0 1 +.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i +0 1 +.names state_machine_un1_as_030_i_n.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF \ un2_as_030 11 1 -.names AS_000_DMA_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_ds_000_dma_3_0_n +.names AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i +0 1 +.names state_machine_un6_bgack_000_0_n.BLIF state_machine_un6_bgack_000_n +0 1 +.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 11 1 -.names AS_030_i.BLIF BERR_c.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1 +.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF \ +state_machine_un6_clk_000_p_sync_n +11 1 +.names state_machine_un3_bgack_030_int_d_n.BLIF \ +state_machine_un3_bgack_030_int_d_i_n +0 1 +.names N_92.BLIF sm_amiga_i_6__n.BLIF N_104 +11 1 +.names un1_bgack_030_int_d_0_1.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ +un1_bgack_030_int_d_0 +11 1 +.names N_92_0.BLIF N_92 +0 1 +.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i +0 1 +.names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n +0 1 +.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i +0 1 +.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_167 +11 1 +.names un3_dtack_i_1.BLIF BGACK_030_INT_i.BLIF un3_dtack_i +11 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_168 +11 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names cpu_est_2_.BLIF state_machine_un38_clk_000_d0_1_i_n.BLIF N_169 +11 1 +.names CLK_030_H_1_sqmuxa.BLIF CLK_030_H_1_sqmuxa_i +0 1 +.names N_171.BLIF cpu_est_i_3__n.BLIF N_170 +11 1 +.names CLK_030_H_1_sqmuxa_i.BLIF CLK_030_H_i.BLIF \ +state_machine_clk_030_h_2_f1_0_n +11 1 +.names SM_AMIGA_7_.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_102 +11 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF state_machine_un10_bgack_030_int_0_n +11 1 +.names DS_000_ENABLE_0_sqmuxa_1.BLIF SM_AMIGA_6_.BLIF DS_000_ENABLE_0_sqmuxa +11 1 +.names state_machine_un38_clk_000_d0_n.BLIF state_machine_un38_clk_000_d0_i_n +0 1 +.names N_101_3.BLIF sm_amiga_i_3__n.BLIF N_101 +11 1 +.names state_machine_un32_clk_000_d0_n.BLIF state_machine_un32_clk_000_d0_i_n +0 1 +.names N_90_i.BLIF N_90 +0 1 +.names state_machine_un32_clk_000_d0_i_n.BLIF \ +state_machine_un38_clk_000_d0_i_n.BLIF state_machine_un34_clk_000_d0_0_n +11 1 +.names N_91_i.BLIF N_91 +0 1 +.names N_112.BLIF N_112_i +0 1 +.names N_100_1.BLIF state_machine_un30_clk_000_d0_i_n.BLIF N_100 +11 1 +.names N_111_i.BLIF N_112_i.BLIF sm_amiga_ns_0_7__n +11 1 +.names state_machine_un16_clk_000_d0_n.BLIF \ +state_machine_un34_clk_000_d0_n.BLIF state_machine_un30_clk_000_d0_n +11 1 +.names N_175.BLIF N_175_i +0 1 +.names N_98_1.BLIF inst_CLK_000_D0.BLIF N_98 +11 1 +.names N_174.BLIF N_174_i +0 1 +.names N_97_1.BLIF CLK_000_D0_i.BLIF N_97 +11 1 +.names N_174_i.BLIF N_175_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names N_107_1.BLIF state_machine_un30_clk_000_d0_i_n.BLIF N_107 +11 1 +.names state_machine_un8_bgack_030_int_n.BLIF \ +state_machine_un31_bgack_030_int_i_n.BLIF state_machine_size_dma_4_0_1__n +11 1 +.names N_88.BLIF SM_AMIGA_0_.BLIF N_99 11 1 .names state_machine_un8_bgack_030_int_n.BLIF \ state_machine_un31_bgack_030_int_n.BLIF state_machine_size_dma_4_0_0__n 11 1 -.names un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un1_SM_AMIGA_0_sqmuxa_2 +.names N_93_0.BLIF N_93 0 1 -.names state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_un31_bgack_030_int_i_n.BLIF state_machine_size_dma_4_0_1__n -11 1 -.names AS_030_000_SYNC_0_sqmuxa_i.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF \ -un1_AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i +.names N_171.BLIF N_171_i 0 1 -.names N_102_1.BLIF SM_AMIGA_3_.BLIF N_102 +.names N_93.BLIF sm_amiga_i_2__n.BLIF N_110 11 1 -.names CLK_030_H_1_sqmuxa.BLIF CLK_030_H_1_sqmuxa_i +.names N_164.BLIF N_164_i 0 1 -.names N_92_i.BLIF N_92 +.names SM_AMIGA_3_.BLIF state_machine_un30_clk_000_d0_n.BLIF N_108 +11 1 +.names N_163.BLIF N_163_i 0 1 -.names CLK_030_H_1_sqmuxa_i.BLIF CLK_030_H_i.BLIF \ -state_machine_clk_030_h_2_f1_0_n +.names N_88_i.BLIF SM_AMIGA_2_.BLIF N_109 11 1 -.names N_101_1.BLIF CLK_000_NE_i.BLIF N_101 -11 1 -.names un3_dtack_i_1.BLIF BGACK_030_INT_i.BLIF un3_dtack_i -11 1 -.names N_93_i.BLIF N_93 -0 1 .names cpu_est_ns_0_1_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_ns_0_1__n 11 1 -.names N_100_1.BLIF avec_exp_i.BLIF N_100 +.names inst_CLK_000_D0.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_0_sqmuxa 11 1 -.names N_37.BLIF N_37_i -0 1 -.names N_110_1.BLIF SM_AMIGA_3_.BLIF N_110 -11 1 -.names N_224.BLIF N_224_i -0 1 -.names N_114_1.BLIF SM_AMIGA_5_.BLIF N_107 -11 1 -.names N_32.BLIF N_32_i -0 1 -.names BERR_c.BLIF CLK_000_NE_i.BLIF N_114_1 -11 1 -.names N_223.BLIF N_223_i -0 1 -.names N_104_3.BLIF sm_amiga_i_3__n.BLIF N_104 -11 1 -.names N_178.BLIF N_178_i -0 1 -.names N_114_1.BLIF SM_AMIGA_1_.BLIF N_114 -11 1 -.names N_179.BLIF N_179_i -0 1 -.names state_machine_un10_clk_000_ne_1_n.BLIF VMA_INT_i.BLIF \ -state_machine_un10_clk_000_ne_n -11 1 -.names N_178_i.BLIF N_179_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names state_machine_un6_clk_000_ne_i_n.BLIF state_machine_un6_clk_000_ne_n -0 1 -.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF N_219_i -11 1 -.names SM_AMIGA_2_.BLIF inst_avec_expreg.BLIF N_113 -11 1 -.names N_30.BLIF N_30_i -0 1 -.names SM_AMIGA_4_.BLIF inst_avec_expreg.BLIF SM_AMIGA_0_sqmuxa -11 1 -.names N_31.BLIF N_31_i -0 1 -.names SM_AMIGA_6_.BLIF inst_avec_expreg.BLIF N_99 -11 1 -.names N_30_i.BLIF N_31_i.BLIF un28_ciin_0 -11 1 -.names SM_AMIGA_7_.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_105 -11 1 -.names N_28.BLIF N_28_i -0 1 -.names N_91_i.BLIF SM_AMIGA_6_.BLIF N_106 -11 1 -.names N_29.BLIF N_29_i -0 1 -.names N_75_0.BLIF N_75 -0 1 -.names N_28_i.BLIF N_29_i.BLIF cpu_est_ns_e_0_0__n -11 1 -.names state_machine_rw_000_int_3_0_n.BLIF state_machine_rw_000_int_3_n -0 1 -.names N_228.BLIF N_228_i -0 1 -.names DTACK_i.BLIF VPA_c.BLIF state_machine_un4_clk_000_ne_n -11 1 -.names N_229.BLIF N_229_i -0 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF \ -DSACK1_INT_1_sqmuxa -11 1 -.names N_230.BLIF N_230_i -0 1 -.names CLK_000_N_SYNC_6_.BLIF SM_AMIGA_1_.BLIF DSACK1_INT_0_sqmuxa -11 1 -.names cpu_est_ns_0_1_2__n.BLIF N_229_i.BLIF cpu_est_ns_0_2__n -11 1 -.names N_99_i.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF AS_000_INT_1_sqmuxa -11 1 -.names N_35.BLIF N_35_i -0 1 -.names un19_fpu_cs.BLIF un19_fpu_cs_i -0 1 -.names N_227.BLIF N_227_i -0 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF \ -un1_AS_030_000_SYNC_0_sqmuxa_1_1_i -0 1 -.names N_35_i.BLIF N_227_i.BLIF N_164_i -11 1 -.names N_99.BLIF N_99_i -0 1 -.names N_222.BLIF N_222_i -0 1 -.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i -0 1 .names state_machine_un10_clk_000_d0_n.BLIF state_machine_un10_clk_000_d0_i_n 0 1 -.names DTACK_c.BLIF DTACK_i +.names CLK_000_D0_i.BLIF SM_AMIGA_5_.BLIF N_105 +11 1 +.names state_machine_un5_clk_000_d0_n.BLIF state_machine_un5_clk_000_d0_i_n 0 1 -.names N_222_i.BLIF state_machine_un10_clk_000_d0_i_n.BLIF \ -state_machine_un12_clk_000_d0_0_n +.names N_88_i.BLIF SM_AMIGA_4_.BLIF N_106 +11 1 +.names state_machine_un5_clk_000_d0_i_n.BLIF \ +state_machine_un10_clk_000_d0_i_n.BLIF state_machine_un12_clk_000_d0_0_n +11 1 +.names N_88_i.BLIF SM_AMIGA_6_.BLIF N_103 +11 1 +.names N_96_i.BLIF sm_amiga_i_7__n.BLIF N_71_0 +11 1 +.names un19_fpu_cs.BLIF un19_fpu_cs_i +0 1 +.names RW_i.BLIF sm_amiga_i_7__n.BLIF state_machine_rw_000_int_3_0_n +11 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names state_machine_un8_bg_030_n.BLIF state_machine_un8_bg_030_i_n +0 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names BG_030_c_i.BLIF state_machine_un8_bg_030_i_n.BLIF \ +state_machine_un10_bg_030_0_n +11 1 +.names inst_CLK_000_D0.BLIF CLK_000_D0_i +0 1 +.names un8_ciin.BLIF un8_ciin_i +0 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names nEXP_SPACE_c.BLIF un8_ciin_i.BLIF un14_ciin_0 11 1 .names BERR_c.BLIF BERR_i 0 1 .names un2_clk_pre_66.BLIF un2_clk_pre_66_i 0 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i +.names state_machine_un30_clk_000_d0_n.BLIF state_machine_un30_clk_000_d0_i_n 0 1 .names un6_clk_pre_66.BLIF un6_clk_pre_66_i 0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n 0 1 .names un2_clk_pre_66_i.BLIF un6_clk_pre_66_i.BLIF CLK_PRE_66_0 11 1 -.names VPA_c.BLIF VPA_i -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 .names SM_AMIGA_0_sqmuxa_1.BLIF SM_AMIGA_0_sqmuxa_1_i 0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +.names N_163_i.BLIF N_164_i.BLIF cpu_est_ns_0_1_1__n 11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +.names inst_CLK_000_D3.BLIF CLK_000_D3_i 0 1 -.names state_machine_un7_ds_030_i_1_n.BLIF size_c_0__n.BLIF \ -state_machine_un7_ds_030_i_n +.names N_165_i_0.BLIF N_171_i.BLIF cpu_est_ns_0_2_1__n 11 1 -.names inst_avec_expreg.BLIF avec_exp_i +.names inst_CLK_000_D2.BLIF CLK_000_D2_i 0 1 -.names A0_c.BLIF A0_c_i +.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_91_i_1 +11 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n 0 1 -.names AS_030_c.BLIF AS_030_i +.names AS_030_i.BLIF a_c_20__n.BLIF un5_ciin_1 +11 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n 0 1 -.names size_c_1__n.BLIF size_c_i_1__n +.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 +11 1 +.names cpu_est_3_reg.BLIF cpu_est_i_3__n 0 1 -.names AS_030_000_SYNC_0_sqmuxa.BLIF AS_030_000_SYNC_0_sqmuxa_i +.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 +11 1 +.names state_machine_un38_clk_000_d0_1_n.BLIF \ +state_machine_un38_clk_000_d0_1_i_n 0 1 -.names nEXP_SPACE_i.BLIF AS_000_DMA_i.BLIF un3_dtack_i_1 +.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 11 1 .names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i 0 1 -.names a_i_24__n.BLIF a_i_25__n.BLIF N_247_1 +.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 11 1 -.names a_c_16__n.BLIF a_i_16__n +.names inst_CLK_000_D4.BLIF CLK_000_D4_i 0 1 -.names a_i_26__n.BLIF a_i_27__n.BLIF N_247_2 +.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 +11 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 +11 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 11 1 .names a_c_19__n.BLIF a_i_19__n 0 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF N_247_3 -11 1 -.names a_c_17__n.BLIF a_i_17__n -0 1 -.names a_i_30__n.BLIF a_i_31__n.BLIF N_247_4 +.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 11 1 .names a_c_18__n.BLIF a_i_18__n 0 1 -.names N_247_1.BLIF N_247_2.BLIF N_247_5 +.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 11 1 -.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n +.names AS_030_c.BLIF AS_030_i 0 1 -.names N_247_3.BLIF N_247_4.BLIF N_247_6 +.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 11 1 -.names N_98.BLIF N_98_i +.names a_c_16__n.BLIF a_i_16__n 0 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF state_machine_un7_ds_030_i_1_n +.names AS_030_c.BLIF inst_CLK_000_D0.BLIF state_machine_un8_bg_030_1_n +11 1 +.names AS_030_000_SYNC_0_sqmuxa.BLIF AS_030_000_SYNC_0_sqmuxa_i +0 1 +.names CLK_000_D1_i.BLIF nEXP_SPACE_c.BLIF state_machine_un8_bg_030_2_n +11 1 +.names N_95.BLIF N_95_i +0 1 +.names a_i_24__n.BLIF a_i_25__n.BLIF un12_ciin_1 11 1 .names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i 0 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF N_31_1 +.names a_i_26__n.BLIF a_i_27__n.BLIF un12_ciin_2 11 1 .names inst_BGACK_030_INT_D.BLIF BGACK_030_INT_D_i 0 1 -.names a_c_23__n.BLIF N_220.BLIF N_31_2 +.names a_i_28__n.BLIF a_i_29__n.BLIF un12_ciin_3 11 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF DS_000_DMA_1_sqmuxa_1_i +.names AS_000_c.BLIF AS_000_i 0 1 -.names N_179_1.BLIF RW_c.BLIF N_179_1_0 -11 1 -.names state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_un8_bgack_030_int_i_n -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF \ -state_machine_clk_000_p_sync_3_1_0__n +.names a_i_30__n.BLIF a_i_31__n.BLIF un12_ciin_4 11 1 .names CLK_030_c.BLIF CLK_030_i 0 1 -.names CLK_000_D2_i.BLIF CLK_000_D3_i.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n +.names un12_ciin_1.BLIF un12_ciin_2.BLIF un12_ciin_5 11 1 -.names UDS_000_c.BLIF UDS_000_i +.names inst_AS_000_DMA.BLIF AS_000_DMA_i 0 1 -.names a_i_16__n.BLIF a_i_18__n.BLIF state_machine_un28_as_030_1_n -11 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names a_i_19__n.BLIF un19_fpu_cs_5.BLIF state_machine_un28_as_030_2_n -11 1 -.names state_machine_un31_bgack_030_int_n.BLIF \ -state_machine_un31_bgack_030_int_i_n -0 1 -.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.BLIF \ -state_machine_clk_000_n_sync_2_1_0__n -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_32_i.BLIF N_37_i.BLIF cpu_est_ns_0_1_1__n +.names un12_ciin_3.BLIF un12_ciin_4.BLIF un12_ciin_6 11 1 .names state_machine_un24_bgack_030_int_n.BLIF \ state_machine_un24_bgack_030_int_i_n 0 1 -.names N_223_i.BLIF N_224_i.BLIF cpu_est_ns_0_2_1__n +.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_7_.BLIF AS_030_000_SYNC_0_sqmuxa_1 11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i +.names RW_000_c.BLIF RW_000_i 0 1 -.names inst_AS_000_INT.BLIF inst_CLK_000_D0.BLIF \ +.names nEXP_SPACE_c.BLIF un19_fpu_cs_i.BLIF AS_030_000_SYNC_0_sqmuxa_2 +11 1 +.names UDS_000_c.BLIF UDS_000_i +0 1 +.names state_machine_un38_clk_000_d0_1_n.BLIF VMA_INT_i.BLIF \ +state_machine_un38_clk_000_d0_1_0_n +11 1 +.names LDS_000_c.BLIF LDS_000_i +0 1 +.names CLK_000_D0_i.BLIF VPA_D_i.BLIF state_machine_un5_clk_000_d0_1_n +11 1 +.names state_machine_un8_bgack_030_int_n.BLIF \ +state_machine_un8_bgack_030_int_i_n +0 1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF state_machine_un5_clk_000_d0_2_n +11 1 +.names CLK_030_H_1_sqmuxa_1.BLIF CLK_030_H_1_sqmuxa_1_i +0 1 +.names state_machine_un10_clk_000_d0_2_n.BLIF inst_AS_000_INT.BLIF \ state_machine_un10_clk_000_d0_1_n 11 1 -.names inst_CLK_000_D2.BLIF CLK_000_D2_i +.names DS_000_DMA_1_sqmuxa_1.BLIF DS_000_DMA_1_sqmuxa_1_i 0 1 -.names N_35.BLIF cpu_est_2_.BLIF state_machine_un10_clk_000_d0_2_n +.names inst_CLK_000_D0.BLIF cpu_est_i_0__n.BLIF \ +state_machine_un10_clk_000_d0_2_0_n 11 1 -.names inst_CLK_000_D3.BLIF CLK_000_D3_i +.names DTACK_c.BLIF DTACK_i 0 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 +.names state_machine_un10_clk_000_d0_1_n.BLIF \ +state_machine_un10_clk_000_d0_2_0_n.BLIF state_machine_un10_clk_000_d0_3_n 11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i +.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n 0 1 -.names sm_amiga_i_7__n.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 +.names N_175_1.BLIF RW_c.BLIF N_175_1_0 11 1 -.names state_machine_un28_as_030_n.BLIF state_machine_un28_as_030_i_n +.names N_111.BLIF N_111_i 0 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_96_i_1 +.names nEXP_SPACE_i.BLIF AS_000_DMA_i.BLIF un3_dtack_i_1 11 1 .names nEXP_SPACE_c.BLIF nEXP_SPACE_i 0 1 -.names AS_030_c.BLIF CLK_000_c.BLIF state_machine_un8_bg_030_1_n -11 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF state_machine_un8_bg_030_2_n -11 1 -.names RW_c.BLIF RW_i -0 1 .names state_machine_un3_bgack_030_int_d_i_n.BLIF \ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i +.names RW_c.BLIF RW_i 0 1 -.names a_i_16__n.BLIF a_i_17__n.BLIF N_33_1 +.names N_111_i.BLIF sm_amiga_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n +.names state_machine_un31_bgack_030_int_n.BLIF \ +state_machine_un31_bgack_030_int_i_n 0 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_33_2 +.names sm_amiga_i_7__n.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n +.names inst_VPA_D.BLIF VPA_D_i 0 1 -.names a_c_17__n.BLIF BGACK_000_c.BLIF un19_fpu_cs_5_1 +.names size_c_i_1__n.BLIF A0_c_i.BLIF state_machine_un7_ds_030_i_1_n 11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n +.names VPA_c.BLIF VPA_i 0 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF un19_fpu_cs_5_2 +.names AS_030_i.BLIF a_c_17__n.BLIF un19_fpu_cs_1 11 1 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF G_109 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names a_i_16__n.BLIF a_i_18__n.BLIF un19_fpu_cs_2 +11 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names a_i_19__n.BLIF BGACK_000_c.BLIF un19_fpu_cs_3 +11 1 +.names N_165.BLIF N_165_i_0 +0 1 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF un19_fpu_cs_4 +11 1 +.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i +0 1 +.names un19_fpu_cs_1.BLIF un19_fpu_cs_2.BLIF un19_fpu_cs_5 +11 1 +.names N_96.BLIF N_96_i +0 1 +.names un19_fpu_cs_3.BLIF un19_fpu_cs_4.BLIF un19_fpu_cs_6 +11 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names inst_CLK_000_D3.BLIF CLK_000_D4_i.BLIF DSACK1_INT_0_sqmuxa_1 +11 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF SM_AMIGA_0_sqmuxa_1_1 +11 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names N_167_i.BLIF N_168_i.BLIF cpu_est_ns_0_1_2__n +11 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names CLK_000_D2_i.BLIF CLK_000_D3_i.BLIF \ +state_machine_clk_000_p_sync_3_1_0__n +11 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names inst_CLK_000_D0.BLIF RW_c.BLIF DS_000_ENABLE_0_sqmuxa_1 +11 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names N_90_i.BLIF N_91_i.BLIF N_101_1 +11 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names SM_AMIGA_0_sqmuxa_1_i.BLIF sm_amiga_i_0__n.BLIF N_101_2 +11 1 +.names a_c_24__n.BLIF a_i_24__n +0 1 +.names N_101_1.BLIF N_101_2.BLIF N_101_3 +11 1 +.names un5_ciin.BLIF un5_ciin_i +0 1 +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_100_1 +11 1 +.names un12_ciin.BLIF un12_ciin_i +0 1 +.names N_90.BLIF BERR_i.BLIF N_98_1 +11 1 +.names CLK_CNT_N_0_.BLIF clk_cnt_n_i_0__n +0 1 +.names N_91.BLIF BERR_i.BLIF N_97_1 +11 1 +.names BERR_c.BLIF SM_AMIGA_3_.BLIF N_107_1 +11 1 +.names RST_c.BLIF RST_i +0 1 +.names N_99_i.BLIF N_97_i.BLIF sm_amiga_ns_0_1_0__n +11 1 +.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF G_108 01 1 10 1 11 0 00 0 -.names CLK_CNT_P_1_.BLIF CLK_CNT_P_0_.BLIF G_115 +.names CLK_CNT_P_1_.BLIF CLK_CNT_P_0_.BLIF G_114 01 1 10 1 11 0 @@ -1368,7 +1350,7 @@ CLK_OUT_PRE_25_0 10 1 11 0 00 0 -.names a_c_21__n.BLIF a_c_22__n.BLIF un28_ciin_0_x2 +.names cpu_est_0_.BLIF state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse 01 1 10 1 11 0 @@ -1415,7 +1397,7 @@ CLK_OUT_PRE_25_0 .names inst_CLK_OUT_PRE_33reg.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names un28_ciin.BLIF CIIN +.names un5_ciin.BLIF CIIN 1 1 0 0 .names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ @@ -1424,6 +1406,9 @@ CLK_OUT_PRE_25_0 .names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names cpu_estse.BLIF cpu_est_0_.D +1 1 +0 0 .names CLK_OSZI_c.BLIF cpu_est_0_.C 1 1 0 0 @@ -1448,30 +1433,6 @@ CLK_OUT_PRE_25_0 .names RST_i.BLIF cpu_est_3_reg.AR 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_7_.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_6_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_5_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_4_.AR -1 1 -0 0 .names CLK_OSZI_c.BLIF SM_AMIGA_3_.C 1 1 0 0 @@ -1496,75 +1457,6 @@ CLK_OUT_PRE_25_0 .names RST_i.BLIF SM_AMIGA_0_.AR 1 1 0 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_6_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_7_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_8_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_9_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_10_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_11_.AR -1 1 -0 0 -.names CLK_OSZI_i.BLIF CLK_CNT_N_0_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_N_0_.AR -1 1 -0 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D -1 1 -0 0 -.names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_N_1_.AP -1 1 -0 0 .names CLK_OSZI_c.BLIF CLK_CNT_P_0_.C 1 1 0 0 @@ -1610,6 +1502,36 @@ CLK_OUT_PRE_25_0 .names RST_i.BLIF IPL_030DFFSH_2_reg.AP 1 1 0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_7_.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_6_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_5_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_4_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C +1 1 +0 0 +.names RST_i.BLIF CLK_000_P_SYNC_0_.AR +1 1 +0 0 .names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D 1 1 0 0 @@ -1691,55 +1613,37 @@ CLK_OUT_PRE_25_0 .names RST_i.BLIF CLK_000_P_SYNC_9_.AR 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C +.names CLK_OSZI_i.BLIF CLK_CNT_N_0_.C 1 1 0 0 -.names RST_i.BLIF CLK_000_N_SYNC_0_.AR +.names RST_i.BLIF CLK_CNT_N_0_.AR 1 1 0 0 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D +.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C +.names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C 1 1 0 0 -.names RST_i.BLIF CLK_000_N_SYNC_1_.AR +.names RST_i.BLIF CLK_CNT_N_1_.AP 1 1 0 0 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C +.names RST_i.BLIF inst_RW_000_INT.AP 1 1 0 0 -.names RST_i.BLIF CLK_000_N_SYNC_2_.AR +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C 1 1 0 0 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D +.names RST_i.BLIF inst_VMA_INTreg.AP 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C 1 1 0 0 -.names RST_i.BLIF CLK_000_N_SYNC_3_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_4_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_5_.AR +.names RST_i.BLIF inst_BGACK_030_INTreg.AP 1 1 0 0 .names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D @@ -1751,16 +1655,16 @@ CLK_OUT_PRE_25_0 .names RST_i.BLIF inst_CLK_OUT_PRE_25.AR 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C 1 1 0 0 -.names RST_i.BLIF inst_BGACK_030_INTreg.AP +.names RST_i.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C 1 1 0 0 -.names RST_i.BLIF CLK_000_P_SYNC_0_.AR +.names RST_i.BLIF inst_AS_030_000_SYNC.AP 1 1 0 0 .names CLK_OSZI_c.BLIF inst_AS_000_INT.C @@ -1799,18 +1703,6 @@ CLK_OUT_PRE_25_0 .names RST_i.BLIF inst_UDS_000_INT.AP 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_RW_000_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -0 0 -.names RST_i.BLIF inst_VMA_INTreg.AP -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_A0_DMA.C 1 1 0 0 @@ -1838,21 +1730,27 @@ CLK_OUT_PRE_25_0 .names RST_i.BLIF inst_AS_000_DMA.AP 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C +.names inst_CLK_000_D3.BLIF inst_CLK_000_D4.D 1 1 0 0 -.names RST_i.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP +.names CLK_OSZI_c.BLIF inst_CLK_000_D4.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -0 0 -.names RST_i.BLIF inst_AS_030_000_SYNC.AP +.names RST_i.BLIF inst_CLK_000_D4.AP 1 1 0 0 .names RST_i.BLIF inst_CLK_OUT_PRE_33reg.AR 1 1 0 0 +.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_000_D2.C +1 1 +0 0 +.names RST_i.BLIF inst_CLK_000_D2.AP +1 1 +0 0 .names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE.D 1 1 0 0 @@ -1871,15 +1769,6 @@ CLK_OUT_PRE_25_0 .names RST_i.BLIF inst_CLK_000_D3.AP 1 1 0 0 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D2.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_D2.AP -1 1 -0 0 .names vcc_n_n.BLIF RESETDFFRHreg.D 1 1 0 0 @@ -1934,7 +1823,7 @@ CLK_OUT_PRE_25_0 .names RST_i.BLIF inst_CLK_OUT_PRE_D.AR 1 1 0 0 -.names CLK_000_c.BLIF inst_CLK_000_D0.D +.names CLK_000.BLIF inst_CLK_000_D0.D 1 1 0 0 .names CLK_OSZI_c.BLIF inst_CLK_000_D0.C @@ -1961,15 +1850,6 @@ CLK_OUT_PRE_25_0 .names RST_i.BLIF inst_avec_expreg.AR 1 1 0 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_NE.AR -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C 1 1 0 0 @@ -2102,9 +1982,6 @@ CLK_OUT_PRE_25_0 .names CLK_030.BLIF CLK_030_c 1 1 0 0 -.names CLK_000.BLIF CLK_000_c -1 1 -0 0 .names CLK_OSZI.BLIF CLK_OSZI_c 1 1 0 0 @@ -2174,7 +2051,7 @@ CLK_OUT_PRE_25_0 .names BGACK_030_INT_i.BLIF RW.OE 1 1 0 0 -.names N_247.BLIF CIIN.OE +.names un16_ciin_i.BLIF CIIN.OE 1 1 0 0 .end diff --git a/Logic/68030_tk.bl3 b/Logic/68030_tk.bl3 index 699da10..cde2825 100644 --- a/Logic/68030_tk.bl3 +++ b/Logic/68030_tk.bl3 @@ -1,30 +1,26 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Mon Jun 09 20:20:30 2014 +#$ DATE Sun Jun 15 16:36:43 2014 #$ MODULE 68030_tk -#$ PINS 59 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 \ -# LDS_000 SIZE_0_ A0 A_30_ nEXP_SPACE A_29_ BERR A_28_ BG_030 A_27_ BG_000 A_26_ BGACK_030 \ -# A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000 A_22_ CLK_OSZI A_21_ CLK_DIV_OUT A_20_ \ -# CLK_EXP A_19_ FPU_CS A_18_ DSACK1 A_17_ DTACK A_16_ AVEC IPL_030_1_ AVEC_EXP IPL_030_0_ E \ -# IPL_1_ VPA IPL_0_ VMA FC_0_ RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ -# AMIGA_BUS_ENABLE_LOW CIIN -#$ NODES 76 inst_BGACK_030_INTreg inst_avec_expreg inst_VMA_INTreg \ +#$ PINS 59 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ +# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 IPL_030_1_ DS_030 \ +# IPL_030_0_ UDS_000 IPL_1_ LDS_000 IPL_0_ A0 FC_0_ nEXP_SPACE BERR BG_030 BG_000 BGACK_030 \ +# BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 DTACK AVEC AVEC_EXP \ +# E VPA VMA RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN \ +# SIZE_0_ A_30_ A_29_ +#$ NODES 64 inst_BGACK_030_INTreg inst_avec_expreg inst_VMA_INTreg \ # inst_AMIGA_BUS_ENABLE_INTreg inst_CLK_OUT_PRE_33reg inst_AS_030_000_SYNC \ # inst_BGACK_030_INT_D inst_AS_000_DMA inst_VPA_D inst_CLK_OUT_PRE_50_D \ -# inst_CLK_000_D0 CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 \ -# inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_000_NE inst_CLK_OUT_PRE_D \ -# inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_ inst_AS_000_INT SM_AMIGA_7_ \ -# SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_6_ SM_AMIGA_4_ CLK_000_N_SYNC_6_ CLK_CNT_P_1_ \ -# CLK_CNT_N_1_ inst_RW_000_INT inst_DSACK1_INT inst_CLK_030_H CLK_CNT_P_0_ \ -# inst_RW_000_DMA inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT \ -# inst_DS_000_DMA SIZE_DMA_0_ SIZE_DMA_1_ inst_A0_DMA CLK_000_P_SYNC_0_ \ -# CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ \ -# CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ \ -# CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ \ -# CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ \ -# BG_000DFFSHreg CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ \ -# SM_AMIGA_2_ CLK_OUT_INTreg IPL_030DFFSH_0_reg IPL_030DFFSH_1_reg \ -# IPL_030DFFSH_2_reg cpu_est_0_ cpu_est_1_ cpu_est_2_ RESETDFFRHreg cpu_est_3_reg \ -# CLK_PRE_66_0 +# inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D4 CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 \ +# inst_CLK_OUT_PRE_25 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_OUT_PRE_D \ +# inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ inst_AS_000_INT SM_AMIGA_7_ SM_AMIGA_6_ \ +# SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ inst_CLK_030_H CLK_CNT_P_1_ CLK_CNT_N_1_ \ +# inst_RW_000_INT inst_DSACK1_INT CLK_CNT_P_0_ inst_RW_000_DMA inst_LDS_000_INT \ +# inst_DS_000_ENABLE inst_UDS_000_INT inst_DS_000_DMA SIZE_DMA_0_ SIZE_DMA_1_ \ +# inst_A0_DMA CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ \ +# CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ \ +# CLK_000_P_SYNC_7_ BG_000DFFSHreg CLK_000_P_SYNC_8_ un16_ciin SM_AMIGA_5_ \ +# SM_AMIGA_3_ SM_AMIGA_2_ CLK_OUT_INTreg IPL_030DFFSH_0_reg IPL_030DFFSH_1_reg \ +# IPL_030DFFSH_2_reg cpu_est_0_ cpu_est_1_ cpu_est_2_ cpu_est_3_reg RESETDFFRHreg .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BERR.BLIF \ BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF VPA.BLIF \ @@ -35,51 +31,40 @@ inst_BGACK_030_INTreg.BLIF inst_avec_expreg.BLIF inst_VMA_INTreg.BLIF \ inst_AMIGA_BUS_ENABLE_INTreg.BLIF inst_CLK_OUT_PRE_33reg.BLIF \ inst_AS_030_000_SYNC.BLIF inst_BGACK_030_INT_D.BLIF inst_AS_000_DMA.BLIF \ inst_VPA_D.BLIF inst_CLK_OUT_PRE_50_D.BLIF inst_CLK_000_D0.BLIF \ -CLK_CNT_N_0_.BLIF inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_25.BLIF \ -inst_CLK_000_D1.BLIF inst_CLK_000_D2.BLIF inst_CLK_000_D3.BLIF \ -inst_CLK_000_NE.BLIF inst_CLK_OUT_PRE_D.BLIF inst_CLK_OUT_PRE.BLIF \ -CLK_000_P_SYNC_9_.BLIF CLK_000_N_SYNC_11_.BLIF inst_AS_000_INT.BLIF \ -SM_AMIGA_7_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_6_.BLIF \ -SM_AMIGA_4_.BLIF CLK_000_N_SYNC_6_.BLIF CLK_CNT_P_1_.BLIF CLK_CNT_N_1_.BLIF \ -inst_RW_000_INT.BLIF inst_DSACK1_INT.BLIF inst_CLK_030_H.BLIF \ +inst_CLK_000_D1.BLIF inst_CLK_000_D4.BLIF CLK_CNT_N_0_.BLIF \ +inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_25.BLIF inst_CLK_000_D2.BLIF \ +inst_CLK_000_D3.BLIF inst_CLK_OUT_PRE_D.BLIF inst_CLK_OUT_PRE.BLIF \ +CLK_000_P_SYNC_9_.BLIF inst_AS_000_INT.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_6_.BLIF \ +SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_4_.BLIF inst_CLK_030_H.BLIF \ +CLK_CNT_P_1_.BLIF CLK_CNT_N_1_.BLIF inst_RW_000_INT.BLIF inst_DSACK1_INT.BLIF \ CLK_CNT_P_0_.BLIF inst_RW_000_DMA.BLIF inst_LDS_000_INT.BLIF \ inst_DS_000_ENABLE.BLIF inst_UDS_000_INT.BLIF inst_DS_000_DMA.BLIF \ SIZE_DMA_0_.BLIF SIZE_DMA_1_.BLIF inst_A0_DMA.BLIF CLK_000_P_SYNC_0_.BLIF \ CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.BLIF \ CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.BLIF \ -CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.BLIF CLK_000_N_SYNC_0_.BLIF \ -CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.BLIF \ -CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_7_.BLIF \ -CLK_000_N_SYNC_8_.BLIF BG_000DFFSHreg.BLIF CLK_000_N_SYNC_9_.BLIF \ -CLK_000_N_SYNC_10_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF \ +CLK_000_P_SYNC_7_.BLIF BG_000DFFSHreg.BLIF CLK_000_P_SYNC_8_.BLIF \ +un16_ciin.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF \ CLK_OUT_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF IPL_030DFFSH_1_reg.BLIF \ IPL_030DFFSH_2_reg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -RESETDFFRHreg.BLIF cpu_est_3_reg.BLIF CLK_PRE_66_0.BLIF AS_030.PIN.BLIF \ -AS_000.PIN.BLIF RW_000.PIN.BLIF DS_030.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF DSACK1.PIN.BLIF \ -DTACK.PIN.BLIF RW.PIN.BLIF +cpu_est_3_reg.BLIF RESETDFFRHreg.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ +RW_000.PIN.BLIF DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ +SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF DSACK1.PIN.BLIF DTACK.PIN.BLIF \ +RW.PIN.BLIF .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS AVEC AVEC_EXP \ E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN \ IPL_030_1_ IPL_030_0_ cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D \ cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR \ -cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR SM_AMIGA_7_.D SM_AMIGA_7_.C \ -SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D \ -SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR \ -SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C \ -SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D \ -SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C \ -CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C \ -CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C \ -CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR \ -CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C \ +cpu_est_3_reg.C cpu_est_3_reg.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D \ +SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR \ +SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_P_0_.D CLK_CNT_P_0_.C \ CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_DMA_0_.D \ SIZE_DMA_0_.C SIZE_DMA_0_.AP SIZE_DMA_1_.D SIZE_DMA_1_.C SIZE_DMA_1_.AP \ IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP \ IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP \ -IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP \ +IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D \ +SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR \ +SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C \ +SM_AMIGA_4_.AR CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR \ CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR \ CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR \ CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR \ @@ -88,227 +73,99 @@ CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR \ CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR \ CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR \ CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR \ -CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR \ -CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR \ -CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR \ -CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_3_.AR \ -CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_4_.AR \ -CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_5_.AR \ -inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP \ -CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR inst_AS_000_INT.D \ -inst_AS_000_INT.C inst_AS_000_INT.AP inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ -inst_DS_000_ENABLE.AR BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP \ -inst_DSACK1_INT.D inst_DSACK1_INT.C inst_DSACK1_INT.AP inst_LDS_000_INT.D \ -inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.D inst_UDS_000_INT.C \ -inst_UDS_000_INT.AP inst_RW_000_INT.D inst_RW_000_INT.C inst_RW_000_INT.AP \ -inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_A0_DMA.D inst_A0_DMA.C \ +CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_CNT_N_0_.D \ +CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP \ +inst_RW_000_INT.D inst_RW_000_INT.C inst_RW_000_INT.AP inst_VMA_INTreg.C \ +inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C \ +inst_BGACK_030_INTreg.AP inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C \ +inst_CLK_OUT_PRE_25.AR inst_AMIGA_BUS_ENABLE_INTreg.D \ +inst_AMIGA_BUS_ENABLE_INTreg.C inst_AMIGA_BUS_ENABLE_INTreg.AP \ +inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP \ +inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_000_INT.AP inst_DS_000_ENABLE.D \ +inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR BG_000DFFSHreg.D BG_000DFFSHreg.C \ +BG_000DFFSHreg.AP inst_DSACK1_INT.D inst_DSACK1_INT.C inst_DSACK1_INT.AP \ +inst_LDS_000_INT.D inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.D \ +inst_UDS_000_INT.C inst_UDS_000_INT.AP inst_A0_DMA.D inst_A0_DMA.C \ inst_A0_DMA.AP inst_CLK_030_H.D inst_CLK_030_H.C inst_RW_000_DMA.D \ inst_RW_000_DMA.C inst_RW_000_DMA.AP inst_DS_000_DMA.D inst_DS_000_DMA.C \ inst_DS_000_DMA.AP inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_000_DMA.AP \ -inst_AMIGA_BUS_ENABLE_INTreg.D inst_AMIGA_BUS_ENABLE_INTreg.C \ -inst_AMIGA_BUS_ENABLE_INTreg.AP inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C \ -inst_AS_030_000_SYNC.AP inst_CLK_OUT_PRE_33reg.D inst_CLK_OUT_PRE_33reg.C \ -inst_CLK_OUT_PRE_33reg.AR inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C \ -inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP \ -inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP RESETDFFRHreg.D \ -RESETDFFRHreg.C RESETDFFRHreg.AR CLK_OUT_INTreg.D CLK_OUT_INTreg.C \ -CLK_OUT_INTreg.AR inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP \ -inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP \ -inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR \ -inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR \ -inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.D \ -inst_VPA_D.C inst_VPA_D.AP inst_avec_expreg.D inst_avec_expreg.C \ -inst_avec_expreg.AR inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_000_NE.AR \ -inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR SIZE_1_ \ -AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 DSACK1 DTACK RW SIZE_0_ \ -CLK_PRE_66_0 AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE \ -SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE \ -inst_VMA_INTreg.D.X1 inst_VMA_INTreg.D.X2 -.names inst_avec_expreg.BLIF cpu_est_0_.BLIF cpu_est_0_.D -10 1 -01 1 -00 0 -11 0 -.names inst_avec_expreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -cpu_est_3_reg.BLIF cpu_est_1_.D -110-- 1 --01-- 1 -0-1-- 1 -1--00 1 -1--11 1 -11110 0 -11101 0 --0010 0 --0001 0 -0-0-- 0 -.names inst_avec_expreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -cpu_est_3_reg.BLIF cpu_est_2_.D -100-- 1 ---11- 1 -0--1- 1 -11--1 1 ---100 0 -110-0 0 --010- 0 -0--0- 0 -.names inst_avec_expreg.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF cpu_est_2_.BLIF \ -cpu_est_3_reg.BLIF cpu_est_3_reg.D -11-0- 1 -1-00- 1 ---0-1 1 -0---1 1 ----01 1 -1-11- 0 --01-0 0 -0---0 0 ----10 0 -.names nEXP_SPACE.BLIF BERR.BLIF VPA.BLIF inst_avec_expreg.BLIF \ -inst_VMA_INTreg.BLIF inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF \ -inst_CLK_000_D1.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF \ -SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF \ -SM_AMIGA_2_.BLIF cpu_est_1_.BLIF cpu_est_3_reg.BLIF DTACK.PIN.BLIF \ -SM_AMIGA_7_.D --------0-0000000--- 1 -------1--0000000--- 1 ------1---0000000--- 1 -0--------0000000--- 1 --00-----------1--0- 1 --00-----------1-1-- 1 --00-1---------1---- 1 --0-0-----------1--- 1 --0------0----1----- 1 --0-0--------1------ 1 --0-0-------1------- 1 --0------01--------- 1 --01-----------1---1 1 --0------0-----1---- 1 ----1------1-------- 1 --0--------1-------- 1 ---010---1-0---1-01- 0 --1--------01------- 0 --1--------0-1------ 0 ---11----1-0---1---0 0 --1--------0----1--- 0 ----1----110---0---- 0 ----1----1-0--10---- 0 -1----001-0000000--- 0 ---0-0---1-000-1001- 0 ---1-----1-000-10--0 0 ---------1-000100--- 0 ---------11000-00--- 0 ----1-----00--001--- 0 ----1-----00-100---- 0 ----1-----001-00---- 0 --1-0------1-------- 0 --1--------0--1----- 0 --1-------10-------- 0 --1--------0---1---- 0 -.names nEXP_SPACE.BLIF BERR.BLIF inst_avec_expreg.BLIF \ -inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \ -SM_AMIGA_7_.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_6_.D -1--0011- 1 --10----1 1 ---1---0- 0 --0----0- 0 ---1--0-- 0 --0---0-- 0 ---1-1--- 0 --0--1--- 0 ---11---- 0 --0-1---- 0 -0-1----- 0 -00------ 0 -------00 0 ------0-0 0 -----1--0 0 ----1---0 0 -0------0 0 -.names BERR.BLIF inst_avec_expreg.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_6_.BLIF \ -SM_AMIGA_5_.BLIF SM_AMIGA_5_.D -1-0-1 1 --1-1- 1 ---10- 0 -0--0- 0 --01-- 0 -00--- 0 ----00 0 --0--0 0 -.names BERR.BLIF inst_avec_expreg.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_4_.BLIF \ -SM_AMIGA_5_.BLIF SM_AMIGA_4_.D -10-1- 1 ---1-1 1 ---00- 0 --10-- 0 -0-0-- 0 ----00 0 --1--0 0 -0---0 0 -.names BERR.BLIF VPA.BLIF inst_avec_expreg.BLIF inst_VMA_INTreg.BLIF \ -inst_CLK_000_NE.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF cpu_est_1_.BLIF \ -cpu_est_3_reg.BLIF DTACK.PIN.BLIF SM_AMIGA_3_.D -10----1-0- 1 -10----11-- 1 -10-1--1--- 1 ---1--1---- 1 -1---0-1--- 1 -11----1--1 1 --0-010-01- 0 --0001--01- 0 --1--10---0 0 --10-1----0 0 ------00--- 0 ---0---0--- 0 -0----0---- 0 -0-0------- 0 -.names BERR.BLIF VPA.BLIF inst_avec_expreg.BLIF inst_VMA_INTreg.BLIF \ -inst_CLK_000_NE.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF cpu_est_1_.BLIF \ +inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP \ +inst_CLK_OUT_PRE_33reg.D inst_CLK_OUT_PRE_33reg.C inst_CLK_OUT_PRE_33reg.AR \ +inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_OUT_PRE.D \ +inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D inst_CLK_000_D3.C \ +inst_CLK_000_D3.AP RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR \ +CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_CLK_000_D1.D \ +inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.D \ +inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.D \ +inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.D \ +inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.D inst_CLK_000_D0.C \ +inst_CLK_000_D0.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_avec_expreg.D \ +inst_avec_expreg.C inst_avec_expreg.AR inst_CLK_OUT_PRE_50.D \ +inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR SIZE_1_ AS_030 AS_000 RW_000 \ +DS_030 UDS_000 LDS_000 A0 DSACK1 DTACK RW SIZE_0_ un16_ciin AS_030.OE \ +AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE \ +A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE inst_VMA_INTreg.D.X1 \ +inst_VMA_INTreg.D.X2 SM_AMIGA_3_.D.X1 SM_AMIGA_3_.D.X2 cpu_est_3_reg.D.X1 \ +cpu_est_3_reg.D.X2 +.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF cpu_est_0_.BLIF \ +cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_reg.BLIF cpu_est_1_.D +1010-- 1 +--01-- 1 +10--00 1 +10--11 1 +-1-1-- 1 +0--1-- 1 +101110 0 +101101 0 +--0010 0 +--0001 0 +-1-0-- 0 +0--0-- 0 +.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF cpu_est_0_.BLIF \ +cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_reg.BLIF cpu_est_2_.D +1000-- 1 +---11- 1 +101--1 1 +-1--1- 1 +0---1- 1 +--1-00 0 +1010-0 0 +--010- 0 +-1--0- 0 +0---0- 0 +.names BERR.BLIF VPA.BLIF inst_VMA_INTreg.BLIF inst_CLK_000_D0.BLIF \ +inst_CLK_000_D1.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF cpu_est_1_.BLIF \ cpu_est_3_reg.BLIF DTACK.PIN.BLIF SM_AMIGA_2_.D --0-011-01- 1 -1-0---1--- 1 --1--11---0 1 +-00011-01- 1 +-1-011---0 1 +1--0--1--- 1 -0----0-0- 0 --01-----0- 0 00------0- 0 -0----01-- 0 --01----1-- 0 00-----1-- 0 --0-1--0--- 0 --011------ 0 -00-1------ 0 +-01---0--- 0 +001------- 0 -----00--- 0 ----0-0--- 0 ---1--0---- 0 0----0---- 0 ---1-0----- 0 0---0----- 0 -1----0--1 0 --11------1 0 01-------1 0 -.names BERR.BLIF inst_avec_expreg.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_2_.BLIF SM_AMIGA_1_.D -1-01- 1 --1--1 1 --0-0- 0 --01-- 0 -00--- 0 ----00 0 ---1-0 0 -0---0 0 -.names BERR.BLIF inst_avec_expreg.BLIF inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF \ -SM_AMIGA_0_.BLIF SM_AMIGA_0_.D ---110 1 -10--1 1 ----00 0 ---0-0 0 --1--1 0 -0---1 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF CLK_CNT_N_0_.D -00 1 -11 1 -10 0 -01 0 +---1------ 0 +.names BERR.BLIF inst_CLK_000_D0.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_2_.BLIF \ +SM_AMIGA_1_.D +111- 1 +-1-1 1 +-0-- 0 +--00 0 +0--0 0 +.names BERR.BLIF inst_CLK_000_D0.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF \ +SM_AMIGA_0_.D +-01- 1 +10-1 1 +0-0- 0 +--00 0 +-1-- 0 .names CLK_CNT_P_1_.BLIF CLK_CNT_P_0_.BLIF CLK_CNT_P_0_.D 00 1 11 1 @@ -329,37 +186,95 @@ LDS_000.PIN.BLIF SIZE_DMA_1_.D 1--- 1 0010 0 0001 0 -.names IPL_0_.BLIF inst_avec_expreg.BLIF IPL_030DFFSH_0_reg.BLIF \ -IPL_030DFFSH_0_reg.D -11- 1 --01 1 -01- 0 --00 0 -.names IPL_1_.BLIF inst_avec_expreg.BLIF IPL_030DFFSH_1_reg.BLIF \ -IPL_030DFFSH_1_reg.D -11- 1 --01 1 -01- 0 --00 0 -.names IPL_2_.BLIF inst_avec_expreg.BLIF IPL_030DFFSH_2_reg.BLIF \ -IPL_030DFFSH_2_reg.D -11- 1 --01 1 -01- 0 --00 0 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF inst_CLK_000_D2.BLIF \ -inst_CLK_000_D3.BLIF CLK_000_N_SYNC_0_.D -0111 1 ---0- 0 +.names IPL_0_.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \ +IPL_030DFFSH_0_reg.BLIF IPL_030DFFSH_0_reg.D +110- 1 +--11 1 +-0-1 1 +010- 0 +--10 0 +-0-0 0 +.names IPL_1_.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \ +IPL_030DFFSH_1_reg.BLIF IPL_030DFFSH_1_reg.D +110- 1 +--11 1 +-0-1 1 +010- 0 +--10 0 +-0-0 0 +.names IPL_2_.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \ +IPL_030DFFSH_2_reg.BLIF IPL_030DFFSH_2_reg.D +110- 1 +--11 1 +-0-1 1 +010- 0 +--10 0 +-0-0 0 +.names nEXP_SPACE.BLIF BERR.BLIF VPA.BLIF inst_VMA_INTreg.BLIF \ +inst_AS_030_000_SYNC.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \ +SM_AMIGA_6_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF SM_AMIGA_4_.BLIF \ +SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_2_.BLIF cpu_est_1_.BLIF \ +cpu_est_3_reg.BLIF DTACK.PIN.BLIF SM_AMIGA_7_.D +-0---1--1-------- 1 +-0---1------1---- 1 +-0---1-----1----- 1 +----1--0000000--- 1 +0------0000000--- 1 +------00000000--- 1 +-----1-00-0000--- 1 +-00---------1--0- 1 +-00---------1-1-- 1 +-001--------1---- 1 +-0---0-------1--- 1 +-0---0----1------ 1 +-0---0-1--------- 1 +-01---------1---1 1 +-0----0-----1---- 1 +-----1---1------- 1 +-0-------1------- 1 +-1-----1-0------- 0 +-1-------01------ 0 +-1-------0---1--- 0 +--00-010-00-1001- 0 +1---0010-00-00--- 0 +--1--010-00-10--0 0 +-----0-0-00100--- 0 +-----0-0100-00--- 0 +-----1--00-001--- 0 +-----1--00100---- 0 +-----1-100-00---- 0 +-1---0---1------- 0 +-1-------0-1----- 0 +-1------10------- 0 +-1-------0--1---- 0 +.names nEXP_SPACE.BLIF BERR.BLIF inst_AS_030_000_SYNC.BLIF \ +inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_6_.BLIF \ +SM_AMIGA_6_.D +1-0011- 1 +-1-0--1 1 +-0---0- 0 +-0--0-- 0 +-01---- 0 +00----- 0 +-----00 0 +----0-0 0 +---1--- 0 +--1---0 0 +0-----0 0 +.names BERR.BLIF inst_CLK_000_D0.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_5_.BLIF \ +SM_AMIGA_5_.D +-11- 1 +11-1 1 +0-0- 0 +--00 0 -0-- 0 -1--- 0 ----0 0 -.names BGACK_000.BLIF inst_BGACK_030_INTreg.BLIF inst_avec_expreg.BLIF \ -inst_BGACK_030_INTreg.D -11- 1 -1-1 1 --00 0 -0-- 0 +.names BERR.BLIF inst_CLK_000_D0.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_5_.BLIF \ +SM_AMIGA_4_.D +101- 1 +-0-1 1 +-1-- 0 +--00 0 +0--0 0 .names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF inst_CLK_000_D2.BLIF \ inst_CLK_000_D3.BLIF CLK_000_P_SYNC_0_.D 1000 1 @@ -367,63 +282,12 @@ inst_CLK_000_D3.BLIF CLK_000_P_SYNC_0_.D -1-- 0 0--- 0 ---1 0 -.names BERR.BLIF inst_avec_expreg.BLIF inst_AS_000_INT.BLIF SM_AMIGA_6_.BLIF \ -AS_030.PIN.BLIF inst_AS_000_INT.D ---10- 1 --01-- 1 -0--0- 1 -00--- 1 ----01 1 --0--1 1 -1-0-0 0 --1-1- 0 -.names BERR.BLIF inst_avec_expreg.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF \ -inst_DS_000_ENABLE.BLIF AS_030.PIN.BLIF RW.PIN.BLIF inst_DS_000_ENABLE.D -1---10- 1 --1-1--- 1 --11---1 1 ---00-1- 0 ---000-- 0 -0-00--- 0 ----0-10 0 --0---1- 0 ----00-0 0 --0--0-- 0 -0--0--0 0 -00----- 0 -.names nEXP_SPACE.BLIF BG_030.BLIF CLK_000.BLIF SM_AMIGA_7_.BLIF \ -BG_000DFFSHreg.BLIF AS_030.PIN.BLIF BG_000DFFSHreg.D ----01- 1 ---0-1- 1 -0---1- 1 -----10 1 --1---- 1 -1011-1 0 --0--0- 0 -.names BERR.BLIF SM_AMIGA_1_.BLIF CLK_000_N_SYNC_6_.BLIF inst_DSACK1_INT.BLIF \ -AS_030.PIN.BLIF inst_DSACK1_INT.D ---01- 1 --0-1- 1 -0-0-- 1 -00--- 1 ---0-1 1 --0--1 1 -1--00 0 --11-- 0 -.names inst_LDS_000_INT.BLIF DS_030.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ -A0.PIN.BLIF inst_LDS_000_INT.D --0100 1 -11--- 1 -01--- 0 --0-1- 0 --00-- 0 --0--1 0 -.names inst_UDS_000_INT.BLIF DS_030.PIN.BLIF A0.PIN.BLIF inst_UDS_000_INT.D -11- 1 --01 1 -01- 0 --00 0 -.names inst_avec_expreg.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_6_.BLIF \ +.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF CLK_CNT_N_0_.D +00 1 +11 1 +10 0 +01 0 +.names inst_CLK_000_D0.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_6_.BLIF \ inst_RW_000_INT.BLIF RW.PIN.BLIF inst_RW_000_INT.D --01- 1 0--1- 1 @@ -432,89 +296,35 @@ inst_RW_000_INT.BLIF RW.PIN.BLIF inst_RW_000_INT.D 101-0 0 -000- 0 00-0- 0 -.names inst_BGACK_030_INTreg.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF \ -LDS_000.PIN.BLIF inst_A0_DMA.D -0010 1 ---0- 0 --1-- 0 -1--- 0 ----1 0 -.names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -inst_CLK_030_H.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ -inst_CLK_030_H.D -1100-00- 1 -1100-0-0 1 ---0-100- 1 ---0-10-0 1 --0--1--- 1 --1---1-- 0 --11----- 0 --1----11 0 ----10--- 0 --0--0--- 0 -0---0--- 0 -.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_RW_000_DMA.BLIF \ -AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ -inst_RW_000_DMA.D -1-1---- 1 -0---1-- 1 ----1--- 1 --1----- 1 ------11 1 -00-000- 0 -1000-0- 0 -00-00-0 0 -1000--0 0 -.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -inst_CLK_030_H.BLIF inst_DS_000_DMA.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ -UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_DS_000_DMA.D -0-11----- 1 ----01-0-- 1 -1---1-0-- 1 ---1---1-- 1 ------1--- 1 --1------- 1 --------11 1 -0001-0-0- 0 --0-00000- 0 -10--0000- 0 -0001-0--0 0 --0-0000-0 0 -10--000-0 0 --00--010- 0 --00--01-0 0 -.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ -AS_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_AS_000_DMA.D -1-1--- 1 ----1-- 1 --1---- 1 -----11 1 --0000- 0 -00-00- 0 --000-0 0 -00-0-0 0 +.names BGACK_000.BLIF inst_BGACK_030_INTreg.BLIF inst_CLK_000_D0.BLIF \ +inst_CLK_000_D1.BLIF inst_BGACK_030_INTreg.D +1-10 1 +11-- 1 +-00- 0 +0--- 0 +-0-1 0 .names nEXP_SPACE.BLIF inst_BGACK_030_INTreg.BLIF \ inst_AMIGA_BUS_ENABLE_INTreg.BLIF inst_AS_030_000_SYNC.BLIF \ inst_BGACK_030_INT_D.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \ -inst_CLK_000_NE.BLIF SM_AMIGA_7_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF \ -AS_030.PIN.BLIF inst_AMIGA_BUS_ENABLE_INTreg.D --11-----0--- 1 --1----0-100- 1 --1---1--100- 1 --1-1----100- 1 -01------100- 1 --1-----1-1-1 1 --11-------1- 1 --11------1-- 1 --1------11-1 1 --1--------11 1 --1--0------- 1 -1--0101-100- 0 ---0-1--00-0- 0 ---0-1---000- 0 ---0-1-----10 0 ---0-1----1-0 0 --0---------- 0 +SM_AMIGA_7_.BLIF SM_AMIGA_1_.BLIF SM_AMIGA_0_.BLIF AS_030.PIN.BLIF \ +inst_AMIGA_BUS_ENABLE_INTreg.D +-1-----11-1 1 +-1----0100- 1 +-1---1-100- 1 +-1-1---100- 1 +01-----100- 1 +-11------1- 1 +-11----0--- 1 +-1---0--1-1 1 +-11-----1-- 1 +-1--0------ 1 +-1-------11 1 +1--0101100- 0 +--0-11-0-0- 0 +--0-1--000- 0 +--0-1----10 0 +--0-1---1-0 0 +-0--------- 0 .names FC_1_.BLIF nEXP_SPACE.BLIF BERR.BLIF BGACK_000.BLIF A_19_.BLIF \ A_18_.BLIF A_17_.BLIF A_16_.BLIF FC_0_.BLIF inst_BGACK_030_INTreg.BLIF \ inst_AS_030_000_SYNC.BLIF SM_AMIGA_7_.BLIF AS_030.PIN.BLIF \ @@ -533,23 +343,159 @@ inst_AS_030_000_SYNC.D -110-----1-10 0 011------1-10 0 --1-------0-0 0 +.names BERR.BLIF inst_CLK_000_D0.BLIF inst_AS_000_INT.BLIF SM_AMIGA_6_.BLIF \ +AS_030.PIN.BLIF inst_AS_000_INT.D +--10- 1 +-01-- 1 +0--0- 1 +00--- 1 +---01 1 +-0--1 1 +1-0-0 0 +-1-1- 0 +.names BERR.BLIF inst_CLK_000_D0.BLIF SM_AMIGA_6_.BLIF SM_AMIGA_4_.BLIF \ +inst_DS_000_ENABLE.BLIF AS_030.PIN.BLIF RW.PIN.BLIF inst_DS_000_ENABLE.D +1---10- 1 +-1-1--- 1 +-11---1 1 +--00-1- 0 +--000-- 0 +0-00--- 0 +---0-10 0 +-0---1- 0 +---00-0 0 +-0--0-- 0 +0--0--0 0 +00----- 0 +.names nEXP_SPACE.BLIF BG_030.BLIF inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF \ +BG_000DFFSHreg.BLIF AS_030.PIN.BLIF BG_000DFFSHreg.D +---11- 1 +--0-1- 1 +0---1- 1 +----10 1 +-1---- 1 +1010-1 0 +-0--0- 0 +.names BERR.BLIF inst_CLK_000_D4.BLIF inst_CLK_000_D3.BLIF SM_AMIGA_1_.BLIF \ +inst_DSACK1_INT.BLIF AS_030.PIN.BLIF inst_DSACK1_INT.D +---01- 1 +--0-1- 1 +-1--1- 1 +0--0-- 1 +0-0--- 1 +01---- 1 +---0-1 1 +--0--1 1 +-1---1 1 +1---00 0 +-011-- 0 +.names inst_LDS_000_INT.BLIF DS_030.PIN.BLIF SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF \ +A0.PIN.BLIF inst_LDS_000_INT.D +-0100 1 +11--- 1 +01--- 0 +-0-1- 0 +-00-- 0 +-0--1 0 +.names inst_UDS_000_INT.BLIF DS_030.PIN.BLIF A0.PIN.BLIF inst_UDS_000_INT.D +11- 1 +-01 1 +01- 0 +-00 0 +.names inst_BGACK_030_INTreg.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF \ +LDS_000.PIN.BLIF inst_A0_DMA.D +0010 1 +--0- 0 +-1-- 0 +1--- 0 +---1 0 +.names CLK_030.BLIF RST.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ +inst_CLK_030_H.BLIF AS_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ +inst_CLK_030_H.D +0100-00- 1 +0100-0-0 1 +--0-100- 1 +--0-10-0 1 +-0--1--- 1 +-1---1-- 0 +-11----- 0 +-1----11 0 +---10--- 0 +-0--0--- 0 +1---0--- 0 +.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_RW_000_DMA.BLIF \ +AS_000.PIN.BLIF RW_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ +inst_RW_000_DMA.D +0-1---- 1 +1---1-- 1 +---1--- 1 +-1----- 1 +-----11 1 +10-000- 0 +0000-0- 0 +10-00-0 0 +0000--0 0 +.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ +inst_CLK_030_H.BLIF inst_DS_000_DMA.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ +UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_DS_000_DMA.D +1-11----- 1 +---01-0-- 1 +0---1-0-- 1 +--1---1-- 1 +-----1--- 1 +-1------- 1 +-------11 1 +1001-0-0- 0 +-0-00000- 0 +00--0000- 0 +1001-0--0 0 +-0-0000-0 0 +00--000-0 0 +-00--010- 0 +-00--01-0 0 +.names CLK_030.BLIF inst_BGACK_030_INTreg.BLIF inst_AS_000_DMA.BLIF \ +AS_000.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF inst_AS_000_DMA.D +0-1--- 1 +---1-- 1 +-1---- 1 +----11 1 +-0000- 0 +10-00- 0 +-000-0 0 +10-0-0 0 .names inst_CLK_OUT_PRE_33reg.BLIF inst_CLK_OUT_PRE_33reg.D 0 1 1 0 -.names CLK_PRE_66_0.BLIF inst_CLK_OUT_PRE_33reg.C -0 1 -1 0 +.names CLK_CNT_N_0_.BLIF CLK_CNT_P_1_.BLIF CLK_CNT_N_1_.BLIF CLK_CNT_P_0_.BLIF \ +inst_CLK_OUT_PRE_33reg.C +-11- 1 +0--1 1 +1-0- 0 +10-- 0 +--00 0 +-0-0 0 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 1 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_P_1_.BLIF CLK_CNT_N_1_.BLIF CLK_CNT_P_0_.BLIF \ -CLK_PRE_66_0 -1-0- 1 -10-- 1 ---00 1 --0-0 1 --11- 0 -0--1 0 +.names A_31_.BLIF nEXP_SPACE.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF \ +A_26_.BLIF A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ +AS_030.PIN.BLIF un16_ciin +-0----------0- 1 +-0---------0-- 1 +-0--------0--- 1 +-0-------0---- 1 +-0-----------1 1 +--------1----0 1 +-------1-----0 1 +------1------0 1 +-----1-------0 1 +----1--------0 1 +---1---------0 1 +--1----------0 1 +1------------0 1 +0-000000011110 0 +010000000----- 0 +-1-----------1 0 .names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ 1 1 0 0 @@ -604,27 +550,36 @@ AMIGA_BUS_DATA_DIR .names inst_CLK_OUT_PRE_33reg.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF \ -A_17_.BLIF A_16_.BLIF CIIN -111-0000 1 -0100---- 1 -0010---- 1 -1111---- 1 -1--01--- 0 -1--0-1-- 0 -1--0--1- 0 -1--0---1 0 -0--1---- 0 -011----- 0 --00----- 0 -1-0----- 0 -10------ 0 +.names A_31_.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF \ +A_25_.BLIF A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF \ +AS_030.PIN.BLIF CIIN +0000000011110 1 +-----------0- 0 +----------0-- 0 +---------0--- 0 +--------0---- 0 +-------1----- 0 +------1------ 0 +-----1------- 0 +----1-------- 0 +---1--------- 0 +--1---------- 0 +-1----------- 0 +1------------ 0 +------------1 0 .names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ 1 1 0 0 .names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF cpu_est_0_.BLIF cpu_est_0_.D +100 1 +-11 1 +0-1 1 +101 0 +-10 0 +0-0 0 .names CLK_OSZI.BLIF cpu_est_0_.C 1 1 0 0 @@ -649,30 +604,6 @@ A_17_.BLIF A_16_.BLIF CIIN .names RST.BLIF cpu_est_3_reg.AR 0 1 1 0 -.names CLK_OSZI.BLIF SM_AMIGA_7_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_7_.AP -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_6_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_6_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_5_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names RST.BLIF SM_AMIGA_4_.AR -0 1 -1 0 .names CLK_OSZI.BLIF SM_AMIGA_3_.C 1 1 0 0 @@ -697,75 +628,6 @@ A_17_.BLIF A_16_.BLIF CIIN .names RST.BLIF SM_AMIGA_0_.AR 0 1 1 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_6_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_7_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_8_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_8_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_9_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_10_.AR -0 1 -1 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_11_.AR -0 1 -1 0 -.names CLK_OSZI.BLIF CLK_CNT_N_0_.C -0 1 -1 0 -.names RST.BLIF CLK_CNT_N_0_.AR -0 1 -1 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_CNT_N_1_.C -0 1 -1 0 -.names RST.BLIF CLK_CNT_N_1_.AP -0 1 -1 0 .names CLK_OSZI.BLIF CLK_CNT_P_0_.C 1 1 0 0 @@ -811,6 +673,36 @@ A_17_.BLIF A_16_.BLIF CIIN .names RST.BLIF IPL_030DFFSH_2_reg.AP 0 1 1 0 +.names CLK_OSZI.BLIF SM_AMIGA_7_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_7_.AP +0 1 +1 0 +.names CLK_OSZI.BLIF SM_AMIGA_6_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_6_.AR +0 1 +1 0 +.names CLK_OSZI.BLIF SM_AMIGA_5_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_5_.AR +0 1 +1 0 +.names CLK_OSZI.BLIF SM_AMIGA_4_.C +1 1 +0 0 +.names RST.BLIF SM_AMIGA_4_.AR +0 1 +1 0 +.names CLK_OSZI.BLIF CLK_000_P_SYNC_0_.C +1 1 +0 0 +.names RST.BLIF CLK_000_P_SYNC_0_.AR +0 1 +1 0 .names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D 1 1 0 0 @@ -892,55 +784,37 @@ A_17_.BLIF A_16_.BLIF CIIN .names RST.BLIF CLK_000_P_SYNC_9_.AR 0 1 1 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_0_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_0_.AR +.names CLK_OSZI.BLIF CLK_CNT_N_0_.C 0 1 1 0 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_1_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_1_.AR +.names RST.BLIF CLK_CNT_N_0_.AR 0 1 1 0 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D +.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D 1 1 0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_2_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_2_.AR +.names CLK_OSZI.BLIF CLK_CNT_N_1_.C 0 1 1 0 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_3_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_3_.AR +.names RST.BLIF CLK_CNT_N_1_.AP 0 1 1 0 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D +.names CLK_OSZI.BLIF inst_RW_000_INT.C 1 1 0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_4_.C -1 1 -0 0 -.names RST.BLIF CLK_000_N_SYNC_4_.AR +.names RST.BLIF inst_RW_000_INT.AP 0 1 1 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D +.names CLK_OSZI.BLIF inst_VMA_INTreg.C 1 1 0 0 -.names CLK_OSZI.BLIF CLK_000_N_SYNC_5_.C +.names RST.BLIF inst_VMA_INTreg.AP +0 1 +1 0 +.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C 1 1 0 0 -.names RST.BLIF CLK_000_N_SYNC_5_.AR +.names RST.BLIF inst_BGACK_030_INTreg.AP 0 1 1 0 .names inst_CLK_OUT_PRE_50_D.BLIF inst_CLK_OUT_PRE_50.BLIF \ @@ -957,16 +831,16 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D .names RST.BLIF inst_CLK_OUT_PRE_25.AR 0 1 1 0 -.names CLK_OSZI.BLIF inst_BGACK_030_INTreg.C +.names CLK_OSZI.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C 1 1 0 0 -.names RST.BLIF inst_BGACK_030_INTreg.AP +.names RST.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP 0 1 1 0 -.names CLK_OSZI.BLIF CLK_000_P_SYNC_0_.C +.names CLK_OSZI.BLIF inst_AS_030_000_SYNC.C 1 1 0 0 -.names RST.BLIF CLK_000_P_SYNC_0_.AR +.names RST.BLIF inst_AS_030_000_SYNC.AP 0 1 1 0 .names CLK_OSZI.BLIF inst_AS_000_INT.C @@ -1005,18 +879,6 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D .names RST.BLIF inst_UDS_000_INT.AP 0 1 1 0 -.names CLK_OSZI.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names RST.BLIF inst_RW_000_INT.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_VMA_INTreg.C -1 1 -0 0 -.names RST.BLIF inst_VMA_INTreg.AP -0 1 -1 0 .names CLK_OSZI.BLIF inst_A0_DMA.C 1 1 0 0 @@ -1044,21 +906,27 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D .names RST.BLIF inst_AS_000_DMA.AP 0 1 1 0 -.names CLK_OSZI.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C +.names inst_CLK_000_D3.BLIF inst_CLK_000_D4.D 1 1 0 0 -.names RST.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP -0 1 -1 0 -.names CLK_OSZI.BLIF inst_AS_030_000_SYNC.C +.names CLK_OSZI.BLIF inst_CLK_000_D4.C 1 1 0 0 -.names RST.BLIF inst_AS_030_000_SYNC.AP +.names RST.BLIF inst_CLK_000_D4.AP 0 1 1 0 .names RST.BLIF inst_CLK_OUT_PRE_33reg.AR 0 1 1 0 +.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D +1 1 +0 0 +.names CLK_OSZI.BLIF inst_CLK_000_D2.C +1 1 +0 0 +.names RST.BLIF inst_CLK_000_D2.AP +0 1 +1 0 .names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE.D 1 1 0 0 @@ -1077,15 +945,6 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D .names RST.BLIF inst_CLK_000_D3.AP 0 1 1 0 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_D2.C -1 1 -0 0 -.names RST.BLIF inst_CLK_000_D2.AP -0 1 -1 0 .names RESETDFFRHreg.D 1 .names CLK_OSZI.BLIF RESETDFFRHreg.C @@ -1166,15 +1025,6 @@ inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE_25.D .names RST.BLIF inst_avec_expreg.AR 0 1 1 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI.BLIF inst_CLK_000_NE.C -1 1 -0 0 -.names RST.BLIF inst_CLK_000_NE.AR -0 1 -1 0 .names CLK_OSZI.BLIF inst_CLK_OUT_PRE_50.C 1 1 0 0 @@ -1272,17 +1122,9 @@ DTACK.OE .names inst_BGACK_030_INTreg.BLIF RW.OE 0 1 1 0 -.names A_31_.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF \ -A_25_.BLIF A_24_.BLIF CIIN.OE -00000000 1 -------1- 0 ------1-- 0 -----1--- 0 ----1---- 0 ---1----- 0 --1------ 0 -1------- 0 --------1 0 +.names un16_ciin.BLIF CIIN.OE +0 1 +1 0 .names inst_VMA_INTreg.BLIF inst_CLK_000_D0.BLIF inst_VMA_INTreg.D.X1 10 1 0- 0 @@ -1301,4 +1143,42 @@ cpu_est_3_reg.BLIF inst_VMA_INTreg.D.X2 0----0-- 0 0-----0- 0 0------1 0 +.names BERR.BLIF inst_CLK_000_D0.BLIF SM_AMIGA_3_.BLIF SM_AMIGA_3_.D.X1 +101 1 +0-- 0 +-1- 0 +--0 0 +.names BERR.BLIF VPA.BLIF inst_VMA_INTreg.BLIF inst_CLK_000_D0.BLIF \ +inst_CLK_000_D1.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_3_.BLIF cpu_est_1_.BLIF \ +cpu_est_3_reg.BLIF DTACK.PIN.BLIF SM_AMIGA_3_.D.X2 +100-1-101- 1 +11--1-1--0 1 +---1-1---- 1 +1--1--1--- 1 +0--0------ 0 +---00----- 0 +0----0---- 0 +---0--0--- 0 +-----00--- 0 +-010------ 0 +-0-0---1-- 0 +-0-0----0- 0 +-1-0-----1 0 +.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF cpu_est_1_.BLIF \ +cpu_est_2_.BLIF cpu_est_3_reg.BLIF cpu_est_3_reg.D.X1 +10111 1 +0---- 0 +-1--- 0 +--0-- 0 +---0- 0 +----0 0 +.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.BLIF cpu_est_0_.BLIF \ +cpu_est_1_.BLIF cpu_est_2_.BLIF cpu_est_3_reg.BLIF cpu_est_3_reg.D.X2 +-----1 1 +101-0- 1 +10-00- 1 +0----0 0 +-1---0 0 +----10 0 +--01-0 0 .end diff --git a/Logic/68030_tk.crf b/Logic/68030_tk.crf index fbeca04..5f04d88 100644 --- a/Logic/68030_tk.crf +++ b/Logic/68030_tk.crf @@ -1,7 +1,7 @@ // Signal Name Cross Reference File // ispLEVER Classic 1.7.00.05.28.13 -// Design '68030_tk' created Mon Jun 09 20:20:30 2014 +// Design '68030_tk' created Sun Jun 15 16:36:43 2014 // LEGEND: '>' Functional Block Port Separator diff --git a/Logic/68030_tk.eq3 b/Logic/68030_tk.eq3 index c366c3c..07983e0 100644 --- a/Logic/68030_tk.eq3 +++ b/Logic/68030_tk.eq3 @@ -2,7 +2,7 @@ Copyright(C), 1992-2013, Lattice Semiconductor Corp. All Rights Reserved. -Design bus68030 created Mon Jun 09 20:20:30 2014 +Design bus68030 created Sun Jun 15 16:36:43 2014 P-Terms Fan-in Fan-out Type Name (attributes) @@ -19,13 +19,13 @@ Design bus68030 created Mon Jun 09 20:20:30 2014 1 3 1 Pin DTACK.OE 1 0 1 Pin AVEC 2 4 1 Pin AMIGA_BUS_DATA_DIR - 4 8 1 Pin CIIN - 1 8 1 Pin CIIN.OE + 1 13 1 Pin CIIN + 1 1 1 Pin CIIN.OE 1 3 1 Pin SIZE_1_.OE 2 4 1 Pin SIZE_1_.D- 1 1 1 Pin SIZE_1_.AP 1 1 1 Pin SIZE_1_.C - 2 3 1 Pin IPL_030_2_.D + 3 4 1 Pin IPL_030_2_.D 1 1 1 Pin IPL_030_2_.AP 1 1 1 Pin IPL_030_2_.C 1 3 1 Pin AS_030.OE @@ -40,14 +40,16 @@ Design bus68030 created Mon Jun 09 20:20:30 2014 3 5 1 Pin RW_000.D- 1 1 1 Pin RW_000.AP 1 1 1 Pin RW_000.C + 3 4 1 Pin IPL_030_1_.D + 1 1 1 Pin IPL_030_1_.AP + 1 1 1 Pin IPL_030_1_.C 1 3 1 Pin DS_030.OE 7 9 1 Pin DS_030.D 1 1 1 Pin DS_030.AP 1 1 1 Pin DS_030.C - 1 3 1 Pin SIZE_0_.OE - 1 4 1 Pin SIZE_0_.D- - 1 1 1 Pin SIZE_0_.AP - 1 1 1 Pin SIZE_0_.C + 3 4 1 Pin IPL_030_0_.D + 1 1 1 Pin IPL_030_0_.AP + 1 1 1 Pin IPL_030_0_.C 1 3 1 Pin A0.OE 1 4 1 Pin A0.D 1 1 1 Pin A0.AP @@ -55,27 +57,22 @@ Design bus68030 created Mon Jun 09 20:20:30 2014 2 6 1 Pin BG_000.D- 1 1 1 Pin BG_000.AP 1 1 1 Pin BG_000.C - 2 3 1 Pin BGACK_030.D + 2 4 1 Pin BGACK_030.D 1 1 1 Pin BGACK_030.AP 1 1 1 Pin BGACK_030.C 1 1 1 Pin CLK_EXP.AR 1 1 1 Pin CLK_EXP.D 1 1 1 Pin CLK_EXP.C 1 1 1 Pin DSACK1.OE - 2 5 1 Pin DSACK1.D- + 2 6 1 Pin DSACK1.D- 1 1 1 Pin DSACK1.AP 1 1 1 Pin DSACK1.C - 2 3 1 Pin IPL_030_1_.D - 1 1 1 Pin IPL_030_1_.AP - 1 1 1 Pin IPL_030_1_.C 1 1 1 Pin AVEC_EXP.AR 1 1 1 Pin AVEC_EXP.D 1 1 1 Pin AVEC_EXP.C - 2 3 1 Pin IPL_030_0_.D - 1 1 1 Pin IPL_030_0_.AP - 1 1 1 Pin IPL_030_0_.C + 3 6 1 PinX1 E.D.X1 + 1 1 1 PinX2 E.D.X2 1 1 1 Pin E.AR - 4 5 1 Pin E.D- 1 1 1 Pin E.C 2 7 1 PinX1 VMA.D.X1 1 5 1 PinX2 VMA.D.X2 @@ -88,12 +85,16 @@ Design bus68030 created Mon Jun 09 20:20:30 2014 4 7 1 Pin RW.D- 1 1 1 Pin RW.AP 1 1 1 Pin RW.C - 6 12 1 Pin AMIGA_BUS_ENABLE.D- + 6 11 1 Pin AMIGA_BUS_ENABLE.D- 1 1 1 Pin AMIGA_BUS_ENABLE.AP 1 1 1 Pin AMIGA_BUS_ENABLE.C 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.AR 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.D 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.C + 1 3 1 Pin SIZE_0_.OE + 1 4 1 Pin SIZE_0_.D- + 1 1 1 Pin SIZE_0_.AP + 1 1 1 Pin SIZE_0_.C 6 13 1 Node inst_AS_030_000_SYNC.D 1 1 1 Node inst_AS_030_000_SYNC.AP 1 1 1 Node inst_AS_030_000_SYNC.C @@ -109,6 +110,12 @@ Design bus68030 created Mon Jun 09 20:20:30 2014 1 1 1 Node inst_CLK_000_D0.D 1 1 1 Node inst_CLK_000_D0.AP 1 1 1 Node inst_CLK_000_D0.C + 1 1 1 Node inst_CLK_000_D1.D + 1 1 1 Node inst_CLK_000_D1.AP + 1 1 1 Node inst_CLK_000_D1.C + 1 1 1 Node inst_CLK_000_D4.D + 1 1 1 Node inst_CLK_000_D4.AP + 1 1 1 Node inst_CLK_000_D4.C 1 1 1 Node CLK_CNT_N_0_.AR 2 2 1 Node CLK_CNT_N_0_.D 1 1 1 Node CLK_CNT_N_0_.C @@ -118,18 +125,12 @@ Design bus68030 created Mon Jun 09 20:20:30 2014 1 1 1 Node inst_CLK_OUT_PRE_25.AR 3 3 1 Node inst_CLK_OUT_PRE_25.D 1 1 1 Node inst_CLK_OUT_PRE_25.C - 1 1 1 Node inst_CLK_000_D1.D - 1 1 1 Node inst_CLK_000_D1.AP - 1 1 1 Node inst_CLK_000_D1.C 1 1 1 Node inst_CLK_000_D2.D 1 1 1 Node inst_CLK_000_D2.AP 1 1 1 Node inst_CLK_000_D2.C 1 1 1 Node inst_CLK_000_D3.D 1 1 1 Node inst_CLK_000_D3.AP 1 1 1 Node inst_CLK_000_D3.C - 1 1 1 Node inst_CLK_000_NE.AR - 1 1 1 Node inst_CLK_000_NE.D - 1 1 1 Node inst_CLK_000_NE.C 1 1 1 Node inst_CLK_OUT_PRE_D.AR 1 1 1 Node inst_CLK_OUT_PRE_D.D 1 1 1 Node inst_CLK_OUT_PRE_D.C @@ -139,35 +140,29 @@ Design bus68030 created Mon Jun 09 20:20:30 2014 1 1 1 Node CLK_000_P_SYNC_9_.AR 1 1 1 Node CLK_000_P_SYNC_9_.D 1 1 1 Node CLK_000_P_SYNC_9_.C - 1 1 1 Node CLK_000_N_SYNC_11_.AR - 1 1 1 Node CLK_000_N_SYNC_11_.D - 1 1 1 Node CLK_000_N_SYNC_11_.C - 13 17 1 Node SM_AMIGA_7_.D + 11 18 1 Node SM_AMIGA_7_.D- 1 1 1 Node SM_AMIGA_7_.AP 1 1 1 Node SM_AMIGA_7_.C + 1 1 1 Node SM_AMIGA_6_.AR + 2 7 1 Node SM_AMIGA_6_.D + 1 1 1 Node SM_AMIGA_6_.C 1 1 1 Node SM_AMIGA_1_.AR - 2 5 1 Node SM_AMIGA_1_.D + 2 4 1 Node SM_AMIGA_1_.D 1 1 1 Node SM_AMIGA_1_.C 1 1 1 Node SM_AMIGA_0_.AR - 2 5 1 Node SM_AMIGA_0_.D + 2 4 1 Node SM_AMIGA_0_.D 1 1 1 Node SM_AMIGA_0_.C - 1 1 1 Node SM_AMIGA_6_.AR - 2 8 1 Node SM_AMIGA_6_.D - 1 1 1 Node SM_AMIGA_6_.C 1 1 1 Node SM_AMIGA_4_.AR - 2 5 1 Node SM_AMIGA_4_.D + 2 4 1 Node SM_AMIGA_4_.D 1 1 1 Node SM_AMIGA_4_.C - 1 1 1 Node CLK_000_N_SYNC_6_.AR - 1 1 1 Node CLK_000_N_SYNC_6_.D - 1 1 1 Node CLK_000_N_SYNC_6_.C + 5 8 1 Node inst_CLK_030_H.D + 1 1 1 Node inst_CLK_030_H.C 1 1 1 Node CLK_CNT_P_1_.AR 1 1 1 Node CLK_CNT_P_1_.D 1 1 1 Node CLK_CNT_P_1_.C 1 1 1 Node CLK_CNT_N_1_.D 1 1 1 Node CLK_CNT_N_1_.AP 1 1 1 Node CLK_CNT_N_1_.C - 5 8 1 Node inst_CLK_030_H.D - 1 1 1 Node inst_CLK_030_H.C 1 1 1 Node CLK_CNT_P_0_.AR 2 2 1 Node CLK_CNT_P_0_.D 1 1 1 Node CLK_CNT_P_0_.C @@ -207,60 +202,33 @@ Design bus68030 created Mon Jun 09 20:20:30 2014 1 1 1 Node CLK_000_P_SYNC_8_.AR 1 1 1 Node CLK_000_P_SYNC_8_.D 1 1 1 Node CLK_000_P_SYNC_8_.C - 1 1 1 Node CLK_000_N_SYNC_0_.AR - 1 4 1 Node CLK_000_N_SYNC_0_.D - 1 1 1 Node CLK_000_N_SYNC_0_.C - 1 1 1 Node CLK_000_N_SYNC_1_.AR - 1 1 1 Node CLK_000_N_SYNC_1_.D - 1 1 1 Node CLK_000_N_SYNC_1_.C - 1 1 1 Node CLK_000_N_SYNC_2_.AR - 1 1 1 Node CLK_000_N_SYNC_2_.D - 1 1 1 Node CLK_000_N_SYNC_2_.C - 1 1 1 Node CLK_000_N_SYNC_3_.AR - 1 1 1 Node CLK_000_N_SYNC_3_.D - 1 1 1 Node CLK_000_N_SYNC_3_.C - 1 1 1 Node CLK_000_N_SYNC_4_.AR - 1 1 1 Node CLK_000_N_SYNC_4_.D - 1 1 1 Node CLK_000_N_SYNC_4_.C - 1 1 1 Node CLK_000_N_SYNC_5_.AR - 1 1 1 Node CLK_000_N_SYNC_5_.D - 1 1 1 Node CLK_000_N_SYNC_5_.C - 1 1 1 Node CLK_000_N_SYNC_7_.AR - 1 1 1 Node CLK_000_N_SYNC_7_.D - 1 1 1 Node CLK_000_N_SYNC_7_.C - 1 1 1 Node CLK_000_N_SYNC_8_.AR - 1 1 1 Node CLK_000_N_SYNC_8_.D - 1 1 1 Node CLK_000_N_SYNC_8_.C - 1 1 1 Node CLK_000_N_SYNC_9_.AR - 1 1 1 Node CLK_000_N_SYNC_9_.D - 1 1 1 Node CLK_000_N_SYNC_9_.C - 1 1 1 Node CLK_000_N_SYNC_10_.AR - 1 1 1 Node CLK_000_N_SYNC_10_.D - 1 1 1 Node CLK_000_N_SYNC_10_.C + 3 14 1 Node un16_ciin- 1 1 1 Node SM_AMIGA_5_.AR - 2 5 1 Node SM_AMIGA_5_.D + 2 4 1 Node SM_AMIGA_5_.D 1 1 1 Node SM_AMIGA_5_.C 1 1 1 Node SM_AMIGA_3_.AR - 6 10 1 Node SM_AMIGA_3_.D + 5 10 1 Node SM_AMIGA_3_.T 1 1 1 Node SM_AMIGA_3_.C 1 1 1 Node SM_AMIGA_2_.AR 3 10 1 Node SM_AMIGA_2_.D 1 1 1 Node SM_AMIGA_2_.C 1 1 1 Node cpu_est_0_.AR - 2 2 1 Node cpu_est_0_.D + 3 3 1 Node cpu_est_0_.D 1 1 1 Node cpu_est_0_.C 1 1 1 Node cpu_est_1_.AR - 5 5 1 Node cpu_est_1_.D + 4 6 1 Node cpu_est_1_.T 1 1 1 Node cpu_est_1_.C + 3 6 1 NodeX1 cpu_est_2_.D.X1 + 1 1 1 NodeX2 cpu_est_2_.D.X2 1 1 1 Node cpu_est_2_.AR - 4 5 1 Node cpu_est_2_.D 1 1 1 Node cpu_est_2_.C - 2 4 1 Node CLK_PRE_66_0- - 4 11 1 Node SM_AMIGA_7__0 + 2 4 1 Node AMIGA_BUS_ENABLE_LOW_0 + 3 8 1 NodeX1 SM_AMIGA_7__0.X1 + 1 5 1 NodeX2 SM_AMIGA_7__0.X2 ========= - 338 P-Term Total: 338 + 302 P-Term Total: 302 Total Pins: 59 - Total Nodes: 56 + Total Nodes: 45 Average P-Term/Output: 2 @@ -291,12 +259,9 @@ AVEC = (1); AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW.PIN # !nEXP_SPACE & !BGACK_030.Q & !AS_000.PIN & RW.PIN); -CIIN = (A_23_ & A_22_ & A_21_ & A_20_ - # !A_23_ & !A_22_ & A_21_ & !A_20_ - # !A_23_ & A_22_ & !A_21_ & !A_20_ - # A_23_ & A_22_ & A_21_ & !A_19_ & !A_18_ & !A_17_ & !A_16_); +CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !AS_030.PIN); -CIIN.OE = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_); +CIIN.OE = (!un16_ciin); SIZE_1_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); @@ -307,8 +272,9 @@ SIZE_1_.AP = (!RST); SIZE_1_.C = (CLK_OSZI); -IPL_030_2_.D = (IPL_2_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_2_.Q); +IPL_030_2_.D = (!inst_CLK_000_D0.Q & IPL_030_2_.Q + # inst_CLK_000_D1.Q & IPL_030_2_.Q + # IPL_2_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q); IPL_030_2_.AP = (!RST); @@ -318,7 +284,7 @@ AS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); AS_030.D = (BGACK_030.Q # AS_000.PIN - # CLK_030 & AS_030.Q + # !CLK_030 & AS_030.Q # UDS_000.PIN & LDS_000.PIN); AS_030.AP = (!RST); @@ -327,7 +293,7 @@ AS_030.C = (CLK_OSZI); AS_000.OE = (BGACK_030.Q); -!AS_000.D = (AVEC_EXP.Q & SM_AMIGA_6_.Q +!AS_000.D = (inst_CLK_000_D0.Q & SM_AMIGA_6_.Q # BERR & !AS_000.Q & !AS_030.PIN); AS_000.AP = (!RST); @@ -336,35 +302,43 @@ AS_000.C = (CLK_OSZI); RW_000.OE = (BGACK_030.Q); -!RW_000.D = (!AVEC_EXP.Q & !SM_AMIGA_7_.Q & !RW_000.Q +!RW_000.D = (!inst_CLK_000_D0.Q & !SM_AMIGA_7_.Q & !RW_000.Q # !SM_AMIGA_7_.Q & !SM_AMIGA_6_.Q & !RW_000.Q - # AVEC_EXP.Q & !SM_AMIGA_7_.Q & SM_AMIGA_6_.Q & !RW.PIN); + # inst_CLK_000_D0.Q & !SM_AMIGA_7_.Q & SM_AMIGA_6_.Q & !RW.PIN); RW_000.AP = (!RST); RW_000.C = (CLK_OSZI); +IPL_030_1_.D = (!inst_CLK_000_D0.Q & IPL_030_1_.Q + # inst_CLK_000_D1.Q & IPL_030_1_.Q + # IPL_1_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q); + +IPL_030_1_.AP = (!RST); + +IPL_030_1_.C = (CLK_OSZI); + DS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); DS_030.D = (BGACK_030.Q # AS_000.PIN # AS_030.Q & RW_000.PIN # UDS_000.PIN & LDS_000.PIN - # !CLK_030 & AS_030.Q & inst_CLK_030_H.Q - # CLK_030 & DS_030.Q & !RW_000.PIN + # CLK_030 & AS_030.Q & inst_CLK_030_H.Q + # !CLK_030 & DS_030.Q & !RW_000.PIN # !inst_CLK_030_H.Q & DS_030.Q & !RW_000.PIN); DS_030.AP = (!RST); DS_030.C = (CLK_OSZI); -SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); +IPL_030_0_.D = (!inst_CLK_000_D0.Q & IPL_030_0_.Q + # inst_CLK_000_D1.Q & IPL_030_0_.Q + # IPL_0_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q); -!SIZE_0_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & !LDS_000.PIN); +IPL_030_0_.AP = (!RST); -SIZE_0_.AP = (!RST); - -SIZE_0_.C = (CLK_OSZI); +IPL_030_0_.C = (CLK_OSZI); A0.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); @@ -375,14 +349,14 @@ A0.AP = (!RST); A0.C = (CLK_OSZI); !BG_000.D = (!BG_030 & !BG_000.Q - # nEXP_SPACE & !BG_030 & CLK_000 & SM_AMIGA_7_.Q & AS_030.PIN); + # nEXP_SPACE & !BG_030 & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & AS_030.PIN); BG_000.AP = (!RST); BG_000.C = (CLK_OSZI); BGACK_030.D = (BGACK_000 & BGACK_030.Q - # BGACK_000 & AVEC_EXP.Q); + # BGACK_000 & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q); BGACK_030.AP = (!RST); @@ -396,40 +370,27 @@ CLK_EXP.C = (CLK_OSZI); DSACK1.OE = (nEXP_SPACE); -!DSACK1.D = (SM_AMIGA_1_.Q & CLK_000_N_SYNC_6_.Q +!DSACK1.D = (!inst_CLK_000_D4.Q & inst_CLK_000_D3.Q & SM_AMIGA_1_.Q # BERR & !DSACK1.Q & !AS_030.PIN); DSACK1.AP = (!RST); DSACK1.C = (CLK_OSZI); -IPL_030_1_.D = (IPL_1_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_1_.Q); - -IPL_030_1_.AP = (!RST); - -IPL_030_1_.C = (CLK_OSZI); - AVEC_EXP.AR = (!RST); AVEC_EXP.D = (CLK_000_P_SYNC_9_.Q); AVEC_EXP.C = (CLK_OSZI); -IPL_030_0_.D = (IPL_0_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_0_.Q); +E.D.X1 = (inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_1_.Q & cpu_est_2_.Q & E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_0_.Q & !cpu_est_2_.Q & !E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !E.Q); -IPL_030_0_.AP = (!RST); - -IPL_030_0_.C = (CLK_OSZI); +E.D.X2 = (E.Q); E.AR = (!RST); -!E.D = (!AVEC_EXP.Q & !E.Q - # cpu_est_2_.Q & !E.Q - # AVEC_EXP.Q & cpu_est_1_.Q & cpu_est_2_.Q - # !cpu_est_0_.Q & cpu_est_1_.Q & !E.Q); - E.C = (CLK_OSZI); VMA.D.X1 = (VMA.Q @@ -449,10 +410,10 @@ RESET.C = (CLK_OSZI); RW.OE = (!BGACK_030.Q); -!RW.D = (CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !UDS_000.PIN - # !CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !UDS_000.PIN - # CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !LDS_000.PIN - # !CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !LDS_000.PIN); +!RW.D = (!CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !UDS_000.PIN + # CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !UDS_000.PIN + # !CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !LDS_000.PIN + # CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !LDS_000.PIN); RW.AP = (!RST); @@ -461,7 +422,7 @@ RW.C = (CLK_OSZI); !AMIGA_BUS_ENABLE.D = (!BGACK_030.Q # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & SM_AMIGA_1_.Q & !AS_030.PIN # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & SM_AMIGA_0_.Q & !AS_030.PIN - # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & !inst_CLK_000_NE.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_0_.Q + # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & inst_CLK_000_D0.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_0_.Q # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & inst_BGACK_030_INT_D.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_7_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); @@ -473,7 +434,15 @@ AMIGA_BUS_ENABLE_LOW.AR = (!RST); AMIGA_BUS_ENABLE_LOW.D = (!AMIGA_BUS_ENABLE_LOW.Q); -AMIGA_BUS_ENABLE_LOW.C = (!CLK_PRE_66_0); +AMIGA_BUS_ENABLE_LOW.C = (AMIGA_BUS_ENABLE_LOW_0); + +SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); + +!SIZE_0_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & !LDS_000.PIN); + +SIZE_0_.AP = (!RST); + +SIZE_0_.C = (CLK_OSZI); inst_AS_030_000_SYNC.D = (!BERR # AS_030.PIN @@ -510,6 +479,18 @@ inst_CLK_000_D0.AP = (!RST); inst_CLK_000_D0.C = (CLK_OSZI); +inst_CLK_000_D1.D = (inst_CLK_000_D0.Q); + +inst_CLK_000_D1.AP = (!RST); + +inst_CLK_000_D1.C = (CLK_OSZI); + +inst_CLK_000_D4.D = (inst_CLK_000_D3.Q); + +inst_CLK_000_D4.AP = (!RST); + +inst_CLK_000_D4.C = (CLK_OSZI); + CLK_CNT_N_0_.AR = (!RST); CLK_CNT_N_0_.D = (CLK_CNT_N_0_.Q & CLK_CNT_N_1_.Q @@ -531,12 +512,6 @@ inst_CLK_OUT_PRE_25.D = (inst_CLK_OUT_PRE_50_D.Q & inst_CLK_OUT_PRE_25.Q inst_CLK_OUT_PRE_25.C = (CLK_OSZI); -inst_CLK_000_D1.D = (inst_CLK_000_D0.Q); - -inst_CLK_000_D1.AP = (!RST); - -inst_CLK_000_D1.C = (CLK_OSZI); - inst_CLK_000_D2.D = (inst_CLK_000_D1.Q); inst_CLK_000_D2.AP = (!RST); @@ -549,12 +524,6 @@ inst_CLK_000_D3.AP = (!RST); inst_CLK_000_D3.C = (CLK_OSZI); -inst_CLK_000_NE.AR = (!RST); - -inst_CLK_000_NE.D = (CLK_000_N_SYNC_11_.Q); - -inst_CLK_000_NE.C = (CLK_OSZI); - inst_CLK_OUT_PRE_D.AR = (!RST); inst_CLK_OUT_PRE_D.D = (inst_CLK_OUT_PRE.Q); @@ -573,63 +542,57 @@ CLK_000_P_SYNC_9_.D = (CLK_000_P_SYNC_8_.Q); CLK_000_P_SYNC_9_.C = (CLK_OSZI); -CLK_000_N_SYNC_11_.AR = (!RST); - -CLK_000_N_SYNC_11_.D = (CLK_000_N_SYNC_10_.Q); - -CLK_000_N_SYNC_11_.C = (CLK_OSZI); - -SM_AMIGA_7_.D = (SM_AMIGA_7__0 - # !BERR & SM_AMIGA_0_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_6_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_4_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_3_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_2_.Q - # !BERR & !VPA & VMA.Q & SM_AMIGA_3_.Q - # !BERR & !VPA & SM_AMIGA_3_.Q & cpu_est_1_.Q - # !BERR & !VPA & SM_AMIGA_3_.Q & !E.Q - # !BERR & VPA & SM_AMIGA_3_.Q & DTACK.PIN - # !nEXP_SPACE & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); +!SM_AMIGA_7_.D = (SM_AMIGA_7__0 + # BERR & !inst_CLK_000_D0.Q & SM_AMIGA_0_.Q + # BERR & SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q + # BERR & SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q + # BERR & !SM_AMIGA_0_.Q & SM_AMIGA_4_.Q + # BERR & !SM_AMIGA_0_.Q & SM_AMIGA_5_.Q + # BERR & !SM_AMIGA_0_.Q & SM_AMIGA_3_.Q + # BERR & !SM_AMIGA_0_.Q & SM_AMIGA_2_.Q + # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # VPA & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & !DTACK.PIN + # !VPA & !VMA.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & !cpu_est_1_.Q & E.Q); SM_AMIGA_7_.AP = (!RST); SM_AMIGA_7_.C = (CLK_OSZI); +SM_AMIGA_6_.AR = (!RST); + +SM_AMIGA_6_.D = (BERR & !inst_CLK_000_D0.Q & SM_AMIGA_6_.Q + # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_7_.Q); + +SM_AMIGA_6_.C = (CLK_OSZI); + SM_AMIGA_1_.AR = (!RST); -SM_AMIGA_1_.D = (AVEC_EXP.Q & SM_AMIGA_2_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q); +SM_AMIGA_1_.D = (inst_CLK_000_D0.Q & SM_AMIGA_2_.Q + # BERR & inst_CLK_000_D0.Q & SM_AMIGA_1_.Q); SM_AMIGA_1_.C = (CLK_OSZI); SM_AMIGA_0_.AR = (!RST); -SM_AMIGA_0_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_0_.Q - # inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); +SM_AMIGA_0_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_1_.Q + # BERR & !inst_CLK_000_D0.Q & SM_AMIGA_0_.Q); SM_AMIGA_0_.C = (CLK_OSZI); -SM_AMIGA_6_.AR = (!RST); - -SM_AMIGA_6_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_6_.Q - # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_7_.Q); - -SM_AMIGA_6_.C = (CLK_OSZI); - SM_AMIGA_4_.AR = (!RST); -SM_AMIGA_4_.D = (inst_CLK_000_NE.Q & SM_AMIGA_5_.Q - # BERR & !AVEC_EXP.Q & SM_AMIGA_4_.Q); +SM_AMIGA_4_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_5_.Q + # BERR & !inst_CLK_000_D0.Q & SM_AMIGA_4_.Q); SM_AMIGA_4_.C = (CLK_OSZI); -CLK_000_N_SYNC_6_.AR = (!RST); +inst_CLK_030_H.D = (!RST & inst_CLK_030_H.Q + # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN + # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN + # !CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !UDS_000.PIN + # !CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !LDS_000.PIN); -CLK_000_N_SYNC_6_.D = (CLK_000_N_SYNC_5_.Q); - -CLK_000_N_SYNC_6_.C = (CLK_OSZI); +inst_CLK_030_H.C = (CLK_OSZI); CLK_CNT_P_1_.AR = (!RST); @@ -643,14 +606,6 @@ CLK_CNT_N_1_.AP = (!RST); CLK_CNT_N_1_.C = (!CLK_OSZI); -inst_CLK_030_H.D = (!RST & inst_CLK_030_H.Q - # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN - # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN - # CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !UDS_000.PIN - # CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !LDS_000.PIN); - -inst_CLK_030_H.C = (CLK_OSZI); - CLK_CNT_P_0_.AR = (!RST); CLK_CNT_P_0_.D = (CLK_CNT_P_1_.Q & CLK_CNT_P_0_.Q @@ -667,9 +622,9 @@ inst_LDS_000_INT.C = (CLK_OSZI); inst_DS_000_ENABLE.AR = (!RST); -inst_DS_000_ENABLE.D = (AVEC_EXP.Q & SM_AMIGA_4_.Q +inst_DS_000_ENABLE.D = (inst_CLK_000_D0.Q & SM_AMIGA_4_.Q # BERR & inst_DS_000_ENABLE.Q & !AS_030.PIN - # AVEC_EXP.Q & SM_AMIGA_6_.Q & RW.PIN); + # inst_CLK_000_D0.Q & SM_AMIGA_6_.Q & RW.PIN); inst_DS_000_ENABLE.C = (CLK_OSZI); @@ -734,125 +689,70 @@ CLK_000_P_SYNC_8_.D = (CLK_000_P_SYNC_7_.Q); CLK_000_P_SYNC_8_.C = (CLK_OSZI); -CLK_000_N_SYNC_0_.AR = (!RST); - -CLK_000_N_SYNC_0_.D = (!inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & inst_CLK_000_D2.Q & inst_CLK_000_D3.Q); - -CLK_000_N_SYNC_0_.C = (CLK_OSZI); - -CLK_000_N_SYNC_1_.AR = (!RST); - -CLK_000_N_SYNC_1_.D = (CLK_000_N_SYNC_0_.Q); - -CLK_000_N_SYNC_1_.C = (CLK_OSZI); - -CLK_000_N_SYNC_2_.AR = (!RST); - -CLK_000_N_SYNC_2_.D = (CLK_000_N_SYNC_1_.Q); - -CLK_000_N_SYNC_2_.C = (CLK_OSZI); - -CLK_000_N_SYNC_3_.AR = (!RST); - -CLK_000_N_SYNC_3_.D = (CLK_000_N_SYNC_2_.Q); - -CLK_000_N_SYNC_3_.C = (CLK_OSZI); - -CLK_000_N_SYNC_4_.AR = (!RST); - -CLK_000_N_SYNC_4_.D = (CLK_000_N_SYNC_3_.Q); - -CLK_000_N_SYNC_4_.C = (CLK_OSZI); - -CLK_000_N_SYNC_5_.AR = (!RST); - -CLK_000_N_SYNC_5_.D = (CLK_000_N_SYNC_4_.Q); - -CLK_000_N_SYNC_5_.C = (CLK_OSZI); - -CLK_000_N_SYNC_7_.AR = (!RST); - -CLK_000_N_SYNC_7_.D = (CLK_000_N_SYNC_6_.Q); - -CLK_000_N_SYNC_7_.C = (CLK_OSZI); - -CLK_000_N_SYNC_8_.AR = (!RST); - -CLK_000_N_SYNC_8_.D = (CLK_000_N_SYNC_7_.Q); - -CLK_000_N_SYNC_8_.C = (CLK_OSZI); - -CLK_000_N_SYNC_9_.AR = (!RST); - -CLK_000_N_SYNC_9_.D = (CLK_000_N_SYNC_8_.Q); - -CLK_000_N_SYNC_9_.C = (CLK_OSZI); - -CLK_000_N_SYNC_10_.AR = (!RST); - -CLK_000_N_SYNC_10_.D = (CLK_000_N_SYNC_9_.Q); - -CLK_000_N_SYNC_10_.C = (CLK_OSZI); +!un16_ciin = (nEXP_SPACE & AS_030.PIN + # !A_31_ & nEXP_SPACE & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ + # !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !AS_030.PIN); SM_AMIGA_5_.AR = (!RST); -SM_AMIGA_5_.D = (AVEC_EXP.Q & SM_AMIGA_6_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q); +SM_AMIGA_5_.D = (inst_CLK_000_D0.Q & SM_AMIGA_6_.Q + # BERR & inst_CLK_000_D0.Q & SM_AMIGA_5_.Q); SM_AMIGA_5_.C = (CLK_OSZI); SM_AMIGA_3_.AR = (!RST); -SM_AMIGA_3_.D = (AVEC_EXP.Q & SM_AMIGA_4_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_3_.Q - # BERR & !VPA & VMA.Q & SM_AMIGA_3_.Q - # BERR & !VPA & SM_AMIGA_3_.Q & cpu_est_1_.Q - # BERR & !VPA & SM_AMIGA_3_.Q & !E.Q - # BERR & VPA & SM_AMIGA_3_.Q & DTACK.PIN); +SM_AMIGA_3_.T = (!BERR & !inst_CLK_000_D0.Q & SM_AMIGA_3_.Q + # !BERR & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q + # inst_CLK_000_D0.Q & SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q + # VPA & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_3_.Q & !DTACK.PIN + # !VPA & !VMA.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_3_.Q & !cpu_est_1_.Q & E.Q); SM_AMIGA_3_.C = (CLK_OSZI); SM_AMIGA_2_.AR = (!RST); -SM_AMIGA_2_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_2_.Q - # VPA & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & !DTACK.PIN - # !VPA & !VMA.Q & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & !cpu_est_1_.Q & E.Q); +SM_AMIGA_2_.D = (BERR & !inst_CLK_000_D0.Q & SM_AMIGA_2_.Q + # VPA & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_3_.Q & !DTACK.PIN + # !VPA & !VMA.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_3_.Q & !cpu_est_1_.Q & E.Q); SM_AMIGA_2_.C = (CLK_OSZI); cpu_est_0_.AR = (!RST); -cpu_est_0_.D = (!AVEC_EXP.Q & cpu_est_0_.Q - # AVEC_EXP.Q & !cpu_est_0_.Q); +cpu_est_0_.D = (!inst_CLK_000_D0.Q & cpu_est_0_.Q + # inst_CLK_000_D1.Q & cpu_est_0_.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_0_.Q); cpu_est_0_.C = (CLK_OSZI); cpu_est_1_.AR = (!RST); -cpu_est_1_.D = (!AVEC_EXP.Q & cpu_est_1_.Q - # !cpu_est_0_.Q & cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_0_.Q & !cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_2_.Q & E.Q - # AVEC_EXP.Q & !cpu_est_2_.Q & !E.Q); +cpu_est_1_.T = (inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_1_.Q & cpu_est_2_.Q & E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_0_.Q & !cpu_est_2_.Q & E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_0_.Q & cpu_est_2_.Q & !E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !E.Q); cpu_est_1_.C = (CLK_OSZI); -cpu_est_2_.AR = (!RST); +cpu_est_2_.D.X1 = (inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_0_.Q & !cpu_est_2_.Q & E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_0_.Q & !cpu_est_1_.Q & cpu_est_2_.Q & !E.Q); -cpu_est_2_.D = (!AVEC_EXP.Q & cpu_est_2_.Q - # cpu_est_1_.Q & cpu_est_2_.Q - # AVEC_EXP.Q & !cpu_est_0_.Q & !cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_0_.Q & E.Q); +cpu_est_2_.D.X2 = (cpu_est_2_.Q); + +cpu_est_2_.AR = (!RST); cpu_est_2_.C = (CLK_OSZI); -!CLK_PRE_66_0 = (CLK_CNT_P_1_.Q & CLK_CNT_N_1_.Q +AMIGA_BUS_ENABLE_LOW_0 = (CLK_CNT_P_1_.Q & CLK_CNT_N_1_.Q # !CLK_CNT_N_0_.Q & CLK_CNT_P_0_.Q); -SM_AMIGA_7__0 = (AVEC_EXP.Q & SM_AMIGA_0_.Q - # inst_AS_030_000_SYNC.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # inst_CLK_000_D0.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # !inst_CLK_000_D1.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); +SM_AMIGA_7__0.X1 = (!inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q & SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # !inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); + +SM_AMIGA_7__0.X2 = (inst_CLK_000_D0.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q); Reverse-Polarity Equations: diff --git a/Logic/68030_tk.fti b/Logic/68030_tk.fti index 67cc32f..efee022 100644 --- a/Logic/68030_tk.fti +++ b/Logic/68030_tk.fti @@ -4,13 +4,14 @@ #DEVICE mach447a DATA LOCATION A0:G_8_69 // IO -DATA LOCATION AMIGA_BUS_DATA_DIR:E_4_48 // OUT +DATA LOCATION AMIGA_BUS_DATA_DIR:E_0_48 // OUT DATA LOCATION AMIGA_BUS_ENABLE:D_5_34 // IO {RN_AMIGA_BUS_ENABLE} DATA LOCATION AMIGA_BUS_ENABLE_LOW:C_12_20 // IO {RN_AMIGA_BUS_ENABLE_LOW} +DATA LOCATION AMIGA_BUS_ENABLE_LOW_0:A_1 // NOD DATA LOCATION AS_000:D_4_33 // IO {RN_AS_000} DATA LOCATION AS_030:H_8_82 // IO {RN_AS_030} DATA LOCATION AVEC:A_4_92 // OUT -DATA LOCATION AVEC_EXP:C_0_22 // IO {RN_AVEC_EXP} +DATA LOCATION AVEC_EXP:C_0_22 // OUT DATA LOCATION A_16_:A_*_96 // INP DATA LOCATION A_17_:F_*_59 // INP DATA LOCATION A_18_:A_*_95 // INP @@ -32,39 +33,26 @@ DATA LOCATION BGACK_000:D_*_28 // INP DATA LOCATION BGACK_030:H_4_83 // IO {RN_BGACK_030} DATA LOCATION BG_000:D_13_29 // IO {RN_BG_000} DATA LOCATION BG_030:C_*_21 // INP -DATA LOCATION CIIN:E_0_47 // OUT +DATA LOCATION CIIN:E_12_47 // OUT DATA LOCATION CLK_000:*_*_11 // INP -DATA LOCATION CLK_000_N_SYNC_0_:A_5 // NOD -DATA LOCATION CLK_000_N_SYNC_10_:C_5 // NOD -DATA LOCATION CLK_000_N_SYNC_11_:A_6 // NOD -DATA LOCATION CLK_000_N_SYNC_1_:F_2 // NOD -DATA LOCATION CLK_000_N_SYNC_2_:G_9 // NOD -DATA LOCATION CLK_000_N_SYNC_3_:C_2 // NOD -DATA LOCATION CLK_000_N_SYNC_4_:C_13 // NOD -DATA LOCATION CLK_000_N_SYNC_5_:B_2 // NOD -DATA LOCATION CLK_000_N_SYNC_6_:C_10 // NOD -DATA LOCATION CLK_000_N_SYNC_7_:H_6 // NOD -DATA LOCATION CLK_000_N_SYNC_8_:C_9 // NOD -DATA LOCATION CLK_000_N_SYNC_9_:B_13 // NOD -DATA LOCATION CLK_000_P_SYNC_0_:A_2 // NOD -DATA LOCATION CLK_000_P_SYNC_1_:G_2 // NOD -DATA LOCATION CLK_000_P_SYNC_2_:C_6 // NOD -DATA LOCATION CLK_000_P_SYNC_3_:G_13 // NOD -DATA LOCATION CLK_000_P_SYNC_4_:A_13 // NOD -DATA LOCATION CLK_000_P_SYNC_5_:B_10 // NOD -DATA LOCATION CLK_000_P_SYNC_6_:E_5 // NOD -DATA LOCATION CLK_000_P_SYNC_7_:A_9 // NOD -DATA LOCATION CLK_000_P_SYNC_8_:B_6 // NOD -DATA LOCATION CLK_000_P_SYNC_9_:G_6 // NOD +DATA LOCATION CLK_000_P_SYNC_0_:G_2 // NOD +DATA LOCATION CLK_000_P_SYNC_1_:B_13 // NOD +DATA LOCATION CLK_000_P_SYNC_2_:E_9 // NOD +DATA LOCATION CLK_000_P_SYNC_3_:C_2 // NOD +DATA LOCATION CLK_000_P_SYNC_4_:E_5 // NOD +DATA LOCATION CLK_000_P_SYNC_5_:C_13 // NOD +DATA LOCATION CLK_000_P_SYNC_6_:E_1 // NOD +DATA LOCATION CLK_000_P_SYNC_7_:G_13 // NOD +DATA LOCATION CLK_000_P_SYNC_8_:G_9 // NOD +DATA LOCATION CLK_000_P_SYNC_9_:A_6 // NOD DATA LOCATION CLK_030:*_*_64 // INP -DATA LOCATION CLK_CNT_N_0_:E_1 // NOD -DATA LOCATION CLK_CNT_N_1_:E_9 // NOD -DATA LOCATION CLK_CNT_P_0_:E_12 // NOD -DATA LOCATION CLK_CNT_P_1_:E_13 // NOD +DATA LOCATION CLK_CNT_N_0_:A_9 // NOD +DATA LOCATION CLK_CNT_N_1_:A_13 // NOD +DATA LOCATION CLK_CNT_P_0_:A_5 // NOD +DATA LOCATION CLK_CNT_P_1_:A_2 // NOD DATA LOCATION CLK_DIV_OUT:G_1_65 // OUT DATA LOCATION CLK_EXP:B_0_10 // OUT DATA LOCATION CLK_OSZI:*_*_61 // Cin -DATA LOCATION CLK_PRE_66_0:E_8 // NOD DATA LOCATION DSACK1:H_12_81 // IO {RN_DSACK1} DATA LOCATION DS_030:A_0_98 // IO {RN_DS_030} DATA LOCATION DTACK:D_0_30 // IO @@ -84,7 +72,6 @@ DATA LOCATION RN_AMIGA_BUS_ENABLE:D_5 // NOD {AMIGA_BUS_ENABLE} DATA LOCATION RN_AMIGA_BUS_ENABLE_LOW:C_12 // NOD {AMIGA_BUS_ENABLE_LOW} DATA LOCATION RN_AS_000:D_4 // NOD {AS_000} DATA LOCATION RN_AS_030:H_8 // NOD {AS_030} -DATA LOCATION RN_AVEC_EXP:C_0 // NOD {AVEC_EXP} DATA LOCATION RN_BGACK_030:H_4 // NOD {BGACK_030} DATA LOCATION RN_BG_000:D_13 // NOD {BG_000} DATA LOCATION RN_DSACK1:H_12 // NOD {DSACK1} @@ -101,39 +88,40 @@ DATA LOCATION RW:G_0_71 // IO {RN_RW} DATA LOCATION RW_000:H_0_80 // IO {RN_RW_000} DATA LOCATION SIZE_0_:G_12_70 // IO DATA LOCATION SIZE_1_:H_1_79 // IO -DATA LOCATION SM_AMIGA_0_:F_12 // NOD -DATA LOCATION SM_AMIGA_1_:F_4 // NOD -DATA LOCATION SM_AMIGA_2_:F_9 // NOD -DATA LOCATION SM_AMIGA_3_:F_5 // NOD -DATA LOCATION SM_AMIGA_4_:F_8 // NOD -DATA LOCATION SM_AMIGA_5_:F_13 // NOD -DATA LOCATION SM_AMIGA_6_:A_8 // NOD +DATA LOCATION SM_AMIGA_0_:F_5 // NOD +DATA LOCATION SM_AMIGA_1_:F_12 // NOD +DATA LOCATION SM_AMIGA_2_:F_2 // NOD +DATA LOCATION SM_AMIGA_3_:F_9 // NOD +DATA LOCATION SM_AMIGA_4_:F_1 // NOD +DATA LOCATION SM_AMIGA_5_:C_5 // NOD +DATA LOCATION SM_AMIGA_6_:F_4 // NOD DATA LOCATION SM_AMIGA_7_:F_0 // NOD -DATA LOCATION SM_AMIGA_7__0:F_1 // NOD +DATA LOCATION SM_AMIGA_7__0:F_13 // NOD DATA LOCATION UDS_000:D_8_32 // IO DATA LOCATION VMA:D_1_35 // IO {RN_VMA} DATA LOCATION VPA:*_*_36 // INP -DATA LOCATION cpu_est_0_:D_6 // NOD -DATA LOCATION cpu_est_1_:G_5 // NOD +DATA LOCATION cpu_est_0_:H_9 // NOD +DATA LOCATION cpu_est_1_:F_8 // NOD DATA LOCATION cpu_est_2_:D_2 // NOD -DATA LOCATION inst_AS_030_000_SYNC:H_9 // NOD -DATA LOCATION inst_BGACK_030_INT_D:D_10 // NOD -DATA LOCATION inst_CLK_000_D0:B_5 // NOD +DATA LOCATION inst_AS_030_000_SYNC:B_5 // NOD +DATA LOCATION inst_BGACK_030_INT_D:H_2 // NOD +DATA LOCATION inst_CLK_000_D0:C_4 // NOD DATA LOCATION inst_CLK_000_D1:D_9 // NOD -DATA LOCATION inst_CLK_000_D2:F_6 // NOD -DATA LOCATION inst_CLK_000_D3:A_10 // NOD -DATA LOCATION inst_CLK_000_NE:A_12 // NOD -DATA LOCATION inst_CLK_030_H:A_1 // NOD -DATA LOCATION inst_CLK_OUT_PRE:E_2 // NOD -DATA LOCATION inst_CLK_OUT_PRE_25:C_4 // NOD -DATA LOCATION inst_CLK_OUT_PRE_50:H_2 // NOD -DATA LOCATION inst_CLK_OUT_PRE_50_D:H_10 // NOD -DATA LOCATION inst_CLK_OUT_PRE_D:H_13 // NOD -DATA LOCATION inst_DS_000_ENABLE:B_9 // NOD -DATA LOCATION inst_LDS_000_INT:C_1 // NOD -DATA LOCATION inst_UDS_000_INT:C_8 // NOD -DATA LOCATION inst_VPA_D:G_10 // NOD +DATA LOCATION inst_CLK_000_D2:H_13 // NOD +DATA LOCATION inst_CLK_000_D3:C_8 // NOD +DATA LOCATION inst_CLK_000_D4:E_2 // NOD +DATA LOCATION inst_CLK_030_H:A_12 // NOD +DATA LOCATION inst_CLK_OUT_PRE:E_13 // NOD +DATA LOCATION inst_CLK_OUT_PRE_25:G_5 // NOD +DATA LOCATION inst_CLK_OUT_PRE_50:E_4 // NOD +DATA LOCATION inst_CLK_OUT_PRE_50_D:E_6 // NOD +DATA LOCATION inst_CLK_OUT_PRE_D:B_9 // NOD +DATA LOCATION inst_DS_000_ENABLE:C_1 // NOD +DATA LOCATION inst_LDS_000_INT:C_9 // NOD +DATA LOCATION inst_UDS_000_INT:A_8 // NOD +DATA LOCATION inst_VPA_D:B_2 // NOD DATA LOCATION nEXP_SPACE:*_*_14 // INP +DATA LOCATION un16_ciin:E_8 // NOD DATA IO_DIR A0:BI DATA IO_DIR AMIGA_BUS_DATA_DIR:OUT DATA IO_DIR AMIGA_BUS_ENABLE:OUT @@ -194,76 +182,76 @@ DATA IO_DIR VMA:OUT DATA IO_DIR VPA:IN DATA IO_DIR nEXP_SPACE:IN DATA GLB_CLOCK CLK_OSZI -DATA PW_LEVEL A_31_:0 -DATA SLEW A_31_:1 -DATA PW_LEVEL IPL_2_:0 -DATA SLEW IPL_2_:1 -DATA PW_LEVEL FC_1_:0 -DATA SLEW FC_1_:1 -DATA PW_LEVEL UDS_000:0 -DATA SLEW UDS_000:1 -DATA PW_LEVEL LDS_000:0 -DATA SLEW LDS_000:1 -DATA PW_LEVEL A_30_:0 -DATA SLEW A_30_:1 -DATA SLEW nEXP_SPACE:1 -DATA PW_LEVEL A_29_:0 -DATA SLEW A_29_:1 -DATA PW_LEVEL BERR:0 -DATA SLEW BERR:1 DATA PW_LEVEL A_28_:0 DATA SLEW A_28_:1 -DATA PW_LEVEL BG_030:0 -DATA SLEW BG_030:1 DATA PW_LEVEL A_27_:0 DATA SLEW A_27_:1 DATA PW_LEVEL A_26_:0 DATA SLEW A_26_:1 DATA PW_LEVEL A_25_:0 DATA SLEW A_25_:1 -DATA PW_LEVEL BGACK_000:0 -DATA SLEW BGACK_000:1 +DATA PW_LEVEL A_31_:0 +DATA SLEW A_31_:1 DATA PW_LEVEL A_24_:0 DATA SLEW A_24_:1 -DATA SLEW CLK_030:1 DATA PW_LEVEL A_23_:0 DATA SLEW A_23_:1 -DATA SLEW CLK_000:1 DATA PW_LEVEL A_22_:0 DATA SLEW A_22_:1 -DATA SLEW CLK_OSZI:1 DATA PW_LEVEL A_21_:0 DATA SLEW A_21_:1 -DATA PW_LEVEL CLK_DIV_OUT:0 -DATA SLEW CLK_DIV_OUT:1 +DATA PW_LEVEL IPL_2_:0 +DATA SLEW IPL_2_:1 DATA PW_LEVEL A_20_:0 DATA SLEW A_20_:1 DATA PW_LEVEL A_19_:0 DATA SLEW A_19_:1 -DATA PW_LEVEL FPU_CS:0 -DATA SLEW FPU_CS:1 +DATA PW_LEVEL FC_1_:0 +DATA SLEW FC_1_:1 DATA PW_LEVEL A_18_:0 DATA SLEW A_18_:1 DATA PW_LEVEL A_17_:0 DATA SLEW A_17_:1 -DATA PW_LEVEL DTACK:0 -DATA SLEW DTACK:1 DATA PW_LEVEL A_16_:0 DATA SLEW A_16_:1 -DATA PW_LEVEL AVEC:0 -DATA SLEW AVEC:1 +DATA PW_LEVEL UDS_000:0 +DATA SLEW UDS_000:1 DATA PW_LEVEL IPL_1_:0 DATA SLEW IPL_1_:1 -DATA SLEW VPA:1 +DATA PW_LEVEL LDS_000:0 +DATA SLEW LDS_000:1 DATA PW_LEVEL IPL_0_:0 DATA SLEW IPL_0_:1 DATA PW_LEVEL FC_0_:0 DATA SLEW FC_0_:1 +DATA SLEW nEXP_SPACE:1 +DATA PW_LEVEL BERR:0 +DATA SLEW BERR:1 +DATA PW_LEVEL BG_030:0 +DATA SLEW BG_030:1 +DATA PW_LEVEL BGACK_000:0 +DATA SLEW BGACK_000:1 +DATA SLEW CLK_030:1 +DATA SLEW CLK_000:1 +DATA SLEW CLK_OSZI:1 +DATA PW_LEVEL CLK_DIV_OUT:0 +DATA SLEW CLK_DIV_OUT:1 +DATA PW_LEVEL FPU_CS:0 +DATA SLEW FPU_CS:1 +DATA PW_LEVEL DTACK:0 +DATA SLEW DTACK:1 +DATA PW_LEVEL AVEC:0 +DATA SLEW AVEC:1 +DATA SLEW VPA:1 DATA SLEW RST:1 DATA PW_LEVEL AMIGA_BUS_DATA_DIR:0 DATA SLEW AMIGA_BUS_DATA_DIR:1 DATA PW_LEVEL CIIN:0 DATA SLEW CIIN:1 +DATA PW_LEVEL A_30_:0 +DATA SLEW A_30_:1 +DATA PW_LEVEL A_29_:0 +DATA SLEW A_29_:1 DATA PW_LEVEL SIZE_1_:0 DATA SLEW SIZE_1_:1 DATA PW_LEVEL IPL_030_2_:0 @@ -274,10 +262,12 @@ DATA PW_LEVEL AS_000:0 DATA SLEW AS_000:1 DATA PW_LEVEL RW_000:0 DATA SLEW RW_000:1 +DATA PW_LEVEL IPL_030_1_:0 +DATA SLEW IPL_030_1_:1 DATA PW_LEVEL DS_030:0 DATA SLEW DS_030:1 -DATA PW_LEVEL SIZE_0_:0 -DATA SLEW SIZE_0_:1 +DATA PW_LEVEL IPL_030_0_:0 +DATA SLEW IPL_030_0_:1 DATA PW_LEVEL A0:0 DATA SLEW A0:1 DATA PW_LEVEL BG_000:0 @@ -288,12 +278,8 @@ DATA PW_LEVEL CLK_EXP:0 DATA SLEW CLK_EXP:1 DATA PW_LEVEL DSACK1:0 DATA SLEW DSACK1:1 -DATA PW_LEVEL IPL_030_1_:0 -DATA SLEW IPL_030_1_:1 DATA PW_LEVEL AVEC_EXP:0 DATA SLEW AVEC_EXP:1 -DATA PW_LEVEL IPL_030_0_:0 -DATA SLEW IPL_030_0_:1 DATA PW_LEVEL E:0 DATA SLEW E:1 DATA PW_LEVEL VMA:0 @@ -306,6 +292,8 @@ DATA PW_LEVEL AMIGA_BUS_ENABLE:0 DATA SLEW AMIGA_BUS_ENABLE:1 DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW:0 DATA SLEW AMIGA_BUS_ENABLE_LOW:1 +DATA PW_LEVEL SIZE_0_:0 +DATA SLEW SIZE_0_:1 DATA PW_LEVEL inst_AS_030_000_SYNC:0 DATA SLEW inst_AS_030_000_SYNC:1 DATA PW_LEVEL inst_BGACK_030_INT_D:0 @@ -316,46 +304,42 @@ DATA PW_LEVEL inst_CLK_OUT_PRE_50_D:0 DATA SLEW inst_CLK_OUT_PRE_50_D:1 DATA PW_LEVEL inst_CLK_000_D0:0 DATA SLEW inst_CLK_000_D0:1 +DATA PW_LEVEL inst_CLK_000_D1:0 +DATA SLEW inst_CLK_000_D1:1 +DATA PW_LEVEL inst_CLK_000_D4:0 +DATA SLEW inst_CLK_000_D4:1 DATA PW_LEVEL CLK_CNT_N_0_:0 DATA SLEW CLK_CNT_N_0_:1 DATA PW_LEVEL inst_CLK_OUT_PRE_50:0 DATA SLEW inst_CLK_OUT_PRE_50:1 DATA PW_LEVEL inst_CLK_OUT_PRE_25:0 DATA SLEW inst_CLK_OUT_PRE_25:1 -DATA PW_LEVEL inst_CLK_000_D1:0 -DATA SLEW inst_CLK_000_D1:1 DATA PW_LEVEL inst_CLK_000_D2:0 DATA SLEW inst_CLK_000_D2:1 DATA PW_LEVEL inst_CLK_000_D3:0 DATA SLEW inst_CLK_000_D3:1 -DATA PW_LEVEL inst_CLK_000_NE:0 -DATA SLEW inst_CLK_000_NE:1 DATA PW_LEVEL inst_CLK_OUT_PRE_D:0 DATA SLEW inst_CLK_OUT_PRE_D:1 DATA PW_LEVEL inst_CLK_OUT_PRE:0 DATA SLEW inst_CLK_OUT_PRE:1 DATA PW_LEVEL CLK_000_P_SYNC_9_:0 DATA SLEW CLK_000_P_SYNC_9_:1 -DATA PW_LEVEL CLK_000_N_SYNC_11_:0 -DATA SLEW CLK_000_N_SYNC_11_:1 DATA PW_LEVEL SM_AMIGA_7_:0 DATA SLEW SM_AMIGA_7_:1 +DATA PW_LEVEL SM_AMIGA_6_:0 +DATA SLEW SM_AMIGA_6_:1 DATA PW_LEVEL SM_AMIGA_1_:0 DATA SLEW SM_AMIGA_1_:1 DATA PW_LEVEL SM_AMIGA_0_:0 DATA SLEW SM_AMIGA_0_:1 -DATA PW_LEVEL SM_AMIGA_6_:0 -DATA SLEW SM_AMIGA_6_:1 DATA PW_LEVEL SM_AMIGA_4_:0 DATA SLEW SM_AMIGA_4_:1 -DATA PW_LEVEL CLK_000_N_SYNC_6_:0 -DATA SLEW CLK_000_N_SYNC_6_:1 +DATA PW_LEVEL inst_CLK_030_H:0 +DATA SLEW inst_CLK_030_H:1 DATA PW_LEVEL CLK_CNT_P_1_:0 DATA SLEW CLK_CNT_P_1_:1 DATA PW_LEVEL CLK_CNT_N_1_:0 DATA SLEW CLK_CNT_N_1_:1 -DATA PW_LEVEL inst_CLK_030_H:0 -DATA SLEW inst_CLK_030_H:1 DATA PW_LEVEL CLK_CNT_P_0_:0 DATA SLEW CLK_CNT_P_0_:1 DATA PW_LEVEL inst_LDS_000_INT:0 @@ -382,26 +366,8 @@ DATA PW_LEVEL CLK_000_P_SYNC_7_:0 DATA SLEW CLK_000_P_SYNC_7_:1 DATA PW_LEVEL CLK_000_P_SYNC_8_:0 DATA SLEW CLK_000_P_SYNC_8_:1 -DATA PW_LEVEL CLK_000_N_SYNC_0_:0 -DATA SLEW CLK_000_N_SYNC_0_:1 -DATA PW_LEVEL CLK_000_N_SYNC_1_:0 -DATA SLEW CLK_000_N_SYNC_1_:1 -DATA PW_LEVEL CLK_000_N_SYNC_2_:0 -DATA SLEW CLK_000_N_SYNC_2_:1 -DATA PW_LEVEL CLK_000_N_SYNC_3_:0 -DATA SLEW CLK_000_N_SYNC_3_:1 -DATA PW_LEVEL CLK_000_N_SYNC_4_:0 -DATA SLEW CLK_000_N_SYNC_4_:1 -DATA PW_LEVEL CLK_000_N_SYNC_5_:0 -DATA SLEW CLK_000_N_SYNC_5_:1 -DATA PW_LEVEL CLK_000_N_SYNC_7_:0 -DATA SLEW CLK_000_N_SYNC_7_:1 -DATA PW_LEVEL CLK_000_N_SYNC_8_:0 -DATA SLEW CLK_000_N_SYNC_8_:1 -DATA PW_LEVEL CLK_000_N_SYNC_9_:0 -DATA SLEW CLK_000_N_SYNC_9_:1 -DATA PW_LEVEL CLK_000_N_SYNC_10_:0 -DATA SLEW CLK_000_N_SYNC_10_:1 +DATA PW_LEVEL un16_ciin:0 +DATA SLEW un16_ciin:1 DATA PW_LEVEL SM_AMIGA_5_:0 DATA SLEW SM_AMIGA_5_:1 DATA PW_LEVEL SM_AMIGA_3_:0 @@ -414,21 +380,20 @@ DATA PW_LEVEL cpu_est_1_:0 DATA SLEW cpu_est_1_:1 DATA PW_LEVEL cpu_est_2_:0 DATA SLEW cpu_est_2_:1 -DATA PW_LEVEL CLK_PRE_66_0:0 -DATA SLEW CLK_PRE_66_0:1 +DATA PW_LEVEL AMIGA_BUS_ENABLE_LOW_0:0 +DATA SLEW AMIGA_BUS_ENABLE_LOW_0:1 DATA PW_LEVEL SM_AMIGA_7__0:0 DATA SLEW SM_AMIGA_7__0:1 DATA PW_LEVEL RN_IPL_030_2_:0 DATA PW_LEVEL RN_AS_030:0 DATA PW_LEVEL RN_AS_000:0 DATA PW_LEVEL RN_RW_000:0 +DATA PW_LEVEL RN_IPL_030_1_:0 DATA PW_LEVEL RN_DS_030:0 +DATA PW_LEVEL RN_IPL_030_0_:0 DATA PW_LEVEL RN_BG_000:0 DATA PW_LEVEL RN_BGACK_030:0 DATA PW_LEVEL RN_DSACK1:0 -DATA PW_LEVEL RN_IPL_030_1_:0 -DATA PW_LEVEL RN_AVEC_EXP:0 -DATA PW_LEVEL RN_IPL_030_0_:0 DATA PW_LEVEL RN_E:0 DATA PW_LEVEL RN_VMA:0 DATA PW_LEVEL RN_RW:0 diff --git a/Logic/68030_tk.grp b/Logic/68030_tk.grp index 4ccba19..067f572 100644 --- a/Logic/68030_tk.grp +++ b/Logic/68030_tk.grp @@ -1,26 +1,23 @@ -GROUP MACH_SEG_A DS_030 RN_DS_030 SM_AMIGA_6_ CLK_000_P_SYNC_0_ CLK_000_N_SYNC_0_ - inst_CLK_000_NE CLK_000_N_SYNC_11_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_7_ - inst_CLK_000_D3 AVEC inst_CLK_030_H +GROUP MACH_SEG_A DS_030 RN_DS_030 inst_UDS_000_INT CLK_CNT_N_0_ CLK_CNT_P_0_ + CLK_000_P_SYNC_9_ CLK_CNT_P_1_ CLK_CNT_N_1_ AVEC inst_CLK_030_H AMIGA_BUS_ENABLE_LOW_0 + GROUP MACH_SEG_B IPL_030_1_ RN_IPL_030_1_ IPL_030_0_ RN_IPL_030_0_ IPL_030_2_ - RN_IPL_030_2_ CLK_EXP RESET inst_DS_000_ENABLE CLK_000_P_SYNC_5_ - CLK_000_P_SYNC_8_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_9_ inst_CLK_000_D0 - + RN_IPL_030_2_ CLK_EXP RESET inst_AS_030_000_SYNC inst_CLK_OUT_PRE_D + CLK_000_P_SYNC_1_ inst_VPA_D GROUP MACH_SEG_C AMIGA_BUS_ENABLE_LOW RN_AMIGA_BUS_ENABLE_LOW AVEC_EXP - RN_AVEC_EXP inst_LDS_000_INT inst_CLK_OUT_PRE_25 inst_UDS_000_INT - CLK_000_N_SYNC_6_ CLK_000_P_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ - CLK_000_N_SYNC_8_ CLK_000_N_SYNC_10_ + inst_DS_000_ENABLE inst_LDS_000_INT SM_AMIGA_5_ inst_CLK_000_D0 CLK_000_P_SYNC_3_ + CLK_000_P_SYNC_5_ inst_CLK_000_D3 GROUP MACH_SEG_D AMIGA_BUS_ENABLE RN_AMIGA_BUS_ENABLE VMA RN_VMA BG_000 - RN_BG_000 AS_000 RN_AS_000 cpu_est_2_ cpu_est_0_ inst_CLK_000_D1 - inst_BGACK_030_INT_D DTACK LDS_000 UDS_000 -GROUP MACH_SEG_E CLK_CNT_N_0_ CLK_CNT_P_0_ CLK_000_P_SYNC_6_ inst_CLK_OUT_PRE - CLK_CNT_P_1_ CLK_CNT_N_1_ CIIN AMIGA_BUS_DATA_DIR CLK_PRE_66_0 -GROUP MACH_SEG_F SM_AMIGA_7_ SM_AMIGA_3_ SM_AMIGA_2_ SM_AMIGA_4_ SM_AMIGA_1_ - SM_AMIGA_0_ SM_AMIGA_5_ CLK_000_N_SYNC_1_ inst_CLK_000_D2 SM_AMIGA_7__0 - -GROUP MACH_SEG_G RW RN_RW A0 SIZE_0_ E RN_E CLK_DIV_OUT cpu_est_1_ CLK_000_P_SYNC_9_ - CLK_000_P_SYNC_1_ CLK_000_P_SYNC_3_ CLK_000_N_SYNC_2_ inst_VPA_D - -GROUP MACH_SEG_H AS_030 RN_AS_030 DSACK1 RN_DSACK1 RW_000 RN_RW_000 SIZE_1_ - BGACK_030 RN_BGACK_030 inst_AS_030_000_SYNC inst_CLK_OUT_PRE_D CLK_000_N_SYNC_7_ - inst_CLK_OUT_PRE_50_D inst_CLK_OUT_PRE_50 FPU_CS \ No newline at end of file + RN_BG_000 AS_000 RN_AS_000 cpu_est_2_ inst_CLK_000_D1 DTACK LDS_000 + UDS_000 +GROUP MACH_SEG_E CLK_000_P_SYNC_2_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_6_ + inst_CLK_OUT_PRE inst_CLK_OUT_PRE_50_D inst_CLK_000_D4 inst_CLK_OUT_PRE_50 + CIIN AMIGA_BUS_DATA_DIR un16_ciin +GROUP MACH_SEG_F SM_AMIGA_7_ SM_AMIGA_2_ SM_AMIGA_3_ SM_AMIGA_6_ cpu_est_1_ + SM_AMIGA_4_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_7__0 +GROUP MACH_SEG_G RW RN_RW E RN_E A0 SIZE_0_ CLK_DIV_OUT CLK_000_P_SYNC_0_ + inst_CLK_OUT_PRE_25 CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ +GROUP MACH_SEG_H DSACK1 RN_DSACK1 AS_030 RN_AS_030 RW_000 RN_RW_000 SIZE_1_ + BGACK_030 RN_BGACK_030 cpu_est_0_ inst_CLK_000_D2 inst_BGACK_030_INT_D + FPU_CS \ No newline at end of file diff --git a/Logic/68030_tk.ipr b/Logic/68030_tk.ipr index fa6fbf5..26d74e3 100644 Binary files a/Logic/68030_tk.ipr and b/Logic/68030_tk.ipr differ diff --git a/Logic/68030_tk.jed b/Logic/68030_tk.jed index b222c3a..b766182 100644 --- a/Logic/68030_tk.jed +++ b/Logic/68030_tk.jed @@ -10,7 +10,7 @@ AUTHOR: PATTERN: COMPANY: REVISION: -DATE: Mon Jun 09 20:20:36 2014 +DATE: Sun Jun 15 16:36:48 2014 ABEL mach447a * @@ -31,73 +31,68 @@ NOTE Spread Placement? Y * NOTE Run Time Upper Bound in 15 minutes 0 * NOTE Zero Hold Time For Input Registers? Y * NOTE Table of pin names and numbers* -NOTE PINS A_31_:4 IPL_2_:68 FC_1_:58 UDS_000:32 LDS_000:31* -NOTE PINS A_30_:5 nEXP_SPACE:14 A_29_:6 BERR:41 A_28_:15* -NOTE PINS BG_030:21 A_27_:16 A_26_:17 A_25_:18 BGACK_000:28* -NOTE PINS A_24_:19 CLK_030:64 A_23_:84 CLK_000:11 A_22_:85* -NOTE PINS CLK_OSZI:61 A_21_:94 CLK_DIV_OUT:65 A_20_:93 A_19_:97* -NOTE PINS FPU_CS:78 A_18_:95 A_17_:59 DTACK:30 A_16_:96 AVEC:92* -NOTE PINS IPL_1_:56 VPA:36 IPL_0_:67 FC_0_:57 RST:86 AMIGA_BUS_DATA_DIR:48* -NOTE PINS CIIN:47 SIZE_1_:79 IPL_030_2_:9 AS_030:82 AS_000:33* -NOTE PINS RW_000:80 DS_030:98 SIZE_0_:70 A0:69 BG_000:29* -NOTE PINS BGACK_030:83 CLK_EXP:10 DSACK1:81 IPL_030_1_:7* -NOTE PINS AVEC_EXP:22 IPL_030_0_:8 E:66 VMA:35 RESET:3 RW:71* -NOTE PINS AMIGA_BUS_ENABLE:34 AMIGA_BUS_ENABLE_LOW:20 * +NOTE PINS A_28_:15 A_27_:16 A_26_:17 A_25_:18 A_31_:4 A_24_:19* +NOTE PINS A_23_:84 A_22_:85 A_21_:94 IPL_2_:68 A_20_:93 A_19_:97* +NOTE PINS FC_1_:58 A_18_:95 A_17_:59 A_16_:96 UDS_000:32* +NOTE PINS IPL_1_:56 LDS_000:31 IPL_0_:67 FC_0_:57 nEXP_SPACE:14* +NOTE PINS BERR:41 BG_030:21 BGACK_000:28 CLK_030:64 CLK_000:11* +NOTE PINS CLK_OSZI:61 CLK_DIV_OUT:65 FPU_CS:78 DTACK:30 AVEC:92* +NOTE PINS VPA:36 RST:86 AMIGA_BUS_DATA_DIR:48 CIIN:47 A_30_:5* +NOTE PINS A_29_:6 SIZE_1_:79 IPL_030_2_:9 AS_030:82 AS_000:33* +NOTE PINS RW_000:80 IPL_030_1_:7 DS_030:98 IPL_030_0_:8 A0:69* +NOTE PINS BG_000:29 BGACK_030:83 CLK_EXP:10 DSACK1:81 AVEC_EXP:22* +NOTE PINS E:66 VMA:35 RESET:3 RW:71 AMIGA_BUS_ENABLE:34 AMIGA_BUS_ENABLE_LOW:20* +NOTE PINS SIZE_0_:70 * NOTE Table of node names and numbers* NOTE NODES RN_UDS_000:185 RN_LDS_000:191 RN_DTACK:173 RN_SIZE_1_:271 * NOTE NODES RN_IPL_030_2_:131 RN_AS_030:281 RN_AS_000:179 * -NOTE NODES RN_RW_000:269 RN_DS_030:101 RN_SIZE_0_:263 RN_A0:257 * -NOTE NODES RN_BG_000:193 RN_BGACK_030:275 RN_DSACK1:287 * -NOTE NODES RN_IPL_030_1_:143 RN_AVEC_EXP:149 RN_IPL_030_0_:137 * -NOTE NODES RN_E:251 RN_VMA:175 RN_RW:245 RN_AMIGA_BUS_ENABLE:181 * -NOTE NODES RN_AMIGA_BUS_ENABLE_LOW:167 inst_AS_030_000_SYNC:283 * -NOTE NODES inst_BGACK_030_INT_D:188 inst_VPA_D:260 inst_CLK_OUT_PRE_50_D:284 * -NOTE NODES inst_CLK_000_D0:133 CLK_CNT_N_0_:199 inst_CLK_OUT_PRE_50:272 * -NOTE NODES inst_CLK_OUT_PRE_25:155 inst_CLK_000_D1:187 inst_CLK_000_D2:230 * -NOTE NODES inst_CLK_000_D3:116 inst_CLK_000_NE:119 inst_CLK_OUT_PRE_D:289 * -NOTE NODES inst_CLK_OUT_PRE:200 CLK_000_P_SYNC_9_:254 CLK_000_N_SYNC_11_:110 * -NOTE NODES SM_AMIGA_7_:221 SM_AMIGA_1_:227 SM_AMIGA_0_:239 * -NOTE NODES SM_AMIGA_6_:113 SM_AMIGA_4_:233 CLK_000_N_SYNC_6_:164 * -NOTE NODES CLK_CNT_P_1_:217 CLK_CNT_N_1_:211 inst_CLK_030_H:103 * -NOTE NODES CLK_CNT_P_0_:215 inst_LDS_000_INT:151 inst_DS_000_ENABLE:139 * -NOTE NODES inst_UDS_000_INT:161 CLK_000_P_SYNC_0_:104 CLK_000_P_SYNC_1_:248 * -NOTE NODES CLK_000_P_SYNC_2_:158 CLK_000_P_SYNC_3_:265 CLK_000_P_SYNC_4_:121 * -NOTE NODES CLK_000_P_SYNC_5_:140 CLK_000_P_SYNC_6_:205 CLK_000_P_SYNC_7_:115 * -NOTE NODES CLK_000_P_SYNC_8_:134 CLK_000_N_SYNC_0_:109 CLK_000_N_SYNC_1_:224 * -NOTE NODES CLK_000_N_SYNC_2_:259 CLK_000_N_SYNC_3_:152 CLK_000_N_SYNC_4_:169 * -NOTE NODES CLK_000_N_SYNC_5_:128 CLK_000_N_SYNC_7_:278 CLK_000_N_SYNC_8_:163 * -NOTE NODES CLK_000_N_SYNC_9_:145 CLK_000_N_SYNC_10_:157 * -NOTE NODES SM_AMIGA_5_:241 SM_AMIGA_3_:229 SM_AMIGA_2_:235 * -NOTE NODES cpu_est_0_:182 cpu_est_1_:253 cpu_est_2_:176 * -NOTE NODES CLK_PRE_66_0:209 SM_AMIGA_7__0:223 * +NOTE NODES RN_RW_000:269 RN_IPL_030_1_:143 RN_DS_030:101 * +NOTE NODES RN_IPL_030_0_:137 RN_A0:257 RN_BG_000:193 RN_BGACK_030:275 * +NOTE NODES RN_DSACK1:287 RN_E:251 RN_VMA:175 RN_RW:245 RN_AMIGA_BUS_ENABLE:181 * +NOTE NODES RN_AMIGA_BUS_ENABLE_LOW:167 RN_SIZE_0_:263 inst_AS_030_000_SYNC:133 * +NOTE NODES inst_BGACK_030_INT_D:272 inst_VPA_D:128 inst_CLK_OUT_PRE_50_D:206 * +NOTE NODES inst_CLK_000_D0:155 inst_CLK_000_D1:187 inst_CLK_000_D4:200 * +NOTE NODES CLK_CNT_N_0_:115 inst_CLK_OUT_PRE_50:203 inst_CLK_OUT_PRE_25:253 * +NOTE NODES inst_CLK_000_D2:289 inst_CLK_000_D3:161 inst_CLK_OUT_PRE_D:139 * +NOTE NODES inst_CLK_OUT_PRE:217 CLK_000_P_SYNC_9_:110 SM_AMIGA_7_:221 * +NOTE NODES SM_AMIGA_6_:227 SM_AMIGA_1_:239 SM_AMIGA_0_:229 * +NOTE NODES SM_AMIGA_4_:223 inst_CLK_030_H:119 CLK_CNT_P_1_:104 * +NOTE NODES CLK_CNT_N_1_:121 CLK_CNT_P_0_:109 inst_LDS_000_INT:163 * +NOTE NODES inst_DS_000_ENABLE:151 inst_UDS_000_INT:113 CLK_000_P_SYNC_0_:248 * +NOTE NODES CLK_000_P_SYNC_1_:145 CLK_000_P_SYNC_2_:211 CLK_000_P_SYNC_3_:152 * +NOTE NODES CLK_000_P_SYNC_4_:205 CLK_000_P_SYNC_5_:169 CLK_000_P_SYNC_6_:199 * +NOTE NODES CLK_000_P_SYNC_7_:265 CLK_000_P_SYNC_8_:259 un16_ciin:209 * +NOTE NODES SM_AMIGA_5_:157 SM_AMIGA_3_:235 SM_AMIGA_2_:224 * +NOTE NODES cpu_est_0_:283 cpu_est_1_:233 cpu_est_2_:176 * +NOTE NODES AMIGA_BUS_ENABLE_LOW_0:103 SM_AMIGA_7__0:241 * NOTE BLOCK 0 * L000000 - 111111111011111111101111111111111111111111111111111111111111111111 - 111111111101111111111111111111111111111111111111111111111111111111 - 111111111111111111111110111111111111111111111111111111110111111111 - 111111111111110111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111110111111111111111111111111111111011 - 111111111111111111111111111101111111111111111111111111111111111111 - 111111111111101111110111111011111111011111111111010111101111111111 - 111111101111111101111111111111111111111110111101111111111111111111 - 101011111111111111111111011111011111111111111111111111111111111111* + 111111111011111111111111111111111111111111111111111111111111111111 + 111111111101111111111011111111111111111111111111111111111111111111 + 101111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111011111111111111111111111111111111111111111 + 111111111111111111011111111111111111111111111111110111111111111111 + 111101011111101111111111111011111111111111111111011111111111111111 + 111111111111111101111111111111111111011110111101111111111111111111 + 111111111111111111111111111111011111111111100111111111111111111111* L000594 000000000000000000000000000000000000000000000000000000000000000000* L000660 111111111111111111111111111111111111111101111111111111111111111111* L000726 111111111111111111111111111111111111111111111101111111111111111111* L000792 111111111111111101111111111111111111111111111111011111111111111111* -L000858 111111111011111111111111010111111111111111111111111111111111111111* +L000858 111111110111111111011111110111111111111111111111111111111111111111* L000924 111111111111011111111111110111111111111111111111111111111111111111* -L000990 101111111111111111111111011111111111111111111111111111111111111111* -L001056 011111110111111110111111111011111111111110111110111111111111111111* -L001122 111111111111111110111111011111111111111110111110111111111111111111* -L001188 011111110111111111111111111011111111111110111110101111111111111111* -L001254 111111111111111111111111011111111111111110111110101111111111111111* +L000990 111110111111111111111111111111111111011111111111111111111111111111* +L001056 111111111111111111111111111111111111111111110111110111111111111111* +L001122 000000000000000000000000000000000000000000000000000000000000000000* +L001188 000000000000000000000000000000000000000000000000000000000000000000* +L001254 000000000000000000000000000000000000000000000000000000000000000000* L001320 111111111111111111111111111111111111111111111111111111111111111111* -L001386 111111111111111111111110111110111111111111111111111011110111111111* -L001452 111111110111101111111111111111011111111111111111111111111111111111* -L001518 111111111111101111111111101111011111111111111111111111111111111111* +L001386 111111111111111111111111111111111111011111111111111111111111111111* +L001452 111111111011101111111111111111011111111111111111111111111111111111* +L001518 111111111111101111101111111111011111111111111111111111111111111111* L001584 000000000000000000000000000000000000000000000000000000000000000000* L001650 000000000000000000000000000000000000000000000000000000000000000000* L001716 111111111111111111111111111111111111111111111111111111111111111111* @@ -112,14 +107,14 @@ L002178 111111111111111111111111111111111111111111111111111111111111111111* L002244 111111111111111111111111111111111111111111111111111111111111111111* L002310 111111111111111111111111111111111111111111111111111111111111111111* L002376 111111111111111111111111111111111111111111111111111111111111111111* -L002442 111111111111111111111101111101111111111111111111110111111011111111* -L002508 111111111111111111111111111111111111111111111111111111111111111111* -L002574 111111111111111111111111111111111111111111111111111111111111111111* -L002640 111111111111111111111111111111111111111111111111111111111111111111* -L002706 111111111111111111111111111111111111111111111111111111111111111111* +L002442 111111111111111111111111111111111111011111110111111111111111111111* +L002508 111111111111111111111111111111111111101111111011111111111111111111* +L002574 000000000000000000000000000000000000000000000000000000000000000000* +L002640 000000000000000000000000000000000000000000000000000000000000000000* +L002706 000000000000000000000000000000000000000000000000000000000000000000* L002772 000000000000000000000000000000000000000000000000000000000000000000* -L002838 111111111111110111111111111111111111111111111111111111111111111111* +L002838 111111111111111111110111111111111111111111111111111111111111111111* L002904 111111111111111111111111111111111111111111111111111111111111111111* L002970 111111111111111111111111111111111111111111111111111111111111111111* L003036 111111111111111111111111111111111111111111111111111111111111111111* @@ -131,19 +126,19 @@ L003366 111111111111111111111111111111111111111111111111111111111111111111* L003432 111111111111111111111111111111111111111111111111111111111111111111* L003498 000000000000000000000000000000000000000000000000000000000000000000* -L003564 111111111101111111111111111111111111111111111111110111101011110111* -L003630 110111111111111111111111111111111011011111111111111111111111111111* +L003564 111111011111111111111111011111111111111111111111111111111111111111* +L003630 011111111111111111111111101111111111111111111111111111111111111111* L003696 000000000000000000000000000000000000000000000000000000000000000000* L003762 000000000000000000000000000000000000000000000000000000000000000000* L003828 000000000000000000000000000000000000000000000000000000000000000000* -L003894 111111011111111111111111111111111111111111111111111111111111111111* -L003960 111111111111111111111111111111111111111111111111111111111111111111* -L004026 111111111111111111111111111111111111111111111111111111111111111111* -L004092 111111111111111111111111111111111111111111111111111111111111111111* -L004158 111111111111111111111111111111111111111111111111111111111111111111* +L003894 111101111111111111111111111111111111111111111111110111111111111111* +L003960 111110111111111111111111111111111111111111111111111011111111111111* +L004026 000000000000000000000000000000000000000000000000000000000000000000* +L004092 000000000000000000000000000000000000000000000000000000000000000000* +L004158 000000000000000000000000000000000000000000000000000000000000000000* L004224 000000000000000000000000000000000000000000000000000000000000000000* -L004290 111111111111111111111101111111111111111111111111111111111111111111* +L004290 111111111111111111111111111111111111111111111111111111111111111111* L004356 111111111111111111111111111111111111111111111111111111111111111111* L004422 111111111111111111111111111111111111111111111111111111111111111111* L004488 111111111111111111111111111111111111111111111111111111111111111111* @@ -155,12 +150,12 @@ L004818 111111111111111111111111111111111111111111111111111111111111111111* L004884 111111111111111111111111111111111111111111111111111111111111111111* L004950 000000000000000000000000000000000000000000000000000000000000000000* -L005016 111111111111111111110111111111111111111111111111111111111111111111* -L005082 111111111111111111111111111111111111111111111111111111111111111111* -L005148 111111111111111111111111111111111111111111111111111111111111111111* -L005214 111111111111111111111111111111111111111111111111111111111111111111* -L005280 111111111111111111111111111111111111111111111111111111111111111111* -L005346 111111111111111111011111111111111111111111111111111111111111111111* +L005016 111111111111111111011111111111111111111111101111111111111111111111* +L005082 111111111011111110111111111011111111111110011110111111111111111111* +L005148 111111111111111110011111111111111111111110111110111111111111111111* +L005214 111111111011111111111111111011111111111110011110101111111111111111* +L005280 111111111111111111011111111111111111111110111110101111111111111111* +L005346 111101111111111111111111111111111111111111111111111111111111111111* L005412 111111111111111111111111111111111111111111111111111111111111111111* L005478 111111111111111111111111111111111111111111111111111111111111111111* L005544 111111111111111111111111111111111111111111111111111111111111111111* @@ -179,38 +174,38 @@ L006270 111111111111111111111111111111111111111111111111111111111111111111* L006336 111111111111111111111111111111111111111111111111111111111111111111* L006402 000000000000000000000000000000000000000000000000000000000000000000 - 101111111111111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111111111111111101111111111111111111111* L006534 0010* L006538 10100110011000* -L006552 10100110011110* +L006552 10101011111110* L006566 00000100010100* -L006580 11100011111111* -L006594 00111111111001* -L006608 00000100010011* +L006580 11100011111110* +L006594 00111111111000* +L006608 10100100010011* L006622 00010100010000* L006636 11100011110011* -L006650 10100100010000* -L006664 00000100010010* -L006678 00010110010000* -L006692 11101111110011* -L006706 00110100010001* -L006720 00000100010011* -L006734 11010011110100* -L006748 11111011110011* +L006650 10100110010000* +L006664 10101100010010* +L006678 11011111110000* +L006692 11110011110011* +L006706 10100110010001* +L006720 00001110010011* +L006734 11011011110100* +L006748 11111111110011* NOTE BLOCK 1 * L006762 - 111111111111111111111101011101111111111111111111111111111111111111 - 111111111111011110111111110111111111111111111111111111111111111111 - 111111101011111111111111111111111101111110111111111111111111111111 - 101111111111111111111111111111111111111111111111111111011111111111 - 111111111111111111011111111111111111111111111111111111111111111111 - 111111111111111011111111111111111111111111111111110111111111111111 - 111101111111111111111111111111111111011111111111111111111111111111 - 111111111111111111111111111111111111111011111111111111111111111111 - 111011111111111111111111111111111111111111101111111111111111111111* + 111111111111111111111111111111111111111111111111111101111111111111 + 111111111101111111111111111111110111111111111111111111111111111111 + 111111101011101101111111111111111111111111111111111111110111111111 + 101011111111111111111111111001111111111111111110111111011111111111 + 111111111111111111111111111111111111111111111111111111111111111011 + 111110111111111111111111011111111111011111111111111111111111111111 + 111111111111110111111101111111111101111111111111111111111111111111 + 111111111111111111101111111111111111111110111111111111111111111111 + 111111111111111111110111111111111111111111101111111011111111111111* L007356 111111111111111111111111111111111111111111111111111111111111111111* -L007422 111111111111110111111111111111111111111111111111111111111111111111* +L007422 111111111111111111111111111111110111111111111111111111111111111111* L007488 000000000000000000000000000000000000000000000000000000000000000000* L007554 000000000000000000000000000000000000000000000000000000000000000000* L007620 000000000000000000000000000000000000000000000000000000000000000000* @@ -222,7 +217,7 @@ L007950 000000000000000000000000000000000000000000000000000000000000000000* L008016 000000000000000000000000000000000000000000000000000000000000000000* L008082 111111111111111111111111111111111111111111111111111111111111111111* -L008148 111111111111111111111101111111111111111111111111111111111111111111* +L008148 111111111111111111110111111111111111111111111111111111111111111111* L008214 111111111111111111111111111111111111111111111111111111111111111111* L008280 111111111111111111111111111111111111111111111111111111111111111111* L008346 111111111111111111111111111111111111111111111111111111111111111111* @@ -234,23 +229,23 @@ L008676 111111111111111111111111111111111111111111111111111111111111111111* L008742 111111111111111111111111111111111111111111111111111111111111111111* L008808 111111111111111111111111111111111111111111111111111111111111111111* -L008874 111111110111111111011111111111111111111111111111111111111111111111* -L008940 111111111111111111101111111111111111111111111111111111011111111111* -L009006 000000000000000000000000000000000000000000000000000000000000000000* +L008874 111111110111111011111111111101111111111111111111111111111111111111* +L008940 111111111111111111111111111110111111111111111111111111011111111111* +L009006 111111111111110111111111111111111111111111111111111111011111111111* L009072 000000000000000000000000000000000000000000000000000000000000000000* L009138 000000000000000000000000000000000000000000000000000000000000000000* -L009204 111111111111111111111111111101111111111111111111111111111111111111* -L009270 111111111111111111111111111111111111111111111111111111111111111111* -L009336 111111111111111111111111111111111111111111111111111111111111111111* -L009402 111111111111111111111111111111111111111111111111111111111111111111* -L009468 111111111111111111111111111111111111111111111111111111111111111111* +L009204 111111111111111111111111111111111111111111111111111011111111111111* +L009270 111111111110111111111111111111111111111111111111111111110111111111* +L009336 110111111111011111111110100111111110011111111111111111110111111111* +L009402 111111111111111111111111111111111111111110111111111111110111111111* +L009468 111111111111111111111111111111111111111111111111111111110111111011* L009534 111111111111111111111111111111111111111111111111111111111111111111* -L009600 111101111111111111111111111111111111111111111111111111111111111111* -L009666 111111111111111111111111111111111111111111111111111111111111111111* -L009732 111111111111111111111111111111111111111111111111111111111111111111* -L009798 111111111111111111111111111111111111111111111111111111111111111111* -L009864 111111111111111111111111111111111111111111111111111111111111111111* +L009600 111111111111111111011111111111111111111111111111111111111111111111* +L009666 000000000000000000000000000000000000000000000000000000000000000000* +L009732 000000000000000000000000000000000000000000000000000000000000000000* +L009798 000000000000000000000000000000000000000000000000000000000000000000* +L009864 000000000000000000000000000000000000000000000000000000000000000000* L009930 111111111111111111111111111111111111111111111111111111111111111111* L009996 111111111111111111111111111111111111111111111111111111111111111111* L010062 111111111111111111111111111111111111111111111111111111111111111111* @@ -258,19 +253,19 @@ L010128 111111111111111111111111111111111111111111111111111111111111111111* L010194 111111111111111111111111111111111111111111111111111111111111111111* L010260 000000000000000000000000000000000000000000000000000000000000000000* -L010326 011111111111111111011111111111111111111111111111111111111111111111* -L010392 111111111111111111101111111111111101111111111111111111111111111111* -L010458 000000000000000000000000000000000000000000000000000000000000000000* -L010524 000000000000000000000000000000000000000000000000000000000000000000* -L010590 000000000000000000000000000000000000000000000000000000000000000000* -L010656 111111111111111111011111111111111111111101111111111111111111111111* -L010722 110111111111011111111111111111111111111011111111111111111111111111* -L010788 111111111111111101011111111111111111011111111111111111111111111111* -L010854 000000000000000000000000000000000000000000000000000000000000000000* +L010326 111111111111111111111111111111111111111111111111111111111111111111* +L010392 111111111111111111111111111111111111111111111111111111111111111111* +L010458 111111111111111111111111111111111111111111111111111111111111111111* +L010524 111111111111111111111111111111111111111111111111111111111111111111* +L010590 111111111111111111111111111111111111111111111111111111111111111111* +L010656 111101111111111111111111111111111111111111111111111111111111111111* +L010722 011111111111111011111111111101111111111111111111111111111111111111* +L010788 111111111111111101111111111110111111111111111111111111111111111111* +L010854 111111111111110101111111111111111111111111111111111111111111111111* L010920 000000000000000000000000000000000000000000000000000000000000000000* L010986 000000000000000000000000000000000000000000000000000000000000000000* -L011052 111111111111111111111111111111111111111111111111110111111111111111* +L011052 111111111111111111111111111111111111111111111111111111111111111111* L011118 111111111111111111111111111111111111111111111111111111111111111111* L011184 111111111111111111111111111111111111111111111111111111111111111111* L011250 111111111111111111111111111111111111111111111111111111111111111111* @@ -282,16 +277,16 @@ L011580 111111111111111111111111111111111111111111111111111111111111111111* L011646 111111111111111111111111111111111111111111111111111111111111111111* L011712 000000000000000000000000000000000000000000000000000000000000000000* -L011778 111111011111111111011111111111111111111111111111111111111111111111* -L011844 111111111111111111101111011111111111111111111111111111111111111111* -L011910 000000000000000000000000000000000000000000000000000000000000000000* -L011976 000000000000000000000000000000000000000000000000000000000000000000* -L012042 000000000000000000000000000000000000000000000000000000000000000000* -L012108 111111111111111111111111110111111111111111111111111111111111111111* -L012174 111111111111111111111111111111111111111111111111111111111111111111* -L012240 111111111111111111111111111111111111111111111111111111111111111111* -L012306 111111111111111111111111111111111111111111111111111111111111111111* -L012372 111111111111111111111111111111111111111111111111111111111111111111* +L011778 111111111111111111111111111111111111111111111111111111111111111111* +L011844 111111111111111111111111111111111111111111111111111111111111111111* +L011910 111111111111111111111111111111111111111111111111111111111111111111* +L011976 111111111111111111111111111111111111111111111111111111111111111111* +L012042 111111111111111111111111111111111111111111111111111111111111111111* +L012108 111111111111111111111111111111111111111111111101111111111111111111* +L012174 111111011111111011111111111101111111111111111111111111111111111111* +L012240 111111111111111111111111111110111111111111111111111101111111111111* +L012306 111111111111110111111111111111111111111111111111111101111111111111* +L012372 000000000000000000000000000000000000000000000000000000000000000000* L012438 111111111111111111111111111111111111111111111111111111111111111111* L012504 111111111111111111111111111111111111111111111111111111111111111111* @@ -310,46 +305,46 @@ L013164 L013296 0010* L013300 00100100010000* L013314 00100100011110* -L013328 00010100010100* +L013328 00010110010100* L013342 11100011111111* L013356 10100110010011* -L013370 00000110011111* -L013384 00010100010110* -L013398 11101111111111* -L013412 10100110011001* -L013426 10100100010011* -L013440 00010100010000* -L013454 11101011110010* -L013468 10100110011000* -L013482 00000100010011* -L013496 11011111111100* -L013510 11110011111110* +L013370 10100110011111* +L013384 11111011110110* +L013398 11110011111111* +L013412 10110110011001* +L013426 00110100010011* +L013440 11011111110000* +L013454 11110011110010* +L013468 10110110011001* +L013482 00110100010011* +L013496 11011011111100* +L013510 11111111111111* NOTE BLOCK 2 * L013524 - 111111111111111111110111111111011111111111111111111111111111111111 - 111111111111111111111111101111111110111111111111111111111111111111 - 101111111111111111111111111111110111111111111110111111111111111111 - 111101111011011111111111111111111111111111111111110111111111111111 - 110111111101111111111111111111111111111111111111111111111111111111 - 111111111111111111111111111111111111101110111111111111111111111111 - 111111111111111110111111111111111111111111111111111111111111111111 - 111111111111111011111111111111111111111111111111111111111111111111 - 111111111111111111111111111110111111111111101111111111111111111111* + 111111010111111111111111111111111111111111111111111111111111111111 + 111111111111111110111111110111111110111111111111111111111111111111 + 111111111111111111111111111111111111101111111111111111111111111111 + 111111111111011111111111111111111111111111111111111111110110111111 + 111111111101111111111111111111101111111111110111111111111111111111 + 111111111111111011111111111111111111111110111111111111111111111111 + 111110111111111111110111111111111111111111111111111111111111111111 + 111111111111111111111111111110111111111011111111111111111111111111 + 101011111111111111011111111111111111111111111111111111111111111111* L014118 000000000000000000000000000000000000000000000000000000000000000000* -L014184 111111111111111111111111111111111111111111111101111111111111111111* +L014184 111111111111111111110111111111111111111111111111111111111111111111* L014250 000000000000000000000000000000000000000000000000000000000000000000* L014316 000000000000000000000000000000000000000000000000000000000000000000* L014382 000000000000000000000000000000000000000000000000000000000000000000* L014448 000000000000000000000000000000000000000000000000000000000000000000* -L014514 110111111101111111111111111111111111111111111111111111111111111111* -L014580 101111111110111111111111111111111101111110111111111111111111111111* -L014646 000000000000000000000000000000000000000000000000000000000000000000* +L014514 111111111111011111111111111111011111111111111111111111111111111111* +L014580 110111111111111111111111111111111111111011110111111111111111111111* +L014646 111111111111011101111111111111111111111111111111111111111101111111* L014712 000000000000000000000000000000000000000000000000000000000000000000* L014778 000000000000000000000000000000000000000000000000000000000000000000* L014844 000000000000000000000000000000000000000000000000000000000000000000* -L014910 111111111111111111111111011111111111111111111111111111111111111111* +L014910 111101111111111111111111111111111111111111111111111111111111111111* L014976 111111111111111111111111111111111111111111111111111111111111111111* L015042 111111111111111111111111111111111111111111111111111111111111111111* L015108 111111111111111111111111111111111111111111111111111111111111111111* @@ -361,19 +356,19 @@ L015438 111111111111111111111111111111111111111111111111111111111111111111* L015504 111111111111111111111111111111111111111111111111111111111111111111* L015570 000000000000000000000000000000000000000000000000000000000000000000* -L015636 111111111111011111111111111111111111011111111111111111111111111111* -L015702 111111111111011111111111111110111111111111111111111111111111111111* -L015768 111111111111101111111111111101111111101111111111111111111111111111* -L015834 000000000000000000000000000000000000000000000000000000000000000000* -L015900 000000000000000000000000000000000000000000000000000000000000000000* -L015966 111111111111111111110111111111111111111111111111111111111111111111* -L016032 111111111111111111111111111111111111111111111111111111111111111111* -L016098 111111111111111111111111111111111111111111111111111111111111111111* -L016164 111111111111111111111111111111111111111111111111111111111111111111* -L016230 111111111111111111111111111111111111111111111111111111111111111111* +L015636 111111011111111111111111111111111111111111111111111111111111111111* +L015702 111111111111111111111111111111111111111111111111111111111111111111* +L015768 111111111111111111111111111111111111111111111111111111111111111111* +L015834 111111111111111111111111111111111111111111111111111111111111111111* +L015900 111111111111111111111111111111111111111111111111111111111111111111* +L015966 111111111111011111111111111111111111111111111111111111111101111111* +L016032 110111111111011111111111111111111111111111111111111111110111111111* +L016098 000000000000000000000000000000000000000000000000000000000000000000* +L016164 000000000000000000000000000000000000000000000000000000000000000000* +L016230 000000000000000000000000000000000000000000000000000000000000000000* L016296 000000000000000000000000000000000000000000000000000000000000000000* -L016362 111111110111111111111111111111111111111111111111111111111111111111* +L016362 111111111111111111111111111111111111111111111111111111111111111111* L016428 111111111111111111111111111111111111111111111111111111111111111111* L016494 111111111111111111111111111111111111111111111111111111111111111111* L016560 111111111111111111111111111111111111111111111111111111111111111111* @@ -385,19 +380,19 @@ L016890 111111111111111111111111111111111111111111111111111111111111111111* L016956 111111111111111111111111111111111111111111111111111111111111111111* L017022 000000000000000000000000000000000000000000000000000000000000000000* -L017088 111111111101111111111111111111110111111111111111111111111111111111* -L017154 011111111110111111111111111111111111111111111111111111111111111111* -L017220 000000000000000000000000000000000000000000000000000000000000000000* -L017286 000000000000000000000000000000000000000000000000000000000000000000* -L017352 000000000000000000000000000000000000000000000000000000000000000000* -L017418 111111111111110111111111111111111111111111111111111111111111111111* -L017484 111111111111111111111111111111111111111111111111111111111111111111* -L017550 111111111111111111111111111111111111111111111111111111111111111111* -L017616 111111111111111111111111111111111111111111111111111111111111111111* -L017682 111111111111111111111111111111111111111111111111111111111111111111* +L017088 111111111111110111111111111111111111111111111111111111111111111111* +L017154 111111111111111111111111111111111111111111111111111111111111111111* +L017220 111111111111111111111111111111111111111111111111111111111111111111* +L017286 111111111111111111111111111111111111111111111111111111111111111111* +L017352 111111111111111111111111111111111111111111111111111111111111111111* +L017418 111111111101111111111111110111111111111111111111111111111111111111* +L017484 111111111110111111111111111111111101101110111111111111111111111111* +L017550 000000000000000000000000000000000000000000000000000000000000000000* +L017616 000000000000000000000000000000000000000000000000000000000000000000* +L017682 000000000000000000000000000000000000000000000000000000000000000000* L017748 111111111111111111111111111111111111111111111111111111111111111111* -L017814 111111111111111111111111111111111111111111111111110111111111111111* +L017814 111111111111111111111111111111111111111111111111111111111111111111* L017880 111111111111111111111111111111111111111111111111111111111111111111* L017946 111111111111111111111111111111111111111111111111111111111111111111* L018012 111111111111111111111111111111111111111111111111111111111111111111* @@ -409,12 +404,12 @@ L018342 111111111111111111111111111111111111111111111111111111111111111111* L018408 111111111111111111111111111111111111111111111111111111111111111111* L018474 000000000000000000000000000000000000000000000000000000000000000000* -L018540 111111111111111111111111111111101111111111111111111111111111111111* -L018606 111111111111111110111111111111111111111111111111111111111111111111* -L018672 111111111111111111111111111111111111111111101111111111111111111111* +L018540 111111111011111111111111111111111111111111111111111111111111111111* +L018606 111111111111111111011111111111111111111111111111111111111111111111* +L018672 101111111111111111111111111111111111111111111111111111111111111111* L018738 111111111111111111111111111111111111111111111111111111111111111111* L018804 111111111111111111111111111111111111111111111111111111111111111111* -L018870 111101111111111111111111111111111111111111111111111111111111111111* +L018870 111111111111111111111111111101111111111111111111111111111111111111* L018936 111111111111111111111111111111111111111111111111111111111111111111* L019002 111111111111111111111111111111111111111111111111111111111111111111* L019068 111111111111111111111111111111111111111111111111111111111111111111* @@ -432,54 +427,54 @@ L019728 111111111111111111111111111111111111111111111111111111111111111111* L019794 111111111111111111111111111111111111111111111111111111111111111111* L019860 111111111111111111111111111111111111111111111111111111111111111111* L019926 - 111111111111111111111111111111111111111111101111111111111111111111 + 101111111111111111111111111111111111111111111111111111111111111111 000000000000000000000000000000000000000000000000000000000000000000* L020058 0010* L020062 00100110011000* -L020076 10100100010010* +L020076 10100110010010* L020090 00010110010000* L020104 11100011110011* -L020118 10100110010001* -L020132 00000110010011* -L020146 00010110010000* -L020160 11101111110011* -L020174 10100100010001* -L020188 00000110010011* -L020202 00010110010100* -L020216 11101011111110* -L020230 00111110001000* +L020118 00110100010001* +L020132 10100110010011* +L020146 11011011110000* +L020160 11110011110011* +L020174 00110100010001* +L020188 10100100010011* +L020202 11011111110100* +L020216 11110011111110* +L020230 00111110001001* L020244 00000110010011* -L020258 11011111110100* -L020272 11110011111110* +L020258 11011011110100* +L020272 11111111111111* NOTE BLOCK 3 * L020286 - 111011011111111111111111111111111111111111111111111111111111111111 - 111111111110011111111111111111011111111111110111111111111111111111 - 111111111111110111111111111111111111111111111111111111110111111111 - 111110111111111111111111111111111111111111111111111111111110111110 - 111111111111111111111111111111111111111111111111110111111111011011 - 111111111111111111011111111111111111111101011111111111111111111111 - 111111111111111111111010011011111111011111111111111111111111111111 - 111111110111111111111111111101111111111011111110011111111111111111 - 101111111111111111111111111111110110111111111111111111011111111111* + 111111111111111111111111111111111110111111111111111111111111111111 + 111111110111111111111111110111011111111111111111111111111111111111 + 111111111111111111101111111111111111111110111111111111110111111111 + 111111111111011111111010111111111111011111111111111111111111111111 + 111111111110111111111111111111111111111111110111111111111111111111 + 111111111111111111111111111111111111111111111111111111111101111111 + 111111011111111111111111011111111111111111111111111011101111111110 + 111111111111110111111111111111111111111011011110111111111111111111 + 101001111111111111111111111110110111111111111111111111111111111111* L020880 111111111111111111111111111111111111111111111111111111111111111111* -L020946 111111111111111111110111111111111111111111111111111111111111111111* +L020946 111111111111111111111111111111111111111111111111111111111111111101* L021012 000000000000000000000000000000000000000000000000000000000000000000* L021078 000000000000000000000000000000000000000000000000000000000000000000* L021144 000000000000000000000000000000000000000000000000000000000000000000* L021210 000000000000000000000000000000000000000000000000000000000000000000* -L021276 111111110110111111111111111111111111111111111111111111011011111110* -L021342 111111111111111111111111111111111111111111111111111111011111111111* -L021408 111110111011111111111111111101110111111111111111111111100111111101* +L021276 111101111111101111111111111111111111101110111111111111011111111111* +L021342 111101111111111111111111111111111111111111111111111111111111111111* +L021408 111110111111011111111110111111110111111101011111111111101111111111* L021474 000000000000000000000000000000000000000000000000000000000000000000* L021540 000000000000000000000000000000000000000000000000000000000000000000* L021606 111111111111111111111111111111111111111111111111111111111111111111* -L021672 111111111011111111111111111111111111111111111111110111111111111110* -L021738 111111111111111111111111111111110111111111111111111011111111111111* -L021804 111111111111111111111111111111110111111111111111111111111111111101* -L021870 111101110111111111111111111111111111111111111111110111111111111111* +L021672 111111111111111111111111111111110111111111111111111111111111111111* +L021738 111111111111011111111101101111111011111111111111111111011111111111* +L021804 111111111111011111111111101111111011111110111111111111101111111111* +L021870 111111111111011111111110101111110111111110111111111111011111111111* L021936 000000000000000000000000000000000000000000000000000000000000000000* L022002 111111111111111111111111111111111111111111111111111111111111111111* L022068 111111111111111111111111111111111111111111111111111111111111111111* @@ -488,43 +483,43 @@ L022200 111111111111111111111111111111111111111111111111111111111111111111* L022266 111111111111111111111111111111111111111111111111111111111111111111* L022332 111111111111111111111111111111111111111111111101111111111111111111* -L022398 111111111111111111111111111111111111011111111111110111111111111111* -L022464 111111111111111111111111111110111101111011111111111111111111111111* +L022398 111111111111011111110111111111111111111111111111111111111111111111* +L022464 110111111111111111111111111111111111111011101111111111111111111111* L022530 000000000000000000000000000000000000000000000000000000000000000000* L022596 000000000000000000000000000000000000000000000000000000000000000000* L022662 000000000000000000000000000000000000000000000000000000000000000000* L022728 111111111111111111111111111111111111111111111110111111111111111111* -L022794 111011111111111111101111111111111111111101111111101111111111111011* -L022860 111011111111111111111110011111011111111101111111111111111010110111* -L022926 111011111111111111111111111111111111111101111111101111111110111011* -L022992 111111111111111111111111111111111111111001111111101111111101111111* +L022794 111111111110011011101111111101111111111111111111111111111111111111* +L022860 111111111101101111101111011101011110111111111111111111111011111111* +L022926 111111111110111011101111111101111110111111111111111111111111111111* +L022992 111111111111111011111111111101111101111011111111111111111111111111* L023058 111111111111111111111111111111111111111111111101111111111111111111* -L023124 111111110111111111111111111111111111111111111111111011111111111111* -L023190 111111111011111111111111111111111111111111111111110111111111111111* +L023124 111111111111111011011111111101111111111011111111111111111111111111* +L023190 000000000000000000000000000000000000000000000000000000000000000000* L023256 000000000000000000000000000000000000000000000000000000000000000000* L023322 000000000000000000000000000000000000000000000000000000000000000000* L023388 000000000000000000000000000000000000000000000000000000000000000000* -L023454 110111111111111111111111111111111111111001111111101111111111111111* -L023520 000000000000000000000000000000000000000000000000000000000000000000* -L023586 000000000000000000000000000000000000000000000000000000000000000000* -L023652 000000000000000000000000000000000000000000000000000000000000000000* -L023718 000000000000000000000000000000000000000000000000000000000000000000* +L023454 111111111111111111111111111111111111111111111111111111111111111111* +L023520 111111111111111111111111111111111111111111111111111111111111111111* +L023586 111111111111111111111111111111111111111111111111111111111111111111* +L023652 111111111111111111111111111111111111111111111111111111111111111111* +L023718 111111111111111111111111111111111111111111111111111111111111111111* L023784 111111111111111111111111111111111111111111111101111111111111111111* -L023850 111111111111011011111111111111111111111111111111111111111111111111* +L023850 111111101111111111111111111111111111111111110111111111111111111111* L023916 111111111111111111111111111111111111111111111111111111111111111111* L023982 111111111111111111111111111111111111111111111111111111111111111111* L024048 111111111111111111111111111111111111111111111111111111111111111111* L024114 111111111111111111111111111111111111111111111111111111111111111111* -L024180 111111111111111111111111111111111111111111111111111111110111111111* +L024180 111111111111011111111111111111111111111111111111111111111111111111* L024246 111111111111111111111111111111111111111111111111111111111111111111* L024312 111111111111111111111111111111111111111111111111111111111111111111* L024378 111111111111111111111111111111111111111111111111111111111111111111* L024444 111111111111111111111111111111111111111111111111111111111111111111* L024510 - 111111111111111111111111111011101111111111111110111111111111111111* -L024576 111111111111111111111111111111111111111111111101111111111111111111* + 111111111111111111111111111111101111111111111110111011111111111111* +L024576 111111111111111111111111111111111111111111111111111111111111111111* L024642 111111111111111111111111111111111111111111111111111111111111111111* L024708 111111111111111111111111111111111111111111111111111111111111111111* L024774 111111111111111111111111111111111111111111111111111111111111111111* @@ -536,13 +531,13 @@ L025104 111111111111111111111111111111111111111111111111111111111111111111* L025170 111111111111111111111111111111111111111111111111111111111111111111* L025236 111111111111111111111111111111111111111111111111111111111111111111* -L025302 111111111111011111111111111111111111111111111111111111111111101111* +L025302 111111111111111111111111111011111111111111110111111111111111111111* L025368 111111111111111111111111111111111111111111111111111111111111111111* L025434 111111111111111111111111111111111111111111111111111111111111111111* L025500 111111111111111111111111111111111111111111111111111111111111111111* L025566 111111111111111111111111111111111111111111111111111111111111111111* -L025632 111111111111111111111111111111111111111111101011111111111111111111* -L025698 111111011111111111111111111111011111110111111011111111111111110111* +L025632 111111111011111111111111111111111111111111111111111111111110111111* +L025698 111111111011011111111111101111011111110111111111111111111111111111* L025764 000000000000000000000000000000000000000000000000000000000000000000* L025830 000000000000000000000000000000000000000000000000000000000000000000* L025896 000000000000000000000000000000000000000000000000000000000000000000* @@ -564,46 +559,46 @@ L026688 L026820 0010* L026824 00100011111000* L026838 00100110011111* -L026852 10100100011101* +L026852 00100100011101* L026866 11101011111111* L026880 11100110010000* L026894 11100110010010* -L026908 10100100010101* -L026922 11000011110011* -L026936 01111111110010* +L026908 11111111110101* +L026922 11111011110011* +L026936 01110011110010* L026950 00000110010010* -L026964 00010110010110* -L026978 11100011110011* -L026992 01111011111001* -L027006 11100110011111* -L027020 11011111110000* -L027034 11110011110011* +L026964 11011011110110* +L026978 11111111110011* +L026992 01110011111000* +L027006 11100110011110* +L027020 11011011110001* +L027034 11111111110011* NOTE BLOCK 4 * L027048 + 111111111111111111110111111111111111111111111111111111111111011111 + 110111111111111111011111111111111111111111011111111011111111111111 + 111111110101110111111111011111111111111111111111111111111111111111 + 111101011111111111111111111111111101111111111011111111111111111111 + 111111111111111111111111111101111111111111111111111111111111111111 111111111111111111111111111111111111111111111111111111111111111111 - 110111111111111111111111111111111111110111011111011011111111111111 - 111111110111111111111111011101111111111111111111111111111111111111 - 111111011111011110111111111111111101111111111111111111111111111111 - 111111111111110111111111111111111111111111111111111111111111111111 - 111111111111111111111011111011111111111111111111111111011111111111 - 111110111111111111111101111111111111111111111111111111111111110111 - 111111111111111111111111111111011111111110111101111111111101111111 - 101111111110111111101111111111111111101111111111111111111111111111* + 111111111111111111111111111111111011111111111111111111111111111111 + 111111111111101111111111111111011111111010111101111111111101111111 + 101111111111111110111110111111111111111111111111111111111111111111* L027642 000000000000000000000000000000000000000000000000000000000000000000* -L027708 111111111111111111011111111111011111011111111111111111111101111111* -L027774 111111111111111111101111111111011111101111111111111111111110111111* -L027840 111111111111111111101111111111101111011111111111111111111110111111* -L027906 111111111111111110011110111111011111011111111111111111101111111011* +L027708 111111111111111111111111111111111111111110101110110111111111111111* +L027774 111111111111111111111111111111111111111101111111111011111111111111* +L027840 000000000000000000000000000000000000000000000000000000000000000000* +L027906 000000000000000000000000000000000000000000000000000000000000000000* L027972 000000000000000000000000000000000000000000000000000000000000000000* -L028038 111101111101111111111111111111111111111111111111111111111111111111* -L028104 111110111110111111111111111111111111111111111111111111111111111111* +L028038 111111111111111111111111111111111111111111111111111111111111011111* +L028104 000000000000000000000000000000000000000000000000000000000000000000* L028170 000000000000000000000000000000000000000000000000000000000000000000* L028236 000000000000000000000000000000000000000000000000000000000000000000* L028302 000000000000000000000000000000000000000000000000000000000000000000* L028368 000000000000000000000000000000000000000000000000000000000000000000* -L028434 111111111111011111111111111111111111111111111111111111111111111111* +L028434 111111111111110111111111111111111111111111111111111111111111111111* L028500 111111111111111111111111111111111111111111111111111111111111111111* L028566 111111111111111111111111111111111111111111111111111111111111111111* L028632 111111111111111111111111111111111111111111111111111111111111111111* @@ -615,19 +610,19 @@ L028962 111111111111111111111111111111111111111111111111111111111111111111* L029028 111111111111111111111111111111111111111111111111111111111111111111* L029094 000000000000000000000000000000000000000000000000000000000000000000* -L029160 111111111111111111111111111111111111111110101110110111111111111111* -L029226 111111111111111111111111111111111111111101111111111011111111111111* -L029292 000000000000000000000000000000000000000000000000000000000000000000* -L029358 000000000000000000000000000000000000000000000000000000000000000000* -L029424 000000000000000000000000000000000000000000000000000000000000000000* -L029490 111111111111111111111111111111111111111111111111011111111111111111* +L029160 111111111111101111111111111111111111111111111111111111111111111111* +L029226 111111111111111111111111111111111111111111111111111111111111111111* +L029292 111111111111111111111111111111111111111111111111111111111111111111* +L029358 111111111111111111111111111111111111111111111111111111111111111111* +L029424 111111111111111111111111111111111111111111111111111111111111111111* +L029490 111101111111111111111111111111111111111111111111111111111111111111* L029556 111111111111111111111111111111111111111111111111111111111111111111* L029622 111111111111111111111111111111111111111111111111111111111111111111* L029688 111111111111111111111111111111111111111111111111111111111111111111* L029754 111111111111111111111111111111111111111111111111111111111111111111* L029820 000000000000000000000000000000000000000000000000000000000000000000* -L029886 111111111111111111111111111111111111111111111111111111111111111111* +L029886 111111111111011111111111111111111111111111111111111111111111111111* L029952 111111111111111111111111111111111111111111111111111111111111111111* L030018 111111111111111111111111111111111111111111111111111111111111111111* L030084 111111111111111111111111111111111111111111111111111111111111111111* @@ -639,12 +634,12 @@ L030414 111111111111111111111111111111111111111111111111111111111111111111* L030480 111111111111111111111111111111111111111111111111111111111111111111* L030546 000000000000000000000000000000000000000000000000000000000000000000* -L030612 111101111111111111111111110111111111111111111111111111111111111111* -L030678 111111111110111111110111111111111111111111111111111111111111111111* -L030744 000000000000000000000000000000000000000000000000000000000000000000* +L030612 111011101010111101101101101110011110111011111111111111111101111111* +L030678 111011101010111111101111101110111110111111011111111111111111111111* +L030744 111111111111111111111111111111111111110111011111111111111111111111* L030810 000000000000000000000000000000000000000000000000000000000000000000* L030876 000000000000000000000000000000000000000000000000000000000000000000* -L030942 111111111101111111111111111111111111111111111111111111111111111111* +L030942 111111111111111111110111111111111111111111111111111111111111111111* L031008 111111111111111111111111111111111111111111111111111111111111111111* L031074 111111111111111111111111111111111111111111111111111111111111111111* L031140 111111111111111111111111111111111111111111111111111111111111111111* @@ -662,13 +657,13 @@ L031800 111111111111111111111111111111111111111111111111111111111111111111* L031866 111111111111111111111111111111111111111111111111111111111111111111* L031932 111111111111111111111111111111111111111111111111111111111111111111* L031998 - 111011101011111011111111101110111110111011111111111111111111111111* -L032064 111111111111111111110111110111111111111111111111111111111111111111* -L032130 111111111111111111111011111011111111111111111111111111111111111111* -L032196 000000000000000000000000000000000000000000000000000000000000000000* -L032262 000000000000000000000000000000000000000000000000000000000000000000* -L032328 000000000000000000000000000000000000000000000000000000000000000000* -L032394 111111111111111111110111111111111111111111111111111111111111111111* + 111111111111111111111111111111111011111111111111111111111111111111* +L032064 111011101010111101101101101110011110111011111111111111111101111111* +L032130 111111111111111111111111111111111111111111111111111111111111111111* +L032196 111111111111111111111111111111111111111111111111111111111111111111* +L032262 111111111111111111111111111111111111111111111111111111111111111111* +L032328 111111111111111111111111111111111111111111111111111111111111111111* +L032394 111111111111111111111111111111111111111111110111111111111111111111* L032460 111111111111111111111111111111111111111111111111111111111111111111* L032526 111111111111111111111111111111111111111111111111111111111111111111* L032592 111111111111111111111111111111111111111111111111111111111111111111* @@ -690,72 +685,72 @@ L033450 000000000000000000000000000000000000000000000000000000000000000000* L033582 0010* L033586 10100011111000* -L033600 10101110010011* -L033614 00010110010000* -L033628 11100011111110* -L033642 10101111111000* -L033656 00000110011111* -L033670 11011111110000* -L033684 11111011111111* +L033600 00100110010011* +L033614 00010100010001* +L033628 11101011111111* +L033642 00110110010000* +L033656 00000110011110* +L033670 00010110010001* +L033684 11100011111111* L033698 11101111110000* -L033712 00001100011110* +L033712 00000110011110* L033726 11010011110000* L033740 11111011111111* -L033754 10100110010010* -L033768 00000110011110* -L033782 11010111110111* -L033796 11111111111110* +L033754 00111111110001* +L033768 00000110011111* +L033782 11010011110100* +L033796 11111011111111* NOTE BLOCK 5 * L033810 - 111111111111101111111111111111111110111111111111111111111111111111 - 111111111111111111111111111111011111101111111111111111111111111111 - 111111111111111111101111111111111111111110111111111111110111111111 - 111111101111111111111110111110111111111111111111111111111111111111 - 111111111111111111111011111111110111111111111111111111111111111111 - 111111111111111111111111111111111111111111111111011111111111111111 - 111111111110110111111111111111111111111111111101111111111111011111 - 111101111111111111111111111111111111111111111111111111111111111111 - 101011111111111111111111110111111111111111111111111111011111111111* + 111111111111111111111111111111101110111111111111111111111111111111 + 111111111101111111111111111111111111101111111111111111111111111111 + 111111111111111111111111111110111111111110111111111111110111111111 + 111111111111010111101110111111111111111111111111111111111110111111 + 111111111111111111111011111111111111111111111111111011111111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 111111111111111111111111011111111111111111111101111111101111111111 + 111111111111111111111111111111111111111111111111111111111111111111 + 101001011111111111111111110111111111111111111111111111111111111111* L034404 000000000000000000000000000000000000000000000000000000000000000000* -L034470 111111111111111111110111111111111111111111111111111111111111111111* -L034536 111011111111111111111111111111111101111111111111111111111111111111* -L034602 111011111111111111111111111111111011011111111111111111111111111111* -L034668 111011111111111111111111111111111011111101111111111111111111111111* -L034734 111011111111111111111111111111111011111111111111111111111111011111* -L034800 111011111111111111011111111111111111111111111111101111111111111111* -L034866 111011111111011111111111111111111111111111111111101111111111111111* -L034932 111011111111111111111111111101111111111111111111101111111111111111* -L034998 111011111111111111011111110111111111111111111101111111111111111111* -L035064 111011111111111111011110111011111111111111111111111111111111111111* +L034470 111111111111111111111111111111011111111111111111111111111111111111* +L034536 110111111111111111011111111110111111111111111111111111111111111111* +L034602 110111111111111111111111111110111111011111111111111111111111111111* +L034668 110111111111110111111111111110111111111111111111111111111111111111* +L034734 110111111111111111110111111110111111111111111111111111111111111111* +L034800 110111111111111111111111111110111101111111111111111111111111111111* +L034866 110111111111111111111111111110111111111111111111111111111101111111* +L034932 110111111111101111111111111101111111111111111111111111111111111111* +L034998 111111111101101111101011011110111111101111111111111111111010111111* +L035064 111111111111101111101011010110111111011111111110111111111110111111* L035130 000000000000000000000000000000000000000000000000000000000000000000* -L035196 111101111111111111111111111111111111111111111111111111111111111111* -L035262 111011011111111111011111111011111111111111111111111111111111111111* -L035328 111011111111111111011111111011111111111111111111111111011111111111* -L035394 111111111111101111101111111110101110101110111111111111111111101111* +L035196 111110111111101111101001011010111111011110111111111111111110111111* +L035262 000000000000000000000000000000000000000000000000000000000000000000* +L035328 000000000000000000000000000000000000000000000000000000000000000000* +L035394 000000000000000000000000000000000000000000000000000000000000000000* L035460 000000000000000000000000000000000000000000000000000000000000000000* -L035526 111111111111111111111111111111110101111111111111111111111111111111* -L035592 111111111111101011101111111110111110101110111111111111111111101111* -L035658 111111111111101111101111111110111110101110111111111111110111101111* -L035724 111111111101101111101111111110111110101110111111111111111111101111* +L035526 110111111111101111110111111111111111111111111111111111111111111111* +L035592 111111111111100111111111111111111111111111111111111111111111111111* +L035658 000000000000000000000000000000000000000000000000000000000000000000* +L035724 000000000000000000000000000000000000000000000000000000000000000000* L035790 000000000000000000000000000000000000000000000000000000000000000000* L035856 000000000000000000000000000000000000000000000000000000000000000000* -L035922 110111111111111111111111111101111111111111111111101111111111111111* -L035988 111111111111111111111111111111110111011111111111111111111111111111* -L036054 000000000000000000000000000000000000000000000000000000000000000000* +L035922 111110111111101111111101011011111111011110111111111111111111111111* +L035988 111111111111101111111111010111111111011111111110111111111111111111* +L036054 110111111111101111011111111111111111111111111111111111111111111111* L036120 000000000000000000000000000000000000000000000000000000000000000000* L036186 000000000000000000000000000000000000000000000000000000000000000000* -L036252 111111111111111111111111111111110111111101111111111111111111111111* -L036318 110111111111111111011111111011111111111111111111111111011111111111* -L036384 110111111111111111011111111111111111111111111111101111111111111111* -L036450 110111011111111111011111111011111111111111111111111111111111111111* -L036516 110111111111111111011110111011111111111111111111111111111111111111* +L036252 111111111101101111111111011111111111111111111111110111111011111111* +L036318 110111111111101111111111111111111111111111111111111111111101111111* +L036384 000000000000000000000000000000000000000000000000000000000000000000* +L036450 000000000000000000000000000000000000000000000000000000000000000000* +L036516 000000000000000000000000000000000000000000000000000000000000000000* L036582 000000000000000000000000000000000000000000000000000000000000000000* -L036648 111111111111110111111111111111111111111111111111111111111111111111* -L036714 110111111111111111011111110111111111111111111101111111111111111111* +L036648 110111111111101111111111111101111111111111111111111111111111111111* +L036714 111111111111101111111111111111111101111111111111111111111111111111* L036780 000000000000000000000000000000000000000000000000000000000000000000* L036846 000000000000000000000000000000000000000000000000000000000000000000* L036912 000000000000000000000000000000000000000000000000000000000000000000* @@ -766,16 +761,16 @@ L037176 111111111111111111111111111111111111111111111111111111111111111111* L037242 111111111111111111111111111111111111111111111111111111111111111111* L037308 000000000000000000000000000000000000000000000000000000000000000000* -L037374 110111111111111111111111111111111011111101111111111111111111111111* -L037440 111111111111011111111111111111111111111111111111011111111111111111* -L037506 000000000000000000000000000000000000000000000000000000000000000000* -L037572 000000000000000000000000000000000000000000000000000000000000000000* +L037374 111111101111011111111110101111111111111110111111111111111111111111* +L037440 111111011111011111111101101111111111111110111111111111111111111111* +L037506 111111101111011111111101101111111111111111111111111111011111111111* +L037572 111111011111011111111110101111111111111111111111111111011111111111* L037638 000000000000000000000000000000000000000000000000000000000000000000* -L037704 110111111111111111111111111111111011011111111111111111111111111111* -L037770 111111101111111111011101111011111111111111111111011111101111111111* -L037836 111111111111111111011111110111111111111111111110011111111111111111* -L037902 000000000000000000000000000000000000000000000000000000000000000000* -L037968 000000000000000000000000000000000000000000000000000000000000000000* +L037704 111011111111101111111111111111111111011111111111111111111111111111* +L037770 111011111111111111111011111111111111011111111111111111111111111111* +L037836 111111111111011111110111111111111111101111111111111111111111111111* +L037902 111110111111101111111101011011111111011110111111111111111111111111* +L037968 111111111111101111111111010111111111011111111110111111111111111111* L038034 000000000000000000000000000000000000000000000000000000000000000000* L038100 111111111111111111111111111111111111111111111111111111111111111111* @@ -790,15 +785,15 @@ L038628 111111111111111111111111111111111111111111111111111111111111111111* L038694 111111111111111111111111111111111111111111111111111111111111111111* L038760 000000000000000000000000000000000000000000000000000000000000000000* -L038826 110111111111111111111111111111111001111111111111111111111111111111* -L038892 111111111111111111111111111101111110111111111111011111111111111111* +L038826 110111111111011111111111111111111101111111111111111111111111111111* +L038892 111111111111011111011111111111111111111111111111111111111111111111* L038958 000000000000000000000000000000000000000000000000000000000000000000* L039024 000000000000000000000000000000000000000000000000000000000000000000* L039090 000000000000000000000000000000000000000000000000000000000000000000* -L039156 111111111111111111111111111111110111111111111111111111111111011111* -L039222 110111111111011111111111111111111111111111111111101111111111111111* -L039288 000000000000000000000000000000000000000000000000000000000000000000* -L039354 000000000000000000000000000000000000000000000000000000000000000000* +L039156 111111111111011011111111111110111110101111111111111111111111111111* +L039222 111111111111101111101011111110111101101111111111111111111110111111* +L039288 111111111111100111101011111110111111101111111111111111111110111111* +L039354 111111111111011011101011111110111110101111111111111111111110111111* L039420 000000000000000000000000000000000000000000000000000000000000000000* L039486 000000000000000000000000000000000000000000000000000000000000000000* @@ -816,48 +811,48 @@ L040212 000000000000000000000000000000000000000000000000000000000000000000 101111111111111111111111111111111111111111111111111111111111111111* L040344 0010* -L040348 10100110011110* -L040362 10111011111110* -L040376 00000100011111* -L040390 11001011110011* -L040404 10100100011110* -L040418 10100100010010* -L040432 00110110011111* -L040446 11100011110011* -L040460 10100100011110* -L040474 10100100010010* +L040348 11100110011110* +L040362 10110100011110* +L040376 10000100011110* +L040390 11000011110011* +L040404 10000100011111* +L040418 10110100010011* +L040432 11111011111110* +L040446 11110011110011* +L040460 10100101011111* +L040474 10100101010011* L040488 11011111111110* -L040502 11110011111111* +L040502 11110011111110* L040516 10100100011111* -L040530 10100100011111* -L040544 11011011111110* -L040558 11111111111111* +L040530 00101011111111* +L040544 11011111111110* +L040558 11110011111111* NOTE BLOCK 6 * L040572 - 111111111011111111111111111111111111111111111111111111111111111111 - 111111111101111111111111111111111111111111111111111111111111111111 - 111101111111111111011111111111111111111111111111111111111111111111 - 111111111111111111111110111111101111111111111111111111111111111110 - 111111111111111111111111111111111110111111111111110111111111111111 + 111111111011111111101111111111111111111111111111111111111111111111 + 111111111101111111111111111111110111111111111111111111111111111111 + 111111111111111111111111111111111111111110111111111111111101111111 + 111110101111111111111111111101111111111111111111111111111111111111 + 111111111111111111111111111111111110111111111111111111111111111111 111111111111111011111111111111111111111111111111111111111111111111 - 111111111111101111111111111011111111111111111111011111111111111111 - 111111111111111101111111111111110111111110111101111111111111111111 - 101111011111111111110111111111111111111111110111111111111111111111* + 111111111111111111111110011111111111111011101111011011111111111111 + 111111111111101101111111111111111111111111111110111101111111111111 + 101111111111111111111111111011111111111111111111111111110111111111* L041166 111111111111111111111111111111111111111111111111111111111111111111* -L041232 111111110111111110111111111111111110111110111110111111111111111111* -L041298 111111111011101110111111111111111111111110111110111111111111111111* -L041364 111111110111111111111111111111111110111110111110101111111111111111* -L041430 111111111011101111111111111111111111111110111110101111111111111111* +L041232 111111111011111110111111111111111110111111111110111110111111111111* +L041298 111111110111111110111111111111111111111111101110111110111111111111* +L041364 111111111011111111111111111111111110111111111110101110111111111111* +L041430 111111110111111111111111111111111111111111101110101110111111111111* L041496 000000000000000000000000000000000000000000000000000000000000000000* -L041562 111111111111110111111111111111111111111111111111111111111111111111* +L041562 111111111111111111111111111111110111111111111111111111111111111111* L041628 000000000000000000000000000000000000000000000000000000000000000000* L041694 000000000000000000000000000000000000000000000000000000000000000000* L041760 000000000000000000000000000000000000000000000000000000000000000000* L041826 000000000000000000000000000000000000000000000000000000000000000000* L041892 111111111111111111111111111111111111111111111111111111111111111111* -L041958 111111111111111111111111111111111111111111110111111111111111111111* +L041958 111111111111111011111111101101111111111111111111111111111110111111* L042024 111111111111111111111111111111111111111111111111111111111111111111* L042090 111111111111111111111111111111111111111111111111111111111111111111* L042156 111111111111111111111111111111111111111111111111111111111111111111* @@ -869,19 +864,19 @@ L042486 111111111111111111111111111111111111111111111111111111111111111111* L042552 111111111111111111111111111111111111111111111111111111111111111111* L042618 000000000000000000000000000000000000000000000000000000000000000000* -L042684 111111011111111111111111111111111111111111111111110111111111111101* -L042750 111111111111111111111110111111111111111111111111111011111111111111* -L042816 111111111111111111111110111111111011111111111111111111111111111101* -L042882 111111011111111111111110111111111111111111111111111111111111111111* +L042684 111101111111111111111111111111111111111111111111111111111111111111* +L042750 111101111111111111111111101101111111111101111111111111110111111111* +L042816 111110111111111111111111101101111111111110111111111111111011111111* +L042882 111110111111111111111101101101111111111111111111111111111011111111* L042948 000000000000000000000000000000000000000000000000000000000000000000* -L043014 111111111111111111111111111111111111111111111111111011111111111101* -L043080 111111111111111111111111111111111011111111111111111111111111111101* -L043146 111111111111111111111111111111110111111111111111110111111111111110* -L043212 111111011111111111111101111111111111111111111111110111111111111111* -L043278 111111101111111111111110111111111111111111111111110111111111111111* +L043014 111111011111111111111111111111111111110111111111111111111111111111* +L043080 111111011111101111111111111111111111111111111111111111111111111111* +L043146 111111101111011111111111111111111111111011111111111111111111111111* +L043212 000000000000000000000000000000000000000000000000000000000000000000* +L043278 000000000000000000000000000000000000000000000000000000000000000000* L043344 000000000000000000000000000000000000000000000000000000000000000000* -L043410 111101111111111111111111111111111111111111111111111111111111111111* +L043410 111111111111111111111111111111111111111111111111111111111111111111* L043476 111111111111111111111111111111111111111111111111111111111111111111* L043542 111111111111111111111111111111111111111111111111111111111111111111* L043608 111111111111111111111111111111111111111111111111111111111111111111* @@ -892,20 +887,20 @@ L043872 111111111111111111111111111111111111111111111111111111111111111111* L043938 111111111111111111111111111111111111111111111111111111111111111111* L044004 111111111111111111111111111111111111111111111111111111111111111111* L044070 - 111111111110111111111111111011111111111110111111111111111111111111* -L044136 111111111111111101111111111111111111111110111110101111111111111111* + 111111111110111111111111111111111111111111111110111011111111111111* +L044136 111111111111111101111111111111111111111111111110101110111111111111* L044202 111111111111111111111111111111111111111111111111111111111111111111* L044268 111111111111111111111111111111111111111111111111111111111111111111* L044334 111111111111111111111111111111111111111111111111111111111111111111* L044400 111111111111111111111111111111111111111111111111111111111111111111* -L044466 111111111111111111111111111111011111111111111111111111111111111111* +L044466 111111111111111111011111111111111111111111111111111111111111111111* L044532 111111111111111111111111111111111111111111111111111111111111111111* L044598 111111111111111111111111111111111111111111111111111111111111111111* L044664 111111111111111111111111111111111111111111111111111111111111111111* L044730 111111111111111111111111111111111111111111111111111111111111111111* L044796 - 111111111110111111111111111011111111111110111111111111111111111111* -L044862 111111111111111111110111111111111111111111111111111111111111111111* + 111111111110111111111111111111111111111111111110111011111111111111* +L044862 111111111111111111111111111111111111111111111111111111111111111111* L044928 111111111111111111111111111111111111111111111111111111111111111111* L044994 111111111111111111111111111111111111111111111111111111111111111111* L045060 111111111111111111111111111111111111111111111111111111111111111111* @@ -916,13 +911,13 @@ L045324 111111111111111111111111111111111111111111111111111111111111111111* L045390 111111111111111111111111111111111111111111111111111111111111111111* L045456 111111111111111111111111111111111111111111111111111111111111111111* L045522 - 111111111111111111111111111111111111111110111111111111111111111111* -L045588 111111111111111110111111111111111111111110111110101111111111111111* + 111111111111111111111111111111111111111111111110111111111111111111* +L045588 111111111111111110111111111111111111111111111110101110111111111111* L045654 111111111111111111111111111111111111111111111111111111111111111111* L045720 111111111111111111111111111111111111111111111111111111111111111111* L045786 111111111111111111111111111111111111111111111111111111111111111111* L045852 111111111111111111111111111111111111111111111111111111111111111111* -L045918 111111111111111111011111111111111111111111111111111111111111111111* +L045918 111111111111111111111111110111111111111111111111111111111111111111* L045984 111111111111111111111111111111111111111111111111111111111111111111* L046050 111111111111111111111111111111111111111111111111111111111111111111* L046116 111111111111111111111111111111111111111111111111111111111111111111* @@ -947,34 +942,34 @@ L047110 11100110011000* L047124 00100100011110* L047138 00010100010100* L047152 11100011111111* -L047166 11100100011001* +L047166 00100100011001* L047180 10100100010011* -L047194 00010100010000* -L047208 11101111110011* +L047194 11011011110000* +L047208 11110011110011* L047222 00110110010001* L047236 00000100010011* -L047250 00010110010100* -L047264 11101011110010* -L047278 01110110010010* +L047250 11011111110100* +L047264 11110011110010* +L047278 01110110010011* L047292 00000100010011* -L047306 11011111110000* -L047320 11110011111110* +L047306 11011011110000* +L047320 11111111111111* NOTE BLOCK 7 * L047334 - 111111111111111111111111111111111111111111111111111111111011111111 - 111111111101111110111111111111111111111111111111111101111111111111 - 111111111111111111111111111111111110111111111111111111111111111111 - 111011111111111111111011111011111111111111111111111111111111111111 - 111111111111111111011111111111111111111111111111111111111111111011 - 111111111111011111111111111111111111011111111111111111111110111111 - 111111100111111111111101111111111111111111111111011111101111011111 - 011111111111111111111111011111111111111010111111111111111111111111 - 111111111111111111111111111110101111111111101110111011111111111111* + 111111111111111111111111111111111111111111111111111111111011111011 + 111111111111111110111111111111011111111111111111111111111111111111 + 111111111111101111111111111111110111111111111111111111111111111111 + 111011111111111111111011111001111111111111111111111111111111111111 + 111111111110111111111111111111111111111111111111111111111111111111 + 111111111111111111111111111111111111011111111111111111011110111111 + 111111110111110111111110111111111111111111111111011001111111111111 + 011111111111111111101111011111111111111110111111111111111111111111 + 111111101111111111111111111111111110111111101110111111111111111111* L047928 000000000000000000000000000000000000000000000000000000000000000000* -L047994 111111111111111111101111111111111111111111111110111111111111111011* -L048060 111111111111111111111111111111111111111111111110111111111111101011* -L048126 111111111111111110011111111111111111111111111111111111111111011011* +L047994 111111111110111111111111111110111111111111111110111111111111111111* +L048060 111111111110111111111011111111111111111111111110111111111111111111* +L048126 111111111110111110110111111101111111111111111111111111111111111111* L048192 000000000000000000000000000000000000000000000000000000000000000000* L048258 000000000000000000000000000000000000000000000000000000000000000000* L048324 101111111111111111111111101111111111111110111111011111111111111111* @@ -984,7 +979,7 @@ L048522 000000000000000000000000000000000000000000000000000000000000000000* L048588 000000000000000000000000000000000000000000000000000000000000000000* L048654 000000000000000000000000000000000000000000000000000000000000000000* -L048720 111111111111111111111111111110111111111111111111111111111111111111* +L048720 111111111111111111111111111111111111111101111111111111111111111111* L048786 111111111111111111111111111111111111111111111111111111111111111111* L048852 111111111111111111111111111111111111111111111111111111111111111111* L048918 111111111111111111111111111111111111111111111111111111111111111111* @@ -996,19 +991,19 @@ L049248 111111111111111111111111111111111111111111111111111111111111111111* L049314 111111111111111111111111111111111111111111111111111111111111111111* L049380 111111111111111111111111111111111111111111111111111111111111111111* -L049446 111111111111111111111111111111111111111111111111111111111111111111* -L049512 111111111111111111111111111111111111111111111111111111111111111111* -L049578 111111111111111111111111111111111111111111111111111111111111111111* -L049644 111111111111111111111111111111111111111111111111111111111111111111* -L049710 111111111111111111111111111111111111111111111111111111111111111111* -L049776 110111111011101111111110110111111101011011111111111111111111111111* -L049842 111111111111111111111111111111111111011101111111111111111111111111* -L049908 111111111111111111011111111111111111011111111111111111111111111111* -L049974 000000000000000000000000000000000000000000000000000000000000000000* -L050040 000000000000000000000000000000000000000000000000000000000000000000* +L049446 111111111111111111111111111111111111011101111111111111111111111111* +L049512 111111111111111011111111111101111111011111111111111111111111111111* +L049578 000000000000000000000000000000000000000000000000000000000000000000* +L049644 000000000000000000000000000000000000000000000000000000000000000000* +L049710 000000000000000000000000000000000000000000000000000000000000000000* +L049776 110111111011011111101111110111111111011111111111111110101111111111* +L049842 111111111111111111111111111111111111111111111111111111111111111111* +L049908 111111111111111111111111111111111111111111111111111111111111111111* +L049974 111111111111111111111111111111111111111111111111111111111111111111* +L050040 111111111111111111111111111111111111111111111111111111111111111111* L050106 - 111111101110111111111111111111111111111110111111111111111111111111* -L050172 111111111111111111111111111111111111111111111111111101111111111111* + 111111111111111111111111111111101111111110111111111011111111111111* +L050172 111111111111111111111111111111111111111111111111111111111111111111* L050238 111111111111111111111111111111111111111111111111111111111111111111* L050304 111111111111111111111111111111111111111111111111111111111111111111* L050370 111111111111111111111111111111111111111111111111111111111111111111* @@ -1019,41 +1014,41 @@ L050634 111111111111111111111111111111111111111111111111111111111111111111* L050700 111111111111111111111111111111111111111111111111111111111111111111* L050766 111111111111111111111111111111111111111111111111111111111111111111* L050832 - 111111111101111111111111111111111111111111111111111111111111111111* + 111111111111111111111111111111011111111111111111111111111111111111* L050898 111111111111111111111111111111111111111101111111111111111111111111* -L050964 111111011111111111111111111111111111111111111111111111110111111111* +L050964 111111111111111111111111111111111111111111111111110111111011111111* L051030 011111111111111111111111111111111111111111111111111111111111111111* L051096 111111111111111111111111011111111111111111111111011111111111111111* L051162 000000000000000000000000000000000000000000000000000000000000000000* -L051228 111111111111111111111111111111111111111111111111111011111111111111* -L051294 111111111110111111111111111111111111111111111111111111011111111111* -L051360 110111111011101111111110110111111101011111111111111111011111111111* -L051426 111111111111111111111111111111111111111110111111111111011111111111* -L051492 111111111111111111111111111111111111111111111111111111011111111011* +L051228 111111111111111111111101111110111111111111111111111111111111111111* +L051294 111111111111110111111101111111111111111111111111111111111111111111* +L051360 111111111111111011111110111101111111111111111111111111111111111111* +L051426 000000000000000000000000000000000000000000000000000000000000000000* +L051492 000000000000000000000000000000000000000000000000000000000000000000* L051558 111111111111111111111111111111111111111101111111111111111111111111* -L051624 111111111111111111111111111101111111111111111111111111111111111111* -L051690 111111111111111111111111111111111111110111111111111111111111111111* -L051756 000000000000000000000000000000000000000000000000000000000000000000* -L051822 000000000000000000000000000000000000000000000000000000000000000000* -L051888 000000000000000000000000000000000000000000000000000000000000000000* +L051624 111111111111111111111111111111111111111111111111111111111111111111* +L051690 111111111111111111111111111111111111111111111111111111111111111111* +L051756 111111111111111111111111111111111111111111111111111111111111111111* +L051822 111111111111111111111111111111111111111111111111111111111111111111* +L051888 111111111111111111111111111111111111111111111111111111111111111111* L051954 111111111111111111111111111111111111111111111111111111111111111111* L052020 111111111111111111111111111111111111111111111111111111111111111111* L052086 111111111111111111111111111111111111111111111111111111111111111111* L052152 111111111111111111111111111111111111111111111111111111111111111111* L052218 111111111111111111111111111111111111111111111111111111111111111111* L052284 - 111111101110111111111111111111111111111110111111111111111111111111* -L052350 111111111111111111111111111111111111111111111111111111111111111111* -L052416 111111111111111111111111111111111111111111111111111111111111111111* -L052482 111111111111111111111111111111111111111111111111111111111111111111* -L052548 111111111111111111111111111111111111111111111111111111111111111111* -L052614 111111111111111111111111111111111111111111111111111111111111111111* -L052680 111111111111111111111111111111011111111111111111111111111111111111* -L052746 111111111111111111110111111111111111111111111111111101111111111111* -L052812 111111111111111111111111111111111111111011111111110111111110111111* -L052878 000000000000000000000000000000000000000000000000000000000000000000* -L052944 000000000000000000000000000000000000000000000000000000000000000000* + 111111111111111111111111111111101111111110111111111011111111111111* +L052350 111111101111111111111111111111110111111111111111111111111111110111* +L052416 111111111111111111101111111111111101111111111111111111111110111111* +L052482 000000000000000000000000000000000000000000000000000000000000000000* +L052548 000000000000000000000000000000000000000000000000000000000000000000* +L052614 000000000000000000000000000000000000000000000000000000000000000000* +L052680 111111111111110111111111111111111111111111111111111111111111111111* +L052746 111111111111111111111111111111111111111111111111111111111111111111* +L052812 111111111111111111111111111111111111111111111111111111111111111111* +L052878 111111111111111111111111111111111111111111111111111111111111111111* +L052944 111111111111111111111111111111111111111111111111111111111111111111* L053010 111111111111111111111111111111111111111111111111111111111111111111* L053076 111111111111111111111111111111111111111111111111111111111111111111* @@ -1072,20 +1067,20 @@ L053736 L053868 0010* L053872 11100110011100* L053886 11100110010010* -L053900 00010100010000* +L053900 00010110010000* L053914 11100011110011* -L053928 10110110010001* -L053942 01110011111111* -L053956 00010100010100* -L053970 11100011110011* +L053928 10100110010001* +L053942 01000011111111* +L053956 11011111110100* +L053970 11111011110011* L053984 10100110010011* -L053998 10100110010011* -L054012 00110100010110* -L054026 11101111110011* -L054040 11110110011011* -L054054 00110100010011* -L054068 11010011111110* -L054082 11111011111111* +L053998 10100100010011* +L054012 11010011110110* +L054026 11111011110011* +L054040 11100110011010* +L054054 00000110010010* +L054068 11011111111111* +L054082 11110011111111* E1 0 11111100 @@ -1105,6 +1100,6 @@ E1 10000010 1 * -CC529* +CAD33* U00000000000000000000000000000000* -CE1F +785E diff --git a/Logic/68030_tk.lco b/Logic/68030_tk.lco index 4fb88ee..692d770 100644 --- a/Logic/68030_tk.lco +++ b/Logic/68030_tk.lco @@ -16,8 +16,8 @@ RCS = "$Revision: 1.2 $"; Parent = m4a5.lci; SDS_File = m4a5.sds; Design = 68030_tk.tt4; -DATE = 6/9/14; -TIME = 20:20:36; +DATE = 6/15/14; +TIME = 16:36:48; Source_Format = Pure_VHDL; Type = TT2; Pre_Fit_Time = 1; @@ -76,121 +76,110 @@ Usercode_Format = Hex; [LOCATION ASSIGNMENTS] Layer = OFF; -A_31_ = pin,4,-,B,-; -IPL_2_ = pin,68,-,G,-; -FC_1_ = pin,58,-,F,-; -UDS_000 = pin,32,-,D,-; -LDS_000 = pin,31,-,D,-; -A_30_ = pin,5,-,B,-; -nEXP_SPACE = pin,14,-,-,-; -A_29_ = pin,6,-,B,-; -BERR = pin,41,-,E,-; A_28_ = pin,15,-,C,-; -BG_030 = pin,21,-,C,-; A_27_ = pin,16,-,C,-; A_26_ = pin,17,-,C,-; A_25_ = pin,18,-,C,-; -BGACK_000 = pin,28,-,D,-; +A_31_ = pin,4,-,B,-; A_24_ = pin,19,-,C,-; -CLK_030 = pin,64,-,-,-; A_23_ = pin,84,-,H,-; -CLK_000 = pin,11,-,-,-; A_22_ = pin,85,-,H,-; -CLK_OSZI = pin,61,-,-,-; A_21_ = pin,94,-,A,-; -CLK_DIV_OUT = pin,65,-,G,-; +IPL_2_ = pin,68,-,G,-; A_20_ = pin,93,-,A,-; A_19_ = pin,97,-,A,-; -FPU_CS = pin,78,-,H,-; +FC_1_ = pin,58,-,F,-; A_18_ = pin,95,-,A,-; A_17_ = pin,59,-,F,-; -DTACK = pin,30,-,D,-; A_16_ = pin,96,-,A,-; -AVEC = pin,92,-,A,-; +UDS_000 = pin,32,-,D,-; IPL_1_ = pin,56,-,F,-; -VPA = pin,36,-,-,-; +LDS_000 = pin,31,-,D,-; IPL_0_ = pin,67,-,G,-; FC_0_ = pin,57,-,F,-; +nEXP_SPACE = pin,14,-,-,-; +BERR = pin,41,-,E,-; +BG_030 = pin,21,-,C,-; +BGACK_000 = pin,28,-,D,-; +CLK_030 = pin,64,-,-,-; +CLK_000 = pin,11,-,-,-; +CLK_OSZI = pin,61,-,-,-; +CLK_DIV_OUT = pin,65,-,G,-; +FPU_CS = pin,78,-,H,-; +DTACK = pin,30,-,D,-; +AVEC = pin,92,-,A,-; +VPA = pin,36,-,-,-; RST = pin,86,-,-,-; AMIGA_BUS_DATA_DIR = pin,48,-,E,-; CIIN = pin,47,-,E,-; +A_30_ = pin,5,-,B,-; +A_29_ = pin,6,-,B,-; SIZE_1_ = pin,79,-,H,-; IPL_030_2_ = pin,9,-,B,-; AS_030 = pin,82,-,H,-; AS_000 = pin,33,-,D,-; RW_000 = pin,80,-,H,-; +IPL_030_1_ = pin,7,-,B,-; DS_030 = pin,98,-,A,-; -SIZE_0_ = pin,70,-,G,-; +IPL_030_0_ = pin,8,-,B,-; A0 = pin,69,-,G,-; BG_000 = pin,29,-,D,-; BGACK_030 = pin,83,-,H,-; CLK_EXP = pin,10,-,B,-; DSACK1 = pin,81,-,H,-; -IPL_030_1_ = pin,7,-,B,-; AVEC_EXP = pin,22,-,C,-; -IPL_030_0_ = pin,8,-,B,-; E = pin,66,-,G,-; VMA = pin,35,-,D,-; RESET = pin,3,-,B,-; RW = pin,71,-,G,-; AMIGA_BUS_ENABLE = pin,34,-,D,-; AMIGA_BUS_ENABLE_LOW = pin,20,-,C,-; -inst_AS_030_000_SYNC = node,-,-,H,9; -inst_BGACK_030_INT_D = node,-,-,D,10; -inst_VPA_D = node,-,-,G,10; -inst_CLK_OUT_PRE_50_D = node,-,-,H,10; -inst_CLK_000_D0 = node,-,-,B,5; -CLK_CNT_N_0_ = node,-,-,E,1; -inst_CLK_OUT_PRE_50 = node,-,-,H,2; -inst_CLK_OUT_PRE_25 = node,-,-,C,4; +SIZE_0_ = pin,70,-,G,-; +inst_AS_030_000_SYNC = node,-,-,B,5; +inst_BGACK_030_INT_D = node,-,-,H,2; +inst_VPA_D = node,-,-,B,2; +inst_CLK_OUT_PRE_50_D = node,-,-,E,6; +inst_CLK_000_D0 = node,-,-,C,4; inst_CLK_000_D1 = node,-,-,D,9; -inst_CLK_000_D2 = node,-,-,F,6; -inst_CLK_000_D3 = node,-,-,A,10; -inst_CLK_000_NE = node,-,-,A,12; -inst_CLK_OUT_PRE_D = node,-,-,H,13; -inst_CLK_OUT_PRE = node,-,-,E,2; -CLK_000_P_SYNC_9_ = node,-,-,G,6; -CLK_000_N_SYNC_11_ = node,-,-,A,6; +inst_CLK_000_D4 = node,-,-,E,2; +CLK_CNT_N_0_ = node,-,-,A,9; +inst_CLK_OUT_PRE_50 = node,-,-,E,4; +inst_CLK_OUT_PRE_25 = node,-,-,G,5; +inst_CLK_000_D2 = node,-,-,H,13; +inst_CLK_000_D3 = node,-,-,C,8; +inst_CLK_OUT_PRE_D = node,-,-,B,9; +inst_CLK_OUT_PRE = node,-,-,E,13; +CLK_000_P_SYNC_9_ = node,-,-,A,6; SM_AMIGA_7_ = node,-,-,F,0; -SM_AMIGA_1_ = node,-,-,F,4; -SM_AMIGA_0_ = node,-,-,F,12; -SM_AMIGA_6_ = node,-,-,A,8; -SM_AMIGA_4_ = node,-,-,F,8; -CLK_000_N_SYNC_6_ = node,-,-,C,10; -CLK_CNT_P_1_ = node,-,-,E,13; -CLK_CNT_N_1_ = node,-,-,E,9; -inst_CLK_030_H = node,-,-,A,1; -CLK_CNT_P_0_ = node,-,-,E,12; -inst_LDS_000_INT = node,-,-,C,1; -inst_DS_000_ENABLE = node,-,-,B,9; -inst_UDS_000_INT = node,-,-,C,8; -CLK_000_P_SYNC_0_ = node,-,-,A,2; -CLK_000_P_SYNC_1_ = node,-,-,G,2; -CLK_000_P_SYNC_2_ = node,-,-,C,6; -CLK_000_P_SYNC_3_ = node,-,-,G,13; -CLK_000_P_SYNC_4_ = node,-,-,A,13; -CLK_000_P_SYNC_5_ = node,-,-,B,10; -CLK_000_P_SYNC_6_ = node,-,-,E,5; -CLK_000_P_SYNC_7_ = node,-,-,A,9; -CLK_000_P_SYNC_8_ = node,-,-,B,6; -CLK_000_N_SYNC_0_ = node,-,-,A,5; -CLK_000_N_SYNC_1_ = node,-,-,F,2; -CLK_000_N_SYNC_2_ = node,-,-,G,9; -CLK_000_N_SYNC_3_ = node,-,-,C,2; -CLK_000_N_SYNC_4_ = node,-,-,C,13; -CLK_000_N_SYNC_5_ = node,-,-,B,2; -CLK_000_N_SYNC_7_ = node,-,-,H,6; -CLK_000_N_SYNC_8_ = node,-,-,C,9; -CLK_000_N_SYNC_9_ = node,-,-,B,13; -CLK_000_N_SYNC_10_ = node,-,-,C,5; -SM_AMIGA_5_ = node,-,-,F,13; -SM_AMIGA_3_ = node,-,-,F,5; -SM_AMIGA_2_ = node,-,-,F,9; -cpu_est_0_ = node,-,-,D,6; -cpu_est_1_ = node,-,-,G,5; +SM_AMIGA_6_ = node,-,-,F,4; +SM_AMIGA_1_ = node,-,-,F,12; +SM_AMIGA_0_ = node,-,-,F,5; +SM_AMIGA_4_ = node,-,-,F,1; +inst_CLK_030_H = node,-,-,A,12; +CLK_CNT_P_1_ = node,-,-,A,2; +CLK_CNT_N_1_ = node,-,-,A,13; +CLK_CNT_P_0_ = node,-,-,A,5; +inst_LDS_000_INT = node,-,-,C,9; +inst_DS_000_ENABLE = node,-,-,C,1; +inst_UDS_000_INT = node,-,-,A,8; +CLK_000_P_SYNC_0_ = node,-,-,G,2; +CLK_000_P_SYNC_1_ = node,-,-,B,13; +CLK_000_P_SYNC_2_ = node,-,-,E,9; +CLK_000_P_SYNC_3_ = node,-,-,C,2; +CLK_000_P_SYNC_4_ = node,-,-,E,5; +CLK_000_P_SYNC_5_ = node,-,-,C,13; +CLK_000_P_SYNC_6_ = node,-,-,E,1; +CLK_000_P_SYNC_7_ = node,-,-,G,13; +CLK_000_P_SYNC_8_ = node,-,-,G,9; +un16_ciin = node,-,-,E,8; +SM_AMIGA_5_ = node,-,-,C,5; +SM_AMIGA_3_ = node,-,-,F,9; +SM_AMIGA_2_ = node,-,-,F,2; +cpu_est_0_ = node,-,-,H,9; +cpu_est_1_ = node,-,-,F,8; cpu_est_2_ = node,-,-,D,2; -CLK_PRE_66_0 = node,-,-,E,8; -SM_AMIGA_7__0 = node,-,-,F,1; +AMIGA_BUS_ENABLE_LOW_0 = node,-,-,A,1; +SM_AMIGA_7__0 = node,-,-,F,13; [GROUP ASSIGNMENTS] Layer = OFF; diff --git a/Logic/68030_tk.out b/Logic/68030_tk.out index ebd60a1..aad3bed 100644 --- a/Logic/68030_tk.out +++ b/Logic/68030_tk.out @@ -96747,6 +96747,2606 @@ 16 A_26_ 1 -1 -1 1 4 16 -1 15 A_27_ 1 -1 -1 1 4 15 -1 14 A_28_ 1 -1 -1 1 4 14 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +119 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 350 7 4 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 351 3 4 0 4 6 7 32 -1 2 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 70 RW 5 360 6 2 4 7 70 -1 4 0 21 + 79 RW_000 5 352 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 353 0 1 1 97 -1 7 0 21 + 80 DSACK1 5 356 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 68 A0 5 -1 6 1 1 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 361 3 0 33 -1 6 0 21 + 65 E 5 358 6 0 65 -1 4 0 21 + 82 BGACK_030 5 355 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 359 3 0 34 -1 2 1 21 + 28 BG_000 5 354 3 0 28 -1 2 0 21 + 8 IPL_030_2_ 5 349 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 364 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 363 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 5 357 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 362 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 355 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 357 RN_AVEC_EXP 3 21 2 6 1 3 4 5 6 7 21 -1 1 0 21 + 297 inst_CLK_000_D0 3 -1 5 5 1 2 3 4 5 -1 -1 1 0 21 + 350 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 312 SM_AMIGA_6_ 3 -1 3 4 3 4 5 7 -1 -1 2 0 21 + 301 inst_CLK_000_D1 3 -1 1 4 2 3 4 5 -1 -1 1 0 21 + 309 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 13 0 21 + 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 + 345 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 358 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 + 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 1 3 0 1 6 -1 -1 2 0 21 + 346 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 320 inst_DS_000_ENABLE 3 -1 4 2 3 4 -1 -1 3 0 21 + 359 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 344 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 319 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 313 SM_AMIGA_4_ 3 -1 5 2 4 5 -1 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 316 CLK_CNT_N_1_ 3 -1 6 2 0 1 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 7 2 1 6 -1 -1 1 0 21 + 304 inst_CLK_000_NE 3 -1 6 2 3 5 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 4 2 2 4 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 5 2 2 4 -1 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 2 2 1 2 -1 -1 1 0 21 + 353 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 361 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 + 317 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 360 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 348 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 + 352 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 1 1 1 -1 -1 3 0 21 + 364 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 363 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 356 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 354 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 351 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 347 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 + 341 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 + 318 CLK_CNT_P_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 362 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 340 CLK_000_N_SYNC_10_ 3 -1 4 1 0 -1 -1 1 0 21 + 339 CLK_000_N_SYNC_9_ 3 -1 6 1 4 -1 -1 1 0 21 + 338 CLK_000_N_SYNC_8_ 3 -1 2 1 6 -1 -1 1 0 21 + 337 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 + 336 CLK_000_N_SYNC_5_ 3 -1 6 1 2 -1 -1 1 0 21 + 335 CLK_000_N_SYNC_4_ 3 -1 4 1 6 -1 -1 1 0 21 + 334 CLK_000_N_SYNC_3_ 3 -1 0 1 4 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_1_ 3 -1 7 1 2 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_0_ 3 -1 2 1 7 -1 -1 1 0 21 + 330 CLK_000_P_SYNC_8_ 3 -1 4 1 4 -1 -1 1 0 21 + 329 CLK_000_P_SYNC_7_ 3 -1 0 1 4 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_4_ 3 -1 0 1 4 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_2_ 3 -1 6 1 2 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_1_ 3 -1 4 1 6 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_0_ 3 -1 4 1 4 -1 -1 1 0 21 + 315 CLK_CNT_P_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 + 308 CLK_000_N_SYNC_11_ 3 -1 0 1 6 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 4 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 1 1 7 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 1 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 0 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 5 2 3 4 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 35 VPA 1 -1 -1 2 0 5 35 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 10 CLK_000 1 -1 -1 2 3 5 10 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +119 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 32 AS_000 5 351 3 4 0 4 6 7 32 -1 2 0 21 + 81 AS_030 5 350 7 3 2 3 7 81 -1 4 0 21 + 70 RW 5 360 6 3 2 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 97 DS_030 5 353 0 2 0 6 97 -1 7 0 21 + 79 RW_000 5 352 7 2 0 6 79 -1 3 0 21 + 68 A0 5 -1 6 2 0 6 68 -1 1 0 21 + 80 DSACK1 5 356 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 361 3 0 33 -1 6 0 21 + 65 E 5 358 6 0 65 -1 4 0 21 + 82 BGACK_030 5 355 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 359 3 0 34 -1 2 1 21 + 28 BG_000 5 354 3 0 28 -1 2 0 21 + 8 IPL_030_2_ 5 349 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 364 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 363 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 5 357 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 362 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 357 RN_AVEC_EXP 3 21 2 7 1 2 3 4 5 6 7 21 -1 1 0 21 + 355 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 + 312 SM_AMIGA_6_ 3 -1 1 5 1 2 3 5 7 -1 -1 2 0 21 + 293 inst_AS_030_000_SYNC 3 -1 7 4 1 3 5 7 -1 -1 6 0 21 + 350 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 310 SM_AMIGA_1_ 3 -1 5 4 3 4 5 7 -1 -1 2 0 21 + 311 SM_AMIGA_7_ 3 -1 5 3 1 3 7 -1 -1 13 0 21 + 345 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 + 358 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 + 309 SM_AMIGA_0_ 3 -1 4 3 3 4 5 -1 -1 2 0 21 + 304 inst_CLK_000_NE 3 -1 7 3 3 4 5 -1 -1 1 0 21 + 301 inst_CLK_000_D1 3 -1 5 3 1 3 5 -1 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 6 3 1 4 6 -1 -1 1 0 21 + 297 inst_CLK_000_D0 3 -1 0 3 1 3 5 -1 -1 1 0 21 + 315 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 + 346 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 4 2 0 4 -1 -1 3 0 21 + 359 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 344 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 + 319 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 318 CLK_CNT_P_0_ 3 -1 2 2 0 2 -1 -1 2 0 21 + 313 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 2 2 2 7 -1 -1 2 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 1 2 1 6 -1 -1 1 0 21 + 353 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 361 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 + 360 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 348 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 + 352 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 364 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 363 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 356 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 354 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 351 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 347 AMIGA_BUS_ENABLE_LOW_0 3 -1 2 1 2 -1 -1 2 0 21 + 341 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 + 362 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 340 CLK_000_N_SYNC_10_ 3 -1 4 1 4 -1 -1 1 0 21 + 339 CLK_000_N_SYNC_9_ 3 -1 2 1 4 -1 -1 1 0 21 + 338 CLK_000_N_SYNC_8_ 3 -1 0 1 2 -1 -1 1 0 21 + 337 CLK_000_N_SYNC_7_ 3 -1 7 1 0 -1 -1 1 0 21 + 336 CLK_000_N_SYNC_5_ 3 -1 5 1 5 -1 -1 1 0 21 + 335 CLK_000_N_SYNC_4_ 3 -1 4 1 5 -1 -1 1 0 21 + 334 CLK_000_N_SYNC_3_ 3 -1 2 1 4 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_1_ 3 -1 4 1 4 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_0_ 3 -1 1 1 4 -1 -1 1 0 21 + 330 CLK_000_P_SYNC_8_ 3 -1 4 1 2 -1 -1 1 0 21 + 329 CLK_000_P_SYNC_7_ 3 -1 0 1 4 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_5_ 3 -1 0 1 0 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_4_ 3 -1 2 1 0 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_0_ 3 -1 1 1 0 -1 -1 1 0 21 + 317 CLK_CNT_N_1_ 3 -1 7 1 2 -1 -1 1 0 21 + 316 CLK_CNT_P_1_ 3 -1 0 1 2 -1 -1 1 0 21 + 314 CLK_000_N_SYNC_6_ 3 -1 5 1 7 -1 -1 1 0 21 + 308 CLK_000_N_SYNC_11_ 3 -1 4 1 7 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 0 1 1 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 1 1 1 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 3 1 1 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 1 1 4 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 6 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 6 1 2 3 4 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 35 VPA 1 -1 -1 2 5 6 35 -1 + 10 CLK_000 1 -1 -1 2 0 3 10 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 +131 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 32 AS_000 5 351 3 4 0 4 6 7 32 -1 2 0 21 + 81 AS_030 5 350 7 3 1 3 7 81 -1 4 0 21 + 70 RW 5 362 6 3 1 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 79 RW_000 5 352 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 353 0 1 1 97 -1 7 0 21 + 80 DSACK1 5 358 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 68 A0 5 -1 6 1 1 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 363 3 0 33 -1 6 0 21 + 65 E 5 360 6 0 65 -1 4 0 21 + 82 BGACK_030 5 355 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 361 3 0 34 -1 2 1 21 + 28 BG_000 5 354 3 0 28 -1 2 0 21 + 8 IPL_030_2_ 5 349 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 357 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 356 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 21 AVEC_EXP 5 359 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 364 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 359 RN_AVEC_EXP 3 21 2 6 1 2 3 5 6 7 21 -1 1 0 21 + 355 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 + 312 SM_AMIGA_6_ 3 -1 5 5 1 2 3 5 7 -1 -1 2 0 21 + 301 inst_CLK_000_D1 3 -1 4 5 0 2 3 5 6 -1 -1 1 0 21 + 297 inst_CLK_000_D0 3 -1 0 5 0 2 3 4 5 -1 -1 1 0 21 + 293 inst_AS_030_000_SYNC 3 -1 7 4 2 3 5 7 -1 -1 6 0 21 + 350 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 310 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 + 311 SM_AMIGA_7_ 3 -1 2 3 3 5 7 -1 -1 13 0 21 + 345 cpu_est_1_ 3 -1 3 3 2 3 6 -1 -1 5 0 21 + 360 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 + 318 CLK_CNT_P_0_ 3 -1 0 3 0 5 7 -1 -1 2 0 21 + 309 SM_AMIGA_0_ 3 -1 5 3 2 3 5 -1 -1 2 0 21 + 304 inst_CLK_000_NE 3 -1 1 3 2 3 5 -1 -1 1 0 21 + 346 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 320 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 6 2 2 6 -1 -1 3 0 21 + 361 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 + 344 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 319 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 313 SM_AMIGA_4_ 3 -1 2 2 1 2 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 5 2 4 5 -1 -1 2 0 21 + 316 CLK_CNT_P_1_ 3 -1 7 2 0 5 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 4 2 1 6 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 6 2 0 6 -1 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 + 353 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 363 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 342 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 6 0 21 + 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 362 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 348 SM_AMIGA_7__0 3 -1 2 1 2 -1 -1 4 0 21 + 352 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 343 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 + 358 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 357 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 356 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 354 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 351 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 347 AMIGA_BUS_ENABLE_LOW_0 3 -1 5 1 2 -1 -1 2 0 21 + 341 SM_AMIGA_5_ 3 -1 2 1 2 -1 -1 2 0 21 + 364 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 340 CLK_000_N_SYNC_10_ 3 -1 5 1 1 -1 -1 1 0 21 + 339 CLK_000_N_SYNC_9_ 3 -1 0 1 5 -1 -1 1 0 21 + 338 CLK_000_N_SYNC_8_ 3 -1 0 1 0 -1 -1 1 0 21 + 337 CLK_000_N_SYNC_7_ 3 -1 7 1 0 -1 -1 1 0 21 + 336 CLK_000_N_SYNC_5_ 3 -1 0 1 6 -1 -1 1 0 21 + 335 CLK_000_N_SYNC_4_ 3 -1 5 1 0 -1 -1 1 0 21 + 334 CLK_000_N_SYNC_3_ 3 -1 1 1 5 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_2_ 3 -1 2 1 1 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_1_ 3 -1 5 1 2 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_0_ 3 -1 0 1 5 -1 -1 1 0 21 + 330 CLK_000_P_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 + 329 CLK_000_P_SYNC_7_ 3 -1 4 1 0 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_4_ 3 -1 4 1 5 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_3_ 3 -1 4 1 4 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_2_ 3 -1 4 1 4 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_1_ 3 -1 5 1 4 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_0_ 3 -1 0 1 5 -1 -1 1 0 21 + 317 CLK_CNT_N_1_ 3 -1 4 1 5 -1 -1 1 0 21 + 314 CLK_000_N_SYNC_6_ 3 -1 6 1 7 -1 -1 1 0 21 + 308 CLK_000_N_SYNC_11_ 3 -1 1 1 1 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 2 1 4 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 6 1 0 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 35 VPA 1 -1 -1 2 2 5 35 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 0 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +131 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 350 7 4 1 2 3 7 81 -1 4 0 21 + 32 AS_000 5 351 3 4 0 4 6 7 32 -1 2 0 21 + 70 RW 5 362 6 3 1 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 79 RW_000 5 352 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 353 0 1 0 97 -1 7 0 21 + 80 DSACK1 5 358 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 + 68 A0 5 -1 6 1 0 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 363 3 0 33 -1 6 0 21 + 65 E 5 360 6 0 65 -1 4 0 21 + 82 BGACK_030 5 357 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 46 CIIN 0 4 0 46 -1 2 0 21 + 34 VMA 5 361 3 0 34 -1 2 1 21 + 28 BG_000 5 356 3 0 28 -1 2 0 21 + 8 IPL_030_2_ 5 349 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 355 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 354 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 21 AVEC_EXP 5 359 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 364 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 357 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 359 RN_AVEC_EXP 3 21 2 5 1 3 5 6 7 21 -1 1 0 21 + 350 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 310 SM_AMIGA_6_ 3 -1 3 4 1 3 5 7 -1 -1 2 0 21 + 309 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 13 0 21 + 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 + 345 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 + 360 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 + 311 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 2 3 1 2 7 -1 -1 2 0 21 + 304 inst_CLK_000_NE 3 -1 5 3 1 3 5 -1 -1 1 0 21 + 301 inst_CLK_000_D1 3 -1 3 3 0 3 5 -1 -1 1 0 21 + 346 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 320 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 6 2 2 6 -1 -1 3 0 21 + 361 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 344 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 341 SM_AMIGA_5_ 3 -1 1 2 1 5 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 319 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 318 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 313 SM_AMIGA_4_ 3 -1 5 2 1 5 -1 -1 2 0 21 + 312 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 317 CLK_CNT_N_1_ 3 -1 7 2 1 2 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 0 2 1 6 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 0 2 2 5 -1 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 6 2 4 6 -1 -1 1 0 21 + 297 inst_CLK_000_D0 3 -1 7 2 3 5 -1 -1 1 0 21 + 353 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 363 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 342 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 + 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 362 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 348 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 + 352 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 343 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 358 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 356 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 355 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 354 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 351 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 349 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 347 AMIGA_BUS_ENABLE_LOW_0 3 -1 1 1 2 -1 -1 2 0 21 + 364 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 340 CLK_000_N_SYNC_10_ 3 -1 0 1 4 -1 -1 1 0 21 + 339 CLK_000_N_SYNC_9_ 3 -1 1 1 0 -1 -1 1 0 21 + 338 CLK_000_N_SYNC_8_ 3 -1 6 1 1 -1 -1 1 0 21 + 337 CLK_000_N_SYNC_7_ 3 -1 7 1 6 -1 -1 1 0 21 + 336 CLK_000_N_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 + 335 CLK_000_N_SYNC_4_ 3 -1 0 1 4 -1 -1 1 0 21 + 334 CLK_000_N_SYNC_3_ 3 -1 6 1 0 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_0_ 3 -1 5 1 0 -1 -1 1 0 21 + 330 CLK_000_P_SYNC_8_ 3 -1 4 1 2 -1 -1 1 0 21 + 329 CLK_000_P_SYNC_7_ 3 -1 4 1 4 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_6_ 3 -1 2 1 4 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_4_ 3 -1 4 1 4 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_3_ 3 -1 6 1 4 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 + 316 CLK_CNT_P_1_ 3 -1 0 1 1 -1 -1 1 0 21 + 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 + 308 CLK_000_N_SYNC_11_ 3 -1 4 1 5 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 2 1 0 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 2 1 5 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 7 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 + 96 A_19_ 1 -1 -1 3 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 3 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 3 2 4 7 94 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 58 A_17_ 1 -1 -1 3 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 35 VPA 1 -1 -1 2 5 7 35 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 7 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +132 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 351 7 5 1 3 4 5 7 81 -1 4 0 21 + 32 AS_000 5 352 3 4 0 4 6 7 32 -1 2 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 70 RW 5 363 6 2 4 7 70 -1 4 0 21 + 79 RW_000 5 353 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 354 0 1 0 97 -1 7 0 21 + 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 0 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 0 69 -1 1 0 21 + 68 A0 5 -1 6 1 0 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 2 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 + 65 E 5 361 6 0 65 -1 4 0 21 + 82 BGACK_030 5 358 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 362 3 0 34 -1 2 1 21 + 28 BG_000 5 357 3 0 28 -1 2 0 21 + 8 IPL_030_2_ 5 350 1 0 8 -1 2 0 21 + 7 IPL_030_0_ 5 356 1 0 7 -1 2 0 21 + 6 IPL_030_1_ 5 355 1 0 6 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 360 RN_AVEC_EXP 3 21 2 7 1 2 3 4 5 6 7 21 -1 1 0 21 + 358 RN_BGACK_030 3 82 7 6 0 3 4 5 6 7 82 -1 2 0 21 + 310 SM_AMIGA_6_ 3 -1 3 5 1 2 3 4 7 -1 -1 2 0 21 + 304 inst_CLK_000_NE 3 -1 1 5 1 2 3 5 6 -1 -1 1 0 21 + 351 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 311 SM_AMIGA_1_ 3 -1 2 4 2 3 6 7 -1 -1 2 0 21 + 301 inst_CLK_000_D1 3 -1 3 4 2 3 4 6 -1 -1 1 0 21 + 309 SM_AMIGA_7_ 3 -1 2 3 3 5 7 -1 -1 13 0 21 + 293 inst_AS_030_000_SYNC 3 -1 5 3 2 3 5 -1 -1 6 0 21 + 345 cpu_est_1_ 3 -1 2 3 2 3 6 -1 -1 5 0 21 + 361 RN_E 3 65 6 3 2 3 6 65 -1 4 0 21 + 346 cpu_est_2_ 3 -1 2 3 2 3 6 -1 -1 4 0 21 + 344 cpu_est_0_ 3 -1 3 3 2 3 6 -1 -1 2 0 21 + 341 SM_AMIGA_5_ 3 -1 1 3 1 2 5 -1 -1 2 0 21 + 313 SM_AMIGA_4_ 3 -1 5 3 2 4 5 -1 -1 2 0 21 + 312 SM_AMIGA_0_ 3 -1 6 3 2 3 6 -1 -1 2 0 21 + 297 inst_CLK_000_D0 3 -1 6 3 2 3 4 -1 -1 1 0 21 + 320 inst_DS_000_ENABLE 3 -1 4 2 3 4 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 6 2 2 6 -1 -1 3 0 21 + 362 RN_VMA 3 34 3 2 2 3 34 -1 2 1 21 + 321 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 319 inst_LDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 318 CLK_CNT_P_0_ 3 -1 5 2 1 5 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 5 2 0 5 -1 -1 2 0 21 + 314 CLK_000_N_SYNC_6_ 3 -1 7 2 6 7 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 6 2 1 4 -1 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 + 354 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 342 SM_AMIGA_3_ 3 -1 2 1 2 -1 -1 6 0 21 + 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 349 SM_AMIGA_7__0 3 -1 2 1 2 -1 -1 4 0 21 + 353 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 343 SM_AMIGA_2_ 3 -1 2 1 2 -1 -1 3 0 21 + 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 356 RN_IPL_030_0_ 3 7 1 1 1 7 -1 2 0 21 + 355 RN_IPL_030_1_ 3 6 1 1 1 6 -1 2 0 21 + 352 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 2 0 21 + 348 AMIGA_BUS_ENABLE_LOW_0 3 -1 5 1 2 -1 -1 2 0 21 + 347 CIIN_0 3 -1 1 1 4 -1 -1 2 0 21 + 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 340 CLK_000_N_SYNC_10_ 3 -1 0 1 0 -1 -1 1 0 21 + 339 CLK_000_N_SYNC_9_ 3 -1 4 1 0 -1 -1 1 0 21 + 338 CLK_000_N_SYNC_8_ 3 -1 5 1 4 -1 -1 1 0 21 + 337 CLK_000_N_SYNC_7_ 3 -1 6 1 5 -1 -1 1 0 21 + 336 CLK_000_N_SYNC_5_ 3 -1 4 1 7 -1 -1 1 0 21 + 335 CLK_000_N_SYNC_4_ 3 -1 6 1 4 -1 -1 1 0 21 + 334 CLK_000_N_SYNC_3_ 3 -1 0 1 6 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_2_ 3 -1 5 1 0 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_1_ 3 -1 5 1 5 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_0_ 3 -1 4 1 5 -1 -1 1 0 21 + 330 CLK_000_P_SYNC_8_ 3 -1 4 1 4 -1 -1 1 0 21 + 329 CLK_000_P_SYNC_7_ 3 -1 1 1 4 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_6_ 3 -1 4 1 1 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_5_ 3 -1 5 1 4 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_4_ 3 -1 7 1 5 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_2_ 3 -1 4 1 0 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_0_ 3 -1 4 1 0 -1 -1 1 0 21 + 317 CLK_CNT_N_1_ 3 -1 0 1 5 -1 -1 1 0 21 + 316 CLK_CNT_P_1_ 3 -1 1 1 5 -1 -1 1 0 21 + 308 CLK_000_N_SYNC_11_ 3 -1 0 1 1 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 4 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 2 1 5 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 1 1 4 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 2 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 40 BERR 1 -1 -1 7 1 2 3 4 5 6 7 40 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 5 7 96 -1 + 95 A_16_ 1 -1 -1 2 5 7 95 -1 + 94 A_18_ 1 -1 -1 2 5 7 94 -1 + 58 A_17_ 1 -1 -1 2 5 7 58 -1 + 57 FC_1_ 1 -1 -1 2 5 7 57 -1 + 56 FC_0_ 1 -1 -1 2 5 7 56 -1 + 27 BGACK_000 1 -1 -1 2 5 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 2 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 1 18 -1 + 17 A_25_ 1 -1 -1 1 1 17 -1 + 16 A_26_ 1 -1 -1 1 1 16 -1 + 15 A_27_ 1 -1 -1 1 1 15 -1 + 14 A_28_ 1 -1 -1 1 1 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 1 5 -1 + 4 A_30_ 1 -1 -1 1 1 4 -1 + 3 A_31_ 1 -1 -1 1 1 3 -1 +132 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 352 7 5 0 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 354 3 4 0 4 6 7 32 -1 2 0 21 + 70 RW 5 363 6 3 2 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 79 RW_000 5 355 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 356 0 1 1 97 -1 7 0 21 + 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 68 A0 5 -1 6 1 1 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 + 65 E 5 361 6 0 65 -1 4 0 21 + 8 IPL_030_2_ 5 350 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 353 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 351 1 0 6 -1 3 0 21 + 82 BGACK_030 5 358 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 362 3 0 34 -1 2 1 21 + 28 BG_000 5 357 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 358 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 + 360 RN_AVEC_EXP 3 21 2 5 2 3 5 6 7 21 -1 1 0 21 + 297 inst_CLK_000_D0 3 -1 1 5 0 1 3 4 5 -1 -1 1 0 21 + 352 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 + 301 inst_CLK_000_D1 3 -1 0 4 1 3 4 5 -1 -1 1 0 21 + 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 + 346 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 + 345 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 304 inst_CLK_000_NE 3 -1 2 3 2 3 5 -1 -1 1 0 21 + 309 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 + 347 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 2 2 2 4 -1 -1 3 0 21 + 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 342 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 319 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 318 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 313 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 312 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 + 316 CLK_CNT_P_1_ 3 -1 0 2 0 1 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 5 2 4 7 -1 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 6 2 2 6 -1 -1 1 0 21 + 356 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 343 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 + 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 + 355 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 353 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 351 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 344 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 354 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 348 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 + 341 un8_ciin 3 -1 0 1 4 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 340 CLK_000_N_SYNC_10_ 3 -1 6 1 4 -1 -1 1 0 21 + 339 CLK_000_N_SYNC_9_ 3 -1 4 1 6 -1 -1 1 0 21 + 338 CLK_000_N_SYNC_8_ 3 -1 2 1 4 -1 -1 1 0 21 + 337 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 + 336 CLK_000_N_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 + 335 CLK_000_N_SYNC_4_ 3 -1 4 1 0 -1 -1 1 0 21 + 334 CLK_000_N_SYNC_3_ 3 -1 7 1 4 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_2_ 3 -1 0 1 7 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_1_ 3 -1 1 1 0 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 + 330 CLK_000_P_SYNC_8_ 3 -1 6 1 6 -1 -1 1 0 21 + 329 CLK_000_P_SYNC_7_ 3 -1 4 1 6 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_3_ 3 -1 4 1 1 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_2_ 3 -1 2 1 4 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_1_ 3 -1 2 1 2 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_0_ 3 -1 4 1 2 -1 -1 1 0 21 + 317 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 + 308 CLK_000_N_SYNC_11_ 3 -1 4 1 2 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 4 1 5 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 7 1 4 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 2 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 4 2 3 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 35 VPA 1 -1 -1 2 4 5 35 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 0 18 -1 + 17 A_25_ 1 -1 -1 1 0 17 -1 + 16 A_26_ 1 -1 -1 1 0 16 -1 + 15 A_27_ 1 -1 -1 1 0 15 -1 + 14 A_28_ 1 -1 -1 1 0 14 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 + 5 A_29_ 1 -1 -1 1 0 5 -1 + 4 A_30_ 1 -1 -1 1 0 4 -1 + 3 A_31_ 1 -1 -1 1 0 3 -1 +132 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 351 7 5 1 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 352 3 4 0 4 6 7 32 -1 2 0 21 + 40 BERR 5 -1 4 4 2 3 5 7 40 -1 1 0 21 + 70 RW 5 363 6 3 2 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 97 DS_030 5 354 0 2 0 6 97 -1 7 0 21 + 79 RW_000 5 353 7 2 0 6 79 -1 3 0 21 + 68 A0 5 -1 6 2 0 6 68 -1 1 0 21 + 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 6 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 + 65 E 5 361 6 0 65 -1 4 0 21 + 8 IPL_030_2_ 5 350 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 356 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 355 1 0 6 -1 3 0 21 + 82 BGACK_030 5 358 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 362 3 0 34 -1 2 1 21 + 28 BG_000 5 357 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 358 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 + 360 RN_AVEC_EXP 3 21 2 5 2 3 5 6 7 21 -1 1 0 21 + 351 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 311 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 + 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 + 301 inst_CLK_000_D1 3 -1 1 4 1 3 4 5 -1 -1 1 0 21 + 297 inst_CLK_000_D0 3 -1 0 4 1 3 4 5 -1 -1 1 0 21 + 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 + 346 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 + 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 + 318 CLK_CNT_P_0_ 3 -1 2 3 0 2 5 -1 -1 2 0 21 + 304 inst_CLK_000_NE 3 -1 0 3 2 3 5 -1 -1 1 0 21 + 309 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 + 315 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 + 347 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 344 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 0 2 0 4 -1 -1 3 0 21 + 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 345 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 342 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 319 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 + 313 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 312 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 + 316 CLK_CNT_P_1_ 3 -1 5 2 0 2 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 6 2 1 6 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 4 2 4 5 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 5 2 4 5 -1 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 2 2 0 2 -1 -1 1 0 21 + 354 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 343 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 + 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 + 356 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 355 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 353 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 352 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 348 CLK_PRE_66_0 3 -1 0 1 2 -1 -1 2 0 21 + 341 un8_ciin 3 -1 1 1 4 -1 -1 2 0 21 + 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 340 CLK_000_N_SYNC_10_ 3 -1 5 1 1 -1 -1 1 0 21 + 339 CLK_000_N_SYNC_9_ 3 -1 4 1 5 -1 -1 1 0 21 + 338 CLK_000_N_SYNC_8_ 3 -1 4 1 4 -1 -1 1 0 21 + 337 CLK_000_N_SYNC_7_ 3 -1 7 1 4 -1 -1 1 0 21 + 336 CLK_000_N_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 + 335 CLK_000_N_SYNC_4_ 3 -1 4 1 4 -1 -1 1 0 21 + 334 CLK_000_N_SYNC_3_ 3 -1 6 1 4 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_0_ 3 -1 4 1 0 -1 -1 1 0 21 + 330 CLK_000_P_SYNC_8_ 3 -1 6 1 2 -1 -1 1 0 21 + 329 CLK_000_P_SYNC_7_ 3 -1 7 1 6 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_6_ 3 -1 1 1 7 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_5_ 3 -1 1 1 1 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_4_ 3 -1 7 1 1 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_2_ 3 -1 7 1 0 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_1_ 3 -1 1 1 7 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 + 317 CLK_CNT_N_1_ 3 -1 1 1 0 -1 -1 1 0 21 + 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 + 308 CLK_000_N_SYNC_11_ 3 -1 1 1 0 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 4 1 6 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 0 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 2 4 7 56 -1 + 35 VPA 1 -1 -1 2 4 5 35 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 1 18 -1 + 17 A_25_ 1 -1 -1 1 1 17 -1 + 16 A_26_ 1 -1 -1 1 1 16 -1 + 15 A_27_ 1 -1 -1 1 1 15 -1 + 14 A_28_ 1 -1 -1 1 1 14 -1 + 10 CLK_000 1 -1 -1 1 0 10 -1 + 5 A_29_ 1 -1 -1 1 1 5 -1 + 4 A_30_ 1 -1 -1 1 1 4 -1 + 3 A_31_ 1 -1 -1 1 1 3 -1 +132 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 352 7 5 0 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 354 3 4 0 4 6 7 32 -1 2 0 21 + 70 RW 5 363 6 3 2 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 79 RW_000 5 355 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 356 0 1 1 97 -1 7 0 21 + 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 68 A0 5 -1 6 1 1 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 + 65 E 5 361 6 0 65 -1 4 0 21 + 8 IPL_030_2_ 5 350 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 353 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 351 1 0 6 -1 3 0 21 + 82 BGACK_030 5 358 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 362 3 0 34 -1 2 1 21 + 28 BG_000 5 357 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 358 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 + 360 RN_AVEC_EXP 3 21 2 5 2 3 5 6 7 21 -1 1 0 21 + 297 inst_CLK_000_D0 3 -1 1 5 0 1 3 4 5 -1 -1 1 0 21 + 352 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 + 301 inst_CLK_000_D1 3 -1 0 4 1 3 4 5 -1 -1 1 0 21 + 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 + 346 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 + 345 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 304 inst_CLK_000_NE 3 -1 2 3 2 3 5 -1 -1 1 0 21 + 309 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 + 347 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 2 2 2 4 -1 -1 3 0 21 + 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 342 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 319 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 318 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 313 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 312 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 + 316 CLK_CNT_P_1_ 3 -1 0 2 0 1 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 5 2 4 7 -1 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 6 2 2 6 -1 -1 1 0 21 + 356 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 343 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 + 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 + 355 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 353 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 351 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 344 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 354 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 348 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 + 341 un8_ciin 3 -1 0 1 4 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 340 CLK_000_N_SYNC_10_ 3 -1 6 1 4 -1 -1 1 0 21 + 339 CLK_000_N_SYNC_9_ 3 -1 4 1 6 -1 -1 1 0 21 + 338 CLK_000_N_SYNC_8_ 3 -1 2 1 4 -1 -1 1 0 21 + 337 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 + 336 CLK_000_N_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 + 335 CLK_000_N_SYNC_4_ 3 -1 4 1 0 -1 -1 1 0 21 + 334 CLK_000_N_SYNC_3_ 3 -1 7 1 4 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_2_ 3 -1 0 1 7 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_1_ 3 -1 1 1 0 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 + 330 CLK_000_P_SYNC_8_ 3 -1 6 1 6 -1 -1 1 0 21 + 329 CLK_000_P_SYNC_7_ 3 -1 4 1 6 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_3_ 3 -1 4 1 1 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_2_ 3 -1 2 1 4 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_1_ 3 -1 2 1 2 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_0_ 3 -1 4 1 2 -1 -1 1 0 21 + 317 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 + 308 CLK_000_N_SYNC_11_ 3 -1 4 1 2 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 4 1 5 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 7 1 4 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 2 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 4 2 3 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 35 VPA 1 -1 -1 2 4 5 35 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 0 18 -1 + 17 A_25_ 1 -1 -1 1 0 17 -1 + 16 A_26_ 1 -1 -1 1 0 16 -1 + 15 A_27_ 1 -1 -1 1 0 15 -1 + 14 A_28_ 1 -1 -1 1 0 14 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 + 5 A_29_ 1 -1 -1 1 0 5 -1 + 4 A_30_ 1 -1 -1 1 0 4 -1 + 3 A_31_ 1 -1 -1 1 0 3 -1 +132 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 351 7 5 1 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 352 3 4 0 4 6 7 32 -1 2 0 21 + 40 BERR 5 -1 4 4 2 3 5 7 40 -1 1 0 21 + 70 RW 5 363 6 3 2 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 97 DS_030 5 354 0 2 0 6 97 -1 7 0 21 + 79 RW_000 5 353 7 2 0 6 79 -1 3 0 21 + 68 A0 5 -1 6 2 0 6 68 -1 1 0 21 + 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 6 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 6 69 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 + 65 E 5 361 6 0 65 -1 4 0 21 + 8 IPL_030_2_ 5 350 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 356 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 355 1 0 6 -1 3 0 21 + 82 BGACK_030 5 358 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 362 3 0 34 -1 2 1 21 + 28 BG_000 5 357 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 358 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 + 360 RN_AVEC_EXP 3 21 2 5 2 3 5 6 7 21 -1 1 0 21 + 351 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 311 SM_AMIGA_1_ 3 -1 2 4 2 3 5 7 -1 -1 2 0 21 + 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 + 301 inst_CLK_000_D1 3 -1 1 4 1 3 4 5 -1 -1 1 0 21 + 297 inst_CLK_000_D0 3 -1 0 4 1 3 4 5 -1 -1 1 0 21 + 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 + 346 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 5 0 21 + 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 + 318 CLK_CNT_P_0_ 3 -1 2 3 0 2 5 -1 -1 2 0 21 + 304 inst_CLK_000_NE 3 -1 0 3 2 3 5 -1 -1 1 0 21 + 309 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 + 315 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 + 347 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 4 0 21 + 344 SM_AMIGA_2_ 3 -1 5 2 2 5 -1 -1 3 0 21 + 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 0 2 0 4 -1 -1 3 0 21 + 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 345 cpu_est_0_ 3 -1 3 2 3 6 -1 -1 2 0 21 + 342 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 319 inst_LDS_000_INT 3 -1 6 2 3 6 -1 -1 2 0 21 + 313 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 312 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 + 316 CLK_CNT_P_1_ 3 -1 5 2 0 2 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 6 2 1 6 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 4 2 4 5 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 5 2 4 5 -1 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 2 2 0 2 -1 -1 1 0 21 + 354 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 343 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 + 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 + 356 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 355 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 353 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 352 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 348 CLK_PRE_66_0 3 -1 0 1 2 -1 -1 2 0 21 + 341 un8_ciin 3 -1 1 1 4 -1 -1 2 0 21 + 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 340 CLK_000_N_SYNC_10_ 3 -1 5 1 1 -1 -1 1 0 21 + 339 CLK_000_N_SYNC_9_ 3 -1 4 1 5 -1 -1 1 0 21 + 338 CLK_000_N_SYNC_8_ 3 -1 4 1 4 -1 -1 1 0 21 + 337 CLK_000_N_SYNC_7_ 3 -1 7 1 4 -1 -1 1 0 21 + 336 CLK_000_N_SYNC_5_ 3 -1 4 1 2 -1 -1 1 0 21 + 335 CLK_000_N_SYNC_4_ 3 -1 4 1 4 -1 -1 1 0 21 + 334 CLK_000_N_SYNC_3_ 3 -1 6 1 4 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_2_ 3 -1 0 1 6 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_0_ 3 -1 4 1 0 -1 -1 1 0 21 + 330 CLK_000_P_SYNC_8_ 3 -1 6 1 2 -1 -1 1 0 21 + 329 CLK_000_P_SYNC_7_ 3 -1 7 1 6 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_6_ 3 -1 1 1 7 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_5_ 3 -1 1 1 1 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_4_ 3 -1 7 1 1 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_2_ 3 -1 7 1 0 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_1_ 3 -1 1 1 7 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_0_ 3 -1 5 1 1 -1 -1 1 0 21 + 317 CLK_CNT_N_1_ 3 -1 1 1 0 -1 -1 1 0 21 + 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 + 308 CLK_000_N_SYNC_11_ 3 -1 1 1 0 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 4 1 6 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 0 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 3 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 2 4 7 56 -1 + 35 VPA 1 -1 -1 2 4 5 35 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 1 18 -1 + 17 A_25_ 1 -1 -1 1 1 17 -1 + 16 A_26_ 1 -1 -1 1 1 16 -1 + 15 A_27_ 1 -1 -1 1 1 15 -1 + 14 A_28_ 1 -1 -1 1 1 14 -1 + 10 CLK_000 1 -1 -1 1 0 10 -1 + 5 A_29_ 1 -1 -1 1 1 5 -1 + 4 A_30_ 1 -1 -1 1 1 4 -1 + 3 A_31_ 1 -1 -1 1 1 3 -1 +132 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 352 7 5 0 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 354 3 4 0 4 6 7 32 -1 2 0 21 + 70 RW 5 363 6 3 2 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 79 RW_000 5 355 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 356 0 1 1 97 -1 7 0 21 + 80 DSACK1 5 359 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 68 A0 5 -1 6 1 1 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 364 3 0 33 -1 6 0 21 + 65 E 5 361 6 0 65 -1 4 0 21 + 8 IPL_030_2_ 5 350 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 353 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 351 1 0 6 -1 3 0 21 + 82 BGACK_030 5 358 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 362 3 0 34 -1 2 1 21 + 28 BG_000 5 357 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 5 360 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 365 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 358 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 + 360 RN_AVEC_EXP 3 21 2 5 2 3 5 6 7 21 -1 1 0 21 + 297 inst_CLK_000_D0 3 -1 1 5 0 1 3 4 5 -1 -1 1 0 21 + 352 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 + 301 inst_CLK_000_D1 3 -1 0 4 1 3 4 5 -1 -1 1 0 21 + 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 + 346 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 361 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 + 345 cpu_est_0_ 3 -1 5 3 3 5 6 -1 -1 2 0 21 + 311 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 304 inst_CLK_000_NE 3 -1 2 3 2 3 5 -1 -1 1 0 21 + 309 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 13 0 21 + 347 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 320 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 2 2 2 4 -1 -1 3 0 21 + 362 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 342 SM_AMIGA_5_ 3 -1 5 2 2 5 -1 -1 2 0 21 + 321 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 319 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 318 CLK_CNT_P_0_ 3 -1 1 2 0 1 -1 -1 2 0 21 + 313 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 312 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 + 316 CLK_CNT_P_1_ 3 -1 0 2 0 1 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 5 2 4 7 -1 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 6 2 2 6 -1 -1 1 0 21 + 356 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 364 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 343 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 6 0 21 + 315 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 363 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 349 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 4 0 21 + 355 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 353 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 351 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 350 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 344 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 359 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 357 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 354 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 348 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 + 341 un8_ciin 3 -1 0 1 4 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 365 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 340 CLK_000_N_SYNC_10_ 3 -1 6 1 4 -1 -1 1 0 21 + 339 CLK_000_N_SYNC_9_ 3 -1 4 1 6 -1 -1 1 0 21 + 338 CLK_000_N_SYNC_8_ 3 -1 2 1 4 -1 -1 1 0 21 + 337 CLK_000_N_SYNC_7_ 3 -1 7 1 2 -1 -1 1 0 21 + 336 CLK_000_N_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 + 335 CLK_000_N_SYNC_4_ 3 -1 4 1 0 -1 -1 1 0 21 + 334 CLK_000_N_SYNC_3_ 3 -1 7 1 4 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_2_ 3 -1 0 1 7 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_1_ 3 -1 1 1 0 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 + 330 CLK_000_P_SYNC_8_ 3 -1 6 1 6 -1 -1 1 0 21 + 329 CLK_000_P_SYNC_7_ 3 -1 4 1 6 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_6_ 3 -1 6 1 4 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_5_ 3 -1 5 1 6 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_3_ 3 -1 4 1 1 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_2_ 3 -1 2 1 4 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_1_ 3 -1 2 1 2 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_0_ 3 -1 4 1 2 -1 -1 1 0 21 + 317 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 314 CLK_000_N_SYNC_6_ 3 -1 2 1 7 -1 -1 1 0 21 + 308 CLK_000_N_SYNC_11_ 3 -1 4 1 2 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 4 1 5 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 7 1 4 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 2 1 2 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 4 2 3 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 35 VPA 1 -1 -1 2 4 5 35 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 0 18 -1 + 17 A_25_ 1 -1 -1 1 0 17 -1 + 16 A_26_ 1 -1 -1 1 0 16 -1 + 15 A_27_ 1 -1 -1 1 0 15 -1 + 14 A_28_ 1 -1 -1 1 0 14 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 + 5 A_29_ 1 -1 -1 1 0 5 -1 + 4 A_30_ 1 -1 -1 1 0 4 -1 + 3 A_31_ 1 -1 -1 1 0 3 -1 +126 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 346 7 5 1 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 348 3 4 0 4 6 7 32 -1 2 0 21 + 70 RW 5 357 6 3 2 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 79 RW_000 5 349 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 350 0 1 2 97 -1 7 0 21 + 80 DSACK1 5 353 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 + 68 A0 5 -1 6 1 2 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 358 3 0 33 -1 6 0 21 + 65 E 5 355 6 0 65 -1 4 0 21 + 8 IPL_030_2_ 5 344 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 347 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 345 1 0 6 -1 3 0 21 + 82 BGACK_030 5 352 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 356 3 0 34 -1 2 1 21 + 28 BG_000 5 351 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 5 354 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 359 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 297 inst_CLK_000_D0 3 -1 2 6 1 2 3 4 5 7 -1 -1 1 0 21 + 352 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 + 346 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 310 SM_AMIGA_6_ 3 -1 3 4 2 3 5 7 -1 -1 2 0 21 + 301 inst_CLK_000_D1 3 -1 7 4 1 3 4 5 -1 -1 1 0 21 + 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 + 340 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 355 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 + 308 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 354 RN_AVEC_EXP 3 21 2 3 3 6 7 21 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 2 3 0 2 6 -1 -1 1 0 21 + 307 SM_AMIGA_7_ 3 -1 5 2 3 7 -1 -1 11 0 21 + 341 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 318 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 356 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 339 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 + 319 inst_UDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 + 317 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 + 316 CLK_CNT_P_0_ 3 -1 2 2 2 4 -1 -1 2 0 21 + 311 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 + 309 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 4 2 0 4 -1 -1 2 0 21 + 314 CLK_CNT_P_1_ 3 -1 2 2 2 4 -1 -1 1 0 21 + 304 inst_CLK_OUT_PRE_D 3 -1 0 2 1 6 -1 -1 1 0 21 + 350 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 358 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 337 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 357 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 349 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 347 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 345 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 344 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 343 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 + 338 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 0 1 0 -1 -1 3 0 21 + 353 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 351 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 348 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 342 AMIGA_BUS_ENABLE_LOW_0 3 -1 4 1 2 -1 -1 2 0 21 + 336 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 + 335 un8_ciin 3 -1 1 1 4 -1 -1 2 0 21 + 359 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 334 CLK_000_N_SYNC_5_ 3 -1 0 1 5 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_2_ 3 -1 1 1 2 -1 -1 1 0 21 + 330 CLK_000_N_SYNC_1_ 3 -1 1 1 1 -1 -1 1 0 21 + 329 CLK_000_N_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_8_ 3 -1 0 1 6 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_7_ 3 -1 6 1 0 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_6_ 3 -1 1 1 6 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_5_ 3 -1 1 1 1 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_4_ 3 -1 7 1 1 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_2_ 3 -1 5 1 0 -1 -1 1 0 21 + 321 CLK_000_P_SYNC_1_ 3 -1 4 1 5 -1 -1 1 0 21 + 320 CLK_000_P_SYNC_0_ 3 -1 4 1 4 -1 -1 1 0 21 + 315 CLK_CNT_N_1_ 3 -1 0 1 4 -1 -1 1 0 21 + 312 CLK_000_N_SYNC_6_ 3 -1 5 1 7 -1 -1 1 0 21 + 306 CLK_000_P_SYNC_9_ 3 -1 6 1 2 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE 3 -1 0 1 0 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 4 1 4 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 4 1 4 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 6 1 0 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 4 2 3 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 35 VPA 1 -1 -1 2 4 5 35 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 1 18 -1 + 17 A_25_ 1 -1 -1 1 1 17 -1 + 16 A_26_ 1 -1 -1 1 1 16 -1 + 15 A_27_ 1 -1 -1 1 1 15 -1 + 14 A_28_ 1 -1 -1 1 1 14 -1 + 10 CLK_000 1 -1 -1 1 2 10 -1 + 5 A_29_ 1 -1 -1 1 1 5 -1 + 4 A_30_ 1 -1 -1 1 1 4 -1 + 3 A_31_ 1 -1 -1 1 1 3 -1 +127 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 348 7 5 1 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 349 3 4 0 4 6 7 32 -1 2 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 70 RW 5 358 6 2 4 7 70 -1 4 0 21 + 79 RW_000 5 350 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 351 0 1 4 97 -1 7 0 21 + 80 DSACK1 5 354 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 4 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 4 69 -1 1 0 21 + 68 A0 5 -1 6 1 4 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 359 3 0 33 -1 6 0 21 + 65 E 5 356 6 0 65 -1 4 0 21 + 8 IPL_030_2_ 5 345 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 347 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 346 1 0 6 -1 3 0 21 + 82 BGACK_030 5 353 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 357 3 0 34 -1 2 1 21 + 28 BG_000 5 352 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 5 355 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 360 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 353 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 297 inst_CLK_000_D0 3 -1 4 6 0 1 3 4 5 7 -1 -1 1 0 21 + 348 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 310 SM_AMIGA_6_ 3 -1 3 4 3 4 5 7 -1 -1 2 0 21 + 301 inst_CLK_000_D1 3 -1 4 4 0 1 3 5 -1 -1 1 0 21 + 307 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 11 0 21 + 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 + 341 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 5 0 21 + 356 RN_E 3 65 6 3 3 5 6 65 -1 4 0 21 + 316 CLK_CNT_P_0_ 3 -1 0 3 0 1 6 -1 -1 2 0 21 + 308 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 355 RN_AVEC_EXP 3 21 2 3 3 6 7 21 -1 1 0 21 + 342 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 4 0 21 + 318 inst_DS_000_ENABLE 3 -1 4 2 3 4 -1 -1 3 0 21 + 300 inst_CLK_OUT_PRE_25 3 -1 6 2 1 6 -1 -1 3 0 21 + 357 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 340 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 2 0 21 + 337 SM_AMIGA_5_ 3 -1 4 2 4 5 -1 -1 2 0 21 + 319 inst_UDS_000_INT 3 -1 4 2 3 4 -1 -1 2 0 21 + 317 inst_LDS_000_INT 3 -1 4 2 3 4 -1 -1 2 0 21 + 311 SM_AMIGA_4_ 3 -1 4 2 4 5 -1 -1 2 0 21 + 309 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 298 CLK_CNT_N_0_ 3 -1 2 2 2 6 -1 -1 2 0 21 + 315 CLK_CNT_N_1_ 3 -1 6 2 2 6 -1 -1 1 0 21 + 314 CLK_CNT_P_1_ 3 -1 1 2 0 6 -1 -1 1 0 21 + 304 inst_CLK_OUT_PRE_D 3 -1 2 2 1 6 -1 -1 1 0 21 + 302 inst_CLK_000_D2 3 -1 5 2 0 2 -1 -1 1 0 21 + 299 inst_CLK_OUT_PRE_50 3 -1 7 2 6 7 -1 -1 1 0 21 + 351 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 359 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 338 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 358 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 350 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 347 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 346 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 345 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 344 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 + 339 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 354 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 352 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 349 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 343 AMIGA_BUS_ENABLE_LOW_0 3 -1 6 1 2 -1 -1 2 0 21 + 336 un8_ciin 3 -1 1 1 4 -1 -1 2 0 21 + 360 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 335 CLK_000_N_SYNC_6_ 3 -1 0 1 1 -1 -1 1 0 21 + 334 CLK_000_N_SYNC_5_ 3 -1 5 1 0 -1 -1 1 0 21 + 333 CLK_000_N_SYNC_4_ 3 -1 7 1 5 -1 -1 1 0 21 + 332 CLK_000_N_SYNC_3_ 3 -1 0 1 7 -1 -1 1 0 21 + 331 CLK_000_N_SYNC_2_ 3 -1 2 1 0 -1 -1 1 0 21 + 330 CLK_000_N_SYNC_1_ 3 -1 0 1 2 -1 -1 1 0 21 + 329 CLK_000_N_SYNC_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 328 CLK_000_P_SYNC_8_ 3 -1 2 1 2 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_7_ 3 -1 0 1 2 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_6_ 3 -1 4 1 0 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_5_ 3 -1 5 1 4 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_3_ 3 -1 1 1 1 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_2_ 3 -1 5 1 1 -1 -1 1 0 21 + 321 CLK_000_P_SYNC_1_ 3 -1 0 1 5 -1 -1 1 0 21 + 320 CLK_000_P_SYNC_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 312 CLK_000_N_SYNC_7_ 3 -1 1 1 7 -1 -1 1 0 21 + 306 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 + 305 inst_CLK_OUT_PRE 3 -1 1 1 2 -1 -1 1 0 21 + 303 inst_CLK_000_D3 3 -1 2 1 0 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 7 1 6 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 2 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 5 2 3 4 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 35 VPA 1 -1 -1 2 2 5 35 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 1 18 -1 + 17 A_25_ 1 -1 -1 1 1 17 -1 + 16 A_26_ 1 -1 -1 1 1 16 -1 + 15 A_27_ 1 -1 -1 1 1 15 -1 + 14 A_28_ 1 -1 -1 1 1 14 -1 + 10 CLK_000 1 -1 -1 1 4 10 -1 + 5 A_29_ 1 -1 -1 1 1 5 -1 + 4 A_30_ 1 -1 -1 1 1 4 -1 + 3 A_31_ 1 -1 -1 1 1 3 -1 +120 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 341 7 4 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 342 3 4 0 4 6 7 32 -1 2 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 70 RW 5 351 6 2 4 7 70 -1 4 0 21 + 79 RW_000 5 343 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 344 0 1 1 97 -1 7 0 21 + 80 DSACK1 5 347 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 68 A0 5 -1 6 1 1 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 352 3 0 33 -1 6 0 21 + 65 E 5 349 6 0 65 -1 3 1 21 + 8 IPL_030_2_ 5 340 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 339 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 338 1 0 6 -1 3 0 21 + 82 BGACK_030 5 346 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 350 3 0 34 -1 2 1 21 + 28 BG_000 5 345 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 5 348 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 353 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 297 inst_CLK_000_D0 3 -1 6 7 0 1 3 4 5 6 7 -1 -1 1 0 21 + 311 SM_AMIGA_6_ 3 -1 1 6 0 1 3 4 5 7 -1 -1 2 0 21 + 346 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 + 293 inst_AS_030_000_SYNC 3 -1 7 4 1 3 5 7 -1 -1 6 0 21 + 341 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 309 SM_AMIGA_1_ 3 -1 0 4 0 3 5 7 -1 -1 2 0 21 + 302 inst_CLK_000_D1 3 -1 4 4 1 3 5 6 -1 -1 1 0 21 + 308 SM_AMIGA_7_ 3 -1 5 3 1 3 7 -1 -1 11 0 21 + 334 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 349 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 + 333 cpu_est_0_ 3 -1 1 3 1 3 6 -1 -1 3 0 21 + 312 SM_AMIGA_4_ 3 -1 0 3 0 4 5 -1 -1 2 0 21 + 335 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 332 SM_AMIGA_2_ 3 -1 5 2 0 5 -1 -1 3 0 21 + 318 inst_DS_000_ENABLE 3 -1 4 2 3 4 -1 -1 3 0 21 + 301 inst_CLK_OUT_PRE_25 3 -1 4 2 4 5 -1 -1 3 0 21 + 350 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 330 SM_AMIGA_5_ 3 -1 0 2 0 5 -1 -1 2 0 21 + 319 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 317 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 316 CLK_CNT_P_0_ 3 -1 2 2 2 4 -1 -1 2 0 21 + 310 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 348 RN_AVEC_EXP 3 21 2 2 3 7 21 -1 1 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 5 2 1 6 -1 -1 1 0 21 + 304 inst_CLK_000_D3 3 -1 6 2 6 7 -1 -1 1 0 21 + 300 inst_CLK_OUT_PRE_50 3 -1 2 2 2 4 -1 -1 1 0 21 + 344 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 352 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 331 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 351 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 343 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 340 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 339 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 338 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 337 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 + 347 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 345 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 342 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 336 AMIGA_BUS_ENABLE_LOW_0 3 -1 2 1 2 -1 -1 2 0 21 + 329 un8_ciin 3 -1 2 1 4 -1 -1 2 0 21 + 299 CLK_CNT_N_0_ 3 -1 2 1 2 -1 -1 2 0 21 + 353 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 328 CLK_000_P_SYNC_8_ 3 -1 2 1 1 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_7_ 3 -1 0 1 2 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_6_ 3 -1 0 1 0 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_5_ 3 -1 4 1 0 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_4_ 3 -1 0 1 4 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_2_ 3 -1 0 1 2 -1 -1 1 0 21 + 321 CLK_000_P_SYNC_1_ 3 -1 4 1 0 -1 -1 1 0 21 + 320 CLK_000_P_SYNC_0_ 3 -1 6 1 4 -1 -1 1 0 21 + 315 CLK_CNT_N_1_ 3 -1 2 1 2 -1 -1 1 0 21 + 314 CLK_CNT_P_1_ 3 -1 4 1 2 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 1 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 5 1 5 -1 -1 1 0 21 + 303 inst_CLK_000_D2 3 -1 5 1 6 -1 -1 1 0 21 + 298 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 4 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 6 0 1 3 4 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 1 7 96 -1 + 95 A_16_ 1 -1 -1 1 7 95 -1 + 94 A_18_ 1 -1 -1 1 7 94 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 58 A_17_ 1 -1 -1 1 7 58 -1 + 57 FC_1_ 1 -1 -1 1 7 57 -1 + 56 FC_0_ 1 -1 -1 1 7 56 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 27 BGACK_000 1 -1 -1 1 7 27 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 2 18 -1 + 17 A_25_ 1 -1 -1 1 2 17 -1 + 16 A_26_ 1 -1 -1 1 2 16 -1 + 15 A_27_ 1 -1 -1 1 2 15 -1 + 14 A_28_ 1 -1 -1 1 2 14 -1 + 10 CLK_000 1 -1 -1 1 6 10 -1 + 5 A_29_ 1 -1 -1 1 2 5 -1 + 4 A_30_ 1 -1 -1 1 2 4 -1 + 3 A_31_ 1 -1 -1 1 2 3 -1 +114 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 334 7 5 0 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 335 3 4 0 4 6 7 32 -1 2 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 70 RW 5 343 6 2 4 7 70 -1 4 0 21 + 79 RW_000 5 336 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 337 0 1 1 97 -1 7 0 21 + 80 DSACK1 5 340 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 68 A0 5 -1 6 1 1 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 344 3 0 33 -1 6 0 21 + 65 E 5 341 6 0 65 -1 3 1 21 + 8 IPL_030_2_ 5 333 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 346 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 345 1 0 6 -1 3 0 21 + 82 BGACK_030 5 339 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 342 3 0 34 -1 2 1 21 + 28 BG_000 5 338 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 5 347 6 0 64 -1 1 0 20 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 339 RN_BGACK_030 3 82 7 7 0 2 3 4 5 6 7 82 -1 2 0 21 + 297 inst_CLK_000_D1 3 -1 7 6 1 3 4 5 6 7 -1 -1 1 0 21 + 296 inst_CLK_000_D0 3 -1 5 6 1 3 4 5 6 7 -1 -1 1 0 21 + 303 SM_AMIGA_7_ 3 -1 5 4 2 3 5 7 -1 -1 11 0 21 + 334 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 304 SM_AMIGA_6_ 3 -1 5 4 3 4 5 7 -1 -1 2 0 21 + 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 + 329 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 + 341 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 + 328 cpu_est_0_ 3 -1 4 3 3 4 6 -1 -1 3 0 21 + 311 CLK_CNT_P_0_ 3 -1 0 3 0 1 2 -1 -1 2 0 21 + 305 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 347 RN_CLK_DIV_OUT 3 64 6 3 1 2 6 64 -1 1 0 20 + 308 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 + 330 cpu_est_2_ 3 -1 3 2 3 6 -1 -1 3 1 21 + 313 inst_DS_000_ENABLE 3 -1 4 2 3 4 -1 -1 3 0 21 + 342 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 325 SM_AMIGA_5_ 3 -1 4 2 4 5 -1 -1 2 0 21 + 314 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 312 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 307 SM_AMIGA_4_ 3 -1 4 2 4 5 -1 -1 2 0 21 + 306 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 299 CLK_CNT_N_0_ 3 -1 0 2 0 1 -1 -1 2 0 21 + 310 CLK_CNT_N_1_ 3 -1 0 2 0 1 -1 -1 1 0 21 + 309 CLK_CNT_P_1_ 3 -1 2 2 0 1 -1 -1 1 0 21 + 301 inst_CLK_000_D3 3 -1 6 2 4 7 -1 -1 1 0 21 + 300 inst_CLK_000_D2 3 -1 4 2 4 6 -1 -1 1 0 21 + 337 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 344 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 343 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 346 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 345 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 336 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 333 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 332 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 + 327 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 340 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 338 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 335 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 331 CLK_DIV_OUT_0 3 -1 1 1 6 -1 -1 2 0 21 + 324 un8_ciin 3 -1 0 1 4 -1 -1 2 0 21 + 323 CLK_000_P_SYNC_8_ 3 -1 0 1 2 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_7_ 3 -1 0 1 0 -1 -1 1 0 21 + 321 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 + 320 CLK_000_P_SYNC_5_ 3 -1 0 1 2 -1 -1 1 0 21 + 319 CLK_000_P_SYNC_4_ 3 -1 2 1 0 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_3_ 3 -1 2 1 2 -1 -1 1 0 21 + 317 CLK_000_P_SYNC_2_ 3 -1 2 1 2 -1 -1 1 0 21 + 316 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 + 315 CLK_000_P_SYNC_0_ 3 -1 4 1 1 -1 -1 1 0 21 + 302 CLK_000_P_SYNC_9_ 3 -1 2 1 2 -1 -1 1 0 21 + 298 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 6 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 5 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 5 2 3 4 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 35 VPA 1 -1 -1 2 5 6 35 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 0 18 -1 + 17 A_25_ 1 -1 -1 1 0 17 -1 + 16 A_26_ 1 -1 -1 1 0 16 -1 + 15 A_27_ 1 -1 -1 1 0 15 -1 + 14 A_28_ 1 -1 -1 1 0 14 -1 + 10 CLK_000 1 -1 -1 1 5 10 -1 + 5 A_29_ 1 -1 -1 1 0 5 -1 + 4 A_30_ 1 -1 -1 1 0 4 -1 + 3 A_31_ 1 -1 -1 1 0 3 -1 +114 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 336 7 5 1 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 337 3 4 0 4 6 7 32 -1 2 0 21 + 70 RW 5 345 6 3 1 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 97 DS_030 5 339 0 2 0 1 97 -1 7 0 21 + 79 RW_000 5 338 7 2 0 6 79 -1 3 0 21 + 68 A0 5 -1 6 2 0 1 68 -1 1 0 21 + 80 DSACK1 5 342 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 346 3 0 33 -1 6 0 21 + 65 E 5 343 6 0 65 -1 3 1 21 + 8 IPL_030_2_ 5 335 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 + 82 BGACK_030 5 341 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 344 3 0 34 -1 2 1 21 + 28 BG_000 5 340 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 5 347 6 0 64 -1 1 0 20 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 297 inst_CLK_000_D1 3 -1 3 8 0 1 2 3 4 5 6 7 -1 -1 1 0 21 + 296 inst_CLK_000_D0 3 -1 1 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 341 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 2 5 1 2 3 5 7 -1 -1 2 0 21 + 336 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 303 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 11 0 21 + 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 + 329 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 + 343 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 + 307 SM_AMIGA_4_ 3 -1 2 3 1 2 5 -1 -1 2 0 21 + 305 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 347 RN_CLK_DIV_OUT 3 64 6 3 1 2 6 64 -1 1 0 20 + 330 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 328 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 313 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 + 344 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 325 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 314 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 312 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 311 CLK_CNT_P_0_ 3 -1 4 2 0 4 -1 -1 2 0 21 + 306 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 299 CLK_CNT_N_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 + 309 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 + 301 inst_CLK_000_D3 3 -1 2 2 0 7 -1 -1 1 0 21 + 300 inst_CLK_000_D2 3 -1 4 2 0 2 -1 -1 1 0 21 + 339 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 346 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 345 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 338 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 335 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 332 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 + 327 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 324 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 + 342 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 340 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 337 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 331 CLK_DIV_OUT_0 3 -1 0 1 6 -1 -1 2 0 21 + 323 CLK_000_P_SYNC_8_ 3 -1 2 1 0 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 + 321 CLK_000_P_SYNC_6_ 3 -1 5 1 4 -1 -1 1 0 21 + 320 CLK_000_P_SYNC_5_ 3 -1 5 1 5 -1 -1 1 0 21 + 319 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_3_ 3 -1 6 1 1 -1 -1 1 0 21 + 317 CLK_000_P_SYNC_2_ 3 -1 4 1 6 -1 -1 1 0 21 + 316 CLK_000_P_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 + 315 CLK_000_P_SYNC_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 310 CLK_CNT_N_1_ 3 -1 4 1 0 -1 -1 1 0 21 + 302 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 + 298 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +119 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 339 7 5 1 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 340 3 4 0 4 6 7 32 -1 2 0 21 + 70 RW 5 350 6 3 2 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 97 DS_030 5 343 0 2 0 2 97 -1 7 0 21 + 79 RW_000 5 341 7 2 0 6 79 -1 3 0 21 + 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 + 80 DSACK1 5 347 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 351 3 0 33 -1 6 0 21 + 65 E 5 348 6 0 65 -1 3 1 21 + 8 IPL_030_2_ 5 338 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 344 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 342 1 0 6 -1 3 0 21 + 82 BGACK_030 5 346 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 349 3 0 34 -1 2 1 21 + 28 BG_000 5 345 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 352 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 346 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 + 297 inst_CLK_000_D0 3 -1 2 6 1 2 3 5 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D1 3 -1 3 5 1 3 5 6 7 -1 -1 1 0 21 + 308 SM_AMIGA_7_ 3 -1 5 4 1 3 5 7 -1 -1 11 0 21 + 339 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 333 cpu_est_0_ 3 -1 7 4 3 5 6 7 -1 -1 3 0 21 + 309 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 293 inst_AS_030_000_SYNC 3 -1 1 3 1 3 5 -1 -1 6 0 21 + 334 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 + 348 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 + 335 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 21 + 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 304 inst_CLK_000_D3 3 -1 2 3 4 6 7 -1 -1 1 0 21 + 318 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 302 inst_CLK_OUT_PRE_25 3 -1 6 2 4 6 -1 -1 3 0 21 + 349 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 330 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 319 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 317 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 + 312 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 1 2 1 6 -1 -1 1 0 21 + 303 inst_CLK_000_D2 3 -1 7 2 2 6 -1 -1 1 0 21 + 301 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 + 343 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 351 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 331 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 350 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 344 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 342 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 341 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 338 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 337 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 + 332 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 329 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 + 347 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 345 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 340 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 336 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 + 316 CLK_CNT_P_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 300 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 352 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 328 CLK_000_P_SYNC_8_ 3 -1 6 1 0 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_7_ 3 -1 6 1 6 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_6_ 3 -1 4 1 6 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_5_ 3 -1 2 1 4 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_4_ 3 -1 4 1 2 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_3_ 3 -1 2 1 4 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 + 321 CLK_000_P_SYNC_1_ 3 -1 1 1 4 -1 -1 1 0 21 + 320 CLK_000_P_SYNC_0_ 3 -1 6 1 1 -1 -1 1 0 21 + 315 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 314 CLK_CNT_P_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 4 1 1 -1 -1 1 0 21 + 299 inst_CLK_000_D4 3 -1 4 1 7 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 1 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 1 7 96 -1 + 95 A_16_ 1 -1 -1 2 1 7 95 -1 + 94 A_18_ 1 -1 -1 2 1 7 94 -1 + 58 A_17_ 1 -1 -1 2 1 7 58 -1 + 57 FC_1_ 1 -1 -1 2 1 7 57 -1 + 56 FC_0_ 1 -1 -1 2 1 7 56 -1 + 35 VPA 1 -1 -1 2 1 5 35 -1 + 27 BGACK_000 1 -1 -1 2 1 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 2 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +114 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 336 7 5 1 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 337 3 4 0 4 6 7 32 -1 2 0 21 + 70 RW 5 345 6 3 1 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 97 DS_030 5 339 0 2 0 1 97 -1 7 0 21 + 79 RW_000 5 338 7 2 0 6 79 -1 3 0 21 + 68 A0 5 -1 6 2 0 1 68 -1 1 0 21 + 80 DSACK1 5 342 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 346 3 0 33 -1 6 0 21 + 65 E 5 343 6 0 65 -1 3 1 21 + 8 IPL_030_2_ 5 335 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 334 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 333 1 0 6 -1 3 0 21 + 82 BGACK_030 5 341 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 344 3 0 34 -1 2 1 21 + 28 BG_000 5 340 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 5 347 6 0 64 -1 1 0 20 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 0 2 0 19 -1 1 0 21 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 297 inst_CLK_000_D1 3 -1 3 8 0 1 2 3 4 5 6 7 -1 -1 1 0 21 + 296 inst_CLK_000_D0 3 -1 1 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 341 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 304 SM_AMIGA_6_ 3 -1 2 5 1 2 3 5 7 -1 -1 2 0 21 + 336 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 303 SM_AMIGA_7_ 3 -1 5 3 2 3 7 -1 -1 11 0 21 + 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 + 329 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 + 343 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 + 307 SM_AMIGA_4_ 3 -1 2 3 1 2 5 -1 -1 2 0 21 + 305 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 347 RN_CLK_DIV_OUT 3 64 6 3 1 2 6 64 -1 1 0 20 + 330 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 328 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 313 inst_DS_000_ENABLE 3 -1 1 2 1 3 -1 -1 3 0 21 + 344 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 325 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 314 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 312 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 311 CLK_CNT_P_0_ 3 -1 4 2 0 4 -1 -1 2 0 21 + 306 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 299 CLK_CNT_N_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 + 309 CLK_CNT_P_1_ 3 -1 4 2 0 4 -1 -1 1 0 21 + 301 inst_CLK_000_D3 3 -1 2 2 0 7 -1 -1 1 0 21 + 300 inst_CLK_000_D2 3 -1 4 2 0 2 -1 -1 1 0 21 + 339 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 346 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 326 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 308 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 345 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 338 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 335 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 334 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 333 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 332 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 + 327 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 324 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 + 342 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 340 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 337 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 331 CLK_DIV_OUT_0 3 -1 0 1 6 -1 -1 2 0 21 + 323 CLK_000_P_SYNC_8_ 3 -1 2 1 0 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_7_ 3 -1 4 1 2 -1 -1 1 0 21 + 321 CLK_000_P_SYNC_6_ 3 -1 5 1 4 -1 -1 1 0 21 + 320 CLK_000_P_SYNC_5_ 3 -1 5 1 5 -1 -1 1 0 21 + 319 CLK_000_P_SYNC_4_ 3 -1 1 1 5 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_3_ 3 -1 6 1 1 -1 -1 1 0 21 + 317 CLK_000_P_SYNC_2_ 3 -1 4 1 6 -1 -1 1 0 21 + 316 CLK_000_P_SYNC_1_ 3 -1 0 1 4 -1 -1 1 0 21 + 315 CLK_000_P_SYNC_0_ 3 -1 0 1 0 -1 -1 1 0 21 + 310 CLK_CNT_N_1_ 3 -1 4 1 0 -1 -1 1 0 21 + 302 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 + 298 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 1 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +118 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 340 7 4 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 341 3 4 0 4 6 7 32 -1 2 0 21 + 70 RW 5 349 6 3 2 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 79 RW_000 5 342 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 343 0 1 1 97 -1 7 0 21 + 80 DSACK1 5 346 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 68 A0 5 -1 6 1 1 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 350 3 0 33 -1 6 0 21 + 65 E 5 347 6 0 65 -1 3 1 21 + 8 IPL_030_2_ 5 337 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 339 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 338 1 0 6 -1 3 0 21 + 82 BGACK_030 5 345 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 348 3 0 34 -1 2 1 21 + 28 BG_000 5 344 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 351 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 345 RN_BGACK_030 3 82 7 6 0 2 3 4 6 7 82 -1 2 0 21 + 297 inst_CLK_000_D1 3 -1 7 6 1 2 3 5 6 7 -1 -1 1 0 21 + 296 inst_CLK_000_D0 3 -1 4 6 1 2 3 5 6 7 -1 -1 1 0 21 + 306 SM_AMIGA_6_ 3 -1 5 5 2 3 5 6 7 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 5 4 2 3 5 7 -1 -1 11 0 21 + 340 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 293 inst_AS_030_000_SYNC 3 -1 2 3 2 3 5 -1 -1 6 0 21 + 331 cpu_est_1_ 3 -1 6 3 3 5 6 -1 -1 4 0 21 + 347 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 + 330 cpu_est_0_ 3 -1 2 3 2 3 6 -1 -1 3 0 21 + 327 SM_AMIGA_5_ 3 -1 6 3 2 5 6 -1 -1 2 0 21 + 307 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 310 inst_CLK_030_H 3 -1 6 2 0 6 -1 -1 5 0 21 + 332 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 348 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 317 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 315 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 314 CLK_CNT_P_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 308 SM_AMIGA_0_ 3 -1 3 2 3 5 -1 -1 2 0 21 + 299 CLK_CNT_N_0_ 3 -1 0 2 0 4 -1 -1 2 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 0 2 1 6 -1 -1 1 0 21 + 302 inst_CLK_000_D3 3 -1 5 2 4 7 -1 -1 1 0 21 + 301 inst_CLK_000_D2 3 -1 3 2 5 7 -1 -1 1 0 21 + 300 inst_CLK_OUT_PRE_50 3 -1 4 2 4 5 -1 -1 1 0 21 + 343 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 350 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 328 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 349 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 342 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 339 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 338 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 337 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 336 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 + 329 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 346 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 344 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 341 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 335 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 + 334 CIIN_0 3 -1 4 1 4 -1 -1 2 0 21 + 333 un14_ciin_0 3 -1 4 1 4 -1 -1 2 0 21 + 351 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 326 CLK_000_P_SYNC_8_ 3 -1 4 1 0 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_7_ 3 -1 2 1 4 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_6_ 3 -1 1 1 2 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_5_ 3 -1 0 1 1 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_4_ 3 -1 0 1 0 -1 -1 1 0 21 + 321 CLK_000_P_SYNC_3_ 3 -1 2 1 0 -1 -1 1 0 21 + 320 CLK_000_P_SYNC_2_ 3 -1 2 1 2 -1 -1 1 0 21 + 319 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_0_ 3 -1 7 1 1 -1 -1 1 0 21 + 312 CLK_CNT_N_1_ 3 -1 4 1 0 -1 -1 1 0 21 + 311 CLK_CNT_P_1_ 3 -1 4 1 0 -1 -1 1 0 21 + 304 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 + 303 inst_CLK_OUT_PRE 3 -1 5 1 0 -1 -1 1 0 21 + 298 inst_CLK_000_D4 3 -1 4 1 7 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 5 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 2 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 5 2 3 5 6 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 2 7 96 -1 + 95 A_16_ 1 -1 -1 2 2 7 95 -1 + 94 A_18_ 1 -1 -1 2 2 7 94 -1 + 58 A_17_ 1 -1 -1 2 2 7 58 -1 + 57 FC_1_ 1 -1 -1 2 2 7 57 -1 + 56 FC_0_ 1 -1 -1 2 2 7 56 -1 + 27 BGACK_000 1 -1 -1 2 2 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 35 VPA 1 -1 -1 1 5 35 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 4 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +117 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 337 7 4 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 338 3 4 0 4 6 7 32 -1 2 0 21 + 40 BERR 5 -1 4 4 2 3 5 7 40 -1 1 0 21 + 70 RW 5 348 6 3 2 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 79 RW_000 5 339 7 2 0 6 79 -1 3 0 21 + 97 DS_030 5 340 0 1 1 97 -1 7 0 21 + 80 DSACK1 5 345 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 1 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 1 69 -1 1 0 21 + 68 A0 5 -1 6 1 1 68 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 349 3 0 33 -1 6 0 21 + 65 E 5 346 6 0 65 -1 3 1 21 + 8 IPL_030_2_ 5 336 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 342 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 341 1 0 6 -1 3 0 21 + 82 BGACK_030 5 344 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 347 3 0 34 -1 2 1 21 + 28 BG_000 5 343 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 350 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 296 inst_CLK_000_D0 3 -1 5 7 0 1 2 3 5 6 7 -1 -1 1 0 21 + 297 inst_CLK_000_D1 3 -1 3 6 0 1 3 5 6 7 -1 -1 1 0 21 + 344 RN_BGACK_030 3 82 7 5 0 3 4 6 7 82 -1 2 0 21 + 337 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 307 SM_AMIGA_1_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 306 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 305 SM_AMIGA_7_ 3 -1 5 3 3 5 7 -1 -1 11 0 21 + 293 inst_AS_030_000_SYNC 3 -1 7 3 3 5 7 -1 -1 6 0 21 + 332 cpu_est_1_ 3 -1 3 3 3 5 6 -1 -1 4 0 21 + 346 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 + 308 SM_AMIGA_0_ 3 -1 2 3 2 3 5 -1 -1 2 0 21 + 333 cpu_est_2_ 3 -1 6 2 3 6 -1 -1 3 1 21 + 331 cpu_est_0_ 3 -1 6 2 3 6 -1 -1 3 0 21 + 316 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 347 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 317 inst_UDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 315 inst_LDS_000_INT 3 -1 1 2 1 3 -1 -1 2 0 21 + 314 CLK_CNT_P_0_ 3 -1 0 2 0 2 -1 -1 2 0 21 + 309 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 + 313 inst_CLK_OUT_PRE_D 3 -1 6 2 1 6 -1 -1 1 0 21 + 302 inst_CLK_000_D3 3 -1 1 2 0 7 -1 -1 1 0 21 + 301 inst_CLK_000_D2 3 -1 7 2 0 1 -1 -1 1 0 21 + 340 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 349 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 329 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 310 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 348 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 342 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 341 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 339 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 336 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 335 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 + 330 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 327 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 + 345 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 343 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 338 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 334 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 + 328 SM_AMIGA_5_ 3 -1 5 1 5 -1 -1 2 0 21 + 299 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 350 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 326 CLK_000_P_SYNC_8_ 3 -1 2 1 5 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_7_ 3 -1 0 1 2 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_6_ 3 -1 2 1 0 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_5_ 3 -1 2 1 2 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_4_ 3 -1 2 1 2 -1 -1 1 0 21 + 321 CLK_000_P_SYNC_3_ 3 -1 6 1 2 -1 -1 1 0 21 + 320 CLK_000_P_SYNC_2_ 3 -1 2 1 6 -1 -1 1 0 21 + 319 CLK_000_P_SYNC_1_ 3 -1 1 1 2 -1 -1 1 0 21 + 318 CLK_000_P_SYNC_0_ 3 -1 0 1 1 -1 -1 1 0 21 + 312 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 311 CLK_CNT_P_1_ 3 -1 2 1 0 -1 -1 1 0 21 + 304 CLK_000_P_SYNC_9_ 3 -1 5 1 2 -1 -1 1 0 21 + 303 inst_CLK_OUT_PRE 3 -1 4 1 6 -1 -1 1 0 21 + 300 inst_CLK_OUT_PRE_50 3 -1 4 1 4 -1 -1 1 0 21 + 298 inst_CLK_000_D4 3 -1 7 1 7 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 4 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 4 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 6 0 3 4 5 6 7 13 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 4 7 96 -1 + 95 A_16_ 1 -1 -1 2 4 7 95 -1 + 94 A_18_ 1 -1 -1 2 4 7 94 -1 + 58 A_17_ 1 -1 -1 2 4 7 58 -1 + 57 FC_1_ 1 -1 -1 2 4 7 57 -1 + 56 FC_0_ 1 -1 -1 2 4 7 56 -1 + 35 VPA 1 -1 -1 2 4 5 35 -1 + 27 BGACK_000 1 -1 -1 2 4 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 5 10 -1 + 5 A_29_ 1 -1 -1 1 4 5 -1 + 4 A_30_ 1 -1 -1 1 4 4 -1 + 3 A_31_ 1 -1 -1 1 4 3 -1 +119 "number of signals after reading design file" + +"sig sig sig pair blk fan PT xor sync" +"num name type sig num out pin node cnt PT type" +"--- ---- ---- ---- --- --- --- ---- --- --- ----" + + 81 AS_030 5 339 7 5 1 2 3 4 7 81 -1 4 0 21 + 32 AS_000 5 340 3 4 0 4 6 7 32 -1 2 0 21 + 70 RW 5 350 6 3 2 4 7 70 -1 4 0 21 + 31 UDS_000 5 -1 3 3 0 6 7 31 -1 1 0 21 + 30 LDS_000 5 -1 3 3 0 6 7 30 -1 1 0 21 + 97 DS_030 5 343 0 2 0 2 97 -1 7 0 21 + 79 RW_000 5 341 7 2 0 6 79 -1 3 0 21 + 68 A0 5 -1 6 2 0 2 68 -1 1 0 21 + 80 DSACK1 5 347 7 1 3 80 -1 2 0 21 + 78 SIZE_1_ 5 -1 7 1 2 78 -1 2 0 21 + 69 SIZE_0_ 5 -1 6 1 2 69 -1 1 0 21 + 29 DTACK 5 -1 3 1 5 29 -1 1 0 21 + 33 AMIGA_BUS_ENABLE 5 351 3 0 33 -1 6 0 21 + 65 E 5 348 6 0 65 -1 3 1 21 + 8 IPL_030_2_ 5 338 1 0 8 -1 3 0 21 + 7 IPL_030_0_ 5 344 1 0 7 -1 3 0 21 + 6 IPL_030_1_ 5 342 1 0 6 -1 3 0 21 + 82 BGACK_030 5 346 7 0 82 -1 2 0 21 + 47 AMIGA_BUS_DATA_DIR 0 4 0 47 -1 2 0 21 + 34 VMA 5 349 3 0 34 -1 2 1 21 + 28 BG_000 5 345 3 0 28 -1 2 0 21 + 91 AVEC 0 0 0 91 -1 1 0 21 + 77 FPU_CS 0 7 0 77 -1 1 0 21 + 64 CLK_DIV_OUT 0 6 0 64 -1 1 0 21 + 46 CIIN 0 4 0 46 -1 1 0 21 + 21 AVEC_EXP 0 2 0 21 -1 1 0 21 + 19 AMIGA_BUS_ENABLE_LOW 5 352 2 0 19 -1 1 0 20 + 9 CLK_EXP 0 1 0 9 -1 1 0 21 + 2 RESET 0 1 0 2 -1 1 0 21 + 346 RN_BGACK_030 3 82 7 6 0 1 3 4 6 7 82 -1 2 0 21 + 297 inst_CLK_000_D0 3 -1 2 6 1 2 3 5 6 7 -1 -1 1 0 21 + 298 inst_CLK_000_D1 3 -1 3 5 1 3 5 6 7 -1 -1 1 0 21 + 308 SM_AMIGA_7_ 3 -1 5 4 1 3 5 7 -1 -1 11 0 21 + 339 RN_AS_030 3 81 7 4 0 3 6 7 81 -1 4 0 21 + 333 cpu_est_0_ 3 -1 7 4 3 5 6 7 -1 -1 3 0 21 + 309 SM_AMIGA_6_ 3 -1 5 4 2 3 5 7 -1 -1 2 0 21 + 293 inst_AS_030_000_SYNC 3 -1 1 3 1 3 5 -1 -1 6 0 21 + 334 cpu_est_1_ 3 -1 5 3 3 5 6 -1 -1 4 0 21 + 348 RN_E 3 65 6 3 3 5 6 65 -1 3 1 21 + 335 cpu_est_2_ 3 -1 3 3 3 5 6 -1 -1 3 1 21 + 310 SM_AMIGA_1_ 3 -1 5 3 3 5 7 -1 -1 2 0 21 + 304 inst_CLK_000_D3 3 -1 2 3 4 6 7 -1 -1 1 0 21 + 318 inst_DS_000_ENABLE 3 -1 2 2 2 3 -1 -1 3 0 21 + 302 inst_CLK_OUT_PRE_25 3 -1 6 2 4 6 -1 -1 3 0 21 + 349 RN_VMA 3 34 3 2 3 5 34 -1 2 1 21 + 330 SM_AMIGA_5_ 3 -1 2 2 2 5 -1 -1 2 0 21 + 319 inst_UDS_000_INT 3 -1 0 2 0 3 -1 -1 2 0 21 + 317 inst_LDS_000_INT 3 -1 2 2 2 3 -1 -1 2 0 21 + 312 SM_AMIGA_4_ 3 -1 5 2 2 5 -1 -1 2 0 21 + 311 SM_AMIGA_0_ 3 -1 5 2 3 5 -1 -1 2 0 21 + 305 inst_CLK_OUT_PRE_D 3 -1 1 2 1 6 -1 -1 1 0 21 + 303 inst_CLK_000_D2 3 -1 7 2 2 6 -1 -1 1 0 21 + 301 inst_CLK_OUT_PRE_50 3 -1 4 2 4 6 -1 -1 1 0 21 + 343 RN_DS_030 3 97 0 1 0 97 -1 7 0 21 + 351 RN_AMIGA_BUS_ENABLE 3 33 3 1 3 33 -1 6 0 21 + 331 SM_AMIGA_3_ 3 -1 5 1 5 -1 -1 5 0 21 + 313 inst_CLK_030_H 3 -1 0 1 0 -1 -1 5 0 21 + 350 RN_RW 3 70 6 1 6 70 -1 4 0 21 + 344 RN_IPL_030_0_ 3 7 1 1 1 7 -1 3 0 21 + 342 RN_IPL_030_1_ 3 6 1 1 1 6 -1 3 0 21 + 341 RN_RW_000 3 79 7 1 7 79 -1 3 0 21 + 338 RN_IPL_030_2_ 3 8 1 1 1 8 -1 3 0 21 + 337 SM_AMIGA_7__0 3 -1 5 1 5 -1 -1 3 1 21 + 332 SM_AMIGA_2_ 3 -1 5 1 5 -1 -1 3 0 21 + 329 un16_ciin 3 -1 4 1 4 -1 -1 3 0 21 + 347 RN_DSACK1 3 80 7 1 7 80 -1 2 0 21 + 345 RN_BG_000 3 28 3 1 3 28 -1 2 0 21 + 340 RN_AS_000 3 32 3 1 3 32 -1 2 0 21 + 336 AMIGA_BUS_ENABLE_LOW_0 3 -1 0 1 2 -1 -1 2 0 21 + 316 CLK_CNT_P_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 300 CLK_CNT_N_0_ 3 -1 0 1 0 -1 -1 2 0 21 + 352 RN_AMIGA_BUS_ENABLE_LOW 3 19 2 1 2 19 -1 1 0 20 + 328 CLK_000_P_SYNC_8_ 3 -1 6 1 0 -1 -1 1 0 21 + 327 CLK_000_P_SYNC_7_ 3 -1 6 1 6 -1 -1 1 0 21 + 326 CLK_000_P_SYNC_6_ 3 -1 4 1 6 -1 -1 1 0 21 + 325 CLK_000_P_SYNC_5_ 3 -1 2 1 4 -1 -1 1 0 21 + 324 CLK_000_P_SYNC_4_ 3 -1 4 1 2 -1 -1 1 0 21 + 323 CLK_000_P_SYNC_3_ 3 -1 2 1 4 -1 -1 1 0 21 + 322 CLK_000_P_SYNC_2_ 3 -1 4 1 2 -1 -1 1 0 21 + 321 CLK_000_P_SYNC_1_ 3 -1 1 1 4 -1 -1 1 0 21 + 320 CLK_000_P_SYNC_0_ 3 -1 6 1 1 -1 -1 1 0 21 + 315 CLK_CNT_N_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 314 CLK_CNT_P_1_ 3 -1 0 1 0 -1 -1 1 0 21 + 307 CLK_000_P_SYNC_9_ 3 -1 0 1 2 -1 -1 1 0 21 + 306 inst_CLK_OUT_PRE 3 -1 4 1 1 -1 -1 1 0 21 + 299 inst_CLK_000_D4 3 -1 4 1 7 -1 -1 1 0 21 + 296 inst_CLK_OUT_PRE_50_D 3 -1 4 1 6 -1 -1 1 0 21 + 295 inst_VPA_D 3 -1 1 1 3 -1 -1 1 0 21 + 294 inst_BGACK_030_INT_D 3 -1 7 1 3 -1 -1 1 0 21 + 60 CLK_OSZI 9 -1 0 60 -1 + 85 RST 1 -1 -1 8 0 1 2 3 4 5 6 7 85 -1 + 13 nEXP_SPACE 1 -1 -1 7 0 1 3 4 5 6 7 13 -1 + 40 BERR 1 -1 -1 5 1 2 3 5 7 40 -1 + 63 CLK_030 1 -1 -1 3 0 6 7 63 -1 + 96 A_19_ 1 -1 -1 2 1 7 96 -1 + 95 A_16_ 1 -1 -1 2 1 7 95 -1 + 94 A_18_ 1 -1 -1 2 1 7 94 -1 + 58 A_17_ 1 -1 -1 2 1 7 58 -1 + 57 FC_1_ 1 -1 -1 2 1 7 57 -1 + 56 FC_0_ 1 -1 -1 2 1 7 56 -1 + 35 VPA 1 -1 -1 2 1 5 35 -1 + 27 BGACK_000 1 -1 -1 2 1 7 27 -1 + 93 A_21_ 1 -1 -1 1 4 93 -1 + 92 A_20_ 1 -1 -1 1 4 92 -1 + 84 A_22_ 1 -1 -1 1 4 84 -1 + 83 A_23_ 1 -1 -1 1 4 83 -1 + 67 IPL_2_ 1 -1 -1 1 1 67 -1 + 66 IPL_0_ 1 -1 -1 1 1 66 -1 + 55 IPL_1_ 1 -1 -1 1 1 55 -1 + 20 BG_030 1 -1 -1 1 3 20 -1 + 18 A_24_ 1 -1 -1 1 4 18 -1 + 17 A_25_ 1 -1 -1 1 4 17 -1 + 16 A_26_ 1 -1 -1 1 4 16 -1 + 15 A_27_ 1 -1 -1 1 4 15 -1 + 14 A_28_ 1 -1 -1 1 4 14 -1 + 10 CLK_000 1 -1 -1 1 2 10 -1 5 A_29_ 1 -1 -1 1 4 5 -1 4 A_30_ 1 -1 -1 1 4 4 -1 3 A_31_ 1 -1 -1 1 4 3 -1 \ No newline at end of file diff --git a/Logic/68030_tk.plc b/Logic/68030_tk.plc index 21620d2..811ce71 100644 --- a/Logic/68030_tk.plc +++ b/Logic/68030_tk.plc @@ -8,68 +8,68 @@ ; Source file 68030_tk.tt4 ; FITTER-generated Placements. ; DEVICE mach447a -; DATE Mon Jun 09 20:20:36 2014 +; DATE Sun Jun 15 16:36:48 2014 -Pin 4 A_31_ -Pin 68 IPL_2_ -Pin 58 FC_1_ -Pin 32 UDS_000 Comb ; S6=1 S9=1 Pair 185 -Pin 31 LDS_000 Comb ; S6=1 S9=1 Pair 191 -Pin 5 A_30_ -Pin 14 nEXP_SPACE -Pin 6 A_29_ -Pin 41 BERR Pin 15 A_28_ -Pin 21 BG_030 Pin 16 A_27_ Pin 17 A_26_ Pin 18 A_25_ -Pin 28 BGACK_000 +Pin 4 A_31_ Pin 19 A_24_ -Pin 64 CLK_030 Pin 84 A_23_ -Pin 11 CLK_000 Pin 85 A_22_ -Pin 61 CLK_OSZI Pin 94 A_21_ -Pin 65 CLK_DIV_OUT Reg ; S6=0 S9=1 Pair 247 +Pin 68 IPL_2_ Pin 93 A_20_ Pin 97 A_19_ -Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 277 +Pin 58 FC_1_ Pin 95 A_18_ Pin 59 A_17_ -Pin 30 DTACK Comb ; S6=1 S9=1 Pair 173 Pin 96 A_16_ -Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 +Pin 32 UDS_000 Comb ; S6=1 S9=1 Pair 185 Pin 56 IPL_1_ -Pin 36 VPA +Pin 31 LDS_000 Comb ; S6=1 S9=1 Pair 191 Pin 67 IPL_0_ Pin 57 FC_0_ +Pin 14 nEXP_SPACE +Pin 41 BERR +Pin 21 BG_030 +Pin 28 BGACK_000 +Pin 64 CLK_030 +Pin 11 CLK_000 +Pin 61 CLK_OSZI +Pin 65 CLK_DIV_OUT Reg ; S6=0 S9=1 Pair 247 +Pin 78 FPU_CS Comb ; S6=1 S9=1 Pair 277 +Pin 30 DTACK Comb ; S6=1 S9=1 Pair 173 +Pin 92 AVEC Comb ; S6=1 S9=1 Pair 107 +Pin 36 VPA Pin 86 RST -Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 203 -Pin 47 CIIN Comb ; S6=1 S9=1 Pair 197 +Pin 48 AMIGA_BUS_DATA_DIR Comb ; S6=1 S9=1 Pair 197 +Pin 47 CIIN Comb ; S6=1 S9=1 Pair 215 +Pin 5 A_30_ +Pin 6 A_29_ Pin 79 SIZE_1_ Reg ; S6=1 S9=1 Pair 271 Pin 9 IPL_030_2_ Reg ; S6=1 S9=1 Pair 131 Pin 82 AS_030 Reg ; S6=1 S9=1 Pair 281 Pin 33 AS_000 Reg ; S6=1 S9=1 Pair 179 Pin 80 RW_000 Reg ; S6=1 S9=1 Pair 269 +Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 143 Pin 98 DS_030 Reg ; S6=1 S9=1 Pair 101 -Pin 70 SIZE_0_ Reg ; S6=1 S9=1 Pair 263 +Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 137 Pin 69 A0 Reg ; S6=1 S9=1 Pair 257 Pin 29 BG_000 Reg ; S6=1 S9=1 Pair 193 Pin 83 BGACK_030 Reg ; S6=1 S9=1 Pair 275 Pin 10 CLK_EXP Reg ; S6=0 S9=1 Pair 125 Pin 81 DSACK1 Reg ; S6=1 S9=1 Pair 287 -Pin 7 IPL_030_1_ Reg ; S6=1 S9=1 Pair 143 Pin 22 AVEC_EXP Reg ; S6=1 S9=1 Pair 149 -Pin 8 IPL_030_0_ Reg ; S6=1 S9=1 Pair 137 Pin 66 E Reg ; S6=0 S9=1 Pair 251 Pin 35 VMA Reg ; S6=1 S9=1 Pair 175 Pin 3 RESET Reg ; S6=0 S9=1 Pair 127 Pin 71 RW Reg ; S6=1 S9=1 Pair 245 Pin 34 AMIGA_BUS_ENABLE Reg ; S6=1 S9=1 Pair 181 Pin 20 AMIGA_BUS_ENABLE_LOW Reg ; S6=1 S9=0 Pair 167 +Pin 70 SIZE_0_ Reg ; S6=1 S9=1 Pair 263 Node 185 RN_UDS_000 Comb ; S6=1 S9=1 Node 191 RN_LDS_000 Comb ; S6=1 S9=1 Node 173 RN_DTACK Comb ; S6=1 S9=1 @@ -78,75 +78,63 @@ Node 131 RN_IPL_030_2_ Reg ; S6=1 S9=1 Node 281 RN_AS_030 Reg ; S6=1 S9=1 Node 179 RN_AS_000 Reg ; S6=1 S9=1 Node 269 RN_RW_000 Reg ; S6=1 S9=1 +Node 143 RN_IPL_030_1_ Reg ; S6=1 S9=1 Node 101 RN_DS_030 Reg ; S6=1 S9=1 -Node 263 RN_SIZE_0_ Reg ; S6=1 S9=1 +Node 137 RN_IPL_030_0_ Reg ; S6=1 S9=1 Node 257 RN_A0 Reg ; S6=1 S9=1 Node 193 RN_BG_000 Reg ; S6=1 S9=1 Node 275 RN_BGACK_030 Reg ; S6=1 S9=1 Node 287 RN_DSACK1 Reg ; S6=1 S9=1 -Node 143 RN_IPL_030_1_ Reg ; S6=1 S9=1 -Node 149 RN_AVEC_EXP Reg ; S6=1 S9=1 -Node 137 RN_IPL_030_0_ Reg ; S6=1 S9=1 Node 251 RN_E Reg ; S6=0 S9=1 Node 175 RN_VMA Reg ; S6=1 S9=1 Node 245 RN_RW Reg ; S6=1 S9=1 Node 181 RN_AMIGA_BUS_ENABLE Reg ; S6=1 S9=1 Node 167 RN_AMIGA_BUS_ENABLE_LOW Reg ; S6=1 S9=0 -Node 283 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 -Node 188 inst_BGACK_030_INT_D Reg ; S6=1 S9=1 -Node 260 inst_VPA_D Reg ; S6=1 S9=1 -Node 284 inst_CLK_OUT_PRE_50_D Reg ; S6=0 S9=1 -Node 133 inst_CLK_000_D0 Reg ; S6=1 S9=1 -Node 199 CLK_CNT_N_0_ Reg ; S6=1 S9=1 -Node 272 inst_CLK_OUT_PRE_50 Reg ; S6=0 S9=1 -Node 155 inst_CLK_OUT_PRE_25 Reg ; S6=1 S9=1 +Node 263 RN_SIZE_0_ Reg ; S6=1 S9=1 +Node 133 inst_AS_030_000_SYNC Reg ; S6=1 S9=1 +Node 272 inst_BGACK_030_INT_D Reg ; S6=1 S9=1 +Node 128 inst_VPA_D Reg ; S6=1 S9=1 +Node 206 inst_CLK_OUT_PRE_50_D Reg ; S6=1 S9=1 +Node 155 inst_CLK_000_D0 Reg ; S6=0 S9=1 Node 187 inst_CLK_000_D1 Reg ; S6=1 S9=1 -Node 230 inst_CLK_000_D2 Reg ; S6=1 S9=1 -Node 116 inst_CLK_000_D3 Reg ; S6=1 S9=1 -Node 119 inst_CLK_000_NE Reg ; S6=0 S9=1 -Node 289 inst_CLK_OUT_PRE_D Reg ; S6=0 S9=1 -Node 200 inst_CLK_OUT_PRE Reg ; S6=1 S9=1 -Node 254 CLK_000_P_SYNC_9_ Reg ; S6=0 S9=1 -Node 110 CLK_000_N_SYNC_11_ Reg ; S6=0 S9=1 +Node 200 inst_CLK_000_D4 Reg ; S6=0 S9=1 +Node 115 CLK_CNT_N_0_ Reg ; S6=0 S9=1 +Node 203 inst_CLK_OUT_PRE_50 Reg ; S6=1 S9=1 +Node 253 inst_CLK_OUT_PRE_25 Reg ; S6=0 S9=1 +Node 289 inst_CLK_000_D2 Reg ; S6=1 S9=1 +Node 161 inst_CLK_000_D3 Reg ; S6=0 S9=1 +Node 139 inst_CLK_OUT_PRE_D Reg ; S6=0 S9=1 +Node 217 inst_CLK_OUT_PRE Reg ; S6=1 S9=1 +Node 110 CLK_000_P_SYNC_9_ Reg ; S6=0 S9=1 Node 221 SM_AMIGA_7_ Reg ; S6=1 S9=1 -Node 227 SM_AMIGA_1_ Reg ; S6=0 S9=1 -Node 239 SM_AMIGA_0_ Reg ; S6=0 S9=1 -Node 113 SM_AMIGA_6_ Reg ; S6=0 S9=1 -Node 233 SM_AMIGA_4_ Reg ; S6=0 S9=1 -Node 164 CLK_000_N_SYNC_6_ Reg ; S6=1 S9=1 -Node 217 CLK_CNT_P_1_ Reg ; S6=1 S9=1 -Node 211 CLK_CNT_N_1_ Reg ; S6=0 S9=1 -Node 103 inst_CLK_030_H Reg ; S6=1 S9=1 -Node 215 CLK_CNT_P_0_ Reg ; S6=1 S9=1 -Node 151 inst_LDS_000_INT Reg ; S6=0 S9=1 -Node 139 inst_DS_000_ENABLE Reg ; S6=0 S9=1 -Node 161 inst_UDS_000_INT Reg ; S6=0 S9=1 -Node 104 CLK_000_P_SYNC_0_ Reg ; S6=0 S9=1 -Node 248 CLK_000_P_SYNC_1_ Reg ; S6=0 S9=1 -Node 158 CLK_000_P_SYNC_2_ Reg ; S6=1 S9=1 -Node 265 CLK_000_P_SYNC_3_ Reg ; S6=0 S9=1 -Node 121 CLK_000_P_SYNC_4_ Reg ; S6=0 S9=1 -Node 140 CLK_000_P_SYNC_5_ Reg ; S6=0 S9=1 -Node 205 CLK_000_P_SYNC_6_ Reg ; S6=1 S9=1 -Node 115 CLK_000_P_SYNC_7_ Reg ; S6=0 S9=1 -Node 134 CLK_000_P_SYNC_8_ Reg ; S6=0 S9=1 -Node 109 CLK_000_N_SYNC_0_ Reg ; S6=0 S9=1 -Node 224 CLK_000_N_SYNC_1_ Reg ; S6=0 S9=1 -Node 259 CLK_000_N_SYNC_2_ Reg ; S6=0 S9=1 -Node 152 CLK_000_N_SYNC_3_ Reg ; S6=1 S9=1 -Node 169 CLK_000_N_SYNC_4_ Reg ; S6=1 S9=1 -Node 128 CLK_000_N_SYNC_5_ Reg ; S6=0 S9=1 -Node 278 CLK_000_N_SYNC_7_ Reg ; S6=0 S9=1 -Node 163 CLK_000_N_SYNC_8_ Reg ; S6=1 S9=1 -Node 145 CLK_000_N_SYNC_9_ Reg ; S6=0 S9=1 -Node 157 CLK_000_N_SYNC_10_ Reg ; S6=1 S9=1 -Node 241 SM_AMIGA_5_ Reg ; S6=0 S9=1 -Node 229 SM_AMIGA_3_ Reg ; S6=0 S9=1 -Node 235 SM_AMIGA_2_ Reg ; S6=0 S9=1 -Node 182 cpu_est_0_ Reg ; S6=0 S9=1 -Node 253 cpu_est_1_ Reg ; S6=0 S9=1 +Node 227 SM_AMIGA_6_ Reg ; S6=0 S9=1 +Node 239 SM_AMIGA_1_ Reg ; S6=0 S9=1 +Node 229 SM_AMIGA_0_ Reg ; S6=0 S9=1 +Node 223 SM_AMIGA_4_ Reg ; S6=0 S9=1 +Node 119 inst_CLK_030_H Reg ; S6=1 S9=1 +Node 104 CLK_CNT_P_1_ Reg ; S6=0 S9=1 +Node 121 CLK_CNT_N_1_ Reg ; S6=1 S9=1 +Node 109 CLK_CNT_P_0_ Reg ; S6=0 S9=1 +Node 163 inst_LDS_000_INT Reg ; S6=0 S9=1 +Node 151 inst_DS_000_ENABLE Reg ; S6=1 S9=1 +Node 113 inst_UDS_000_INT Reg ; S6=1 S9=1 +Node 248 CLK_000_P_SYNC_0_ Reg ; S6=0 S9=1 +Node 145 CLK_000_P_SYNC_1_ Reg ; S6=0 S9=1 +Node 211 CLK_000_P_SYNC_2_ Reg ; S6=1 S9=1 +Node 152 CLK_000_P_SYNC_3_ Reg ; S6=1 S9=1 +Node 205 CLK_000_P_SYNC_4_ Reg ; S6=1 S9=1 +Node 169 CLK_000_P_SYNC_5_ Reg ; S6=1 S9=1 +Node 199 CLK_000_P_SYNC_6_ Reg ; S6=1 S9=1 +Node 265 CLK_000_P_SYNC_7_ Reg ; S6=0 S9=1 +Node 259 CLK_000_P_SYNC_8_ Reg ; S6=0 S9=1 +Node 209 un16_ciin Comb ; S6=1 S9=1 +Node 157 SM_AMIGA_5_ Reg ; S6=1 S9=1 +Node 235 SM_AMIGA_3_ Reg ; S6=0 S9=1 +Node 224 SM_AMIGA_2_ Reg ; S6=0 S9=1 +Node 283 cpu_est_0_ Reg ; S6=0 S9=1 +Node 233 cpu_est_1_ Reg ; S6=0 S9=1 Node 176 cpu_est_2_ Reg ; S6=0 S9=1 -Node 209 CLK_PRE_66_0 Comb ; S6=1 S9=1 -Node 223 SM_AMIGA_7__0 Comb ; S6=1 S9=1 +Node 103 AMIGA_BUS_ENABLE_LOW_0 Comb ; S6=1 S9=1 +Node 241 SM_AMIGA_7__0 Comb ; S6=1 S9=1 ; Unused Pins & Nodes ; -> None Found. diff --git a/Logic/68030_tk.prd b/Logic/68030_tk.prd index 720828c..d9e2994 100644 --- a/Logic/68030_tk.prd +++ b/Logic/68030_tk.prd @@ -5,8 +5,8 @@ |--------------------------------------------| -Start: Mon Jun 09 20:20:36 2014 -End : Mon Jun 09 20:20:36 2014 $$$ Elapsed time: 00:00:00 +Start: Sun Jun 15 16:36:48 2014 +End : Sun Jun 15 16:36:48 2014 $$$ Elapsed time: 00:00:00 =========================================================================== Part [C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030_tk.tt4] @@ -21,16 +21,16 @@ Part [C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a] Design [68030 | | +- Signals to Place | | +----- Logic Array Inputs | | | +- Placed | | | +- Array Inputs Used _|____|____|____|_______________|____|_____________|___|________________ - 0 | 16 | 11 | 11 => 100% | 8 | 7 => 87% | 33 | 24 => 72% - 1 | 16 | 11 | 11 => 100% | 8 | 8 => 100% | 33 | 20 => 60% - 2 | 16 | 11 | 11 => 100% | 8 | 8 => 100% | 33 | 19 => 57% - 3 | 16 | 11 | 11 => 100% | 8 | 8 => 100% | 33 | 31 => 93% - 4 | 16 | 9 | 9 => 100% | 8 | 3 => 37% | 33 | 27 => 81% - 5 | 16 | 10 | 10 => 100% | 8 | 4 => 50% | 33 | 22 => 66% - 6 | 16 | 11 | 11 => 100% | 8 | 7 => 87% | 33 | 21 => 63% - 7 | 16 | 11 | 11 => 100% | 8 | 8 => 100% | 33 | 28 => 84% + 0 | 16 | 10 | 10 => 100% | 8 | 7 => 87% | 33 | 19 => 57% + 1 | 16 | 9 | 9 => 100% | 8 | 8 => 100% | 33 | 26 => 78% + 2 | 16 | 9 | 9 => 100% | 8 | 8 => 100% | 33 | 21 => 63% + 3 | 16 | 9 | 9 => 100% | 8 | 8 => 100% | 33 | 28 => 84% + 4 | 16 | 10 | 10 => 100% | 8 | 3 => 37% | 33 | 25 => 75% + 5 | 16 | 9 | 9 => 100% | 8 | 4 => 50% | 33 | 22 => 66% + 6 | 16 | 9 | 9 => 100% | 8 | 7 => 87% | 33 | 24 => 72% + 7 | 16 | 9 | 9 => 100% | 8 | 8 => 100% | 33 | 28 => 84% ---|----|----|------------|-------|------------|-----|------------------ - | Avg number of array inputs in used blocks : 24.00 => 72% + | Avg number of array inputs in used blocks : 24.13 => 73% * Input/Clock Signal count: 30 -> placed: 30 = 100% @@ -41,13 +41,13 @@ _|____|____|____|_______________|____|_____________|___|________________ Clock Only Pins : 0 0 => 0% Clock/Input Pins : 4 4 => 100% Logic Blocks : 8 8 => 100% - Macrocells : 128 85 => 66% - PT Clusters : 128 44 => 34% - - Single PT Clusters : 128 47 => 36% + Macrocells : 128 74 => 57% + PT Clusters : 128 43 => 33% + - Single PT Clusters : 128 36 => 28% Input Registers : 0 * Routing Completion: 100% -* Attempts: Place [ 131] Route [ 0] +* Attempts: Place [ 119] Route [ 0] =========================================================================== Signal Fanout Table =========================================================================== @@ -57,169 +57,155 @@ _|____|____|____|_______________|____|_____________|___|________________ | | | +- Signal-to-Pin Assignment | | | | Fanout to Logic Blocks Signal Name ___|__|__|____|____________________________________________________________ - 1| 6| IO| 69|=> ..2.|....| A0 + 1| 6| IO| 69|=> 0.2.|....| A0 2| 4|OUT| 48|=> ....|....| AMIGA_BUS_DATA_DIR 3| 3| IO| 34|=> ....|....| AMIGA_BUS_ENABLE |=> Paired w/: RN_AMIGA_BUS_ENABLE 4| 2| IO| 20|=> ....|....| AMIGA_BUS_ENABLE_LOW |=> Paired w/: RN_AMIGA_BUS_ENABLE_LOW - 5| 3| IO| 33|=> 0...|4.67| AS_000 + 5| 0|NOD| . |=> ..2.|....| AMIGA_BUS_ENABLE_LOW_0 + 6| 3| IO| 33|=> 0...|4.67| AS_000 |=> Paired w/: RN_AS_000 - 6| 7| IO| 82|=> .1.3|...7| AS_030 + 7| 7| IO| 82|=> .123|4..7| AS_030 |=> Paired w/: RN_AS_030 - 7| 0|OUT| 92|=> ....|....| AVEC - 8| 2| IO| 22|=> ....|....| AVEC_EXP - |=> Paired w/: RN_AVEC_EXP - 9| 0|INP| 96|=> ....|4..7| A_16_ - 10| 5|INP| 59|=> ....|4..7| A_17_ - 11| 0|INP| 95|=> ....|4..7| A_18_ - 12| 0|INP| 97|=> ....|4..7| A_19_ - 13| 0|INP| 93|=> ....|4...| A_20_ - 14| 0|INP| 94|=> ....|4...| A_21_ - 15| 7|INP| 85|=> ....|4...| A_22_ - 16| 7|INP| 84|=> ....|4...| A_23_ - 17| 2|INP| 19|=> ....|4...| A_24_ - 18| 2|INP| 18|=> ....|4...| A_25_ - 19| 2|INP| 17|=> ....|4...| A_26_ - 20| 2|INP| 16|=> ....|4...| A_27_ - 21| 2|INP| 15|=> ....|4...| A_28_ - 22| 1|INP| 6|=> ....|4...| A_29_ - 23| 1|INP| 5|=> ....|4...| A_30_ - 24| 1|INP| 4|=> ....|4...| A_31_ - 25| 4|INP| 41|=> 01.3|.5.7| BERR - 26| 3|INP| 28|=> ....|...7| BGACK_000 - 27| 7| IO| 83|=> ....|....| BGACK_030 + 8| 0|OUT| 92|=> ....|....| AVEC + 9| 2|OUT| 22|=> ....|....| AVEC_EXP + 10| 0|INP| 96|=> .1..|...7| A_16_ + 11| 5|INP| 59|=> .1..|...7| A_17_ + 12| 0|INP| 95|=> .1..|...7| A_18_ + 13| 0|INP| 97|=> .1..|...7| A_19_ + 14| 0|INP| 93|=> ....|4...| A_20_ + 15| 0|INP| 94|=> ....|4...| A_21_ + 16| 7|INP| 85|=> ....|4...| A_22_ + 17| 7|INP| 84|=> ....|4...| A_23_ + 18| 2|INP| 19|=> ....|4...| A_24_ + 19| 2|INP| 18|=> ....|4...| A_25_ + 20| 2|INP| 17|=> ....|4...| A_26_ + 21| 2|INP| 16|=> ....|4...| A_27_ + 22| 2|INP| 15|=> ....|4...| A_28_ + 23| 1|INP| 6|=> ....|4...| A_29_ + 24| 1|INP| 5|=> ....|4...| A_30_ + 25| 1|INP| 4|=> ....|4...| A_31_ + 26| 4|INP| 41|=> .123|.5.7| BERR + 27| 3|INP| 28|=> .1..|...7| BGACK_000 + 28| 7| IO| 83|=> ....|....| BGACK_030 |=> Paired w/: RN_BGACK_030 - 28| 3| IO| 29|=> ....|....| BG_000 + 29| 3| IO| 29|=> ....|....| BG_000 |=> Paired w/: RN_BG_000 - 29| 2|INP| 21|=> ...3|....| BG_030 - 30| 4|OUT| 47|=> ....|....| CIIN - 31| +|INP| 11|=> .1.3|....| CLK_000 - 32| 0|NOD| . |=> ....|.5..| CLK_000_N_SYNC_0_ - 33| 2|NOD| . |=> 0...|....| CLK_000_N_SYNC_10_ - 34| 0|NOD| . |=> 0...|....| CLK_000_N_SYNC_11_ - 35| 5|NOD| . |=> ....|..6.| CLK_000_N_SYNC_1_ - 36| 6|NOD| . |=> ..2.|....| CLK_000_N_SYNC_2_ - 37| 2|NOD| . |=> ..2.|....| CLK_000_N_SYNC_3_ - 38| 2|NOD| . |=> .1..|....| CLK_000_N_SYNC_4_ - 39| 1|NOD| . |=> ..2.|....| CLK_000_N_SYNC_5_ - 40| 2|NOD| . |=> ....|...7| CLK_000_N_SYNC_6_ - 41| 7|NOD| . |=> ..2.|....| CLK_000_N_SYNC_7_ - 42| 2|NOD| . |=> .1..|....| CLK_000_N_SYNC_8_ - 43| 1|NOD| . |=> ..2.|....| CLK_000_N_SYNC_9_ - 44| 0|NOD| . |=> ....|..6.| CLK_000_P_SYNC_0_ - 45| 6|NOD| . |=> ..2.|....| CLK_000_P_SYNC_1_ - 46| 2|NOD| . |=> ....|..6.| CLK_000_P_SYNC_2_ - 47| 6|NOD| . |=> 0...|....| CLK_000_P_SYNC_3_ - 48| 0|NOD| . |=> .1..|....| CLK_000_P_SYNC_4_ - 49| 1|NOD| . |=> ....|4...| CLK_000_P_SYNC_5_ - 50| 4|NOD| . |=> 0...|....| CLK_000_P_SYNC_6_ - 51| 0|NOD| . |=> .1..|....| CLK_000_P_SYNC_7_ - 52| 1|NOD| . |=> ....|..6.| CLK_000_P_SYNC_8_ - 53| 6|NOD| . |=> ..2.|....| CLK_000_P_SYNC_9_ - 54| +|INP| 64|=> 0...|..67| CLK_030 - 55| 4|NOD| . |=> ....|4...| CLK_CNT_N_0_ - 56| 4|NOD| . |=> ....|4...| CLK_CNT_N_1_ - 57| 4|NOD| . |=> ....|4...| CLK_CNT_P_0_ - 58| 4|NOD| . |=> ....|4...| CLK_CNT_P_1_ - 59| 6|OUT| 65|=> ....|....| CLK_DIV_OUT - 60| 1|OUT| 10|=> ....|....| CLK_EXP - 61| +|Cin| 61|=> ....|....| CLK_OSZI - 62| 4|NOD| . |=> ..2.|....| CLK_PRE_66_0 - 63| 7| IO| 81|=> ...3|....| DSACK1 + 30| 2|INP| 21|=> ...3|....| BG_030 + 31| 4|OUT| 47|=> ....|....| CIIN + 32| +|INP| 11|=> ..2.|....| CLK_000 + 33| 6|NOD| . |=> .1..|....| CLK_000_P_SYNC_0_ + 34| 1|NOD| . |=> ....|4...| CLK_000_P_SYNC_1_ + 35| 4|NOD| . |=> ..2.|....| CLK_000_P_SYNC_2_ + 36| 2|NOD| . |=> ....|4...| CLK_000_P_SYNC_3_ + 37| 4|NOD| . |=> ..2.|....| CLK_000_P_SYNC_4_ + 38| 2|NOD| . |=> ....|4...| CLK_000_P_SYNC_5_ + 39| 4|NOD| . |=> ....|..6.| CLK_000_P_SYNC_6_ + 40| 6|NOD| . |=> ....|..6.| CLK_000_P_SYNC_7_ + 41| 6|NOD| . |=> 0...|....| CLK_000_P_SYNC_8_ + 42| 0|NOD| . |=> ..2.|....| CLK_000_P_SYNC_9_ + 43| +|INP| 64|=> 0...|..67| CLK_030 + 44| 0|NOD| . |=> 0...|....| CLK_CNT_N_0_ + 45| 0|NOD| . |=> 0...|....| CLK_CNT_N_1_ + 46| 0|NOD| . |=> 0...|....| CLK_CNT_P_0_ + 47| 0|NOD| . |=> 0...|....| CLK_CNT_P_1_ + 48| 6|OUT| 65|=> ....|....| CLK_DIV_OUT + 49| 1|OUT| 10|=> ....|....| CLK_EXP + 50| +|Cin| 61|=> ....|....| CLK_OSZI + 51| 7| IO| 81|=> ...3|....| DSACK1 |=> Paired w/: RN_DSACK1 - 64| 0| IO| 98|=> ..2.|....| DS_030 + 52| 0| IO| 98|=> 0.2.|....| DS_030 |=> Paired w/: RN_DS_030 - 65| 3| IO| 30|=> ....|.5..| DTACK - 66| 6| IO| 66|=> ....|....| E + 53| 3| IO| 30|=> ....|.5..| DTACK + 54| 6| IO| 66|=> ....|....| E |=> Paired w/: RN_E - 67| 5|INP| 57|=> ....|...7| FC_0_ - 68| 5|INP| 58|=> ....|...7| FC_1_ - 69| 7|OUT| 78|=> ....|....| FPU_CS - 70| 1| IO| 8|=> ....|....| IPL_030_0_ + 55| 5|INP| 57|=> .1..|...7| FC_0_ + 56| 5|INP| 58|=> .1..|...7| FC_1_ + 57| 7|OUT| 78|=> ....|....| FPU_CS + 58| 1| IO| 8|=> ....|....| IPL_030_0_ |=> Paired w/: RN_IPL_030_0_ - 71| 1| IO| 7|=> ....|....| IPL_030_1_ + 59| 1| IO| 7|=> ....|....| IPL_030_1_ |=> Paired w/: RN_IPL_030_1_ - 72| 1| IO| 9|=> ....|....| IPL_030_2_ + 60| 1| IO| 9|=> ....|....| IPL_030_2_ |=> Paired w/: RN_IPL_030_2_ - 73| 6|INP| 67|=> .1..|....| IPL_0_ - 74| 5|INP| 56|=> .1..|....| IPL_1_ - 75| 6|INP| 68|=> .1..|....| IPL_2_ - 76| 3| IO| 31|=> 0...|..67| LDS_000 - 77| 1|OUT| 3|=> ....|....| RESET - 78| 3|NOD| . |=> ...3|....| RN_AMIGA_BUS_ENABLE + 61| 6|INP| 67|=> .1..|....| IPL_0_ + 62| 5|INP| 56|=> .1..|....| IPL_1_ + 63| 6|INP| 68|=> .1..|....| IPL_2_ + 64| 3| IO| 31|=> 0...|..67| LDS_000 + 65| 1|OUT| 3|=> ....|....| RESET + 66| 3|NOD| . |=> ...3|....| RN_AMIGA_BUS_ENABLE |=> Paired w/: AMIGA_BUS_ENABLE - 79| 2|NOD| . |=> ..2.|....| RN_AMIGA_BUS_ENABLE_LOW + 67| 2|NOD| . |=> ..2.|....| RN_AMIGA_BUS_ENABLE_LOW |=> Paired w/: AMIGA_BUS_ENABLE_LOW - 80| 3|NOD| . |=> ...3|....| RN_AS_000 + 68| 3|NOD| . |=> ...3|....| RN_AS_000 |=> Paired w/: AS_000 - 81| 7|NOD| . |=> 0..3|..67| RN_AS_030 + 69| 7|NOD| . |=> 0..3|..67| RN_AS_030 |=> Paired w/: AS_030 - 82| 2|NOD| . |=> 01.3|.567| RN_AVEC_EXP - |=> Paired w/: AVEC_EXP - 83| 7|NOD| . |=> 0..3|4.67| RN_BGACK_030 + 70| 7|NOD| . |=> 01.3|4.67| RN_BGACK_030 |=> Paired w/: BGACK_030 - 84| 3|NOD| . |=> ...3|....| RN_BG_000 + 71| 3|NOD| . |=> ...3|....| RN_BG_000 |=> Paired w/: BG_000 - 85| 7|NOD| . |=> ....|...7| RN_DSACK1 + 72| 7|NOD| . |=> ....|...7| RN_DSACK1 |=> Paired w/: DSACK1 - 86| 0|NOD| . |=> 0...|....| RN_DS_030 + 73| 0|NOD| . |=> 0...|....| RN_DS_030 |=> Paired w/: DS_030 - 87| 6|NOD| . |=> ...3|.56.| RN_E + 74| 6|NOD| . |=> ...3|.56.| RN_E |=> Paired w/: E - 88| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ + 75| 1|NOD| . |=> .1..|....| RN_IPL_030_0_ |=> Paired w/: IPL_030_0_ - 89| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ + 76| 1|NOD| . |=> .1..|....| RN_IPL_030_1_ |=> Paired w/: IPL_030_1_ - 90| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ + 77| 1|NOD| . |=> .1..|....| RN_IPL_030_2_ |=> Paired w/: IPL_030_2_ - 91| 6|NOD| . |=> ....|..6.| RN_RW + 78| 6|NOD| . |=> ....|..6.| RN_RW |=> Paired w/: RW - 92| 7|NOD| . |=> ....|...7| RN_RW_000 + 79| 7|NOD| . |=> ....|...7| RN_RW_000 |=> Paired w/: RW_000 - 93| 3|NOD| . |=> ...3|.5..| RN_VMA + 80| 3|NOD| . |=> ...3|.5..| RN_VMA |=> Paired w/: VMA - 94| +|INP| 86|=> 0123|4567| RST - 95| 6| IO| 71|=> .1..|4..7| RW + 81| +|INP| 86|=> 0123|4567| RST + 82| 6| IO| 71|=> ..2.|4..7| RW |=> Paired w/: RN_RW - 96| 7| IO| 80|=> 0...|..6.| RW_000 + 83| 7| IO| 80|=> 0...|..6.| RW_000 |=> Paired w/: RN_RW_000 - 97| 6| IO| 70|=> ..2.|....| SIZE_0_ - 98| 7| IO| 79|=> ..2.|....| SIZE_1_ - 99| 5|NOD| . |=> ...3|.5..| SM_AMIGA_0_ - 100| 5|NOD| . |=> ...3|.5.7| SM_AMIGA_1_ - 101| 5|NOD| . |=> ....|.5..| SM_AMIGA_2_ - 102| 5|NOD| . |=> ....|.5..| SM_AMIGA_3_ - 103| 5|NOD| . |=> .1..|.5..| SM_AMIGA_4_ - 104| 5|NOD| . |=> ....|.5..| SM_AMIGA_5_ - 105| 0|NOD| . |=> 01.3|.5.7| SM_AMIGA_6_ - 106| 5|NOD| . |=> 0..3|...7| SM_AMIGA_7_ - 107| 5|NOD| . |=> ....|.5..| SM_AMIGA_7__0 - 108| 3| IO| 32|=> 0...|..67| UDS_000 - 109| 3| IO| 35|=> ....|....| VMA + 84| 6| IO| 70|=> ..2.|....| SIZE_0_ + 85| 7| IO| 79|=> ..2.|....| SIZE_1_ + 86| 5|NOD| . |=> ...3|.5..| SM_AMIGA_0_ + 87| 5|NOD| . |=> ...3|.5.7| SM_AMIGA_1_ + 88| 5|NOD| . |=> ....|.5..| SM_AMIGA_2_ + 89| 5|NOD| . |=> ....|.5..| SM_AMIGA_3_ + 90| 5|NOD| . |=> ..2.|.5..| SM_AMIGA_4_ + 91| 2|NOD| . |=> ..2.|.5..| SM_AMIGA_5_ + 92| 5|NOD| . |=> ..23|.5.7| SM_AMIGA_6_ + 93| 5|NOD| . |=> .1.3|.5.7| SM_AMIGA_7_ + 94| 5|NOD| . |=> ....|.5..| SM_AMIGA_7__0 + 95| 3| IO| 32|=> 0...|..67| UDS_000 + 96| 3| IO| 35|=> ....|....| VMA |=> Paired w/: RN_VMA - 110| +|INP| 36|=> ....|.56.| VPA - 111| 3|NOD| . |=> ...3|..6.| cpu_est_0_ - 112| 6|NOD| . |=> ...3|.56.| cpu_est_1_ - 113| 3|NOD| . |=> ...3|..6.| cpu_est_2_ - 114| 7|NOD| . |=> 0..3|.5.7| inst_AS_030_000_SYNC - 115| 3|NOD| . |=> ...3|....| inst_BGACK_030_INT_D - 116| 1|NOD| . |=> 0..3|.5..| inst_CLK_000_D0 - 117| 3|NOD| . |=> 0..3|.5..| inst_CLK_000_D1 - 118| 5|NOD| . |=> 0...|....| inst_CLK_000_D2 - 119| 0|NOD| . |=> 0...|....| inst_CLK_000_D3 - 120| 0|NOD| . |=> ...3|.5..| inst_CLK_000_NE - 121| 0|NOD| . |=> 0...|....| inst_CLK_030_H - 122| 4|NOD| . |=> ....|...7| inst_CLK_OUT_PRE - 123| 2|NOD| . |=> ..2.|4...| inst_CLK_OUT_PRE_25 - 124| 7|NOD| . |=> ..2.|...7| inst_CLK_OUT_PRE_50 - 125| 7|NOD| . |=> ..2.|....| inst_CLK_OUT_PRE_50_D - 126| 7|NOD| . |=> .1..|..6.| inst_CLK_OUT_PRE_D - 127| 1|NOD| . |=> .1.3|....| inst_DS_000_ENABLE - 128| 2|NOD| . |=> ..23|....| inst_LDS_000_INT - 129| 2|NOD| . |=> ..23|....| inst_UDS_000_INT - 130| 6|NOD| . |=> ...3|....| inst_VPA_D - 131| +|INP| 14|=> 0..3|4567| nEXP_SPACE + 97| +|INP| 36|=> .1..|.5..| VPA + 98| 7|NOD| . |=> ...3|.567| cpu_est_0_ + 99| 5|NOD| . |=> ...3|.56.| cpu_est_1_ + 100| 3|NOD| . |=> ...3|.56.| cpu_est_2_ + 101| 1|NOD| . |=> .1.3|.5..| inst_AS_030_000_SYNC + 102| 7|NOD| . |=> ...3|....| inst_BGACK_030_INT_D + 103| 2|NOD| . |=> .123|.567| inst_CLK_000_D0 + 104| 3|NOD| . |=> .1.3|.567| inst_CLK_000_D1 + 105| 7|NOD| . |=> ..2.|..6.| inst_CLK_000_D2 + 106| 2|NOD| . |=> ....|4.67| inst_CLK_000_D3 + 107| 4|NOD| . |=> ....|...7| inst_CLK_000_D4 + 108| 0|NOD| . |=> 0...|....| inst_CLK_030_H + 109| 4|NOD| . |=> .1..|....| inst_CLK_OUT_PRE + 110| 6|NOD| . |=> ....|4.6.| inst_CLK_OUT_PRE_25 + 111| 4|NOD| . |=> ....|4.6.| inst_CLK_OUT_PRE_50 + 112| 4|NOD| . |=> ....|..6.| inst_CLK_OUT_PRE_50_D + 113| 1|NOD| . |=> .1..|..6.| inst_CLK_OUT_PRE_D + 114| 2|NOD| . |=> ..23|....| inst_DS_000_ENABLE + 115| 2|NOD| . |=> ..23|....| inst_LDS_000_INT + 116| 0|NOD| . |=> 0..3|....| inst_UDS_000_INT + 117| 1|NOD| . |=> ...3|....| inst_VPA_D + 118| +|INP| 14|=> 01.3|4567| nEXP_SPACE + 119| 4|NOD| . |=> ....|4...| un16_ciin --------------------------------------------------------------------------- =========================================================================== < C:/Program Files (x86)/ispLever/ispcpld/dat/mach4a/mach447a Device Pin Assignments > @@ -340,19 +326,19 @@ ____|_____|_________|______________________________________________________ | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| DS_030| IO| | S | 7 | 4 to [ 0]| 1 XOR to [ 0] as logic PT - 1|inst_CLK_030_H|NOD| | S | 5 | 4 to [ 1]| 1 XOR to [ 1] as logic PT - 2|CLK_000_P_SYNC_0_|NOD| | S | 1 | 4 to [ 0]| 1 XOR to [ 2] for 1 PT sig + 1|AMIGA_BUS_ENABLE_LOW_0|NOD| | S | 2 | 4 to [ 1]| 1 XOR free + 2| CLK_CNT_P_1_|NOD| | S | 1 | 4 to [ 0]| 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 free | 1 XOR free 4| AVEC|OUT| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig - 5|CLK_000_N_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6|CLK_000_N_SYNC_11_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 5| CLK_CNT_P_0_|NOD| | S | 2 | 4 to [ 5]| 1 XOR free + 6|CLK_000_P_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig 7| | ? | | S | | 4 free | 1 XOR free - 8| SM_AMIGA_6_|NOD| | S | 2 | 4 to [ 8]| 1 XOR free - 9|CLK_000_P_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10|inst_CLK_000_D3|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig + 8|inst_UDS_000_INT|NOD| | S | 2 | 4 to [ 8]| 1 XOR free + 9| CLK_CNT_N_0_|NOD| | S | 2 | 4 to [ 9]| 1 XOR free +10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12|inst_CLK_000_NE|NOD| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|CLK_000_P_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +12|inst_CLK_030_H|NOD| | S | 5 | 4 to [12]| 1 XOR to [12] as logic PT +13| CLK_CNT_N_1_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -367,19 +353,19 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ 0| DS_030| IO| | S | 7 |=> can support up to [ 9] logic PT(s) - 1|inst_CLK_030_H|NOD| | S | 5 |=> can support up to [ 10] logic PT(s) - 2|CLK_000_P_SYNC_0_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 3| | ? | | S | |=> can support up to [ 13] logic PT(s) - 4| AVEC|OUT| | S | 1 |=> can support up to [ 18] logic PT(s) - 5|CLK_000_N_SYNC_0_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) - 6|CLK_000_N_SYNC_11_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 7| | ? | | S | |=> can support up to [ 13] logic PT(s) - 8| SM_AMIGA_6_|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) - 9|CLK_000_P_SYNC_7_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -10|inst_CLK_000_D3|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -11| | ? | | S | |=> can support up to [ 17] logic PT(s) -12|inst_CLK_000_NE|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) -13|CLK_000_P_SYNC_4_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) + 1|AMIGA_BUS_ENABLE_LOW_0|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) + 2| CLK_CNT_P_1_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) + 3| | ? | | S | |=> can support up to [ 9] logic PT(s) + 4| AVEC|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) + 5| CLK_CNT_P_0_|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) + 6|CLK_000_P_SYNC_9_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) + 7| | ? | | S | |=> can support up to [ 9] logic PT(s) + 8|inst_UDS_000_INT|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) + 9| CLK_CNT_N_0_|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) +10| | ? | | S | |=> can support up to [ 10] logic PT(s) +11| | ? | | S | |=> can support up to [ 14] logic PT(s) +12|inst_CLK_030_H|NOD| | S | 5 |=> can support up to [ 19] logic PT(s) +13| CLK_CNT_N_1_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) 14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- @@ -392,19 +378,19 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0| DS_030| IO| | => | 5 6 ( 7) 0 | 96 97 ( 98) 91 - 1|inst_CLK_030_H|NOD| | => | 5 6 7 0 | 96 97 98 91 - 2|CLK_000_P_SYNC_0_|NOD| | => | 6 7 0 1 | 97 98 91 92 + 1|AMIGA_BUS_ENABLE_LOW_0|NOD| | => | 5 6 7 0 | 96 97 98 91 + 2| CLK_CNT_P_1_|NOD| | => | 6 7 0 1 | 97 98 91 92 3| | | | => | 6 7 0 1 | 97 98 91 92 4| AVEC|OUT| | => | 7 0 ( 1) 2 | 98 91 ( 92) 93 - 5|CLK_000_N_SYNC_0_|NOD| | => | 7 0 1 2 | 98 91 92 93 - 6|CLK_000_N_SYNC_11_|NOD| | => | 0 1 2 3 | 91 92 93 94 + 5| CLK_CNT_P_0_|NOD| | => | 7 0 1 2 | 98 91 92 93 + 6|CLK_000_P_SYNC_9_|NOD| | => | 0 1 2 3 | 91 92 93 94 7| | | | => | 0 1 2 3 | 91 92 93 94 - 8| SM_AMIGA_6_|NOD| | => | 1 2 3 4 | 92 93 94 95 - 9|CLK_000_P_SYNC_7_|NOD| | => | 1 2 3 4 | 92 93 94 95 -10|inst_CLK_000_D3|NOD| | => | 2 3 4 5 | 93 94 95 96 + 8|inst_UDS_000_INT|NOD| | => | 1 2 3 4 | 92 93 94 95 + 9| CLK_CNT_N_0_|NOD| | => | 1 2 3 4 | 92 93 94 95 +10| | | | => | 2 3 4 5 | 93 94 95 96 11| | | | => | 2 3 4 5 | 93 94 95 96 -12|inst_CLK_000_NE|NOD| | => | 3 4 5 6 | 94 95 96 97 -13|CLK_000_P_SYNC_4_|NOD| | => | 3 4 5 6 | 94 95 96 97 +12|inst_CLK_030_H|NOD| | => | 3 4 5 6 | 94 95 96 97 +13| CLK_CNT_N_1_|NOD| | => | 3 4 5 6 | 94 95 96 97 14| | | | => | 4 5 6 7 | 95 96 97 98 15| | | | => | 4 5 6 7 | 95 96 97 98 --------------------------------------------------------------------------- @@ -457,37 +443,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 91| -| | ] [RegIn 0 |102| -| | ] [MCell 0 |101|NOD RN_DS_030| |*] paired w/[ DS_030] - [MCell 1 |103|NOD inst_CLK_030_H| |*] + [MCell 1 |103|NOD AMIGA_BUS_ENABLE_LOW_0| |*] 1 [IOpin 1 | 92|OUT AVEC|*| ] [RegIn 1 |105| -| | ] - [MCell 2 |104|NOD CLK_000_P_SYNC_0_| |*] + [MCell 2 |104|NOD CLK_CNT_P_1_| |*] [MCell 3 |106| -| | ] 2 [IOpin 2 | 93|INP A_20_|*|*] [RegIn 2 |108| -| | ] [MCell 4 |107|OUT AVEC| | ] - [MCell 5 |109|NOD CLK_000_N_SYNC_0_| |*] + [MCell 5 |109|NOD CLK_CNT_P_0_| |*] 3 [IOpin 3 | 94|INP A_21_|*|*] [RegIn 3 |111| -| | ] - [MCell 6 |110|NOD CLK_000_N_SYNC_11_| |*] + [MCell 6 |110|NOD CLK_000_P_SYNC_9_| |*] [MCell 7 |112| -| | ] 4 [IOpin 4 | 95|INP A_18_|*|*] [RegIn 4 |114| -| | ] - [MCell 8 |113|NOD SM_AMIGA_6_| |*] - [MCell 9 |115|NOD CLK_000_P_SYNC_7_| |*] + [MCell 8 |113|NOD inst_UDS_000_INT| |*] + [MCell 9 |115|NOD CLK_CNT_N_0_| |*] 5 [IOpin 5 | 96|INP A_16_|*|*] [RegIn 5 |117| -| | ] - [MCell 10 |116|NOD inst_CLK_000_D3| |*] + [MCell 10 |116| -| | ] [MCell 11 |118| -| | ] 6 [IOpin 6 | 97|INP A_19_|*|*] [RegIn 6 |120| -| | ] - [MCell 12 |119|NOD inst_CLK_000_NE| |*] - [MCell 13 |121|NOD CLK_000_P_SYNC_4_| |*] + [MCell 12 |119|NOD inst_CLK_030_H| |*] + [MCell 13 |121|NOD CLK_CNT_N_1_| |*] 7 [IOpin 7 | 98| IO DS_030|*|*] paired w/[ RN_DS_030] [RegIn 7 |123| -| | ] @@ -500,38 +486,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| Input Pin ( 86)| RST -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| ... | ... -Mux03| Mcel 4 5 ( 205)| CLK_000_P_SYNC_6_ +Mux00| IOPin 6 4 ( 69)| A0 +Mux01| ... | ... +Mux02| Mcel 0 9 ( 115)| CLK_CNT_N_0_ +Mux03| Mcel 0 8 ( 113)| inst_UDS_000_INT Mux04| Input Pin ( 64)| CLK_030 Mux05| Input Pin ( 14)| nEXP_SPACE Mux06| IOPin 7 5 ( 80)| RW_000 -Mux07| Mcel 2 5 ( 157)| CLK_000_N_SYNC_10_ +Mux07| ... | ... Mux08| IOPin 3 3 ( 32)| UDS_000 -Mux09| Mcel 6 13 ( 265)| CLK_000_P_SYNC_3_ -Mux10| Mcel 0 6 ( 110)| CLK_000_N_SYNC_11_ -Mux11| Mcel 5 6 ( 230)| inst_CLK_000_D2 -Mux12| Mcel 0 1 ( 103)| inst_CLK_030_H +Mux09| Mcel 0 12 ( 119)| inst_CLK_030_H +Mux10| Mcel 6 9 ( 259)| CLK_000_P_SYNC_8_ +Mux11| ... | ... +Mux12| IOPin 0 7 ( 98)| DS_030 Mux13| Mcel 7 8 ( 281)| RN_AS_030 -Mux14| Mcel 0 10 ( 116)| inst_CLK_000_D3 +Mux14| ... | ... Mux15| Mcel 0 0 ( 101)| RN_DS_030 -Mux16| Mcel 2 0 ( 149)| RN_AVEC_EXP +Mux16| ... | ... Mux17| ... | ... -Mux18| Mcel 0 8 ( 113)| SM_AMIGA_6_ +Mux18| Mcel 0 5 ( 109)| CLK_CNT_P_0_ Mux19| ... | ... Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| ... | ... -Mux22| ... | ... +Mux21| Input Pin ( 86)| RST +Mux22| Mcel 0 2 ( 104)| CLK_CNT_P_1_ Mux23| IOPin 3 2 ( 33)| AS_000 Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| Mcel 3 9 ( 187)| inst_CLK_000_D1 +Mux25| Mcel 0 13 ( 121)| CLK_CNT_N_1_ Mux26| ... | ... -Mux27| Mcel 7 9 ( 283)| inst_AS_030_000_SYNC -Mux28| Mcel 1 5 ( 133)| inst_CLK_000_D0 +Mux27| ... | ... +Mux28| ... | ... Mux29| ... | ... Mux30| ... | ... -Mux31| Mcel 5 0 ( 221)| SM_AMIGA_7_ +Mux31| ... | ... Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== @@ -546,18 +532,18 @@ Mux32| ... | ... _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| CLK_EXP|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig 1| RESET|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2|CLK_000_N_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 2| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 free | 1 XOR free - 4| IPL_030_2_| IO| | S | 2 | 4 to [ 4]| 1 XOR free - 5|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6|CLK_000_P_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 4| IPL_030_2_| IO| | S | 3 | 4 to [ 4]| 1 XOR free + 5|inst_AS_030_000_SYNC|NOD| | S | 6 | 4 to [ 5]| 1 XOR to [ 5] as logic PT + 6| | ? | | S | | 4 to [ 5]| 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free - 8| IPL_030_0_| IO| | S | 2 | 4 to [ 8]| 1 XOR free - 9|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [ 9]| 1 XOR free -10|CLK_000_P_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig + 8| IPL_030_0_| IO| | S | 3 | 4 free | 1 XOR free + 9|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 to [ 8]| 1 XOR to [ 9] for 1 PT sig +10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12| IPL_030_1_| IO| | S | 2 | 4 to [12]| 1 XOR free -13|CLK_000_N_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +12| IPL_030_1_| IO| | S | 3 | 4 free | 1 XOR free +13|CLK_000_P_SYNC_1_|NOD| | S | 1 | 4 to [12]| 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -573,19 +559,19 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ _|_________________|__|__|___|_____|_______________________________________ 0| CLK_EXP|OUT| | S | 1 |=> can support up to [ 13] logic PT(s) 1| RESET|OUT| | S | 1 |=> can support up to [ 18] logic PT(s) - 2|CLK_000_N_SYNC_5_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 3| | ? | | S | |=> can support up to [ 13] logic PT(s) - 4| IPL_030_2_| IO| | S | 2 |=> can support up to [ 18] logic PT(s) - 5|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 6|CLK_000_P_SYNC_8_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 7| | ? | | S | |=> can support up to [ 9] logic PT(s) - 8| IPL_030_0_| IO| | S | 2 |=> can support up to [ 14] logic PT(s) - 9|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 14] logic PT(s) -10|CLK_000_P_SYNC_5_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) -11| | ? | | S | |=> can support up to [ 13] logic PT(s) -12| IPL_030_1_| IO| | S | 2 |=> can support up to [ 19] logic PT(s) -13|CLK_000_N_SYNC_9_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) -14| | ? | | S | |=> can support up to [ 14] logic PT(s) + 2| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 3| | ? | | S | |=> can support up to [ 9] logic PT(s) + 4| IPL_030_2_| IO| | S | 3 |=> can support up to [ 10] logic PT(s) + 5|inst_AS_030_000_SYNC|NOD| | S | 6 |=> can support up to [ 15] logic PT(s) + 6| | ? | | S | |=> can support up to [ 11] logic PT(s) + 7| | ? | | S | |=> can support up to [ 10] logic PT(s) + 8| IPL_030_0_| IO| | S | 3 |=> can support up to [ 19] logic PT(s) + 9|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 16] logic PT(s) +10| | ? | | S | |=> can support up to [ 15] logic PT(s) +11| | ? | | S | |=> can support up to [ 15] logic PT(s) +12| IPL_030_1_| IO| | S | 3 |=> can support up to [ 19] logic PT(s) +13|CLK_000_P_SYNC_1_|NOD| | S | 1 |=> can support up to [ 16] logic PT(s) +14| | ? | | S | |=> can support up to [ 10] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- =========================================================================== @@ -598,18 +584,18 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| CLK_EXP|OUT| | => | 5 6 7 ( 0)| 5 4 3 ( 10) 1| RESET|OUT| | => | 5 6 ( 7) 0 | 5 4 ( 3) 10 - 2|CLK_000_N_SYNC_5_|NOD| | => | 6 7 0 1 | 4 3 10 9 + 2| inst_VPA_D|NOD| | => | 6 7 0 1 | 4 3 10 9 3| | | | => | 6 7 0 1 | 4 3 10 9 4| IPL_030_2_| IO| | => | 7 0 ( 1) 2 | 3 10 ( 9) 8 - 5|inst_CLK_000_D0|NOD| | => | 7 0 1 2 | 3 10 9 8 - 6|CLK_000_P_SYNC_8_|NOD| | => | 0 1 2 3 | 10 9 8 7 + 5|inst_AS_030_000_SYNC|NOD| | => | 7 0 1 2 | 3 10 9 8 + 6| | | | => | 0 1 2 3 | 10 9 8 7 7| | | | => | 0 1 2 3 | 10 9 8 7 8| IPL_030_0_| IO| | => | 1 ( 2) 3 4 | 9 ( 8) 7 6 - 9|inst_DS_000_ENABLE|NOD| | => | 1 2 3 4 | 9 8 7 6 -10|CLK_000_P_SYNC_5_|NOD| | => | 2 3 4 5 | 8 7 6 5 + 9|inst_CLK_OUT_PRE_D|NOD| | => | 1 2 3 4 | 9 8 7 6 +10| | | | => | 2 3 4 5 | 8 7 6 5 11| | | | => | 2 3 4 5 | 8 7 6 5 12| IPL_030_1_| IO| | => |( 3) 4 5 6 |( 7) 6 5 4 -13|CLK_000_N_SYNC_9_|NOD| | => | 3 4 5 6 | 7 6 5 4 +13|CLK_000_P_SYNC_1_|NOD| | => | 3 4 5 6 | 7 6 5 4 14| | | | => | 4 5 6 7 | 6 5 4 3 15| | | | => | 4 5 6 7 | 6 5 4 3 --------------------------------------------------------------------------- @@ -668,33 +654,33 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 9| IO IPL_030_2_|*| ] paired w/[ RN_IPL_030_2_] [RegIn 1 |129| -| | ] - [MCell 2 |128|NOD CLK_000_N_SYNC_5_| |*] + [MCell 2 |128|NOD inst_VPA_D| |*] [MCell 3 |130| -| | ] 2 [IOpin 2 | 8| IO IPL_030_0_|*| ] paired w/[ RN_IPL_030_0_] [RegIn 2 |132| -| | ] [MCell 4 |131|NOD RN_IPL_030_2_| |*] paired w/[ IPL_030_2_] - [MCell 5 |133|NOD inst_CLK_000_D0| |*] + [MCell 5 |133|NOD inst_AS_030_000_SYNC| |*] 3 [IOpin 3 | 7| IO IPL_030_1_|*| ] paired w/[ RN_IPL_030_1_] [RegIn 3 |135| -| | ] - [MCell 6 |134|NOD CLK_000_P_SYNC_8_| |*] + [MCell 6 |134| -| | ] [MCell 7 |136| -| | ] 4 [IOpin 4 | 6|INP A_29_|*|*] [RegIn 4 |138| -| | ] [MCell 8 |137|NOD RN_IPL_030_0_| |*] paired w/[ IPL_030_0_] - [MCell 9 |139|NOD inst_DS_000_ENABLE| |*] + [MCell 9 |139|NOD inst_CLK_OUT_PRE_D| |*] 5 [IOpin 5 | 5|INP A_30_|*|*] [RegIn 5 |141| -| | ] - [MCell 10 |140|NOD CLK_000_P_SYNC_5_| |*] + [MCell 10 |140| -| | ] [MCell 11 |142| -| | ] 6 [IOpin 6 | 4|INP A_31_|*|*] [RegIn 6 |144| -| | ] [MCell 12 |143|NOD RN_IPL_030_1_| |*] paired w/[ IPL_030_1_] - [MCell 13 |145|NOD CLK_000_N_SYNC_9_| |*] + [MCell 13 |145|NOD CLK_000_P_SYNC_1_| |*] 7 [IOpin 7 | 3|OUT RESET|*| ] [RegIn 7 |147| -| | ] @@ -708,37 +694,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- Mux00| IOPin 6 2 ( 67)| IPL_0_ -Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 0 9 ( 115)| CLK_000_P_SYNC_7_ +Mux01| IOPin 5 2 ( 58)| FC_1_ +Mux02| Mcel 4 13 ( 217)| inst_CLK_OUT_PRE Mux03| IOPin 5 4 ( 56)| IPL_1_ Mux04| IOPin 6 3 ( 68)| IPL_2_ -Mux05| ... | ... -Mux06| Mcel 1 9 ( 139)| inst_DS_000_ENABLE -Mux07| Mcel 7 13 ( 289)| inst_CLK_OUT_PRE_D -Mux08| IOPin 6 6 ( 71)| RW -Mux09| Mcel 2 0 ( 149)| RN_AVEC_EXP -Mux10| ... | ... -Mux11| Mcel 2 13 ( 169)| CLK_000_N_SYNC_4_ -Mux12| Mcel 1 12 ( 143)| RN_IPL_030_1_ -Mux13| Mcel 2 9 ( 163)| CLK_000_N_SYNC_8_ -Mux14| Input Pin ( 11)| CLK_000 +Mux05| Input Pin ( 14)| nEXP_SPACE +Mux06| IOPin 5 3 ( 57)| FC_0_ +Mux07| Mcel 3 9 ( 187)| inst_CLK_000_D1 +Mux08| Mcel 1 8 ( 137)| RN_IPL_030_0_ +Mux09| IOPin 7 3 ( 82)| AS_030 +Mux10| Input Pin ( 36)| VPA +Mux11| IOPin 0 5 ( 96)| A_16_ +Mux12| IOPin 0 6 ( 97)| A_19_ +Mux13| IOPin 5 1 ( 59)| A_17_ +Mux14| Mcel 2 4 ( 155)| inst_CLK_000_D0 Mux15| ... | ... -Mux16| ... | ... -Mux17| Mcel 1 8 ( 137)| RN_IPL_030_0_ -Mux18| Mcel 0 8 ( 113)| SM_AMIGA_6_ -Mux19| IOPin 7 3 ( 82)| AS_030 -Mux20| Mcel 5 8 ( 233)| SM_AMIGA_4_ +Mux16| Mcel 1 9 ( 139)| inst_CLK_OUT_PRE_D +Mux17| IOPin 0 4 ( 95)| A_18_ +Mux18| IOPin 3 7 ( 28)| BGACK_000 +Mux19| ... | ... +Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 Mux21| Input Pin ( 86)| RST Mux22| ... | ... -Mux23| ... | ... +Mux23| Mcel 6 2 ( 248)| CLK_000_P_SYNC_0_ Mux24| ... | ... -Mux25| Mcel 0 13 ( 121)| CLK_000_P_SYNC_4_ -Mux26| ... | ... +Mux25| IOPin 4 0 ( 41)| BERR +Mux26| Mcel 1 12 ( 143)| RN_IPL_030_1_ Mux27| Mcel 1 4 ( 131)| RN_IPL_030_2_ -Mux28| ... | ... +Mux28| Mcel 1 5 ( 133)| inst_AS_030_000_SYNC Mux29| ... | ... Mux30| ... | ... -Mux31| ... | ... +Mux31| Mcel 5 0 ( 221)| SM_AMIGA_7_ Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== @@ -751,20 +737,20 @@ Mux32| ... | ... | Sig Type-+ | | | | | | | XOR to Mcell Assignment | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| AVEC_EXP| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig - 1|inst_LDS_000_INT|NOD| | S | 2 | 4 to [ 1]| 1 XOR free - 2|CLK_000_N_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 0| AVEC_EXP|OUT| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig + 1|inst_DS_000_ENABLE|NOD| | S | 3 | 4 to [ 1]| 1 XOR free + 2|CLK_000_P_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 free | 1 XOR free - 4|inst_CLK_OUT_PRE_25|NOD| | S | 3 | 4 to [ 4]| 1 XOR free - 5|CLK_000_N_SYNC_10_|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6|CLK_000_P_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 4|inst_CLK_000_D0|NOD| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig + 5| SM_AMIGA_5_|NOD| | S | 2 | 4 to [ 5]| 1 XOR free + 6| | ? | | S | | 4 free | 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free - 8|inst_UDS_000_INT|NOD| | S | 2 | 4 to [ 8]| 1 XOR free - 9|CLK_000_N_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10|CLK_000_N_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig + 8|inst_CLK_000_D3|NOD| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig + 9|inst_LDS_000_INT|NOD| | S | 2 | 4 to [ 9]| 1 XOR free +10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12|AMIGA_BUS_ENABLE_LOW| IO| | A | 1 | 2 free | 1 XOR to [12] for 1 PT sig -13|CLK_000_N_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +13|CLK_000_P_SYNC_5_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -778,20 +764,20 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| AVEC_EXP| IO| | S | 1 |=> can support up to [ 9] logic PT(s) - 1|inst_LDS_000_INT|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) - 2|CLK_000_N_SYNC_3_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) + 0| AVEC_EXP|OUT| | S | 1 |=> can support up to [ 9] logic PT(s) + 1|inst_DS_000_ENABLE|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) + 2|CLK_000_P_SYNC_3_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) 3| | ? | | S | |=> can support up to [ 13] logic PT(s) - 4|inst_CLK_OUT_PRE_25|NOD| | S | 3 |=> can support up to [ 18] logic PT(s) - 5|CLK_000_N_SYNC_10_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 6|CLK_000_P_SYNC_2_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 7| | ? | | S | |=> can support up to [ 13] logic PT(s) - 8|inst_UDS_000_INT|NOD| | S | 2 |=> can support up to [ 18] logic PT(s) - 9|CLK_000_N_SYNC_8_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) -10|CLK_000_N_SYNC_6_|NOD| | S | 1 |=> can support up to [ 16] logic PT(s) -11| | ? | | S | |=> can support up to [ 15] logic PT(s) + 4|inst_CLK_000_D0|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) + 5| SM_AMIGA_5_|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) + 6| | ? | | S | |=> can support up to [ 14] logic PT(s) + 7| | ? | | S | |=> can support up to [ 14] logic PT(s) + 8|inst_CLK_000_D3|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) + 9|inst_LDS_000_INT|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) +10| | ? | | S | |=> can support up to [ 12] logic PT(s) +11| | ? | | S | |=> can support up to [ 16] logic PT(s) 12|AMIGA_BUS_ENABLE_LOW| IO| | A | 1 |=> can support up to [ 17] logic PT(s) -13|CLK_000_N_SYNC_4_|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) +13|CLK_000_P_SYNC_5_|NOD| | S | 1 |=> can support up to [ 17] logic PT(s) 14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- @@ -803,20 +789,20 @@ _|_________________|__|__|___|_____|_______________________________________ | Sig Type---+ | to | Block [ 2] IO Pin | Device Pin | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ - 0| AVEC_EXP| IO| | => | 5 6 ( 7) 0 | 20 21 ( 22) 15 - 1|inst_LDS_000_INT|NOD| | => | 5 6 7 0 | 20 21 22 15 - 2|CLK_000_N_SYNC_3_|NOD| | => | 6 7 0 1 | 21 22 15 16 + 0| AVEC_EXP|OUT| | => | 5 6 ( 7) 0 | 20 21 ( 22) 15 + 1|inst_DS_000_ENABLE|NOD| | => | 5 6 7 0 | 20 21 22 15 + 2|CLK_000_P_SYNC_3_|NOD| | => | 6 7 0 1 | 21 22 15 16 3| | | | => | 6 7 0 1 | 21 22 15 16 - 4|inst_CLK_OUT_PRE_25|NOD| | => | 7 0 1 2 | 22 15 16 17 - 5|CLK_000_N_SYNC_10_|NOD| | => | 7 0 1 2 | 22 15 16 17 - 6|CLK_000_P_SYNC_2_|NOD| | => | 0 1 2 3 | 15 16 17 18 + 4|inst_CLK_000_D0|NOD| | => | 7 0 1 2 | 22 15 16 17 + 5| SM_AMIGA_5_|NOD| | => | 7 0 1 2 | 22 15 16 17 + 6| | | | => | 0 1 2 3 | 15 16 17 18 7| | | | => | 0 1 2 3 | 15 16 17 18 - 8|inst_UDS_000_INT|NOD| | => | 1 2 3 4 | 16 17 18 19 - 9|CLK_000_N_SYNC_8_|NOD| | => | 1 2 3 4 | 16 17 18 19 -10|CLK_000_N_SYNC_6_|NOD| | => | 2 3 4 5 | 17 18 19 20 + 8|inst_CLK_000_D3|NOD| | => | 1 2 3 4 | 16 17 18 19 + 9|inst_LDS_000_INT|NOD| | => | 1 2 3 4 | 16 17 18 19 +10| | | | => | 2 3 4 5 | 17 18 19 20 11| | | | => | 2 3 4 5 | 17 18 19 20 12|AMIGA_BUS_ENABLE_LOW| IO| | => | 3 4 ( 5) 6 | 18 19 ( 20) 21 -13|CLK_000_N_SYNC_4_|NOD| | => | 3 4 5 6 | 18 19 20 21 +13|CLK_000_P_SYNC_5_|NOD| | => | 3 4 5 6 | 18 19 20 21 14| | | | => | 4 5 6 7 | 19 20 21 22 15| | | | => | 4 5 6 7 | 19 20 21 22 --------------------------------------------------------------------------- @@ -836,7 +822,7 @@ _|_________________|__|___|_____|___________________________________________ 4| A_24_|INP|*| 19| => | 8 9 10 11 12 13 14 15 5|AMIGA_BUS_ENABLE_LOW| IO|*| 20| => | 10 11 (12) 13 14 15 0 1 6| BG_030|INP|*| 21| => | 12 13 14 15 0 1 2 3 - 7| AVEC_EXP| IO|*| 22| => | 14 15 ( 0) 1 2 3 4 5 + 7| AVEC_EXP|OUT|*| 22| => | 14 15 ( 0) 1 2 3 4 5 --------------------------------------------------------------------------- =========================================================================== < Block [ 2] > IO/Node and IO/Input Macrocell Pairing Table @@ -855,8 +841,7 @@ _|_________________|__|___|_____|__________________________________________ 5|AMIGA_BUS_ENABLE_LOW| IO|*| 20| => | Input macrocell [ -] | | | | | | IO paired w/ node [RN_AMIGA_BUS_ENABLE_LOW] 6| BG_030|INP|*| 21| => | Input macrocell [ -] - 7| AVEC_EXP| IO|*| 22| => | Input macrocell [ -] - | | | | | | IO paired w/ node [ RN_AVEC_EXP] + 7| AVEC_EXP|OUT|*| 22| => | Input macrocell [ -] --------------------------------------------------------------------------- =========================================================================== < Block [ 2] > Input Multiplexer (IMX) Assignments @@ -869,40 +854,40 @@ IMX No. | +---- Block IO Pin or Macrocell Number ---|-------|----|---|---|----------|------|-|------------------------------ 0 [IOpin 0 | 15|INP A_28_|*|*] [RegIn 0 |150| -| | ] - [MCell 0 |149|NOD RN_AVEC_EXP| |*] paired w/[ AVEC_EXP] - [MCell 1 |151|NOD inst_LDS_000_INT| |*] + [MCell 0 |149|OUT AVEC_EXP| | ] + [MCell 1 |151|NOD inst_DS_000_ENABLE| |*] 1 [IOpin 1 | 16|INP A_27_|*|*] [RegIn 1 |153| -| | ] - [MCell 2 |152|NOD CLK_000_N_SYNC_3_| |*] + [MCell 2 |152|NOD CLK_000_P_SYNC_3_| |*] [MCell 3 |154| -| | ] 2 [IOpin 2 | 17|INP A_26_|*|*] [RegIn 2 |156| -| | ] - [MCell 4 |155|NOD inst_CLK_OUT_PRE_25| |*] - [MCell 5 |157|NOD CLK_000_N_SYNC_10_| |*] + [MCell 4 |155|NOD inst_CLK_000_D0| |*] + [MCell 5 |157|NOD SM_AMIGA_5_| |*] 3 [IOpin 3 | 18|INP A_25_|*|*] [RegIn 3 |159| -| | ] - [MCell 6 |158|NOD CLK_000_P_SYNC_2_| |*] + [MCell 6 |158| -| | ] [MCell 7 |160| -| | ] 4 [IOpin 4 | 19|INP A_24_|*|*] [RegIn 4 |162| -| | ] - [MCell 8 |161|NOD inst_UDS_000_INT| |*] - [MCell 9 |163|NOD CLK_000_N_SYNC_8_| |*] + [MCell 8 |161|NOD inst_CLK_000_D3| |*] + [MCell 9 |163|NOD inst_LDS_000_INT| |*] 5 [IOpin 5 | 20| IO AMIGA_BUS_ENABLE_LOW|*| ] paired w/[RN_AMIGA_BUS_ENABLE_LOW] [RegIn 5 |165| -| | ] - [MCell 10 |164|NOD CLK_000_N_SYNC_6_| |*] + [MCell 10 |164| -| | ] [MCell 11 |166| -| | ] 6 [IOpin 6 | 21|INP BG_030|*|*] [RegIn 6 |168| -| | ] [MCell 12 |167|NOD RN_AMIGA_BUS_ENABLE_LOW| |*] paired w/[AMIGA_BUS_ENABLE_LOW] - [MCell 13 |169|NOD CLK_000_N_SYNC_4_| |*] + [MCell 13 |169|NOD CLK_000_P_SYNC_5_| |*] - 7 [IOpin 7 | 22| IO AVEC_EXP|*| ] paired w/[ RN_AVEC_EXP] + 7 [IOpin 7 | 22|OUT AVEC_EXP|*| ] [RegIn 7 |171| -| | ] [MCell 14 |170| -| | ] [MCell 15 |172| -| | ] @@ -913,36 +898,36 @@ IMX No. | +---- Block IO Pin or Macrocell Number +- Central Switch Matrix No. | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- -Mux00| IOPin 6 4 ( 69)| A0 -Mux01| Mcel 2 1 ( 151)| inst_LDS_000_INT -Mux02| Mcel 2 2 ( 152)| CLK_000_N_SYNC_3_ -Mux03| ... | ... -Mux04| Mcel 6 2 ( 248)| CLK_000_P_SYNC_1_ +Mux00| Input Pin ( 86)| RST +Mux01| IOPin 4 0 ( 41)| BERR +Mux02| Mcel 4 9 ( 211)| CLK_000_P_SYNC_2_ +Mux03| Input Pin ( 11)| CLK_000 +Mux04| Mcel 2 12 ( 167)| RN_AMIGA_BUS_ENABLE_LOW Mux05| IOPin 0 7 ( 98)| DS_030 -Mux06| Mcel 2 4 ( 155)| inst_CLK_OUT_PRE_25 -Mux07| Mcel 7 6 ( 278)| CLK_000_N_SYNC_7_ -Mux08| Mcel 4 8 ( 209)| CLK_PRE_66_0 -Mux09| ... | ... -Mux10| Mcel 1 13 ( 145)| CLK_000_N_SYNC_9_ +Mux06| Mcel 2 4 ( 155)| inst_CLK_000_D0 +Mux07| Mcel 7 13 ( 289)| inst_CLK_000_D2 +Mux08| IOPin 6 6 ( 71)| RW +Mux09| Mcel 0 1 ( 103)| AMIGA_BUS_ENABLE_LOW_0 +Mux10| Mcel 0 6 ( 110)| CLK_000_P_SYNC_9_ Mux11| ... | ... -Mux12| Mcel 6 9 ( 259)| CLK_000_N_SYNC_2_ -Mux13| ... | ... -Mux14| Mcel 7 2 ( 272)| inst_CLK_OUT_PRE_50 -Mux15| Mcel 2 12 ( 167)| RN_AMIGA_BUS_ENABLE_LOW -Mux16| Mcel 2 8 ( 161)| inst_UDS_000_INT +Mux12| ... | ... +Mux13| Mcel 2 9 ( 163)| inst_LDS_000_INT +Mux14| Mcel 4 5 ( 205)| CLK_000_P_SYNC_4_ +Mux15| Mcel 5 1 ( 223)| SM_AMIGA_4_ +Mux16| ... | ... Mux17| IOPin 6 5 ( 70)| SIZE_0_ -Mux18| Mcel 7 10 ( 284)| inst_CLK_OUT_PRE_50_D -Mux19| ... | ... +Mux18| IOPin 6 4 ( 69)| A0 +Mux19| IOPin 7 3 ( 82)| AS_030 Mux20| IOPin 7 6 ( 79)| SIZE_1_ -Mux21| Input Pin ( 86)| RST -Mux22| ... | ... -Mux23| Mcel 6 6 ( 254)| CLK_000_P_SYNC_9_ +Mux21| ... | ... +Mux22| Mcel 2 1 ( 151)| inst_DS_000_ENABLE +Mux23| ... | ... Mux24| ... | ... -Mux25| Mcel 1 2 ( 128)| CLK_000_N_SYNC_5_ +Mux25| ... | ... Mux26| ... | ... Mux27| ... | ... -Mux28| ... | ... -Mux29| ... | ... +Mux28| Mcel 2 5 ( 157)| SM_AMIGA_5_ +Mux29| Mcel 5 4 ( 227)| SM_AMIGA_6_ Mux30| ... | ... Mux31| ... | ... Mux32| ... | ... @@ -959,15 +944,15 @@ Mux32| ... | ... _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| DTACK| IO| | S | 1 | 4 free | 1 XOR to [ 0] for 1 PT sig 1| VMA| IO| | S | 2 :+: 1| 4 to [ 1]| 1 XOR to [ 1] - 2| cpu_est_2_|NOD| | S | 4 | 4 to [ 2]| 1 XOR free + 2| cpu_est_2_|NOD| | S | 3 :+: 1| 4 to [ 2]| 1 XOR to [ 2] 3| | ? | | S | | 4 free | 1 XOR free 4| AS_000| IO| | S | 2 | 4 to [ 4]| 1 XOR free 5|AMIGA_BUS_ENABLE| IO| | S | 6 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6| cpu_est_0_|NOD| | S | 2 | 4 to [ 6]| 1 XOR free - 7| | ? | | S | | 4 to [ 5]| 1 XOR free + 6| | ? | | S | | 4 to [ 5]| 1 XOR free + 7| | ? | | S | | 4 free | 1 XOR free 8| UDS_000| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig 9|inst_CLK_000_D1|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10|inst_BGACK_030_INT_D|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig +10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| LDS_000| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig 13| BG_000| IO| | S | 2 | 4 to [13]| 1 XOR free @@ -986,16 +971,16 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ _|_________________|__|__|___|_____|_______________________________________ 0| DTACK| IO| | S | 1 |=> can support up to [ 5] logic PT(s) 1| VMA| IO| | S | 2 :+: 1|=> can support up to [ 13] logic PT(s) - 2| cpu_est_2_|NOD| | S | 4 |=> can support up to [ 10] logic PT(s) + 2| cpu_est_2_|NOD| | S | 3 :+: 1|=> can support up to [ 9] logic PT(s) 3| | ? | | S | |=> can support up to [ 5] logic PT(s) 4| AS_000| IO| | S | 2 |=> can support up to [ 10] logic PT(s) - 5|AMIGA_BUS_ENABLE| IO| | S | 6 |=> can support up to [ 10] logic PT(s) - 6| cpu_est_0_|NOD| | S | 2 |=> can support up to [ 9] logic PT(s) - 7| | ? | | S | |=> can support up to [ 9] logic PT(s) - 8| UDS_000| IO| | S | 1 |=> can support up to [ 13] logic PT(s) - 9|inst_CLK_000_D1|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -10|inst_BGACK_030_INT_D|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -11| | ? | | S | |=> can support up to [ 13] logic PT(s) + 5|AMIGA_BUS_ENABLE| IO| | S | 6 |=> can support up to [ 15] logic PT(s) + 6| | ? | | S | |=> can support up to [ 10] logic PT(s) + 7| | ? | | S | |=> can support up to [ 13] logic PT(s) + 8| UDS_000| IO| | S | 1 |=> can support up to [ 19] logic PT(s) + 9|inst_CLK_000_D1|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) +10| | ? | | S | |=> can support up to [ 18] logic PT(s) +11| | ? | | S | |=> can support up to [ 14] logic PT(s) 12| LDS_000| IO| | S | 1 |=> can support up to [ 15] logic PT(s) 13| BG_000| IO| | S | 2 |=> can support up to [ 19] logic PT(s) 14| | ? | | S | |=> can support up to [ 10] logic PT(s) @@ -1015,11 +1000,11 @@ _|_________________|__|_____|____________________|________________________ 3| | | | => | 6 7 0 1 | 29 28 35 34 4| AS_000| IO| | => | 7 0 1 ( 2)| 28 35 34 ( 33) 5|AMIGA_BUS_ENABLE| IO| | => | 7 0 ( 1) 2 | 28 35 ( 34) 33 - 6| cpu_est_0_|NOD| | => | 0 1 2 3 | 35 34 33 32 + 6| | | | => | 0 1 2 3 | 35 34 33 32 7| | | | => | 0 1 2 3 | 35 34 33 32 8| UDS_000| IO| | => | 1 2 ( 3) 4 | 34 33 ( 32) 31 9|inst_CLK_000_D1|NOD| | => | 1 2 3 4 | 34 33 32 31 -10|inst_BGACK_030_INT_D|NOD| | => | 2 3 4 5 | 33 32 31 30 +10| | | | => | 2 3 4 5 | 33 32 31 30 11| | | | => | 2 3 4 5 | 33 32 31 30 12| LDS_000| IO| | => | 3 ( 4) 5 6 | 32 ( 31) 30 29 13| BG_000| IO| | => | 3 4 5 ( 6)| 32 31 30 ( 29) @@ -1092,7 +1077,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 32| IO UDS_000|*|*] [RegIn 3 |183| -| | ] - [MCell 6 |182|NOD cpu_est_0_| |*] + [MCell 6 |182| -| | ] [MCell 7 |184| -| | ] 4 [IOpin 4 | 31| IO LDS_000|*|*] @@ -1102,7 +1087,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 5 [IOpin 5 | 30| IO DTACK|*|*] [RegIn 5 |189| -| | ] - [MCell 10 |188|NOD inst_BGACK_030_INT_D| |*] + [MCell 10 |188| -| | ] [MCell 11 |190| -| | ] 6 [IOpin 6 | 29| IO BG_000|*| ] paired w/[ RN_BG_000] @@ -1122,38 +1107,38 @@ IMX No. | +---- Block IO Pin or Macrocell Number | Src (ABEL Node/Pin#) Signal --|--|--------------------|--------------------------------------------------- Mux00| Input Pin ( 86)| RST -Mux01| Mcel 5 12 ( 239)| SM_AMIGA_0_ -Mux02| Mcel 6 4 ( 251)| RN_E -Mux03| Input Pin ( 11)| CLK_000 -Mux04| Mcel 3 6 ( 182)| cpu_est_0_ -Mux05| Mcel 6 10 ( 260)| inst_VPA_D -Mux06| Mcel 1 9 ( 139)| inst_DS_000_ENABLE -Mux07| Mcel 2 8 ( 161)| inst_UDS_000_INT +Mux01| IOPin 4 0 ( 41)| BERR +Mux02| Mcel 3 1 ( 175)| RN_VMA +Mux03| Mcel 0 8 ( 113)| inst_UDS_000_INT +Mux04| IOPin 2 6 ( 21)| BG_030 +Mux05| Mcel 5 0 ( 221)| SM_AMIGA_7_ +Mux06| Mcel 2 4 ( 155)| inst_CLK_000_D0 +Mux07| Mcel 3 5 ( 181)| RN_AMIGA_BUS_ENABLE Mux08| ... | ... -Mux09| Mcel 0 12 ( 119)| inst_CLK_000_NE -Mux10| IOPin 7 4 ( 81)| DSACK1 -Mux11| Mcel 7 9 ( 283)| inst_AS_030_000_SYNC +Mux09| Mcel 5 5 ( 229)| SM_AMIGA_0_ +Mux10| Mcel 5 4 ( 227)| SM_AMIGA_6_ +Mux11| Mcel 6 4 ( 251)| RN_E Mux12| Mcel 3 9 ( 187)| inst_CLK_000_D1 -Mux13| Mcel 7 8 ( 281)| RN_AS_030 -Mux14| Mcel 3 4 ( 179)| RN_AS_000 +Mux13| Mcel 2 9 ( 163)| inst_LDS_000_INT +Mux14| Mcel 7 2 ( 272)| inst_BGACK_030_INT_D Mux15| Input Pin ( 14)| nEXP_SPACE Mux16| Mcel 3 2 ( 176)| cpu_est_2_ -Mux17| IOPin 4 0 ( 41)| BERR -Mux18| Mcel 0 8 ( 113)| SM_AMIGA_6_ +Mux17| Mcel 5 12 ( 239)| SM_AMIGA_1_ +Mux18| Mcel 1 2 ( 128)| inst_VPA_D Mux19| IOPin 7 3 ( 82)| AS_030 -Mux20| Mcel 3 10 ( 188)| inst_BGACK_030_INT_D -Mux21| Mcel 3 13 ( 193)| RN_BG_000 -Mux22| IOPin 2 6 ( 21)| BG_030 +Mux20| Mcel 5 8 ( 233)| cpu_est_1_ +Mux21| Mcel 3 4 ( 179)| RN_AS_000 +Mux22| Mcel 2 1 ( 151)| inst_DS_000_ENABLE Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux24| Mcel 3 5 ( 181)| RN_AMIGA_BUS_ENABLE -Mux25| Mcel 2 0 ( 149)| RN_AVEC_EXP +Mux24| ... | ... +Mux25| Mcel 7 8 ( 281)| RN_AS_030 Mux26| ... | ... -Mux27| Mcel 3 1 ( 175)| RN_VMA -Mux28| Mcel 1 5 ( 133)| inst_CLK_000_D0 -Mux29| Mcel 5 4 ( 227)| SM_AMIGA_1_ -Mux30| Mcel 2 1 ( 151)| inst_LDS_000_INT -Mux31| Mcel 5 0 ( 221)| SM_AMIGA_7_ -Mux32| Mcel 6 5 ( 253)| cpu_est_1_ +Mux27| Mcel 7 9 ( 283)| cpu_est_0_ +Mux28| Mcel 1 5 ( 133)| inst_AS_030_000_SYNC +Mux29| Mcel 3 13 ( 193)| RN_BG_000 +Mux30| ... | ... +Mux31| ... | ... +Mux32| IOPin 7 4 ( 81)| DSACK1 --------------------------------------------------------------------------- =========================================================================== < Block [ 4] > Macrocell (MCell) Cluster Assignments @@ -1165,20 +1150,20 @@ Mux32| Mcel 6 5 ( 253)| cpu_est_1_ | Sig Type-+ | | | | | | | XOR to Mcell Assignment | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| CIIN|OUT| | S | 4 | 4 to [ 0]| 1 XOR free - 1| CLK_CNT_N_0_|NOD| | S | 2 | 4 to [ 1]| 1 XOR free - 2|inst_CLK_OUT_PRE|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 0|AMIGA_BUS_DATA_DIR|OUT| | S | 2 | 4 to [ 0]| 1 XOR free + 1|CLK_000_P_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig + 2|inst_CLK_000_D4|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 free | 1 XOR free - 4|AMIGA_BUS_DATA_DIR|OUT| | S | 2 | 4 to [ 4]| 1 XOR free - 5|CLK_000_P_SYNC_6_|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig - 6| | ? | | S | | 4 free | 1 XOR free + 4|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 free | 1 XOR to [ 4] for 1 PT sig + 5|CLK_000_P_SYNC_4_|NOD| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig + 6|inst_CLK_OUT_PRE_50_D|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig 7| | ? | | S | | 4 free | 1 XOR free - 8| CLK_PRE_66_0|NOD| | S | 2 | 4 to [ 8]| 1 XOR free - 9| CLK_CNT_N_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig + 8| un16_ciin|NOD| | S | 3 | 4 to [ 8]| 1 XOR free + 9|CLK_000_P_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12| CLK_CNT_P_0_|NOD| | S | 2 | 4 to [12]| 1 XOR free -13| CLK_CNT_P_1_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +12| CIIN|OUT| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig +13|inst_CLK_OUT_PRE|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1192,20 +1177,20 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| CIIN|OUT| | S | 4 |=> can support up to [ 9] logic PT(s) - 1| CLK_CNT_N_0_|NOD| | S | 2 |=> can support up to [ 14] logic PT(s) - 2|inst_CLK_OUT_PRE|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 3| | ? | | S | |=> can support up to [ 13] logic PT(s) - 4|AMIGA_BUS_DATA_DIR|OUT| | S | 2 |=> can support up to [ 19] logic PT(s) - 5|CLK_000_P_SYNC_6_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) - 6| | ? | | S | |=> can support up to [ 14] logic PT(s) - 7| | ? | | S | |=> can support up to [ 14] logic PT(s) - 8| CLK_PRE_66_0|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) - 9| CLK_CNT_N_1_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) -10| | ? | | S | |=> can support up to [ 14] logic PT(s) -11| | ? | | S | |=> can support up to [ 14] logic PT(s) -12| CLK_CNT_P_0_|NOD| | S | 2 |=> can support up to [ 19] logic PT(s) -13| CLK_CNT_P_1_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) + 0|AMIGA_BUS_DATA_DIR|OUT| | S | 2 |=> can support up to [ 13] logic PT(s) + 1|CLK_000_P_SYNC_6_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 2|inst_CLK_000_D4|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) + 3| | ? | | S | |=> can support up to [ 17] logic PT(s) + 4|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) + 5|CLK_000_P_SYNC_4_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) + 6|inst_CLK_OUT_PRE_50_D|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 7| | ? | | S | |=> can support up to [ 13] logic PT(s) + 8| un16_ciin|NOD| | S | 3 |=> can support up to [ 19] logic PT(s) + 9|CLK_000_P_SYNC_2_|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) +10| | ? | | S | |=> can support up to [ 18] logic PT(s) +11| | ? | | S | |=> can support up to [ 18] logic PT(s) +12| CIIN|OUT| | S | 1 |=> can support up to [ 19] logic PT(s) +13|inst_CLK_OUT_PRE|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) 14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- @@ -1217,20 +1202,20 @@ _|_________________|__|__|___|_____|_______________________________________ | Sig Type---+ | to | Block [ 4] IO Pin | Device Pin | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ - 0| CIIN|OUT| | => | 5 ( 6) 7 0 | 46 ( 47) 48 41 - 1| CLK_CNT_N_0_|NOD| | => | 5 6 7 0 | 46 47 48 41 - 2|inst_CLK_OUT_PRE|NOD| | => | 6 7 0 1 | 47 48 41 42 + 0|AMIGA_BUS_DATA_DIR|OUT| | => | 5 6 ( 7) 0 | 46 47 ( 48) 41 + 1|CLK_000_P_SYNC_6_|NOD| | => | 5 6 7 0 | 46 47 48 41 + 2|inst_CLK_000_D4|NOD| | => | 6 7 0 1 | 47 48 41 42 3| | | | => | 6 7 0 1 | 47 48 41 42 - 4|AMIGA_BUS_DATA_DIR|OUT| | => |( 7) 0 1 2 |( 48) 41 42 43 - 5|CLK_000_P_SYNC_6_|NOD| | => | 7 0 1 2 | 48 41 42 43 - 6| | | | => | 0 1 2 3 | 41 42 43 44 + 4|inst_CLK_OUT_PRE_50|NOD| | => | 7 0 1 2 | 48 41 42 43 + 5|CLK_000_P_SYNC_4_|NOD| | => | 7 0 1 2 | 48 41 42 43 + 6|inst_CLK_OUT_PRE_50_D|NOD| | => | 0 1 2 3 | 41 42 43 44 7| | | | => | 0 1 2 3 | 41 42 43 44 - 8| CLK_PRE_66_0|NOD| | => | 1 2 3 4 | 42 43 44 45 - 9| CLK_CNT_N_1_|NOD| | => | 1 2 3 4 | 42 43 44 45 + 8| un16_ciin|NOD| | => | 1 2 3 4 | 42 43 44 45 + 9|CLK_000_P_SYNC_2_|NOD| | => | 1 2 3 4 | 42 43 44 45 10| | | | => | 2 3 4 5 | 43 44 45 46 11| | | | => | 2 3 4 5 | 43 44 45 46 -12| CLK_CNT_P_0_|NOD| | => | 3 4 5 6 | 44 45 46 47 -13| CLK_CNT_P_1_|NOD| | => | 3 4 5 6 | 44 45 46 47 +12| CIIN|OUT| | => | 3 4 5 ( 6)| 44 45 46 ( 47) +13|inst_CLK_OUT_PRE|NOD| | => | 3 4 5 6 | 44 45 46 47 14| | | | => | 4 5 6 7 | 45 46 47 48 15| | | | => | 4 5 6 7 | 45 46 47 48 --------------------------------------------------------------------------- @@ -1249,8 +1234,8 @@ _|_________________|__|___|_____|___________________________________________ 3| | | | 44| => | 6 7 8 9 10 11 12 13 4| | | | 45| => | 8 9 10 11 12 13 14 15 5| | | | 46| => | 10 11 12 13 14 15 0 1 - 6| CIIN|OUT|*| 47| => | 12 13 14 15 ( 0) 1 2 3 - 7|AMIGA_BUS_DATA_DIR|OUT|*| 48| => | 14 15 0 1 2 3 ( 4) 5 + 6| CIIN|OUT|*| 47| => | (12) 13 14 15 0 1 2 3 + 7|AMIGA_BUS_DATA_DIR|OUT|*| 48| => | 14 15 ( 0) 1 2 3 4 5 --------------------------------------------------------------------------- =========================================================================== < Block [ 4] > IO/Node and IO/Input Macrocell Pairing Table @@ -1281,28 +1266,28 @@ IMX No. | +---- Block IO Pin or Macrocell Number ---|-------|----|---|---|----------|------|-|------------------------------ 0 [IOpin 0 | 41|INP BERR|*|*] [RegIn 0 |198| -| | ] - [MCell 0 |197|OUT CIIN| | ] - [MCell 1 |199|NOD CLK_CNT_N_0_| |*] + [MCell 0 |197|OUT AMIGA_BUS_DATA_DIR| | ] + [MCell 1 |199|NOD CLK_000_P_SYNC_6_| |*] 1 [IOpin 1 | 42| -| | ] [RegIn 1 |201| -| | ] - [MCell 2 |200|NOD inst_CLK_OUT_PRE| |*] + [MCell 2 |200|NOD inst_CLK_000_D4| |*] [MCell 3 |202| -| | ] 2 [IOpin 2 | 43| -| | ] [RegIn 2 |204| -| | ] - [MCell 4 |203|OUT AMIGA_BUS_DATA_DIR| | ] - [MCell 5 |205|NOD CLK_000_P_SYNC_6_| |*] + [MCell 4 |203|NOD inst_CLK_OUT_PRE_50| |*] + [MCell 5 |205|NOD CLK_000_P_SYNC_4_| |*] 3 [IOpin 3 | 44| -| | ] [RegIn 3 |207| -| | ] - [MCell 6 |206| -| | ] + [MCell 6 |206|NOD inst_CLK_OUT_PRE_50_D| |*] [MCell 7 |208| -| | ] 4 [IOpin 4 | 45| -| | ] [RegIn 4 |210| -| | ] - [MCell 8 |209|NOD CLK_PRE_66_0| |*] - [MCell 9 |211|NOD CLK_CNT_N_1_| |*] + [MCell 8 |209|NOD un16_ciin| |*] + [MCell 9 |211|NOD CLK_000_P_SYNC_2_| |*] 5 [IOpin 5 | 46| -| | ] [RegIn 5 |213| -| | ] @@ -1311,8 +1296,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 47|OUT CIIN|*| ] [RegIn 6 |216| -| | ] - [MCell 12 |215|NOD CLK_CNT_P_0_| |*] - [MCell 13 |217|NOD CLK_CNT_P_1_| |*] + [MCell 12 |215|OUT CIIN| | ] + [MCell 13 |217|NOD inst_CLK_OUT_PRE| |*] 7 [IOpin 7 | 48|OUT AMIGA_BUS_DATA_DIR|*| ] [RegIn 7 |219| -| | ] @@ -1327,36 +1312,36 @@ IMX No. | +---- Block IO Pin or Macrocell Number --|--|--------------------|--------------------------------------------------- Mux00| Input Pin ( 86)| RST Mux01| IOPin 1 6 ( 4)| A_31_ -Mux02| Mcel 4 9 ( 211)| CLK_CNT_N_1_ +Mux02| Mcel 2 2 ( 152)| CLK_000_P_SYNC_3_ Mux03| IOPin 2 1 ( 16)| A_27_ Mux04| IOPin 1 4 ( 6)| A_29_ -Mux05| Mcel 4 1 ( 199)| CLK_CNT_N_0_ -Mux06| Mcel 2 4 ( 155)| inst_CLK_OUT_PRE_25 -Mux07| IOPin 2 0 ( 15)| A_28_ -Mux08| IOPin 5 1 ( 59)| A_17_ -Mux09| IOPin 7 1 ( 84)| A_23_ -Mux10| Mcel 4 12 ( 215)| CLK_CNT_P_0_ -Mux11| IOPin 0 5 ( 96)| A_16_ +Mux05| IOPin 2 4 ( 19)| A_24_ +Mux06| Mcel 4 4 ( 203)| inst_CLK_OUT_PRE_50 +Mux07| Mcel 2 8 ( 161)| inst_CLK_000_D3 +Mux08| IOPin 7 0 ( 85)| A_22_ +Mux09| IOPin 1 5 ( 5)| A_30_ +Mux10| Mcel 1 13 ( 145)| CLK_000_P_SYNC_1_ +Mux11| IOPin 7 1 ( 84)| A_23_ Mux12| IOPin 2 3 ( 18)| A_25_ -Mux13| Mcel 4 13 ( 217)| CLK_CNT_P_1_ -Mux14| IOPin 2 4 ( 19)| A_24_ +Mux13| ... | ... +Mux14| IOPin 2 0 ( 15)| A_28_ Mux15| IOPin 0 3 ( 94)| A_21_ -Mux16| ... | ... +Mux16| Mcel 4 8 ( 209)| un16_ciin Mux17| IOPin 2 2 ( 17)| A_26_ -Mux18| IOPin 7 0 ( 85)| A_22_ -Mux19| IOPin 1 5 ( 5)| A_30_ +Mux18| ... | ... +Mux19| IOPin 7 3 ( 82)| AS_030 Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 Mux21| Input Pin ( 14)| nEXP_SPACE -Mux22| ... | ... +Mux22| Mcel 6 5 ( 253)| inst_CLK_OUT_PRE_25 Mux23| IOPin 3 2 ( 33)| AS_000 -Mux24| Mcel 1 10 ( 140)| CLK_000_P_SYNC_5_ +Mux24| ... | ... Mux25| IOPin 6 6 ( 71)| RW Mux26| ... | ... -Mux27| IOPin 0 6 ( 97)| A_19_ +Mux27| ... | ... Mux28| ... | ... Mux29| IOPin 0 2 ( 93)| A_20_ -Mux30| ... | ... -Mux31| IOPin 0 4 ( 95)| A_18_ +Mux30| Mcel 2 13 ( 169)| CLK_000_P_SYNC_5_ +Mux31| ... | ... Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== @@ -1369,20 +1354,20 @@ Mux32| ... | ... | Sig Type-+ | | | | | | | XOR to Mcell Assignment | Signal Name | | | | | | | | | _|_________________|__|__|___|_____|__|______|___|__________|______________ - 0| SM_AMIGA_7_|NOD| | S |13 | 4 to [ 0]| 1 XOR to [ 0] as logic PT - 1| SM_AMIGA_7__0|NOD| | S | 4 | 4 to [ 0]| 1 XOR free - 2|CLK_000_N_SYNC_1_|NOD| | S | 1 | 4 to [ 0]| 1 XOR to [ 2] for 1 PT sig + 0| SM_AMIGA_7_|NOD| | S |11 | 4 to [ 0]| 1 XOR to [ 0] as logic PT + 1| SM_AMIGA_4_|NOD| | S | 2 | 4 to [ 0]| 1 XOR free + 2| SM_AMIGA_2_|NOD| | S | 3 | 4 to [ 0]| 1 XOR free 3| | ? | | S | | 4 to [ 1]| 1 XOR free - 4| SM_AMIGA_1_|NOD| | S | 2 | 4 to [ 4]| 1 XOR free - 5| SM_AMIGA_3_|NOD| | S | 6 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6|inst_CLK_000_D2|NOD| | S | 1 | 4 to [ 5]| 1 XOR to [ 6] for 1 PT sig + 4| SM_AMIGA_6_|NOD| | S | 2 | 4 to [ 2]| 1 XOR free + 5| SM_AMIGA_0_|NOD| | S | 2 | 4 to [ 4]| 1 XOR free + 6| | ? | | S | | 4 to [ 5]| 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free - 8| SM_AMIGA_4_|NOD| | S | 2 | 4 to [ 8]| 1 XOR free - 9| SM_AMIGA_2_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free + 8| cpu_est_1_|NOD| | S | 4 | 4 to [ 8]| 1 XOR free + 9| SM_AMIGA_3_|NOD| | S | 5 | 4 to [ 9]| 1 XOR to [ 9] as logic PT 10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12| SM_AMIGA_0_|NOD| | S | 2 | 4 to [12]| 1 XOR free -13| SM_AMIGA_5_|NOD| | S | 2 | 4 to [13]| 1 XOR free +12| SM_AMIGA_1_|NOD| | S | 2 | 4 to [12]| 1 XOR free +13| SM_AMIGA_7__0|NOD| | S | 3 :+: 1| 4 to [13]| 1 XOR to [13] 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1396,20 +1381,20 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ | Sig Type-+ | | | | | Signal Name | | | | | Maximum PT Capacity _|_________________|__|__|___|_____|_______________________________________ - 0| SM_AMIGA_7_|NOD| | S |13 |=> can support up to [ 14] logic PT(s) - 1| SM_AMIGA_7__0|NOD| | S | 4 |=> can support up to [ 5] logic PT(s) - 2|CLK_000_N_SYNC_1_|NOD| | S | 1 |=> can support up to [ 1] logic PT(s) + 0| SM_AMIGA_7_|NOD| | S |11 |=> can support up to [ 15] logic PT(s) + 1| SM_AMIGA_4_|NOD| | S | 2 |=> can support up to [ 5] logic PT(s) + 2| SM_AMIGA_2_|NOD| | S | 3 |=> can support up to [ 5] logic PT(s) 3| | ? | | S | |=> can support up to [ 1] logic PT(s) - 4| SM_AMIGA_1_|NOD| | S | 2 |=> can support up to [ 5] logic PT(s) - 5| SM_AMIGA_3_|NOD| | S | 6 |=> can support up to [ 14] logic PT(s) - 6|inst_CLK_000_D2|NOD| | S | 1 |=> can support up to [ 6] logic PT(s) + 4| SM_AMIGA_6_|NOD| | S | 2 |=> can support up to [ 5] logic PT(s) + 5| SM_AMIGA_0_|NOD| | S | 2 |=> can support up to [ 10] logic PT(s) + 6| | ? | | S | |=> can support up to [ 6] logic PT(s) 7| | ? | | S | |=> can support up to [ 5] logic PT(s) - 8| SM_AMIGA_4_|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) - 9| SM_AMIGA_2_|NOD| | S | 3 |=> can support up to [ 15] logic PT(s) + 8| cpu_est_1_|NOD| | S | 4 |=> can support up to [ 15] logic PT(s) + 9| SM_AMIGA_3_|NOD| | S | 5 |=> can support up to [ 15] logic PT(s) 10| | ? | | S | |=> can support up to [ 10] logic PT(s) 11| | ? | | S | |=> can support up to [ 10] logic PT(s) -12| SM_AMIGA_0_|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) -13| SM_AMIGA_5_|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) +12| SM_AMIGA_1_|NOD| | S | 2 |=> can support up to [ 15] logic PT(s) +13| SM_AMIGA_7__0|NOD| | S | 3 :+: 1|=> can support up to [ 14] logic PT(s) 14| | ? | | S | |=> can support up to [ 10] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- @@ -1422,19 +1407,19 @@ _|_________________|__|__|___|_____|_______________________________________ | Signal Name | | pin | Numbers | Numbers _|_________________|__|_____|____________________|________________________ 0| SM_AMIGA_7_|NOD| | => | 5 6 7 0 | 55 54 53 60 - 1| SM_AMIGA_7__0|NOD| | => | 5 6 7 0 | 55 54 53 60 - 2|CLK_000_N_SYNC_1_|NOD| | => | 6 7 0 1 | 54 53 60 59 + 1| SM_AMIGA_4_|NOD| | => | 5 6 7 0 | 55 54 53 60 + 2| SM_AMIGA_2_|NOD| | => | 6 7 0 1 | 54 53 60 59 3| | | | => | 6 7 0 1 | 54 53 60 59 - 4| SM_AMIGA_1_|NOD| | => | 7 0 1 2 | 53 60 59 58 - 5| SM_AMIGA_3_|NOD| | => | 7 0 1 2 | 53 60 59 58 - 6|inst_CLK_000_D2|NOD| | => | 0 1 2 3 | 60 59 58 57 + 4| SM_AMIGA_6_|NOD| | => | 7 0 1 2 | 53 60 59 58 + 5| SM_AMIGA_0_|NOD| | => | 7 0 1 2 | 53 60 59 58 + 6| | | | => | 0 1 2 3 | 60 59 58 57 7| | | | => | 0 1 2 3 | 60 59 58 57 - 8| SM_AMIGA_4_|NOD| | => | 1 2 3 4 | 59 58 57 56 - 9| SM_AMIGA_2_|NOD| | => | 1 2 3 4 | 59 58 57 56 + 8| cpu_est_1_|NOD| | => | 1 2 3 4 | 59 58 57 56 + 9| SM_AMIGA_3_|NOD| | => | 1 2 3 4 | 59 58 57 56 10| | | | => | 2 3 4 5 | 58 57 56 55 11| | | | => | 2 3 4 5 | 58 57 56 55 -12| SM_AMIGA_0_|NOD| | => | 3 4 5 6 | 57 56 55 54 -13| SM_AMIGA_5_|NOD| | => | 3 4 5 6 | 57 56 55 54 +12| SM_AMIGA_1_|NOD| | => | 3 4 5 6 | 57 56 55 54 +13| SM_AMIGA_7__0|NOD| | => | 3 4 5 6 | 57 56 55 54 14| | | | => | 4 5 6 7 | 56 55 54 53 15| | | | => | 4 5 6 7 | 56 55 54 53 --------------------------------------------------------------------------- @@ -1486,27 +1471,27 @@ IMX No. | +---- Block IO Pin or Macrocell Number 0 [IOpin 0 | 60| -| | ] [RegIn 0 |222| -| | ] [MCell 0 |221|NOD SM_AMIGA_7_| |*] - [MCell 1 |223|NOD SM_AMIGA_7__0| |*] + [MCell 1 |223|NOD SM_AMIGA_4_| |*] 1 [IOpin 1 | 59|INP A_17_|*|*] [RegIn 1 |225| -| | ] - [MCell 2 |224|NOD CLK_000_N_SYNC_1_| |*] + [MCell 2 |224|NOD SM_AMIGA_2_| |*] [MCell 3 |226| -| | ] 2 [IOpin 2 | 58|INP FC_1_|*|*] [RegIn 2 |228| -| | ] - [MCell 4 |227|NOD SM_AMIGA_1_| |*] - [MCell 5 |229|NOD SM_AMIGA_3_| |*] + [MCell 4 |227|NOD SM_AMIGA_6_| |*] + [MCell 5 |229|NOD SM_AMIGA_0_| |*] 3 [IOpin 3 | 57|INP FC_0_|*|*] [RegIn 3 |231| -| | ] - [MCell 6 |230|NOD inst_CLK_000_D2| |*] + [MCell 6 |230| -| | ] [MCell 7 |232| -| | ] 4 [IOpin 4 | 56|INP IPL_1_|*|*] [RegIn 4 |234| -| | ] - [MCell 8 |233|NOD SM_AMIGA_4_| |*] - [MCell 9 |235|NOD SM_AMIGA_2_| |*] + [MCell 8 |233|NOD cpu_est_1_| |*] + [MCell 9 |235|NOD SM_AMIGA_3_| |*] 5 [IOpin 5 | 55| -| | ] [RegIn 5 |237| -| | ] @@ -1515,8 +1500,8 @@ IMX No. | +---- Block IO Pin or Macrocell Number 6 [IOpin 6 | 54| -| | ] [RegIn 6 |240| -| | ] - [MCell 12 |239|NOD SM_AMIGA_0_| |*] - [MCell 13 |241|NOD SM_AMIGA_5_| |*] + [MCell 12 |239|NOD SM_AMIGA_1_| |*] + [MCell 13 |241|NOD SM_AMIGA_7__0| |*] 7 [IOpin 7 | 53| -| | ] [RegIn 7 |243| -| | ] @@ -1531,35 +1516,35 @@ IMX No. | +---- Block IO Pin or Macrocell Number --|--|--------------------|--------------------------------------------------- Mux00| Input Pin ( 86)| RST Mux01| IOPin 4 0 ( 41)| BERR -Mux02| Mcel 0 5 ( 109)| CLK_000_N_SYNC_0_ -Mux03| Mcel 6 5 ( 253)| cpu_est_1_ +Mux02| Mcel 3 1 ( 175)| RN_VMA +Mux03| Mcel 3 2 ( 176)| cpu_est_2_ Mux04| ... | ... -Mux05| Mcel 7 9 ( 283)| inst_AS_030_000_SYNC -Mux06| Mcel 5 13 ( 241)| SM_AMIGA_5_ -Mux07| Mcel 3 9 ( 187)| inst_CLK_000_D1 +Mux05| Input Pin ( 14)| nEXP_SPACE +Mux06| Mcel 2 4 ( 155)| inst_CLK_000_D0 +Mux07| Mcel 2 5 ( 157)| SM_AMIGA_5_ Mux08| ... | ... -Mux09| Mcel 5 5 ( 229)| SM_AMIGA_3_ -Mux10| Mcel 5 1 ( 223)| SM_AMIGA_7__0 +Mux09| Mcel 5 2 ( 224)| SM_AMIGA_2_ +Mux10| Mcel 5 1 ( 223)| SM_AMIGA_4_ Mux11| Mcel 6 4 ( 251)| RN_E -Mux12| ... | ... +Mux12| Mcel 3 9 ( 187)| inst_CLK_000_D1 Mux13| Input Pin ( 36)| VPA -Mux14| Mcel 5 4 ( 227)| SM_AMIGA_1_ -Mux15| Input Pin ( 14)| nEXP_SPACE -Mux16| Mcel 2 0 ( 149)| RN_AVEC_EXP -Mux17| Mcel 5 12 ( 239)| SM_AMIGA_0_ -Mux18| Mcel 5 9 ( 235)| SM_AMIGA_2_ +Mux14| Mcel 5 5 ( 229)| SM_AMIGA_0_ +Mux15| Mcel 5 13 ( 241)| SM_AMIGA_7__0 +Mux16| ... | ... +Mux17| Mcel 5 12 ( 239)| SM_AMIGA_1_ +Mux18| Mcel 5 9 ( 235)| SM_AMIGA_3_ Mux19| ... | ... -Mux20| Mcel 5 8 ( 233)| SM_AMIGA_4_ +Mux20| Mcel 5 8 ( 233)| cpu_est_1_ Mux21| ... | ... Mux22| ... | ... Mux23| IOPin 3 5 ( 30)| DTACK -Mux24| Mcel 0 12 ( 119)| inst_CLK_000_NE -Mux25| ... | ... +Mux24| ... | ... +Mux25| Mcel 5 0 ( 221)| SM_AMIGA_7_ Mux26| ... | ... -Mux27| Mcel 3 1 ( 175)| RN_VMA -Mux28| Mcel 1 5 ( 133)| inst_CLK_000_D0 -Mux29| ... | ... -Mux30| Mcel 0 8 ( 113)| SM_AMIGA_6_ +Mux27| Mcel 7 9 ( 283)| cpu_est_0_ +Mux28| Mcel 1 5 ( 133)| inst_AS_030_000_SYNC +Mux29| Mcel 5 4 ( 227)| SM_AMIGA_6_ +Mux30| ... | ... Mux31| ... | ... Mux32| ... | ... --------------------------------------------------------------------------- @@ -1575,18 +1560,18 @@ Mux32| ... | ... _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| RW| IO| | S | 4 | 4 to [ 0]| 1 XOR free 1| CLK_DIV_OUT|OUT| | S | 1 | 4 free | 1 XOR to [ 1] for 1 PT sig - 2|CLK_000_P_SYNC_1_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 2|CLK_000_P_SYNC_0_|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 free | 1 XOR free - 4| E| IO| | S | 4 | 4 to [ 4]| 1 XOR free - 5| cpu_est_1_|NOD| | S | 5 | 4 to [ 5]| 1 XOR to [ 5] as logic PT - 6|CLK_000_P_SYNC_9_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 4| E| IO| | S | 3 :+: 1| 4 to [ 4]| 1 XOR to [ 4] + 5|inst_CLK_OUT_PRE_25|NOD| | S | 3 | 4 to [ 5]| 1 XOR free + 6| | ? | | S | | 4 free | 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free 8| A0| IO| | S | 1 | 4 free | 1 XOR to [ 8] for 1 PT sig - 9|CLK_000_N_SYNC_2_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig -10| inst_VPA_D|NOD| | S | 1 | 4 free | 1 XOR to [10] for 1 PT sig + 9|CLK_000_P_SYNC_8_|NOD| | S | 1 | 4 free | 1 XOR to [ 9] for 1 PT sig +10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free 12| SIZE_0_| IO| | S | 1 | 4 free | 1 XOR to [12] for 1 PT sig -13|CLK_000_P_SYNC_3_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig +13|CLK_000_P_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1602,18 +1587,18 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ _|_________________|__|__|___|_____|_______________________________________ 0| RW| IO| | S | 4 |=> can support up to [ 13] logic PT(s) 1| CLK_DIV_OUT|OUT| | S | 1 |=> can support up to [ 14] logic PT(s) - 2|CLK_000_P_SYNC_1_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) + 2|CLK_000_P_SYNC_0_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) 3| | ? | | S | |=> can support up to [ 9] logic PT(s) - 4| E| IO| | S | 4 |=> can support up to [ 14] logic PT(s) - 5| cpu_est_1_|NOD| | S | 5 |=> can support up to [ 14] logic PT(s) - 6|CLK_000_P_SYNC_9_|NOD| | S | 1 |=> can support up to [ 14] logic PT(s) - 7| | ? | | S | |=> can support up to [ 17] logic PT(s) - 8| A0| IO| | S | 1 |=> can support up to [ 18] logic PT(s) - 9|CLK_000_N_SYNC_2_|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -10| inst_VPA_D|NOD| | S | 1 |=> can support up to [ 18] logic PT(s) -11| | ? | | S | |=> can support up to [ 17] logic PT(s) + 4| E| IO| | S | 3 :+: 1|=> can support up to [ 14] logic PT(s) + 5|inst_CLK_OUT_PRE_25|NOD| | S | 3 |=> can support up to [ 15] logic PT(s) + 6| | ? | | S | |=> can support up to [ 14] logic PT(s) + 7| | ? | | S | |=> can support up to [ 18] logic PT(s) + 8| A0| IO| | S | 1 |=> can support up to [ 19] logic PT(s) + 9|CLK_000_P_SYNC_8_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) +10| | ? | | S | |=> can support up to [ 18] logic PT(s) +11| | ? | | S | |=> can support up to [ 18] logic PT(s) 12| SIZE_0_| IO| | S | 1 |=> can support up to [ 19] logic PT(s) -13|CLK_000_P_SYNC_3_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) +13|CLK_000_P_SYNC_7_|NOD| | S | 1 |=> can support up to [ 19] logic PT(s) 14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- @@ -1627,18 +1612,18 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| RW| IO| | => | 5 ( 6) 7 0 | 70 ( 71) 72 65 1| CLK_DIV_OUT|OUT| | => | 5 6 7 ( 0)| 70 71 72 ( 65) - 2|CLK_000_P_SYNC_1_|NOD| | => | 6 7 0 1 | 71 72 65 66 + 2|CLK_000_P_SYNC_0_|NOD| | => | 6 7 0 1 | 71 72 65 66 3| | | | => | 6 7 0 1 | 71 72 65 66 4| E| IO| | => | 7 0 ( 1) 2 | 72 65 ( 66) 67 - 5| cpu_est_1_|NOD| | => | 7 0 1 2 | 72 65 66 67 - 6|CLK_000_P_SYNC_9_|NOD| | => | 0 1 2 3 | 65 66 67 68 + 5|inst_CLK_OUT_PRE_25|NOD| | => | 7 0 1 2 | 72 65 66 67 + 6| | | | => | 0 1 2 3 | 65 66 67 68 7| | | | => | 0 1 2 3 | 65 66 67 68 8| A0| IO| | => | 1 2 3 ( 4)| 66 67 68 ( 69) - 9|CLK_000_N_SYNC_2_|NOD| | => | 1 2 3 4 | 66 67 68 69 -10| inst_VPA_D|NOD| | => | 2 3 4 5 | 67 68 69 70 + 9|CLK_000_P_SYNC_8_|NOD| | => | 1 2 3 4 | 66 67 68 69 +10| | | | => | 2 3 4 5 | 67 68 69 70 11| | | | => | 2 3 4 5 | 67 68 69 70 12| SIZE_0_| IO| | => | 3 4 ( 5) 6 | 68 69 ( 70) 71 -13|CLK_000_P_SYNC_3_|NOD| | => | 3 4 5 6 | 68 69 70 71 +13|CLK_000_P_SYNC_7_|NOD| | => | 3 4 5 6 | 68 69 70 71 14| | | | => | 4 5 6 7 | 69 70 71 72 15| | | | => | 4 5 6 7 | 69 70 71 72 --------------------------------------------------------------------------- @@ -1696,33 +1681,33 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 66| IO E|*| ] paired w/[ RN_E] [RegIn 1 |249| -| | ] - [MCell 2 |248|NOD CLK_000_P_SYNC_1_| |*] + [MCell 2 |248|NOD CLK_000_P_SYNC_0_| |*] [MCell 3 |250| -| | ] 2 [IOpin 2 | 67|INP IPL_0_|*|*] [RegIn 2 |252| -| | ] [MCell 4 |251|NOD RN_E| |*] paired w/[ E] - [MCell 5 |253|NOD cpu_est_1_| |*] + [MCell 5 |253|NOD inst_CLK_OUT_PRE_25| |*] 3 [IOpin 3 | 68|INP IPL_2_|*|*] [RegIn 3 |255| -| | ] - [MCell 6 |254|NOD CLK_000_P_SYNC_9_| |*] + [MCell 6 |254| -| | ] [MCell 7 |256| -| | ] 4 [IOpin 4 | 69| IO A0|*|*] [RegIn 4 |258| -| | ] [MCell 8 |257| IO A0| | ] - [MCell 9 |259|NOD CLK_000_N_SYNC_2_| |*] + [MCell 9 |259|NOD CLK_000_P_SYNC_8_| |*] 5 [IOpin 5 | 70| IO SIZE_0_|*|*] [RegIn 5 |261| -| | ] - [MCell 10 |260|NOD inst_VPA_D| |*] + [MCell 10 |260| -| | ] [MCell 11 |262| -| | ] 6 [IOpin 6 | 71| IO RW|*|*] paired w/[ RN_RW] [RegIn 6 |264| -| | ] [MCell 12 |263| IO SIZE_0_| | ] - [MCell 13 |265|NOD CLK_000_P_SYNC_3_| |*] + [MCell 13 |265|NOD CLK_000_P_SYNC_7_| |*] 7 [IOpin 7 | 72| -| | ] [RegIn 7 |267| -| | ] @@ -1737,37 +1722,37 @@ IMX No. | +---- Block IO Pin or Macrocell Number --|--|--------------------|--------------------------------------------------- Mux00| Input Pin ( 86)| RST Mux01| ... | ... -Mux02| Mcel 1 6 ( 134)| CLK_000_P_SYNC_8_ -Mux03| Mcel 3 2 ( 176)| cpu_est_2_ +Mux02| Mcel 6 4 ( 251)| RN_E +Mux03| Mcel 6 5 ( 253)| inst_CLK_OUT_PRE_25 Mux04| Input Pin ( 64)| CLK_030 Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| IOPin 7 5 ( 80)| RW_000 -Mux07| Mcel 7 13 ( 289)| inst_CLK_OUT_PRE_D +Mux06| Mcel 4 4 ( 203)| inst_CLK_OUT_PRE_50 +Mux07| Mcel 7 13 ( 289)| inst_CLK_000_D2 Mux08| IOPin 3 3 ( 32)| UDS_000 -Mux09| Mcel 2 6 ( 158)| CLK_000_P_SYNC_2_ -Mux10| Input Pin ( 36)| VPA -Mux11| Mcel 6 4 ( 251)| RN_E -Mux12| ... | ... -Mux13| Mcel 7 8 ( 281)| RN_AS_030 -Mux14| ... | ... -Mux15| Mcel 5 2 ( 224)| CLK_000_N_SYNC_1_ -Mux16| Mcel 3 6 ( 182)| cpu_est_0_ +Mux09| Mcel 6 13 ( 265)| CLK_000_P_SYNC_7_ +Mux10| ... | ... +Mux11| Mcel 7 9 ( 283)| cpu_est_0_ +Mux12| Mcel 3 9 ( 187)| inst_CLK_000_D1 +Mux13| Mcel 4 1 ( 199)| CLK_000_P_SYNC_6_ +Mux14| Mcel 2 4 ( 155)| inst_CLK_000_D0 +Mux15| ... | ... +Mux16| Mcel 1 9 ( 139)| inst_CLK_OUT_PRE_D Mux17| Mcel 6 0 ( 245)| RN_RW Mux18| ... | ... -Mux19| ... | ... -Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 -Mux21| ... | ... -Mux22| Mcel 0 2 ( 104)| CLK_000_P_SYNC_0_ -Mux23| IOPin 3 2 ( 33)| AS_000 +Mux19| Mcel 4 6 ( 206)| inst_CLK_OUT_PRE_50_D +Mux20| Mcel 5 8 ( 233)| cpu_est_1_ +Mux21| IOPin 7 5 ( 80)| RW_000 +Mux22| ... | ... +Mux23| Mcel 7 4 ( 275)| RN_BGACK_030 Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| Mcel 2 0 ( 149)| RN_AVEC_EXP -Mux26| ... | ... +Mux25| Mcel 7 8 ( 281)| RN_AS_030 +Mux26| IOPin 3 2 ( 33)| AS_000 Mux27| ... | ... -Mux28| ... | ... -Mux29| ... | ... +Mux28| Mcel 3 2 ( 176)| cpu_est_2_ +Mux29| Mcel 2 8 ( 161)| inst_CLK_000_D3 Mux30| ... | ... Mux31| ... | ... -Mux32| Mcel 6 5 ( 253)| cpu_est_1_ +Mux32| ... | ... --------------------------------------------------------------------------- =========================================================================== < Block [ 7] > Macrocell (MCell) Cluster Assignments @@ -1781,18 +1766,18 @@ Mux32| Mcel 6 5 ( 253)| cpu_est_1_ _|_________________|__|__|___|_____|__|______|___|__________|______________ 0| RW_000| IO| | S | 3 | 4 to [ 0]| 1 XOR free 1| SIZE_1_| IO| | S | 2 | 4 to [ 1]| 1 XOR free - 2|inst_CLK_OUT_PRE_50|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig + 2|inst_BGACK_030_INT_D|NOD| | S | 1 | 4 free | 1 XOR to [ 2] for 1 PT sig 3| | ? | | S | | 4 free | 1 XOR free - 4| BGACK_030| IO| | S | 2 | 4 free | 1 XOR free - 5| FPU_CS|OUT| | S | 1 | 4 to [ 4]| 1 XOR to [ 5] for 1 PT sig - 6|CLK_000_N_SYNC_7_|NOD| | S | 1 | 4 free | 1 XOR to [ 6] for 1 PT sig + 4| BGACK_030| IO| | S | 2 | 4 to [ 4]| 1 XOR free + 5| FPU_CS|OUT| | S | 1 | 4 free | 1 XOR to [ 5] for 1 PT sig + 6| | ? | | S | | 4 free | 1 XOR free 7| | ? | | S | | 4 free | 1 XOR free 8| AS_030| IO| | S | 4 | 4 to [ 8]| 1 XOR free - 9|inst_AS_030_000_SYNC|NOD| | S | 6 | 4 to [ 9]| 1 XOR to [ 9] as logic PT -10|inst_CLK_OUT_PRE_50_D|NOD| | S | 1 | 4 to [ 9]| 1 XOR to [10] for 1 PT sig + 9| cpu_est_0_|NOD| | S | 3 | 4 to [ 9]| 1 XOR free +10| | ? | | S | | 4 free | 1 XOR free 11| | ? | | S | | 4 free | 1 XOR free -12| DSACK1| IO| | S | 2 | 4 free | 1 XOR free -13|inst_CLK_OUT_PRE_D|NOD| | S | 1 | 4 to [12]| 1 XOR to [13] for 1 PT sig +12| DSACK1| IO| | S | 2 | 4 to [12]| 1 XOR free +13|inst_CLK_000_D2|NOD| | S | 1 | 4 free | 1 XOR to [13] for 1 PT sig 14| | ? | | S | | 4 free | 1 XOR free 15| | ? | | S | | 4 free | 1 XOR free --------------------------------------------------------------------------- @@ -1808,19 +1793,19 @@ _|_________________|__|__|___|_____|__|______|___|__________|______________ _|_________________|__|__|___|_____|_______________________________________ 0| RW_000| IO| | S | 3 |=> can support up to [ 9] logic PT(s) 1| SIZE_1_| IO| | S | 2 |=> can support up to [ 14] logic PT(s) - 2|inst_CLK_OUT_PRE_50|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) - 3| | ? | | S | |=> can support up to [ 14] logic PT(s) - 4| BGACK_030| IO| | S | 2 |=> can support up to [ 18] logic PT(s) + 2|inst_BGACK_030_INT_D|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) + 3| | ? | | S | |=> can support up to [ 13] logic PT(s) + 4| BGACK_030| IO| | S | 2 |=> can support up to [ 19] logic PT(s) 5| FPU_CS|OUT| | S | 1 |=> can support up to [ 15] logic PT(s) - 6|CLK_000_N_SYNC_7_|NOD| | S | 1 |=> can support up to [ 10] logic PT(s) - 7| | ? | | S | |=> can support up to [ 9] logic PT(s) - 8| AS_030| IO| | S | 4 |=> can support up to [ 10] logic PT(s) - 9|inst_AS_030_000_SYNC|NOD| | S | 6 |=> can support up to [ 14] logic PT(s) -10|inst_CLK_OUT_PRE_50_D|NOD| | S | 1 |=> can support up to [ 11] logic PT(s) -11| | ? | | S | |=> can support up to [ 10] logic PT(s) + 6| | ? | | S | |=> can support up to [ 14] logic PT(s) + 7| | ? | | S | |=> can support up to [ 10] logic PT(s) + 8| AS_030| IO| | S | 4 |=> can support up to [ 15] logic PT(s) + 9| cpu_est_0_|NOD| | S | 3 |=> can support up to [ 15] logic PT(s) +10| | ? | | S | |=> can support up to [ 10] logic PT(s) +11| | ? | | S | |=> can support up to [ 14] logic PT(s) 12| DSACK1| IO| | S | 2 |=> can support up to [ 19] logic PT(s) -13|inst_CLK_OUT_PRE_D|NOD| | S | 1 |=> can support up to [ 16] logic PT(s) -14| | ? | | S | |=> can support up to [ 10] logic PT(s) +13|inst_CLK_000_D2|NOD| | S | 1 |=> can support up to [ 15] logic PT(s) +14| | ? | | S | |=> can support up to [ 14] logic PT(s) 15| | ? | | S | |=> can support up to [ 10] logic PT(s) --------------------------------------------------------------------------- =========================================================================== @@ -1833,18 +1818,18 @@ _|_________________|__|__|___|_____|_______________________________________ _|_________________|__|_____|____________________|________________________ 0| RW_000| IO| | => |( 5) 6 7 0 |( 80) 79 78 85 1| SIZE_1_| IO| | => | 5 ( 6) 7 0 | 80 ( 79) 78 85 - 2|inst_CLK_OUT_PRE_50|NOD| | => | 6 7 0 1 | 79 78 85 84 + 2|inst_BGACK_030_INT_D|NOD| | => | 6 7 0 1 | 79 78 85 84 3| | | | => | 6 7 0 1 | 79 78 85 84 4| BGACK_030| IO| | => | 7 0 1 ( 2)| 78 85 84 ( 83) 5| FPU_CS|OUT| | => |( 7) 0 1 2 |( 78) 85 84 83 - 6|CLK_000_N_SYNC_7_|NOD| | => | 0 1 2 3 | 85 84 83 82 + 6| | | | => | 0 1 2 3 | 85 84 83 82 7| | | | => | 0 1 2 3 | 85 84 83 82 8| AS_030| IO| | => | 1 2 ( 3) 4 | 84 83 ( 82) 81 - 9|inst_AS_030_000_SYNC|NOD| | => | 1 2 3 4 | 84 83 82 81 -10|inst_CLK_OUT_PRE_50_D|NOD| | => | 2 3 4 5 | 83 82 81 80 + 9| cpu_est_0_|NOD| | => | 1 2 3 4 | 84 83 82 81 +10| | | | => | 2 3 4 5 | 83 82 81 80 11| | | | => | 2 3 4 5 | 83 82 81 80 12| DSACK1| IO| | => | 3 ( 4) 5 6 | 82 ( 81) 80 79 -13|inst_CLK_OUT_PRE_D|NOD| | => | 3 4 5 6 | 82 81 80 79 +13|inst_CLK_000_D2|NOD| | => | 3 4 5 6 | 82 81 80 79 14| | | | => | 4 5 6 7 | 81 80 79 78 15| | | | => | 4 5 6 7 | 81 80 79 78 --------------------------------------------------------------------------- @@ -1904,7 +1889,7 @@ IMX No. | +---- Block IO Pin or Macrocell Number 1 [IOpin 1 | 84|INP A_23_|*|*] [RegIn 1 |273| -| | ] - [MCell 2 |272|NOD inst_CLK_OUT_PRE_50| |*] + [MCell 2 |272|NOD inst_BGACK_030_INT_D| |*] [MCell 3 |274| -| | ] 2 [IOpin 2 | 83| IO BGACK_030|*| ] paired w/[ RN_BGACK_030] @@ -1914,23 +1899,23 @@ IMX No. | +---- Block IO Pin or Macrocell Number 3 [IOpin 3 | 82| IO AS_030|*|*] paired w/[ RN_AS_030] [RegIn 3 |279| -| | ] - [MCell 6 |278|NOD CLK_000_N_SYNC_7_| |*] + [MCell 6 |278| -| | ] [MCell 7 |280| -| | ] 4 [IOpin 4 | 81| IO DSACK1|*|*] paired w/[ RN_DSACK1] [RegIn 4 |282| -| | ] [MCell 8 |281|NOD RN_AS_030| |*] paired w/[ AS_030] - [MCell 9 |283|NOD inst_AS_030_000_SYNC| |*] + [MCell 9 |283|NOD cpu_est_0_| |*] 5 [IOpin 5 | 80| IO RW_000|*|*] paired w/[ RN_RW_000] [RegIn 5 |285| -| | ] - [MCell 10 |284|NOD inst_CLK_OUT_PRE_50_D| |*] + [MCell 10 |284| -| | ] [MCell 11 |286| -| | ] 6 [IOpin 6 | 79| IO SIZE_1_|*|*] [RegIn 6 |288| -| | ] [MCell 12 |287|NOD RN_DSACK1| |*] paired w/[ DSACK1] - [MCell 13 |289|NOD inst_CLK_OUT_PRE_D| |*] + [MCell 13 |289|NOD inst_CLK_000_D2| |*] 7 [IOpin 7 | 78|OUT FPU_CS|*| ] [RegIn 7 |291| -| | ] @@ -1946,34 +1931,34 @@ IMX No. | +---- Block IO Pin or Macrocell Number Mux00| IOPin 3 2 ( 33)| AS_000 Mux01| IOPin 5 2 ( 58)| FC_1_ Mux02| ... | ... -Mux03| Mcel 7 8 ( 281)| RN_AS_030 +Mux03| Mcel 4 2 ( 200)| inst_CLK_000_D4 Mux04| IOPin 0 4 ( 95)| A_18_ -Mux05| Input Pin ( 14)| nEXP_SPACE -Mux06| IOPin 0 6 ( 97)| A_19_ -Mux07| ... | ... +Mux05| Mcel 5 0 ( 221)| SM_AMIGA_7_ +Mux06| IOPin 5 3 ( 57)| FC_0_ +Mux07| Mcel 3 9 ( 187)| inst_CLK_000_D1 Mux08| IOPin 6 6 ( 71)| RW -Mux09| Mcel 2 0 ( 149)| RN_AVEC_EXP -Mux10| Mcel 5 4 ( 227)| SM_AMIGA_1_ -Mux11| IOPin 0 5 ( 96)| A_16_ +Mux09| IOPin 7 3 ( 82)| AS_030 +Mux10| Mcel 5 4 ( 227)| SM_AMIGA_6_ +Mux11| Mcel 7 9 ( 283)| cpu_est_0_ Mux12| IOPin 3 3 ( 32)| UDS_000 Mux13| IOPin 5 1 ( 59)| A_17_ -Mux14| Mcel 7 2 ( 272)| inst_CLK_OUT_PRE_50 -Mux15| Mcel 4 2 ( 200)| inst_CLK_OUT_PRE -Mux16| ... | ... -Mux17| IOPin 5 3 ( 57)| FC_0_ +Mux14| Mcel 2 4 ( 155)| inst_CLK_000_D0 +Mux15| Input Pin ( 14)| nEXP_SPACE +Mux16| Mcel 2 8 ( 161)| inst_CLK_000_D3 +Mux17| IOPin 4 0 ( 41)| BERR Mux18| IOPin 3 7 ( 28)| BGACK_000 -Mux19| IOPin 7 3 ( 82)| AS_030 +Mux19| ... | ... Mux20| Mcel 7 4 ( 275)| RN_BGACK_030 Mux21| Input Pin ( 86)| RST Mux22| ... | ... Mux23| Mcel 7 0 ( 269)| RN_RW_000 Mux24| IOPin 3 4 ( 31)| LDS_000 -Mux25| IOPin 4 0 ( 41)| BERR -Mux26| Mcel 2 10 ( 164)| CLK_000_N_SYNC_6_ -Mux27| Mcel 7 9 ( 283)| inst_AS_030_000_SYNC +Mux25| Mcel 7 8 ( 281)| RN_AS_030 +Mux26| IOPin 0 5 ( 96)| A_16_ +Mux27| IOPin 0 6 ( 97)| A_19_ Mux28| Input Pin ( 64)| CLK_030 Mux29| Mcel 7 12 ( 287)| RN_DSACK1 -Mux30| Mcel 0 8 ( 113)| SM_AMIGA_6_ -Mux31| Mcel 5 0 ( 221)| SM_AMIGA_7_ +Mux30| ... | ... +Mux31| Mcel 5 12 ( 239)| SM_AMIGA_1_ Mux32| ... | ... --------------------------------------------------------------------------- \ No newline at end of file diff --git a/Logic/68030_tk.rpt b/Logic/68030_tk.rpt index 92e9b28..443e994 100644 --- a/Logic/68030_tk.rpt +++ b/Logic/68030_tk.rpt @@ -12,7 +12,7 @@ Project_Summary Project Name : 68030_tk Project Path : C:\Users\Matze\Documents\GitHub\68030tk\Logic -Project Fitted on : Mon Jun 09 20:20:36 2014 +Project Fitted on : Sun Jun 15 16:36:48 2014 Device : M4A5-128/64 Package : 100TQFP @@ -40,8 +40,8 @@ Design_Summary Total Input Pins : 30 Total Output Pins : 17 Total Bidir I/O Pins : 12 - Total Flip-Flops : 76 - Total Product Terms : 173 + Total Flip-Flops : 64 + Total Product Terms : 158 Total Reserved Pins : 0 Total Reserved Blocks : 0 @@ -54,13 +54,13 @@ Dedicated Pins Input-Only Pins 2 2 0 --> 100% Clock/Input Pins 4 4 0 --> 100% I/O Pins 64 53 11 --> 82% -Logic Macrocells 128 85 43 --> 66% +Logic Macrocells 128 74 54 --> 57% Input Registers 64 0 64 --> 0% Unusable Macrocells .. 0 .. -CSM Outputs/Total Block Inputs 264 192 72 --> 72% -Logical Product Terms 640 174 466 --> 27% -Product Term Clusters 128 45 83 --> 35% +CSM Outputs/Total Block Inputs 264 193 71 --> 73% +Logical Product Terms 640 162 478 --> 25% +Product Term Clusters 128 44 84 --> 34%  Blocks_Resource_Summary @@ -71,14 +71,14 @@ Blocks_Resource_Summary --------------------------------------------------------------------------------- Maximum 33 8 8 -- -- 16 80 16 - --------------------------------------------------------------------------------- -Block A 24 7 0 11 0 5 22 12 Hi -Block B 20 8 0 11 0 5 16 12 Hi -Block C 19 8 0 11 0 5 15 13 Hi -Block D 31 8 0 11 0 5 24 9 Hi -Block E 27 3 0 9 0 7 16 11 Hi -Block F 22 4 0 10 0 6 36 5 Hi -Block G 21 7 0 11 0 5 21 13 Hi -Block H 28 8 0 11 0 5 24 9 Hi +Block A 19 7 0 10 0 6 24 9 Hi +Block B 26 8 0 9 0 7 20 11 Hi +Block C 21 8 0 9 0 7 13 13 Hi +Block D 28 8 0 9 0 7 21 10 Hi +Block E 25 3 0 10 0 6 13 14 Hi +Block F 22 4 0 9 0 7 35 5 Hi +Block G 24 7 0 9 0 7 17 13 Hi +Block H 28 8 0 9 0 7 19 10 Hi --------------------------------------------------------------------------------- Four rightmost columns above reflect last status of the placement process. @@ -287,10 +287,10 @@ Input_Signal_List Pin r e O Input Pin Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - 96 A . I/O ----E--H Hi Slow A_16_ - 59 F . I/O ----E--H Hi Slow A_17_ - 95 A . I/O ----E--H Hi Slow A_18_ - 97 A . I/O ----E--H Hi Slow A_19_ + 96 A . I/O -B-----H Hi Slow A_16_ + 59 F . I/O -B-----H Hi Slow A_17_ + 95 A . I/O -B-----H Hi Slow A_18_ + 97 A . I/O -B-----H Hi Slow A_19_ 93 A . I/O ----E--- Hi Slow A_20_ 94 A . I/O ----E--- Hi Slow A_21_ 85 H . I/O ----E--- Hi Slow A_22_ @@ -303,17 +303,17 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 6 B . I/O ----E--- Hi Slow A_29_ 5 B . I/O ----E--- Hi Slow A_30_ 4 B . I/O ----E--- Hi Slow A_31_ - 41 E . I/O AB-D-F-H Hi Slow BERR - 28 D . I/O -------H Hi Slow BGACK_000 + 41 E . I/O -BCD-F-H Hi Slow BERR + 28 D . I/O -B-----H Hi Slow BGACK_000 21 C . I/O ---D---- Hi Slow BG_030 - 57 F . I/O -------H Hi Slow FC_0_ - 58 F . I/O -------H Hi Slow FC_1_ + 57 F . I/O -B-----H Hi Slow FC_0_ + 58 F . I/O -B-----H Hi Slow FC_1_ 67 G . I/O -B------ Hi Slow IPL_0_ 56 F . I/O -B------ Hi Slow IPL_1_ 68 G . I/O -B------ Hi Slow IPL_2_ - 11 . . Ck/I -B-D---- - Slow CLK_000 - 14 . . Ck/I A--DEFGH - Slow nEXP_SPACE - 36 . . Ded -----FG- - Slow VPA + 11 . . Ck/I --C----- - Slow CLK_000 + 14 . . Ck/I AB-DEFGH - Slow nEXP_SPACE + 36 . . Ded -B---F-- - Slow VPA 61 . . Ck/I ABCDEFGH - Slow CLK_OSZI 64 . . Ck/I A-----GH - Slow CLK_030 86 . . Ded ABCDEFGH - Slow RST @@ -339,14 +339,14 @@ Pin Blk PTs Type e s E Fanout Pwr Slew Signal 22 C 1 DFF * -------- Hi Slow AVEC_EXP 83 H 2 DFF * -------- Hi Slow BGACK_030 29 D 2 DFF * -------- Hi Slow BG_000 - 47 E 4 COM -------- Hi Slow CIIN + 47 E 1 COM -------- Hi Slow CIIN 65 G 1 DFF * -------- Hi Slow CLK_DIV_OUT 10 B 1 DFF * -------- Hi Slow CLK_EXP - 66 G 4 DFF * -------- Hi Slow E + 66 G 3 DFF * -------- Hi Slow E 78 H 1 COM -------- Hi Slow FPU_CS - 8 B 2 DFF * -------- Hi Slow IPL_030_0_ - 7 B 2 DFF * -------- Hi Slow IPL_030_1_ - 9 B 2 DFF * -------- Hi Slow IPL_030_2_ + 8 B 3 DFF * -------- Hi Slow IPL_030_0_ + 7 B 3 DFF * -------- Hi Slow IPL_030_1_ + 9 B 3 DFF * -------- Hi Slow IPL_030_2_ 3 B 1 DFF * -------- Hi Slow RESET 35 D 2 DFF * -------- Hi Slow VMA ---------------------------------------------------------------------- @@ -364,14 +364,14 @@ Bidir_Signal_List Pin r e O Bidir Pin Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - 69 G 1 DFF * --C----- Hi Slow A0 + 69 G 1 DFF * A-C----- Hi Slow A0 33 D 2 DFF * A---E-GH Hi Slow AS_000 - 82 H 4 DFF * -B-D---H Hi Slow AS_030 + 82 H 4 DFF * -BCDE--H Hi Slow AS_030 81 H 2 DFF * ---D---- Hi Slow DSACK1 - 98 A 7 DFF * --C----- Hi Slow DS_030 + 98 A 7 DFF * A-C----- Hi Slow DS_030 30 D 1 COM -----F-- Hi Slow DTACK 31 D 1 COM A-----GH Hi Slow LDS_000 - 71 G 4 DFF * -B--E--H Hi Slow RW + 71 G 4 DFF * --C-E--H Hi Slow RW 80 H 3 DFF * A-----G- Hi Slow RW_000 70 G 1 DFF * --C----- Hi Slow SIZE_0_ 79 H 2 DFF * --C----- Hi Slow SIZE_1_ @@ -391,78 +391,66 @@ Buried_Signal_List Pin r e O Node #Mc Blk PTs Type e s E Fanout Pwr Slew Signal ---------------------------------------------------------------------- - A5 A 1 DFF * -----F-- Hi Slow CLK_000_N_SYNC_0_ - C5 C 1 DFF * A------- Hi Slow CLK_000_N_SYNC_10_ - A6 A 1 DFF * A------- Hi Slow CLK_000_N_SYNC_11_ - F2 F 1 DFF * ------G- Hi Slow CLK_000_N_SYNC_1_ - G9 G 1 DFF * --C----- Hi Slow CLK_000_N_SYNC_2_ - C2 C 1 DFF * --C----- Hi Slow CLK_000_N_SYNC_3_ - C13 C 1 DFF * -B------ Hi Slow CLK_000_N_SYNC_4_ - B2 B 1 DFF * --C----- Hi Slow CLK_000_N_SYNC_5_ - C10 C 1 DFF * -------H Hi Slow CLK_000_N_SYNC_6_ - H6 H 1 DFF * --C----- Hi Slow CLK_000_N_SYNC_7_ - C9 C 1 DFF * -B------ Hi Slow CLK_000_N_SYNC_8_ - B13 B 1 DFF * --C----- Hi Slow CLK_000_N_SYNC_9_ - A2 A 1 DFF * ------G- Hi Slow CLK_000_P_SYNC_0_ - G2 G 1 DFF * --C----- Hi Slow CLK_000_P_SYNC_1_ - C6 C 1 DFF * ------G- Hi Slow CLK_000_P_SYNC_2_ - G13 G 1 DFF * A------- Hi Slow CLK_000_P_SYNC_3_ - A13 A 1 DFF * -B------ Hi Slow CLK_000_P_SYNC_4_ - B10 B 1 DFF * ----E--- Hi Slow CLK_000_P_SYNC_5_ - E5 E 1 DFF * A------- Hi Slow CLK_000_P_SYNC_6_ - A9 A 1 DFF * -B------ Hi Slow CLK_000_P_SYNC_7_ - B6 B 1 DFF * ------G- Hi Slow CLK_000_P_SYNC_8_ - G6 G 1 DFF * --C----- Hi Slow CLK_000_P_SYNC_9_ - E1 E 2 DFF * ----E--- Hi Slow CLK_CNT_N_0_ - E9 E 1 DFF * ----E--- Hi Slow CLK_CNT_N_1_ - E12 E 2 DFF * ----E--- Hi Slow CLK_CNT_P_0_ - E13 E 1 DFF * ----E--- Hi Slow CLK_CNT_P_1_ - E8 E 2 COM --C----- Hi Slow CLK_PRE_66_0 + A1 A 2 COM --C----- Hi Slow AMIGA_BUS_ENABLE_LOW_0 + G2 G 1 DFF * -B------ Hi Slow CLK_000_P_SYNC_0_ + B13 B 1 DFF * ----E--- Hi Slow CLK_000_P_SYNC_1_ + E9 E 1 DFF * --C----- Hi Slow CLK_000_P_SYNC_2_ + C2 C 1 DFF * ----E--- Hi Slow CLK_000_P_SYNC_3_ + E5 E 1 DFF * --C----- Hi Slow CLK_000_P_SYNC_4_ + C13 C 1 DFF * ----E--- Hi Slow CLK_000_P_SYNC_5_ + E1 E 1 DFF * ------G- Hi Slow CLK_000_P_SYNC_6_ + G13 G 1 DFF * ------G- Hi Slow CLK_000_P_SYNC_7_ + G9 G 1 DFF * A------- Hi Slow CLK_000_P_SYNC_8_ + A6 A 1 DFF * --C----- Hi Slow CLK_000_P_SYNC_9_ + A9 A 2 DFF * A------- Hi Slow CLK_CNT_N_0_ + A13 A 1 DFF * A------- Hi Slow CLK_CNT_N_1_ + A5 A 2 DFF * A------- Hi Slow CLK_CNT_P_0_ + A2 A 1 DFF * A------- Hi Slow CLK_CNT_P_1_ D5 D 6 DFF * ---D---- Hi - RN_AMIGA_BUS_ENABLE --> AMIGA_BUS_ENABLE C12 C 1 DFF * --C----- Hi - RN_AMIGA_BUS_ENABLE_LOW --> AMIGA_BUS_ENABLE_LOW D4 D 2 DFF * ---D---- Hi - RN_AS_000 --> AS_000 H8 H 4 DFF * A--D--GH Hi - RN_AS_030 --> AS_030 - C0 C 1 DFF * AB-D-FGH Hi - RN_AVEC_EXP --> AVEC_EXP - H4 H 2 DFF * A--DE-GH Hi - RN_BGACK_030 --> BGACK_030 + H4 H 2 DFF * AB-DE-GH Hi - RN_BGACK_030 --> BGACK_030 D13 D 2 DFF * ---D---- Hi - RN_BG_000 --> BG_000 H12 H 2 DFF * -------H Hi - RN_DSACK1 --> DSACK1 A0 A 7 DFF * A------- Hi - RN_DS_030 --> DS_030 - G4 G 4 DFF * ---D-FG- Hi - RN_E --> E - B8 B 2 DFF * -B------ Hi - RN_IPL_030_0_ --> IPL_030_0_ - B12 B 2 DFF * -B------ Hi - RN_IPL_030_1_ --> IPL_030_1_ - B4 B 2 DFF * -B------ Hi - RN_IPL_030_2_ --> IPL_030_2_ + G4 G 3 DFF * ---D-FG- Hi - RN_E --> E + B8 B 3 DFF * -B------ Hi - RN_IPL_030_0_ --> IPL_030_0_ + B12 B 3 DFF * -B------ Hi - RN_IPL_030_1_ --> IPL_030_1_ + B4 B 3 DFF * -B------ Hi - RN_IPL_030_2_ --> IPL_030_2_ G0 G 4 DFF * ------G- Hi - RN_RW --> RW H0 H 3 DFF * -------H Hi - RN_RW_000 --> RW_000 D1 D 2 DFF * ---D-F-- Hi - RN_VMA --> VMA - F12 F 2 DFF * ---D-F-- Hi Slow SM_AMIGA_0_ - F4 F 2 DFF * ---D-F-H Hi Slow SM_AMIGA_1_ - F9 F 3 DFF * -----F-- Hi Slow SM_AMIGA_2_ - F5 F 6 DFF * -----F-- Hi Slow SM_AMIGA_3_ - F8 F 2 DFF * -B---F-- Hi Slow SM_AMIGA_4_ - F13 F 2 DFF * -----F-- Hi Slow SM_AMIGA_5_ - A8 A 2 DFF * AB-D-F-H Hi Slow SM_AMIGA_6_ - F0 F 13 DFF * A--D---H Hi Slow SM_AMIGA_7_ - F1 F 4 COM -----F-- Hi Slow SM_AMIGA_7__0 - D6 D 2 DFF * ---D--G- Hi Slow cpu_est_0_ - G5 G 5 DFF * ---D-FG- Hi Slow cpu_est_1_ - D2 D 4 DFF * ---D--G- Hi Slow cpu_est_2_ - H9 H 6 DFF * A--D-F-H Hi Slow inst_AS_030_000_SYNC - D10 D 1 DFF * ---D---- Hi Slow inst_BGACK_030_INT_D - B5 B 1 DFF * A--D-F-- Hi Slow inst_CLK_000_D0 - D9 D 1 DFF * A--D-F-- Hi Slow inst_CLK_000_D1 - F6 F 1 DFF * A------- Hi Slow inst_CLK_000_D2 - A10 A 1 DFF * A------- Hi Slow inst_CLK_000_D3 - A12 A 1 DFF * ---D-F-- Hi Slow inst_CLK_000_NE - A1 A 5 DFF A------- Hi Slow inst_CLK_030_H - E2 E 1 DFF * -------H Hi Slow inst_CLK_OUT_PRE - C4 C 3 DFF * --C-E--- Hi Slow inst_CLK_OUT_PRE_25 - H2 H 1 DFF * --C----H Hi Slow inst_CLK_OUT_PRE_50 - H10 H 1 DFF * --C----- Hi Slow inst_CLK_OUT_PRE_50_D - H13 H 1 DFF * -B----G- Hi Slow inst_CLK_OUT_PRE_D - B9 B 3 DFF * -B-D---- Hi Slow inst_DS_000_ENABLE - C1 C 2 DFF * --CD---- Hi Slow inst_LDS_000_INT - C8 C 2 DFF * --CD---- Hi Slow inst_UDS_000_INT - G10 G 1 DFF * ---D---- Hi Slow inst_VPA_D + F5 F 2 DFF * ---D-F-- Hi Slow SM_AMIGA_0_ + F12 F 2 DFF * ---D-F-H Hi Slow SM_AMIGA_1_ + F2 F 3 DFF * -----F-- Hi Slow SM_AMIGA_2_ + F9 F 5 TFF * -----F-- Hi Slow SM_AMIGA_3_ + F1 F 2 DFF * --C--F-- Hi Slow SM_AMIGA_4_ + C5 C 2 DFF * --C--F-- Hi Slow SM_AMIGA_5_ + F4 F 2 DFF * --CD-F-H Hi Slow SM_AMIGA_6_ + F0 F 11 DFF * -B-D-F-H Hi Slow SM_AMIGA_7_ + F13 F 3 COM -----F-- Hi Slow SM_AMIGA_7__0 + H9 H 3 DFF * ---D-FGH Hi Slow cpu_est_0_ + F8 F 4 TFF * ---D-FG- Hi Slow cpu_est_1_ + D2 D 3 DFF * ---D-FG- Hi Slow cpu_est_2_ + B5 B 6 DFF * -B-D-F-- Hi Slow inst_AS_030_000_SYNC + H2 H 1 DFF * ---D---- Hi Slow inst_BGACK_030_INT_D + C4 C 1 DFF * -BCD-FGH Hi Slow inst_CLK_000_D0 + D9 D 1 DFF * -B-D-FGH Hi Slow inst_CLK_000_D1 + H13 H 1 DFF * --C---G- Hi Slow inst_CLK_000_D2 + C8 C 1 DFF * ----E-GH Hi Slow inst_CLK_000_D3 + E2 E 1 DFF * -------H Hi Slow inst_CLK_000_D4 + A12 A 5 DFF A------- Hi Slow inst_CLK_030_H + E13 E 1 DFF * -B------ Hi Slow inst_CLK_OUT_PRE + G5 G 3 DFF * ----E-G- Hi Slow inst_CLK_OUT_PRE_25 + E4 E 1 DFF * ----E-G- Hi Slow inst_CLK_OUT_PRE_50 + E6 E 1 DFF * ------G- Hi Slow inst_CLK_OUT_PRE_50_D + B9 B 1 DFF * -B----G- Hi Slow inst_CLK_OUT_PRE_D + C1 C 3 DFF * --CD---- Hi Slow inst_DS_000_ENABLE + C9 C 2 DFF * --CD---- Hi Slow inst_LDS_000_INT + A8 A 2 DFF * A--D---- Hi Slow inst_UDS_000_INT + B2 B 1 DFF * ---D---- Hi Slow inst_VPA_D + E8 E 3 COM ----E--- Hi Slow un16_ciin ---------------------------------------------------------------------- Power : Hi = High @@ -477,195 +465,181 @@ Signals_Fanout_List ~~~~~~~~~~~~~~~~~~~ Signal Source : Fanout List ----------------------------------------------------------------------------- - A_31_{ C}: CIIN{ E} + A_28_{ D}: CIIN{ E} un16_ciin{ E} + A_27_{ D}: CIIN{ E} un16_ciin{ E} + A_26_{ D}: CIIN{ E} un16_ciin{ E} + A_25_{ D}: CIIN{ E} un16_ciin{ E} + A_31_{ C}: CIIN{ E} un16_ciin{ E} + A_24_{ D}: CIIN{ E} un16_ciin{ E} + A_23_{ I}: CIIN{ E} un16_ciin{ E} + A_22_{ I}: CIIN{ E} un16_ciin{ E} + A_21_{ B}: CIIN{ E} un16_ciin{ E} IPL_2_{ H}: IPL_030_2_{ B} - FC_1_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} + A_20_{ B}: CIIN{ E} un16_ciin{ E} + A_19_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ B} + FC_1_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ B} + A_18_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ B} + A_17_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ B} + A_16_{ B}: FPU_CS{ H}inst_AS_030_000_SYNC{ B} UDS_000{ E}: SIZE_1_{ H} AS_030{ H} DS_030{ A} - : SIZE_0_{ G} A0{ G} RW{ G} + : A0{ G} RW{ G} SIZE_0_{ G} : inst_CLK_030_H{ A} + IPL_1_{ G}: IPL_030_1_{ B} LDS_000{ E}: SIZE_1_{ H} AS_030{ H} DS_030{ A} - : SIZE_0_{ G} A0{ G} RW{ G} + : A0{ G} RW{ G} SIZE_0_{ G} : inst_CLK_030_H{ A} - A_30_{ C}: CIIN{ E} + IPL_0_{ H}: IPL_030_0_{ B} + FC_0_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ B} nEXP_SPACE{. }: DTACK{ D}AMIGA_BUS_DATA_DIR{ E} SIZE_1_{ H} - : AS_030{ H} DS_030{ A} SIZE_0_{ G} - : A0{ G} BG_000{ D} DSACK1{ H} - :AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H} SM_AMIGA_7_{ F} - : SM_AMIGA_6_{ A} - A_29_{ C}: CIIN{ E} - BERR{ F}: AS_000{ D} DSACK1{ H}inst_AS_030_000_SYNC{ H} - : SM_AMIGA_7_{ F} SM_AMIGA_1_{ F} SM_AMIGA_0_{ F} - : SM_AMIGA_6_{ A} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ B} - : SM_AMIGA_5_{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - A_28_{ D}: CIIN{ E} + : AS_030{ H} DS_030{ A} A0{ G} + : BG_000{ D} DSACK1{ H}AMIGA_BUS_ENABLE{ D} + : SIZE_0_{ G}inst_AS_030_000_SYNC{ B} SM_AMIGA_7_{ F} + : SM_AMIGA_6_{ F} un16_ciin{ E} + BERR{ F}: AS_000{ D} DSACK1{ H}inst_AS_030_000_SYNC{ B} + : SM_AMIGA_7_{ F} SM_AMIGA_6_{ F} SM_AMIGA_1_{ F} + : SM_AMIGA_0_{ F} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C} + : SM_AMIGA_5_{ C} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} BG_030{ D}: BG_000{ D} - A_27_{ D}: CIIN{ E} - A_26_{ D}: CIIN{ E} - A_25_{ D}: CIIN{ E} - BGACK_000{ E}: FPU_CS{ H} BGACK_030{ H}inst_AS_030_000_SYNC{ H} - A_24_{ D}: CIIN{ E} + BGACK_000{ E}: FPU_CS{ H} BGACK_030{ H}inst_AS_030_000_SYNC{ B} CLK_030{. }: AS_030{ H} DS_030{ A} RW{ G} : inst_CLK_030_H{ A} - A_23_{ I}: CIIN{ E} - CLK_000{. }: BG_000{ D}inst_CLK_000_D0{ B} - A_22_{ I}: CIIN{ E} - A_21_{ B}: CIIN{ E} - A_20_{ B}: CIIN{ E} - A_19_{ B}: FPU_CS{ H} CIIN{ E}inst_AS_030_000_SYNC{ H} - A_18_{ B}: FPU_CS{ H} CIIN{ E}inst_AS_030_000_SYNC{ H} - A_17_{ G}: FPU_CS{ H} CIIN{ E}inst_AS_030_000_SYNC{ H} + CLK_000{. }:inst_CLK_000_D0{ C} DTACK{ E}: SM_AMIGA_7_{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} - A_16_{ B}: FPU_CS{ H} CIIN{ E}inst_AS_030_000_SYNC{ H} - IPL_1_{ G}: IPL_030_1_{ B} - VPA{. }: inst_VPA_D{ G} SM_AMIGA_7_{ F} SM_AMIGA_3_{ F} + VPA{. }: inst_VPA_D{ B} SM_AMIGA_7_{ F} SM_AMIGA_3_{ F} : SM_AMIGA_2_{ F} - IPL_0_{ H}: IPL_030_0_{ B} - FC_0_{ G}: FPU_CS{ H}inst_AS_030_000_SYNC{ H} RST{. }: CLK_DIV_OUT{ G} SIZE_1_{ H} IPL_030_2_{ B} : AS_030{ H} AS_000{ D} RW_000{ H} - : DS_030{ A} SIZE_0_{ G} A0{ G} - : BG_000{ D} BGACK_030{ H} CLK_EXP{ B} - : DSACK1{ H} IPL_030_1_{ B} AVEC_EXP{ C} - : IPL_030_0_{ B} E{ G} VMA{ D} - : RESET{ B} RW{ G}AMIGA_BUS_ENABLE{ D} - :AMIGA_BUS_ENABLE_LOW{ C}inst_AS_030_000_SYNC{ H}inst_BGACK_030_INT_D{ D} - : inst_VPA_D{ G}inst_CLK_OUT_PRE_50_D{ H}inst_CLK_000_D0{ B} - : CLK_CNT_N_0_{ E}inst_CLK_OUT_PRE_50{ H}inst_CLK_OUT_PRE_25{ C} - :inst_CLK_000_D1{ D}inst_CLK_000_D2{ F}inst_CLK_000_D3{ A} - :inst_CLK_000_NE{ A}inst_CLK_OUT_PRE_D{ H}inst_CLK_OUT_PRE{ E} - :CLK_000_P_SYNC_9_{ G}CLK_000_N_SYNC_11_{ A} SM_AMIGA_7_{ F} - : SM_AMIGA_1_{ F} SM_AMIGA_0_{ F} SM_AMIGA_6_{ A} - : SM_AMIGA_4_{ F}CLK_000_N_SYNC_6_{ C} CLK_CNT_P_1_{ E} - : CLK_CNT_N_1_{ E} inst_CLK_030_H{ A} CLK_CNT_P_0_{ E} - :inst_LDS_000_INT{ C}inst_DS_000_ENABLE{ B}inst_UDS_000_INT{ C} - :CLK_000_P_SYNC_0_{ A}CLK_000_P_SYNC_1_{ G}CLK_000_P_SYNC_2_{ C} - :CLK_000_P_SYNC_3_{ G}CLK_000_P_SYNC_4_{ A}CLK_000_P_SYNC_5_{ B} - :CLK_000_P_SYNC_6_{ E}CLK_000_P_SYNC_7_{ A}CLK_000_P_SYNC_8_{ B} - :CLK_000_N_SYNC_0_{ A}CLK_000_N_SYNC_1_{ F}CLK_000_N_SYNC_2_{ G} - :CLK_000_N_SYNC_3_{ C}CLK_000_N_SYNC_4_{ C}CLK_000_N_SYNC_5_{ B} - :CLK_000_N_SYNC_7_{ H}CLK_000_N_SYNC_8_{ C}CLK_000_N_SYNC_9_{ B} - :CLK_000_N_SYNC_10_{ C} SM_AMIGA_5_{ F} SM_AMIGA_3_{ F} - : SM_AMIGA_2_{ F} cpu_est_0_{ D} cpu_est_1_{ G} + : IPL_030_1_{ B} DS_030{ A} IPL_030_0_{ B} + : A0{ G} BG_000{ D} BGACK_030{ H} + : CLK_EXP{ B} DSACK1{ H} AVEC_EXP{ C} + : E{ G} VMA{ D} RESET{ B} + : RW{ G}AMIGA_BUS_ENABLE{ D}AMIGA_BUS_ENABLE_LOW{ C} + : SIZE_0_{ G}inst_AS_030_000_SYNC{ B}inst_BGACK_030_INT_D{ H} + : inst_VPA_D{ B}inst_CLK_OUT_PRE_50_D{ E}inst_CLK_000_D0{ C} + :inst_CLK_000_D1{ D}inst_CLK_000_D4{ E} CLK_CNT_N_0_{ A} + :inst_CLK_OUT_PRE_50{ E}inst_CLK_OUT_PRE_25{ G}inst_CLK_000_D2{ H} + :inst_CLK_000_D3{ C}inst_CLK_OUT_PRE_D{ B}inst_CLK_OUT_PRE{ E} + :CLK_000_P_SYNC_9_{ A} SM_AMIGA_7_{ F} SM_AMIGA_6_{ F} + : SM_AMIGA_1_{ F} SM_AMIGA_0_{ F} SM_AMIGA_4_{ F} + : inst_CLK_030_H{ A} CLK_CNT_P_1_{ A} CLK_CNT_N_1_{ A} + : CLK_CNT_P_0_{ A}inst_LDS_000_INT{ C}inst_DS_000_ENABLE{ C} + :inst_UDS_000_INT{ A}CLK_000_P_SYNC_0_{ G}CLK_000_P_SYNC_1_{ B} + :CLK_000_P_SYNC_2_{ E}CLK_000_P_SYNC_3_{ C}CLK_000_P_SYNC_4_{ E} + :CLK_000_P_SYNC_5_{ C}CLK_000_P_SYNC_6_{ E}CLK_000_P_SYNC_7_{ G} + :CLK_000_P_SYNC_8_{ G} SM_AMIGA_5_{ C} SM_AMIGA_3_{ F} + : SM_AMIGA_2_{ F} cpu_est_0_{ H} cpu_est_1_{ F} : cpu_est_2_{ D} + A_30_{ C}: CIIN{ E} un16_ciin{ E} + A_29_{ C}: CIIN{ E} un16_ciin{ E} SIZE_1_{ I}:inst_LDS_000_INT{ C} RN_IPL_030_2_{ C}: IPL_030_2_{ B} - AS_030{ I}: FPU_CS{ H} AS_000{ D} BG_000{ D} - : DSACK1{ H}AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H} - :inst_DS_000_ENABLE{ B} + AS_030{ I}: FPU_CS{ H} CIIN{ E} AS_000{ D} + : BG_000{ D} DSACK1{ H}AMIGA_BUS_ENABLE{ D} + :inst_AS_030_000_SYNC{ B}inst_DS_000_ENABLE{ C} un16_ciin{ E} RN_AS_030{ I}: DTACK{ D} SIZE_1_{ H} AS_030{ H} - : DS_030{ A} SIZE_0_{ G} A0{ G} + : DS_030{ A} A0{ G} SIZE_0_{ G} : inst_CLK_030_H{ A} AS_000{ E}:AMIGA_BUS_DATA_DIR{ E} SIZE_1_{ H} AS_030{ H} - : DS_030{ A} SIZE_0_{ G} A0{ G} - : RW{ G} inst_CLK_030_H{ A} + : DS_030{ A} A0{ G} RW{ G} + : SIZE_0_{ G} inst_CLK_030_H{ A} RN_AS_000{ E}: AS_000{ D} VMA{ D} RW_000{ I}: DS_030{ A} RW{ G} RN_RW_000{ I}: RW_000{ H} - DS_030{ B}:inst_LDS_000_INT{ C}inst_UDS_000_INT{ C} +RN_IPL_030_1_{ C}: IPL_030_1_{ B} + DS_030{ B}:inst_LDS_000_INT{ C}inst_UDS_000_INT{ A} RN_DS_030{ B}: DS_030{ A} - SIZE_0_{ H}:inst_LDS_000_INT{ C} - A0{ H}:inst_LDS_000_INT{ C}inst_UDS_000_INT{ C} +RN_IPL_030_0_{ C}: IPL_030_0_{ B} + A0{ H}:inst_LDS_000_INT{ C}inst_UDS_000_INT{ A} RN_BG_000{ E}: BG_000{ D} RN_BGACK_030{ I}: UDS_000{ D} LDS_000{ D} DTACK{ D} :AMIGA_BUS_DATA_DIR{ E} SIZE_1_{ H} AS_030{ H} : AS_000{ D} RW_000{ H} DS_030{ A} - : SIZE_0_{ G} A0{ G} BGACK_030{ H} - : RW{ G}AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H} - :inst_BGACK_030_INT_D{ D} inst_CLK_030_H{ A} + : A0{ G} BGACK_030{ H} RW{ G} + :AMIGA_BUS_ENABLE{ D} SIZE_0_{ G}inst_AS_030_000_SYNC{ B} + :inst_BGACK_030_INT_D{ H} inst_CLK_030_H{ A} DSACK1{ I}: DTACK{ D} RN_DSACK1{ I}: DSACK1{ H} -RN_IPL_030_1_{ C}: IPL_030_1_{ B} -RN_AVEC_EXP{ D}: IPL_030_2_{ B} AS_000{ D} RW_000{ H} - : BGACK_030{ H} IPL_030_1_{ B} IPL_030_0_{ B} - : E{ G} SM_AMIGA_7_{ F} SM_AMIGA_1_{ F} - : SM_AMIGA_0_{ F} SM_AMIGA_6_{ A} SM_AMIGA_4_{ F} - :inst_DS_000_ENABLE{ B} SM_AMIGA_5_{ F} SM_AMIGA_3_{ F} - : SM_AMIGA_2_{ F} cpu_est_0_{ D} cpu_est_1_{ G} - : cpu_est_2_{ D} SM_AMIGA_7__0{ F} -RN_IPL_030_0_{ C}: IPL_030_0_{ B} RN_E{ H}: E{ G} VMA{ D} SM_AMIGA_7_{ F} - : SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} cpu_est_1_{ G} + : SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} cpu_est_1_{ F} : cpu_est_2_{ D} RN_VMA{ E}: VMA{ D} SM_AMIGA_7_{ F} SM_AMIGA_3_{ F} : SM_AMIGA_2_{ F} - RW{ H}:AMIGA_BUS_DATA_DIR{ E} RW_000{ H}inst_DS_000_ENABLE{ B} + RW{ H}:AMIGA_BUS_DATA_DIR{ E} RW_000{ H}inst_DS_000_ENABLE{ C} RN_RW{ H}: RW{ G} RN_AMIGA_BUS_ENABLE{ E}:AMIGA_BUS_ENABLE{ D} RN_AMIGA_BUS_ENABLE_LOW{ D}:AMIGA_BUS_ENABLE_LOW{ C} -inst_AS_030_000_SYNC{ I}:AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ H} SM_AMIGA_6_{ A} + SIZE_0_{ H}:inst_LDS_000_INT{ C} +inst_AS_030_000_SYNC{ C}:AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ B} SM_AMIGA_7_{ F} + : SM_AMIGA_6_{ F} +inst_BGACK_030_INT_D{ I}:AMIGA_BUS_ENABLE{ D} + inst_VPA_D{ C}: VMA{ D} +inst_CLK_OUT_PRE_50_D{ F}:inst_CLK_OUT_PRE_25{ G} +inst_CLK_000_D0{ D}: IPL_030_2_{ B} AS_000{ D} RW_000{ H} + : IPL_030_1_{ B} IPL_030_0_{ B} BG_000{ D} + : BGACK_030{ H} E{ G} VMA{ D} + :AMIGA_BUS_ENABLE{ D}inst_CLK_000_D1{ D} SM_AMIGA_7_{ F} + : SM_AMIGA_6_{ F} SM_AMIGA_1_{ F} SM_AMIGA_0_{ F} + : SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C}CLK_000_P_SYNC_0_{ G} + : SM_AMIGA_5_{ C} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} + : cpu_est_0_{ H} cpu_est_1_{ F} cpu_est_2_{ D} : SM_AMIGA_7__0{ F} -inst_BGACK_030_INT_D{ E}:AMIGA_BUS_ENABLE{ D} - inst_VPA_D{ H}: VMA{ D} -inst_CLK_OUT_PRE_50_D{ I}:inst_CLK_OUT_PRE_25{ C} -inst_CLK_000_D0{ C}: VMA{ D}AMIGA_BUS_ENABLE{ D}inst_CLK_000_D1{ D} - : SM_AMIGA_6_{ A}CLK_000_P_SYNC_0_{ A}CLK_000_N_SYNC_0_{ A} +inst_CLK_000_D1{ E}: IPL_030_2_{ B} IPL_030_1_{ B} IPL_030_0_{ B} + : BG_000{ D} BGACK_030{ H} E{ G} + :AMIGA_BUS_ENABLE{ D}inst_CLK_000_D2{ H} SM_AMIGA_7_{ F} + : SM_AMIGA_6_{ F}CLK_000_P_SYNC_0_{ G} SM_AMIGA_3_{ F} + : SM_AMIGA_2_{ F} cpu_est_0_{ H} cpu_est_1_{ F} + : cpu_est_2_{ D} +inst_CLK_000_D4{ F}: DSACK1{ H} +CLK_CNT_N_0_{ B}: CLK_CNT_N_0_{ A} CLK_CNT_N_1_{ A}AMIGA_BUS_ENABLE_LOW_0{ A} +inst_CLK_OUT_PRE_50{ F}:inst_CLK_OUT_PRE_50_D{ E}inst_CLK_OUT_PRE_50{ E}inst_CLK_OUT_PRE_25{ G} +inst_CLK_OUT_PRE_25{ H}:inst_CLK_OUT_PRE_25{ G}inst_CLK_OUT_PRE{ E} +inst_CLK_000_D2{ I}:inst_CLK_000_D3{ C}CLK_000_P_SYNC_0_{ G} +inst_CLK_000_D3{ D}: DSACK1{ H}inst_CLK_000_D4{ E}CLK_000_P_SYNC_0_{ G} +inst_CLK_OUT_PRE_D{ C}: CLK_DIV_OUT{ G} CLK_EXP{ B} +inst_CLK_OUT_PRE{ F}:inst_CLK_OUT_PRE_D{ B} +CLK_000_P_SYNC_9_{ B}: AVEC_EXP{ C} +SM_AMIGA_7_{ G}: RW_000{ H}AMIGA_BUS_ENABLE{ D}inst_AS_030_000_SYNC{ B} + : SM_AMIGA_6_{ F} +SM_AMIGA_6_{ G}: AS_000{ D} RW_000{ H} SM_AMIGA_7_{ F} + : SM_AMIGA_6_{ F}inst_DS_000_ENABLE{ C} SM_AMIGA_5_{ C} : SM_AMIGA_7__0{ F} -CLK_CNT_N_0_{ F}: CLK_CNT_N_0_{ E} CLK_CNT_N_1_{ E} CLK_PRE_66_0{ E} -inst_CLK_OUT_PRE_50{ I}:inst_CLK_OUT_PRE_50_D{ H}inst_CLK_OUT_PRE_50{ H}inst_CLK_OUT_PRE_25{ C} -inst_CLK_OUT_PRE_25{ D}:inst_CLK_OUT_PRE_25{ C}inst_CLK_OUT_PRE{ E} -inst_CLK_000_D1{ E}:AMIGA_BUS_ENABLE{ D}inst_CLK_000_D2{ F} SM_AMIGA_6_{ A} - :CLK_000_P_SYNC_0_{ A}CLK_000_N_SYNC_0_{ A} SM_AMIGA_7__0{ F} -inst_CLK_000_D2{ G}:inst_CLK_000_D3{ A}CLK_000_P_SYNC_0_{ A}CLK_000_N_SYNC_0_{ A} -inst_CLK_000_D3{ B}:CLK_000_P_SYNC_0_{ A}CLK_000_N_SYNC_0_{ A} -inst_CLK_000_NE{ B}:AMIGA_BUS_ENABLE{ D} SM_AMIGA_7_{ F} SM_AMIGA_1_{ F} - : SM_AMIGA_0_{ F} SM_AMIGA_4_{ F} SM_AMIGA_5_{ F} - : SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} -inst_CLK_OUT_PRE_D{ I}: CLK_DIV_OUT{ G} CLK_EXP{ B} -inst_CLK_OUT_PRE{ F}:inst_CLK_OUT_PRE_D{ H} -CLK_000_P_SYNC_9_{ H}: AVEC_EXP{ C} -CLK_000_N_SYNC_11_{ B}:inst_CLK_000_NE{ A} -SM_AMIGA_7_{ G}: RW_000{ H} BG_000{ D}AMIGA_BUS_ENABLE{ D} - :inst_AS_030_000_SYNC{ H} SM_AMIGA_6_{ A} SM_AMIGA_1_{ G}: DSACK1{ H}AMIGA_BUS_ENABLE{ D} SM_AMIGA_7_{ F} : SM_AMIGA_1_{ F} SM_AMIGA_0_{ F} SM_AMIGA_7__0{ F} SM_AMIGA_0_{ G}:AMIGA_BUS_ENABLE{ D} SM_AMIGA_7_{ F} SM_AMIGA_0_{ F} : SM_AMIGA_7__0{ F} -SM_AMIGA_6_{ B}: AS_000{ D} RW_000{ H} SM_AMIGA_7_{ F} - : SM_AMIGA_6_{ A}inst_DS_000_ENABLE{ B} SM_AMIGA_5_{ F} - : SM_AMIGA_7__0{ F} -SM_AMIGA_4_{ G}: SM_AMIGA_7_{ F} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ B} +SM_AMIGA_4_{ G}: SM_AMIGA_7_{ F} SM_AMIGA_4_{ F}inst_DS_000_ENABLE{ C} : SM_AMIGA_3_{ F} SM_AMIGA_7__0{ F} -CLK_000_N_SYNC_6_{ D}: DSACK1{ H}CLK_000_N_SYNC_7_{ H} -CLK_CNT_P_1_{ F}: CLK_CNT_P_0_{ E} CLK_PRE_66_0{ E} -CLK_CNT_N_1_{ F}: CLK_CNT_N_0_{ E} CLK_PRE_66_0{ E} inst_CLK_030_H{ B}: DS_030{ A} inst_CLK_030_H{ A} -CLK_CNT_P_0_{ F}: CLK_CNT_P_1_{ E} CLK_CNT_P_0_{ E} CLK_PRE_66_0{ E} +CLK_CNT_P_1_{ B}: CLK_CNT_P_0_{ A}AMIGA_BUS_ENABLE_LOW_0{ A} +CLK_CNT_N_1_{ B}: CLK_CNT_N_0_{ A}AMIGA_BUS_ENABLE_LOW_0{ A} +CLK_CNT_P_0_{ B}: CLK_CNT_P_1_{ A} CLK_CNT_P_0_{ A}AMIGA_BUS_ENABLE_LOW_0{ A} inst_LDS_000_INT{ D}: LDS_000{ D}inst_LDS_000_INT{ C} -inst_DS_000_ENABLE{ C}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ B} -inst_UDS_000_INT{ D}: UDS_000{ D}inst_UDS_000_INT{ C} -CLK_000_P_SYNC_0_{ B}:CLK_000_P_SYNC_1_{ G} -CLK_000_P_SYNC_1_{ H}:CLK_000_P_SYNC_2_{ C} -CLK_000_P_SYNC_2_{ D}:CLK_000_P_SYNC_3_{ G} -CLK_000_P_SYNC_3_{ H}:CLK_000_P_SYNC_4_{ A} -CLK_000_P_SYNC_4_{ B}:CLK_000_P_SYNC_5_{ B} -CLK_000_P_SYNC_5_{ C}:CLK_000_P_SYNC_6_{ E} -CLK_000_P_SYNC_6_{ F}:CLK_000_P_SYNC_7_{ A} -CLK_000_P_SYNC_7_{ B}:CLK_000_P_SYNC_8_{ B} -CLK_000_P_SYNC_8_{ C}:CLK_000_P_SYNC_9_{ G} -CLK_000_N_SYNC_0_{ B}:CLK_000_N_SYNC_1_{ F} -CLK_000_N_SYNC_1_{ G}:CLK_000_N_SYNC_2_{ G} -CLK_000_N_SYNC_2_{ H}:CLK_000_N_SYNC_3_{ C} -CLK_000_N_SYNC_3_{ D}:CLK_000_N_SYNC_4_{ C} -CLK_000_N_SYNC_4_{ D}:CLK_000_N_SYNC_5_{ B} -CLK_000_N_SYNC_5_{ C}:CLK_000_N_SYNC_6_{ C} -CLK_000_N_SYNC_7_{ I}:CLK_000_N_SYNC_8_{ C} -CLK_000_N_SYNC_8_{ D}:CLK_000_N_SYNC_9_{ B} -CLK_000_N_SYNC_9_{ C}:CLK_000_N_SYNC_10_{ C} -CLK_000_N_SYNC_10_{ D}:CLK_000_N_SYNC_11_{ A} -SM_AMIGA_5_{ G}: SM_AMIGA_7_{ F} SM_AMIGA_4_{ F} SM_AMIGA_5_{ F} +inst_DS_000_ENABLE{ D}: UDS_000{ D} LDS_000{ D}inst_DS_000_ENABLE{ C} +inst_UDS_000_INT{ B}: UDS_000{ D}inst_UDS_000_INT{ A} +CLK_000_P_SYNC_0_{ H}:CLK_000_P_SYNC_1_{ B} +CLK_000_P_SYNC_1_{ C}:CLK_000_P_SYNC_2_{ E} +CLK_000_P_SYNC_2_{ F}:CLK_000_P_SYNC_3_{ C} +CLK_000_P_SYNC_3_{ D}:CLK_000_P_SYNC_4_{ E} +CLK_000_P_SYNC_4_{ F}:CLK_000_P_SYNC_5_{ C} +CLK_000_P_SYNC_5_{ D}:CLK_000_P_SYNC_6_{ E} +CLK_000_P_SYNC_6_{ F}:CLK_000_P_SYNC_7_{ G} +CLK_000_P_SYNC_7_{ H}:CLK_000_P_SYNC_8_{ G} +CLK_000_P_SYNC_8_{ H}:CLK_000_P_SYNC_9_{ A} + un16_ciin{ F}: CIIN{ E} +SM_AMIGA_5_{ D}: SM_AMIGA_7_{ F} SM_AMIGA_4_{ F} SM_AMIGA_5_{ C} : SM_AMIGA_7__0{ F} SM_AMIGA_3_{ G}: SM_AMIGA_7_{ F} SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_7__0{ F} SM_AMIGA_2_{ G}: SM_AMIGA_7_{ F} SM_AMIGA_1_{ F} SM_AMIGA_2_{ F} : SM_AMIGA_7__0{ F} - cpu_est_0_{ E}: E{ G} VMA{ D} cpu_est_0_{ D} - : cpu_est_1_{ G} cpu_est_2_{ D} - cpu_est_1_{ H}: E{ G} VMA{ D} SM_AMIGA_7_{ F} - : SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} cpu_est_1_{ G} + cpu_est_0_{ I}: E{ G} VMA{ D} cpu_est_0_{ H} + : cpu_est_1_{ F} cpu_est_2_{ D} + cpu_est_1_{ G}: E{ G} VMA{ D} SM_AMIGA_7_{ F} + : SM_AMIGA_3_{ F} SM_AMIGA_2_{ F} cpu_est_1_{ F} : cpu_est_2_{ D} - cpu_est_2_{ E}: E{ G} VMA{ D} cpu_est_1_{ G} + cpu_est_2_{ E}: E{ G} VMA{ D} cpu_est_1_{ F} : cpu_est_2_{ D} -CLK_PRE_66_0{ F}:AMIGA_BUS_ENABLE_LOW{ C} +AMIGA_BUS_ENABLE_LOW_0{ B}:AMIGA_BUS_ENABLE_LOW{ C} SM_AMIGA_7__0{ G}: SM_AMIGA_7_{ F} ----------------------------------------------------------------------------- @@ -684,16 +658,15 @@ Equations : +-----+-----+-----+-----+------------------------ | * | S | BS | BR | DS_030 | | | | | AVEC -| * | S | BR | BS | SM_AMIGA_6_ -| * | S | BR | BS | inst_CLK_000_NE +| * | S | BS | BR | inst_UDS_000_INT | * | S | BS | BR | RN_DS_030 | * | S | BR | BR | inst_CLK_030_H -| * | S | BR | BS | CLK_000_N_SYNC_0_ -| * | S | BR | BS | CLK_000_P_SYNC_7_ -| * | S | BR | BS | CLK_000_P_SYNC_4_ -| * | S | BR | BS | CLK_000_P_SYNC_0_ -| * | S | BR | BS | CLK_000_N_SYNC_11_ -| * | S | BS | BR | inst_CLK_000_D3 +| | | | | AMIGA_BUS_ENABLE_LOW_0 +| * | S | BR | BS | CLK_CNT_P_0_ +| * | S | BR | BS | CLK_CNT_N_0_ +| * | S | BS | BR | CLK_CNT_N_1_ +| * | S | BR | BS | CLK_CNT_P_1_ +| * | S | BR | BS | CLK_000_P_SYNC_9_ | | | | | A_19_ | | | | | A_16_ | | | | | A_18_ @@ -713,15 +686,13 @@ Equations : | * | S | BS | BR | IPL_030_1_ | * | S | BR | BS | CLK_EXP | * | S | BR | BS | RESET -| * | S | BS | BR | inst_CLK_000_D0 -| * | S | BR | BS | inst_DS_000_ENABLE +| * | S | BS | BR | inst_AS_030_000_SYNC +| * | S | BR | BS | inst_CLK_OUT_PRE_D | * | S | BS | BR | RN_IPL_030_0_ | * | S | BS | BR | RN_IPL_030_1_ | * | S | BS | BR | RN_IPL_030_2_ -| * | S | BR | BS | CLK_000_N_SYNC_9_ -| * | S | BR | BS | CLK_000_N_SYNC_5_ -| * | S | BR | BS | CLK_000_P_SYNC_8_ -| * | S | BR | BS | CLK_000_P_SYNC_5_ +| * | S | BR | BS | CLK_000_P_SYNC_1_ +| * | S | BS | BR | inst_VPA_D | | | | | A_29_ | | | | | A_30_ | | | | | A_31_ @@ -736,17 +707,14 @@ Equations : +-----+-----+-----+-----+------------------------ | * | S | BS | BR | AVEC_EXP | * | A | | | AMIGA_BUS_ENABLE_LOW -| * | S | BS | BR | RN_AVEC_EXP -| * | S | BS | BR | inst_CLK_OUT_PRE_25 -| * | S | BR | BS | inst_UDS_000_INT +| * | S | BR | BS | inst_CLK_000_D0 +| * | S | BR | BS | inst_CLK_000_D3 +| * | S | BS | BR | inst_DS_000_ENABLE +| * | S | BS | BR | SM_AMIGA_5_ | * | S | BR | BS | inst_LDS_000_INT | * | A | | | RN_AMIGA_BUS_ENABLE_LOW -| * | S | BS | BR | CLK_000_N_SYNC_10_ -| * | S | BS | BR | CLK_000_N_SYNC_8_ -| * | S | BS | BR | CLK_000_N_SYNC_4_ -| * | S | BS | BR | CLK_000_N_SYNC_3_ -| * | S | BS | BR | CLK_000_P_SYNC_2_ -| * | S | BS | BR | CLK_000_N_SYNC_6_ +| * | S | BS | BR | CLK_000_P_SYNC_5_ +| * | S | BS | BR | CLK_000_P_SYNC_3_ | | | | | BG_030 | | | | | A_24_ | | | | | A_25_ @@ -772,11 +740,9 @@ Equations : | * | S | BS | BR | inst_CLK_000_D1 | * | S | BR | BS | cpu_est_2_ | * | S | BS | BR | RN_VMA -| * | S | BR | BS | cpu_est_0_ | * | S | BS | BR | RN_AMIGA_BUS_ENABLE | * | S | BS | BR | RN_BG_000 | * | S | BS | BR | RN_AS_000 -| * | S | BS | BR | inst_BGACK_030_INT_D | | | | | BGACK_000 @@ -787,15 +753,16 @@ Equations : | | |Block|Block| Signal | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ -| | | | | CIIN | | | | | AMIGA_BUS_DATA_DIR -| | | | | CLK_PRE_66_0 -| * | S | BS | BR | CLK_CNT_P_0_ -| * | S | BS | BR | CLK_CNT_N_0_ +| | | | | CIIN +| * | S | BS | BR | inst_CLK_OUT_PRE_50 +| | | | | un16_ciin | * | S | BS | BR | CLK_000_P_SYNC_6_ -| * | S | BR | BS | CLK_CNT_N_1_ -| * | S | BS | BR | CLK_CNT_P_1_ +| * | S | BS | BR | CLK_000_P_SYNC_4_ +| * | S | BS | BR | CLK_000_P_SYNC_2_ | * | S | BS | BR | inst_CLK_OUT_PRE +| * | S | BR | BS | inst_CLK_000_D4 +| * | S | BS | BR | inst_CLK_OUT_PRE_50_D | | | | | BERR @@ -807,15 +774,14 @@ Equations : | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ | * | S | BS | BR | SM_AMIGA_7_ +| * | S | BR | BS | SM_AMIGA_6_ +| * | S | BR | BS | cpu_est_1_ | * | S | BR | BS | SM_AMIGA_1_ | * | S | BR | BS | SM_AMIGA_4_ | * | S | BR | BS | SM_AMIGA_0_ | * | S | BR | BS | SM_AMIGA_3_ | | | | | SM_AMIGA_7__0 | * | S | BR | BS | SM_AMIGA_2_ -| * | S | BR | BS | SM_AMIGA_5_ -| * | S | BR | BS | CLK_000_N_SYNC_1_ -| * | S | BS | BR | inst_CLK_000_D2 | | | | | A_17_ | | | | | FC_1_ | | | | | FC_0_ @@ -830,18 +796,16 @@ Equations : | Reg |Mode |Set |Reset| Name +-----+-----+-----+-----+------------------------ | * | S | BS | BR | RW -| * | S | BS | BR | SIZE_0_ | * | S | BS | BR | A0 +| * | S | BS | BR | SIZE_0_ | * | S | BR | BS | E | * | S | BR | BS | CLK_DIV_OUT -| * | S | BR | BS | cpu_est_1_ | * | S | BR | BS | RN_E +| * | S | BR | BS | inst_CLK_OUT_PRE_25 | * | S | BS | BR | RN_RW -| * | S | BR | BS | CLK_000_N_SYNC_2_ -| * | S | BR | BS | CLK_000_P_SYNC_3_ -| * | S | BR | BS | CLK_000_P_SYNC_1_ -| * | S | BR | BS | CLK_000_P_SYNC_9_ -| * | S | BS | BR | inst_VPA_D +| * | S | BR | BS | CLK_000_P_SYNC_8_ +| * | S | BR | BS | CLK_000_P_SYNC_7_ +| * | S | BR | BS | CLK_000_P_SYNC_0_ | | | | | IPL_2_ | | | | | IPL_0_ @@ -860,14 +824,12 @@ Equations : | * | S | BS | BR | BGACK_030 | | | | | FPU_CS | * | S | BS | BR | RN_BGACK_030 -| * | S | BS | BR | inst_AS_030_000_SYNC | * | S | BS | BR | RN_AS_030 -| * | S | BR | BS | inst_CLK_OUT_PRE_D -| * | S | BR | BS | inst_CLK_OUT_PRE_50 +| * | S | BR | BS | cpu_est_0_ +| * | S | BS | BR | inst_CLK_000_D2 | * | S | BS | BR | RN_RW_000 | * | S | BS | BR | RN_DSACK1 -| * | S | BR | BS | CLK_000_N_SYNC_7_ -| * | S | BR | BS | inst_CLK_OUT_PRE_50_D +| * | S | BS | BR | inst_BGACK_030_INT_D | | | | | A_22_ | | | | | A_23_ @@ -886,23 +848,23 @@ BLOCK_A_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx A0 RST pin 86 mx A17 ... ... -mx A1 BERR pin 41 mx A18 SM_AMIGA_6_ mcell A8 -mx A2 ... ... mx A19 ... ... -mx A3CLK_000_P_SYNC_6_ mcell E5 mx A20 RN_BGACK_030 mcell H4 -mx A4 CLK_030 pin 64 mx A21 ... ... -mx A5 nEXP_SPACE pin 14 mx A22 ... ... +mx A0 A0 pin 69 mx A17 ... ... +mx A1 ... ... mx A18 CLK_CNT_P_0_ mcell A5 +mx A2 CLK_CNT_N_0_ mcell A9 mx A19 ... ... +mx A3inst_UDS_000_INT mcell A8 mx A20 RN_BGACK_030 mcell H4 +mx A4 CLK_030 pin 64 mx A21 RST pin 86 +mx A5 nEXP_SPACE pin 14 mx A22 CLK_CNT_P_1_ mcell A2 mx A6 RW_000 pin 80 mx A23 AS_000 pin 33 -mx A7CLK_000_N_SYNC_10_ mcell C5 mx A24 LDS_000 pin 31 -mx A8 UDS_000 pin 32 mx A25 inst_CLK_000_D1 mcell D9 -mx A9CLK_000_P_SYNC_3_ mcell G13 mx A26 ... ... -mx A10CLK_000_N_SYNC_11_ mcell A6 mx A27inst_AS_030_000_SYNC mcell H9 -mx A11 inst_CLK_000_D2 mcell F6 mx A28 inst_CLK_000_D0 mcell B5 -mx A12 inst_CLK_030_H mcell A1 mx A29 ... ... +mx A7 ... ... mx A24 LDS_000 pin 31 +mx A8 UDS_000 pin 32 mx A25 CLK_CNT_N_1_ mcell A13 +mx A9 inst_CLK_030_H mcell A12 mx A26 ... ... +mx A10CLK_000_P_SYNC_8_ mcell G9 mx A27 ... ... +mx A11 ... ... mx A28 ... ... +mx A12 DS_030 pin 98 mx A29 ... ... mx A13 RN_AS_030 mcell H8 mx A30 ... ... -mx A14 inst_CLK_000_D3 mcell A10 mx A31 SM_AMIGA_7_ mcell F0 +mx A14 ... ... mx A31 ... ... mx A15 RN_DS_030 mcell A0 mx A32 ... ... -mx A16 RN_AVEC_EXP mcell C0 +mx A16 ... ... ---------------------------------------------------------------------------- @@ -910,23 +872,23 @@ BLOCK_B_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx B0 IPL_0_ pin 67 mx B17 RN_IPL_030_0_ mcell B8 -mx B1 BERR pin 41 mx B18 SM_AMIGA_6_ mcell A8 -mx B2CLK_000_P_SYNC_7_ mcell A9 mx B19 AS_030 pin 82 -mx B3 IPL_1_ pin 56 mx B20 SM_AMIGA_4_ mcell F8 +mx B0 IPL_0_ pin 67 mx B17 A_18_ pin 95 +mx B1 FC_1_ pin 58 mx B18 BGACK_000 pin 28 +mx B2inst_CLK_OUT_PRE mcell E13 mx B19 ... ... +mx B3 IPL_1_ pin 56 mx B20 RN_BGACK_030 mcell H4 mx B4 IPL_2_ pin 68 mx B21 RST pin 86 -mx B5 ... ... mx B22 ... ... -mx B6inst_DS_000_ENABLE mcell B9 mx B23 ... ... -mx B7inst_CLK_OUT_PRE_D mcell H13 mx B24 ... ... -mx B8 RW pin 71 mx B25CLK_000_P_SYNC_4_ mcell A13 -mx B9 RN_AVEC_EXP mcell C0 mx B26 ... ... -mx B10 ... ... mx B27 RN_IPL_030_2_ mcell B4 -mx B11CLK_000_N_SYNC_4_ mcell C13 mx B28 ... ... -mx B12 RN_IPL_030_1_ mcell B12 mx B29 ... ... -mx B13CLK_000_N_SYNC_8_ mcell C9 mx B30 ... ... -mx B14 CLK_000 pin 11 mx B31 ... ... +mx B5 nEXP_SPACE pin 14 mx B22 ... ... +mx B6 FC_0_ pin 57 mx B23CLK_000_P_SYNC_0_ mcell G2 +mx B7 inst_CLK_000_D1 mcell D9 mx B24 ... ... +mx B8 RN_IPL_030_0_ mcell B8 mx B25 BERR pin 41 +mx B9 AS_030 pin 82 mx B26 RN_IPL_030_1_ mcell B12 +mx B10 VPA pin 36 mx B27 RN_IPL_030_2_ mcell B4 +mx B11 A_16_ pin 96 mx B28inst_AS_030_000_SYNC mcell B5 +mx B12 A_19_ pin 97 mx B29 ... ... +mx B13 A_17_ pin 59 mx B30 ... ... +mx B14 inst_CLK_000_D0 mcell C4 mx B31 SM_AMIGA_7_ mcell F0 mx B15 ... ... mx B32 ... ... -mx B16 ... ... +mx B16inst_CLK_OUT_PRE_D mcell B9 ---------------------------------------------------------------------------- @@ -934,23 +896,23 @@ BLOCK_C_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx C0 A0 pin 69 mx C17 SIZE_0_ pin 70 -mx C1inst_LDS_000_INT mcell C1 mx C18inst_CLK_OUT_PRE_50_D mcell H10 -mx C2CLK_000_N_SYNC_3_ mcell C2 mx C19 ... ... -mx C3 ... ... mx C20 SIZE_1_ pin 79 -mx C4CLK_000_P_SYNC_1_ mcell G2 mx C21 RST pin 86 -mx C5 DS_030 pin 98 mx C22 ... ... -mx C6inst_CLK_OUT_PRE_25 mcell C4 mx C23CLK_000_P_SYNC_9_ mcell G6 -mx C7CLK_000_N_SYNC_7_ mcell H6 mx C24 ... ... -mx C8 CLK_PRE_66_0 mcell E8 mx C25CLK_000_N_SYNC_5_ mcell B2 -mx C9 ... ... mx C26 ... ... -mx C10CLK_000_N_SYNC_9_ mcell B13 mx C27 ... ... -mx C11 ... ... mx C28 ... ... -mx C12CLK_000_N_SYNC_2_ mcell G9 mx C29 ... ... -mx C13 ... ... mx C30 ... ... -mx C14inst_CLK_OUT_PRE_50 mcell H2 mx C31 ... ... -mx C15RN_AMIGA_BUS_ENABLE_LOW mcell C12 mx C32 ... ... -mx C16inst_UDS_000_INT mcell C8 +mx C0 RST pin 86 mx C17 SIZE_0_ pin 70 +mx C1 BERR pin 41 mx C18 A0 pin 69 +mx C2CLK_000_P_SYNC_2_ mcell E9 mx C19 AS_030 pin 82 +mx C3 CLK_000 pin 11 mx C20 SIZE_1_ pin 79 +mx C4RN_AMIGA_BUS_ENABLE_LOW mcell C12 mx C21 ... ... +mx C5 DS_030 pin 98 mx C22inst_DS_000_ENABLE mcell C1 +mx C6 inst_CLK_000_D0 mcell C4 mx C23 ... ... +mx C7 inst_CLK_000_D2 mcell H13 mx C24 ... ... +mx C8 RW pin 71 mx C25 ... ... +mx C9AMIGA_BUS_ENABLE_LOW_0 mcell A1 mx C26 ... ... +mx C10CLK_000_P_SYNC_9_ mcell A6 mx C27 ... ... +mx C11 ... ... mx C28 SM_AMIGA_5_ mcell C5 +mx C12 ... ... mx C29 SM_AMIGA_6_ mcell F4 +mx C13inst_LDS_000_INT mcell C9 mx C30 ... ... +mx C14CLK_000_P_SYNC_4_ mcell E5 mx C31 ... ... +mx C15 SM_AMIGA_4_ mcell F1 mx C32 ... ... +mx C16 ... ... ---------------------------------------------------------------------------- @@ -958,22 +920,22 @@ BLOCK_D_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx D0 RST pin 86 mx D17 BERR pin 41 -mx D1 SM_AMIGA_0_ mcell F12 mx D18 SM_AMIGA_6_ mcell A8 -mx D2 RN_E mcell G4 mx D19 AS_030 pin 82 -mx D3 CLK_000 pin 11 mx D20inst_BGACK_030_INT_D mcell D10 -mx D4 cpu_est_0_ mcell D6 mx D21 RN_BG_000 mcell D13 -mx D5 inst_VPA_D mcell G10 mx D22 BG_030 pin 21 -mx D6inst_DS_000_ENABLE mcell B9 mx D23 RN_BGACK_030 mcell H4 -mx D7inst_UDS_000_INT mcell C8 mx D24RN_AMIGA_BUS_ENABLE mcell D5 -mx D8 ... ... mx D25 RN_AVEC_EXP mcell C0 -mx D9 inst_CLK_000_NE mcell A12 mx D26 ... ... -mx D10 DSACK1 pin 81 mx D27 RN_VMA mcell D1 -mx D11inst_AS_030_000_SYNC mcell H9 mx D28 inst_CLK_000_D0 mcell B5 -mx D12 inst_CLK_000_D1 mcell D9 mx D29 SM_AMIGA_1_ mcell F4 -mx D13 RN_AS_030 mcell H8 mx D30inst_LDS_000_INT mcell C1 -mx D14 RN_AS_000 mcell D4 mx D31 SM_AMIGA_7_ mcell F0 -mx D15 nEXP_SPACE pin 14 mx D32 cpu_est_1_ mcell G5 +mx D0 RST pin 86 mx D17 SM_AMIGA_1_ mcell F12 +mx D1 BERR pin 41 mx D18 inst_VPA_D mcell B2 +mx D2 RN_VMA mcell D1 mx D19 AS_030 pin 82 +mx D3inst_UDS_000_INT mcell A8 mx D20 cpu_est_1_ mcell F8 +mx D4 BG_030 pin 21 mx D21 RN_AS_000 mcell D4 +mx D5 SM_AMIGA_7_ mcell F0 mx D22inst_DS_000_ENABLE mcell C1 +mx D6 inst_CLK_000_D0 mcell C4 mx D23 RN_BGACK_030 mcell H4 +mx D7RN_AMIGA_BUS_ENABLE mcell D5 mx D24 ... ... +mx D8 ... ... mx D25 RN_AS_030 mcell H8 +mx D9 SM_AMIGA_0_ mcell F5 mx D26 ... ... +mx D10 SM_AMIGA_6_ mcell F4 mx D27 cpu_est_0_ mcell H9 +mx D11 RN_E mcell G4 mx D28inst_AS_030_000_SYNC mcell B5 +mx D12 inst_CLK_000_D1 mcell D9 mx D29 RN_BG_000 mcell D13 +mx D13inst_LDS_000_INT mcell C9 mx D30 ... ... +mx D14inst_BGACK_030_INT_D mcell H2 mx D31 ... ... +mx D15 nEXP_SPACE pin 14 mx D32 DSACK1 pin 81 mx D16 cpu_est_2_ mcell D2 ---------------------------------------------------------------------------- @@ -983,22 +945,22 @@ BLOCK_E_LOGIC_ARRAY_FANIN CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ mx E0 RST pin 86 mx E17 A_26_ pin 17 -mx E1 A_31_ pin 4 mx E18 A_22_ pin 85 -mx E2 CLK_CNT_N_1_ mcell E9 mx E19 A_30_ pin 5 +mx E1 A_31_ pin 4 mx E18 ... ... +mx E2CLK_000_P_SYNC_3_ mcell C2 mx E19 AS_030 pin 82 mx E3 A_27_ pin 16 mx E20 RN_BGACK_030 mcell H4 mx E4 A_29_ pin 6 mx E21 nEXP_SPACE pin 14 -mx E5 CLK_CNT_N_0_ mcell E1 mx E22 ... ... -mx E6inst_CLK_OUT_PRE_25 mcell C4 mx E23 AS_000 pin 33 -mx E7 A_28_ pin 15 mx E24CLK_000_P_SYNC_5_ mcell B10 -mx E8 A_17_ pin 59 mx E25 RW pin 71 -mx E9 A_23_ pin 84 mx E26 ... ... -mx E10 CLK_CNT_P_0_ mcell E12 mx E27 A_19_ pin 97 -mx E11 A_16_ pin 96 mx E28 ... ... +mx E5 A_24_ pin 19 mx E22inst_CLK_OUT_PRE_25 mcell G5 +mx E6inst_CLK_OUT_PRE_50 mcell E4 mx E23 AS_000 pin 33 +mx E7 inst_CLK_000_D3 mcell C8 mx E24 ... ... +mx E8 A_22_ pin 85 mx E25 RW pin 71 +mx E9 A_30_ pin 5 mx E26 ... ... +mx E10CLK_000_P_SYNC_1_ mcell B13 mx E27 ... ... +mx E11 A_23_ pin 84 mx E28 ... ... mx E12 A_25_ pin 18 mx E29 A_20_ pin 93 -mx E13 CLK_CNT_P_1_ mcell E13 mx E30 ... ... -mx E14 A_24_ pin 19 mx E31 A_18_ pin 95 +mx E13 ... ... mx E30CLK_000_P_SYNC_5_ mcell C13 +mx E14 A_28_ pin 15 mx E31 ... ... mx E15 A_21_ pin 94 mx E32 ... ... -mx E16 ... ... +mx E16 un16_ciin mcell E8 ---------------------------------------------------------------------------- @@ -1006,23 +968,23 @@ BLOCK_F_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx F0 RST pin 86 mx F17 SM_AMIGA_0_ mcell F12 -mx F1 BERR pin 41 mx F18 SM_AMIGA_2_ mcell F9 -mx F2CLK_000_N_SYNC_0_ mcell A5 mx F19 ... ... -mx F3 cpu_est_1_ mcell G5 mx F20 SM_AMIGA_4_ mcell F8 +mx F0 RST pin 86 mx F17 SM_AMIGA_1_ mcell F12 +mx F1 BERR pin 41 mx F18 SM_AMIGA_3_ mcell F9 +mx F2 RN_VMA mcell D1 mx F19 ... ... +mx F3 cpu_est_2_ mcell D2 mx F20 cpu_est_1_ mcell F8 mx F4 ... ... mx F21 ... ... -mx F5inst_AS_030_000_SYNC mcell H9 mx F22 ... ... -mx F6 SM_AMIGA_5_ mcell F13 mx F23 DTACK pin 30 -mx F7 inst_CLK_000_D1 mcell D9 mx F24 inst_CLK_000_NE mcell A12 -mx F8 ... ... mx F25 ... ... -mx F9 SM_AMIGA_3_ mcell F5 mx F26 ... ... -mx F10 SM_AMIGA_7__0 mcell F1 mx F27 RN_VMA mcell D1 -mx F11 RN_E mcell G4 mx F28 inst_CLK_000_D0 mcell B5 -mx F12 ... ... mx F29 ... ... -mx F13 VPA pin 36 mx F30 SM_AMIGA_6_ mcell A8 -mx F14 SM_AMIGA_1_ mcell F4 mx F31 ... ... -mx F15 nEXP_SPACE pin 14 mx F32 ... ... -mx F16 RN_AVEC_EXP mcell C0 +mx F5 nEXP_SPACE pin 14 mx F22 ... ... +mx F6 inst_CLK_000_D0 mcell C4 mx F23 DTACK pin 30 +mx F7 SM_AMIGA_5_ mcell C5 mx F24 ... ... +mx F8 ... ... mx F25 SM_AMIGA_7_ mcell F0 +mx F9 SM_AMIGA_2_ mcell F2 mx F26 ... ... +mx F10 SM_AMIGA_4_ mcell F1 mx F27 cpu_est_0_ mcell H9 +mx F11 RN_E mcell G4 mx F28inst_AS_030_000_SYNC mcell B5 +mx F12 inst_CLK_000_D1 mcell D9 mx F29 SM_AMIGA_6_ mcell F4 +mx F13 VPA pin 36 mx F30 ... ... +mx F14 SM_AMIGA_0_ mcell F5 mx F31 ... ... +mx F15 SM_AMIGA_7__0 mcell F13 mx F32 ... ... +mx F16 ... ... ---------------------------------------------------------------------------- @@ -1032,21 +994,21 @@ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ mx G0 RST pin 86 mx G17 RN_RW mcell G0 mx G1 ... ... mx G18 ... ... -mx G2CLK_000_P_SYNC_8_ mcell B6 mx G19 ... ... -mx G3 cpu_est_2_ mcell D2 mx G20 RN_BGACK_030 mcell H4 -mx G4 CLK_030 pin 64 mx G21 ... ... -mx G5 nEXP_SPACE pin 14 mx G22CLK_000_P_SYNC_0_ mcell A2 -mx G6 RW_000 pin 80 mx G23 AS_000 pin 33 -mx G7inst_CLK_OUT_PRE_D mcell H13 mx G24 LDS_000 pin 31 -mx G8 UDS_000 pin 32 mx G25 RN_AVEC_EXP mcell C0 -mx G9CLK_000_P_SYNC_2_ mcell C6 mx G26 ... ... -mx G10 VPA pin 36 mx G27 ... ... -mx G11 RN_E mcell G4 mx G28 ... ... -mx G12 ... ... mx G29 ... ... -mx G13 RN_AS_030 mcell H8 mx G30 ... ... -mx G14 ... ... mx G31 ... ... -mx G15CLK_000_N_SYNC_1_ mcell F2 mx G32 cpu_est_1_ mcell G5 -mx G16 cpu_est_0_ mcell D6 +mx G2 RN_E mcell G4 mx G19inst_CLK_OUT_PRE_50_D mcell E6 +mx G3inst_CLK_OUT_PRE_25 mcell G5 mx G20 cpu_est_1_ mcell F8 +mx G4 CLK_030 pin 64 mx G21 RW_000 pin 80 +mx G5 nEXP_SPACE pin 14 mx G22 ... ... +mx G6inst_CLK_OUT_PRE_50 mcell E4 mx G23 RN_BGACK_030 mcell H4 +mx G7 inst_CLK_000_D2 mcell H13 mx G24 LDS_000 pin 31 +mx G8 UDS_000 pin 32 mx G25 RN_AS_030 mcell H8 +mx G9CLK_000_P_SYNC_7_ mcell G13 mx G26 AS_000 pin 33 +mx G10 ... ... mx G27 ... ... +mx G11 cpu_est_0_ mcell H9 mx G28 cpu_est_2_ mcell D2 +mx G12 inst_CLK_000_D1 mcell D9 mx G29 inst_CLK_000_D3 mcell C8 +mx G13CLK_000_P_SYNC_6_ mcell E1 mx G30 ... ... +mx G14 inst_CLK_000_D0 mcell C4 mx G31 ... ... +mx G15 ... ... mx G32 ... ... +mx G16inst_CLK_OUT_PRE_D mcell B9 ---------------------------------------------------------------------------- @@ -1054,23 +1016,23 @@ BLOCK_H_LOGIC_ARRAY_FANIN ~~~~~~~~~~~~~~~~~~~~~~~~~ CSM Signal Source CSM Signal Source ------------------------------------ ------------------------------------ -mx H0 AS_000 pin 33 mx H17 FC_0_ pin 57 +mx H0 AS_000 pin 33 mx H17 BERR pin 41 mx H1 FC_1_ pin 58 mx H18 BGACK_000 pin 28 -mx H2 ... ... mx H19 AS_030 pin 82 -mx H3 RN_AS_030 mcell H8 mx H20 RN_BGACK_030 mcell H4 +mx H2 ... ... mx H19 ... ... +mx H3 inst_CLK_000_D4 mcell E2 mx H20 RN_BGACK_030 mcell H4 mx H4 A_18_ pin 95 mx H21 RST pin 86 -mx H5 nEXP_SPACE pin 14 mx H22 ... ... -mx H6 A_19_ pin 97 mx H23 RN_RW_000 mcell H0 -mx H7 ... ... mx H24 LDS_000 pin 31 -mx H8 RW pin 71 mx H25 BERR pin 41 -mx H9 RN_AVEC_EXP mcell C0 mx H26CLK_000_N_SYNC_6_ mcell C10 -mx H10 SM_AMIGA_1_ mcell F4 mx H27inst_AS_030_000_SYNC mcell H9 -mx H11 A_16_ pin 96 mx H28 CLK_030 pin 64 +mx H5 SM_AMIGA_7_ mcell F0 mx H22 ... ... +mx H6 FC_0_ pin 57 mx H23 RN_RW_000 mcell H0 +mx H7 inst_CLK_000_D1 mcell D9 mx H24 LDS_000 pin 31 +mx H8 RW pin 71 mx H25 RN_AS_030 mcell H8 +mx H9 AS_030 pin 82 mx H26 A_16_ pin 96 +mx H10 SM_AMIGA_6_ mcell F4 mx H27 A_19_ pin 97 +mx H11 cpu_est_0_ mcell H9 mx H28 CLK_030 pin 64 mx H12 UDS_000 pin 32 mx H29 RN_DSACK1 mcell H12 -mx H13 A_17_ pin 59 mx H30 SM_AMIGA_6_ mcell A8 -mx H14inst_CLK_OUT_PRE_50 mcell H2 mx H31 SM_AMIGA_7_ mcell F0 -mx H15inst_CLK_OUT_PRE mcell E2 mx H32 ... ... -mx H16 ... ... +mx H13 A_17_ pin 59 mx H30 ... ... +mx H14 inst_CLK_000_D0 mcell C4 mx H31 SM_AMIGA_1_ mcell F12 +mx H15 nEXP_SPACE pin 14 mx H32 ... ... +mx H16 inst_CLK_000_D3 mcell C8 ---------------------------------------------------------------------------- CSM indicates the mux inputs from the Central Switch Matrix. @@ -1097,13 +1059,13 @@ PostFit_Equations 1 3 1 Pin DTACK.OE 1 0 1 Pin AVEC 2 4 1 Pin AMIGA_BUS_DATA_DIR - 4 8 1 Pin CIIN - 1 8 1 Pin CIIN.OE + 1 13 1 Pin CIIN + 1 1 1 Pin CIIN.OE 1 3 1 Pin SIZE_1_.OE 2 4 1 Pin SIZE_1_.D- 1 1 1 Pin SIZE_1_.AP 1 1 1 Pin SIZE_1_.C - 2 3 1 Pin IPL_030_2_.D + 3 4 1 Pin IPL_030_2_.D 1 1 1 Pin IPL_030_2_.AP 1 1 1 Pin IPL_030_2_.C 1 3 1 Pin AS_030.OE @@ -1118,14 +1080,16 @@ PostFit_Equations 3 5 1 Pin RW_000.D- 1 1 1 Pin RW_000.AP 1 1 1 Pin RW_000.C + 3 4 1 Pin IPL_030_1_.D + 1 1 1 Pin IPL_030_1_.AP + 1 1 1 Pin IPL_030_1_.C 1 3 1 Pin DS_030.OE 7 9 1 Pin DS_030.D 1 1 1 Pin DS_030.AP 1 1 1 Pin DS_030.C - 1 3 1 Pin SIZE_0_.OE - 1 4 1 Pin SIZE_0_.D- - 1 1 1 Pin SIZE_0_.AP - 1 1 1 Pin SIZE_0_.C + 3 4 1 Pin IPL_030_0_.D + 1 1 1 Pin IPL_030_0_.AP + 1 1 1 Pin IPL_030_0_.C 1 3 1 Pin A0.OE 1 4 1 Pin A0.D 1 1 1 Pin A0.AP @@ -1133,27 +1097,22 @@ PostFit_Equations 2 6 1 Pin BG_000.D- 1 1 1 Pin BG_000.AP 1 1 1 Pin BG_000.C - 2 3 1 Pin BGACK_030.D + 2 4 1 Pin BGACK_030.D 1 1 1 Pin BGACK_030.AP 1 1 1 Pin BGACK_030.C 1 1 1 Pin CLK_EXP.AR 1 1 1 Pin CLK_EXP.D 1 1 1 Pin CLK_EXP.C 1 1 1 Pin DSACK1.OE - 2 5 1 Pin DSACK1.D- + 2 6 1 Pin DSACK1.D- 1 1 1 Pin DSACK1.AP 1 1 1 Pin DSACK1.C - 2 3 1 Pin IPL_030_1_.D - 1 1 1 Pin IPL_030_1_.AP - 1 1 1 Pin IPL_030_1_.C 1 1 1 Pin AVEC_EXP.AR 1 1 1 Pin AVEC_EXP.D 1 1 1 Pin AVEC_EXP.C - 2 3 1 Pin IPL_030_0_.D - 1 1 1 Pin IPL_030_0_.AP - 1 1 1 Pin IPL_030_0_.C + 3 6 1 PinX1 E.D.X1 + 1 1 1 PinX2 E.D.X2 1 1 1 Pin E.AR - 4 5 1 Pin E.D- 1 1 1 Pin E.C 2 7 1 PinX1 VMA.D.X1 1 5 1 PinX2 VMA.D.X2 @@ -1166,12 +1125,16 @@ PostFit_Equations 4 7 1 Pin RW.D- 1 1 1 Pin RW.AP 1 1 1 Pin RW.C - 6 12 1 Pin AMIGA_BUS_ENABLE.D- + 6 11 1 Pin AMIGA_BUS_ENABLE.D- 1 1 1 Pin AMIGA_BUS_ENABLE.AP 1 1 1 Pin AMIGA_BUS_ENABLE.C 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.AR 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.D 1 1 1 Pin AMIGA_BUS_ENABLE_LOW.C + 1 3 1 Pin SIZE_0_.OE + 1 4 1 Pin SIZE_0_.D- + 1 1 1 Pin SIZE_0_.AP + 1 1 1 Pin SIZE_0_.C 6 13 1 Node inst_AS_030_000_SYNC.D 1 1 1 Node inst_AS_030_000_SYNC.AP 1 1 1 Node inst_AS_030_000_SYNC.C @@ -1187,6 +1150,12 @@ PostFit_Equations 1 1 1 Node inst_CLK_000_D0.D 1 1 1 Node inst_CLK_000_D0.AP 1 1 1 Node inst_CLK_000_D0.C + 1 1 1 Node inst_CLK_000_D1.D + 1 1 1 Node inst_CLK_000_D1.AP + 1 1 1 Node inst_CLK_000_D1.C + 1 1 1 Node inst_CLK_000_D4.D + 1 1 1 Node inst_CLK_000_D4.AP + 1 1 1 Node inst_CLK_000_D4.C 1 1 1 Node CLK_CNT_N_0_.AR 2 2 1 Node CLK_CNT_N_0_.D 1 1 1 Node CLK_CNT_N_0_.C @@ -1196,18 +1165,12 @@ PostFit_Equations 1 1 1 Node inst_CLK_OUT_PRE_25.AR 3 3 1 Node inst_CLK_OUT_PRE_25.D 1 1 1 Node inst_CLK_OUT_PRE_25.C - 1 1 1 Node inst_CLK_000_D1.D - 1 1 1 Node inst_CLK_000_D1.AP - 1 1 1 Node inst_CLK_000_D1.C 1 1 1 Node inst_CLK_000_D2.D 1 1 1 Node inst_CLK_000_D2.AP 1 1 1 Node inst_CLK_000_D2.C 1 1 1 Node inst_CLK_000_D3.D 1 1 1 Node inst_CLK_000_D3.AP 1 1 1 Node inst_CLK_000_D3.C - 1 1 1 Node inst_CLK_000_NE.AR - 1 1 1 Node inst_CLK_000_NE.D - 1 1 1 Node inst_CLK_000_NE.C 1 1 1 Node inst_CLK_OUT_PRE_D.AR 1 1 1 Node inst_CLK_OUT_PRE_D.D 1 1 1 Node inst_CLK_OUT_PRE_D.C @@ -1217,35 +1180,29 @@ PostFit_Equations 1 1 1 Node CLK_000_P_SYNC_9_.AR 1 1 1 Node CLK_000_P_SYNC_9_.D 1 1 1 Node CLK_000_P_SYNC_9_.C - 1 1 1 Node CLK_000_N_SYNC_11_.AR - 1 1 1 Node CLK_000_N_SYNC_11_.D - 1 1 1 Node CLK_000_N_SYNC_11_.C - 13 17 1 Node SM_AMIGA_7_.D + 11 18 1 Node SM_AMIGA_7_.D- 1 1 1 Node SM_AMIGA_7_.AP 1 1 1 Node SM_AMIGA_7_.C + 1 1 1 Node SM_AMIGA_6_.AR + 2 7 1 Node SM_AMIGA_6_.D + 1 1 1 Node SM_AMIGA_6_.C 1 1 1 Node SM_AMIGA_1_.AR - 2 5 1 Node SM_AMIGA_1_.D + 2 4 1 Node SM_AMIGA_1_.D 1 1 1 Node SM_AMIGA_1_.C 1 1 1 Node SM_AMIGA_0_.AR - 2 5 1 Node SM_AMIGA_0_.D + 2 4 1 Node SM_AMIGA_0_.D 1 1 1 Node SM_AMIGA_0_.C - 1 1 1 Node SM_AMIGA_6_.AR - 2 8 1 Node SM_AMIGA_6_.D - 1 1 1 Node SM_AMIGA_6_.C 1 1 1 Node SM_AMIGA_4_.AR - 2 5 1 Node SM_AMIGA_4_.D + 2 4 1 Node SM_AMIGA_4_.D 1 1 1 Node SM_AMIGA_4_.C - 1 1 1 Node CLK_000_N_SYNC_6_.AR - 1 1 1 Node CLK_000_N_SYNC_6_.D - 1 1 1 Node CLK_000_N_SYNC_6_.C + 5 8 1 Node inst_CLK_030_H.D + 1 1 1 Node inst_CLK_030_H.C 1 1 1 Node CLK_CNT_P_1_.AR 1 1 1 Node CLK_CNT_P_1_.D 1 1 1 Node CLK_CNT_P_1_.C 1 1 1 Node CLK_CNT_N_1_.D 1 1 1 Node CLK_CNT_N_1_.AP 1 1 1 Node CLK_CNT_N_1_.C - 5 8 1 Node inst_CLK_030_H.D - 1 1 1 Node inst_CLK_030_H.C 1 1 1 Node CLK_CNT_P_0_.AR 2 2 1 Node CLK_CNT_P_0_.D 1 1 1 Node CLK_CNT_P_0_.C @@ -1285,60 +1242,33 @@ PostFit_Equations 1 1 1 Node CLK_000_P_SYNC_8_.AR 1 1 1 Node CLK_000_P_SYNC_8_.D 1 1 1 Node CLK_000_P_SYNC_8_.C - 1 1 1 Node CLK_000_N_SYNC_0_.AR - 1 4 1 Node CLK_000_N_SYNC_0_.D - 1 1 1 Node CLK_000_N_SYNC_0_.C - 1 1 1 Node CLK_000_N_SYNC_1_.AR - 1 1 1 Node CLK_000_N_SYNC_1_.D - 1 1 1 Node CLK_000_N_SYNC_1_.C - 1 1 1 Node CLK_000_N_SYNC_2_.AR - 1 1 1 Node CLK_000_N_SYNC_2_.D - 1 1 1 Node CLK_000_N_SYNC_2_.C - 1 1 1 Node CLK_000_N_SYNC_3_.AR - 1 1 1 Node CLK_000_N_SYNC_3_.D - 1 1 1 Node CLK_000_N_SYNC_3_.C - 1 1 1 Node CLK_000_N_SYNC_4_.AR - 1 1 1 Node CLK_000_N_SYNC_4_.D - 1 1 1 Node CLK_000_N_SYNC_4_.C - 1 1 1 Node CLK_000_N_SYNC_5_.AR - 1 1 1 Node CLK_000_N_SYNC_5_.D - 1 1 1 Node CLK_000_N_SYNC_5_.C - 1 1 1 Node CLK_000_N_SYNC_7_.AR - 1 1 1 Node CLK_000_N_SYNC_7_.D - 1 1 1 Node CLK_000_N_SYNC_7_.C - 1 1 1 Node CLK_000_N_SYNC_8_.AR - 1 1 1 Node CLK_000_N_SYNC_8_.D - 1 1 1 Node CLK_000_N_SYNC_8_.C - 1 1 1 Node CLK_000_N_SYNC_9_.AR - 1 1 1 Node CLK_000_N_SYNC_9_.D - 1 1 1 Node CLK_000_N_SYNC_9_.C - 1 1 1 Node CLK_000_N_SYNC_10_.AR - 1 1 1 Node CLK_000_N_SYNC_10_.D - 1 1 1 Node CLK_000_N_SYNC_10_.C + 3 14 1 Node un16_ciin- 1 1 1 Node SM_AMIGA_5_.AR - 2 5 1 Node SM_AMIGA_5_.D + 2 4 1 Node SM_AMIGA_5_.D 1 1 1 Node SM_AMIGA_5_.C 1 1 1 Node SM_AMIGA_3_.AR - 6 10 1 Node SM_AMIGA_3_.D + 5 10 1 Node SM_AMIGA_3_.T 1 1 1 Node SM_AMIGA_3_.C 1 1 1 Node SM_AMIGA_2_.AR 3 10 1 Node SM_AMIGA_2_.D 1 1 1 Node SM_AMIGA_2_.C 1 1 1 Node cpu_est_0_.AR - 2 2 1 Node cpu_est_0_.D + 3 3 1 Node cpu_est_0_.D 1 1 1 Node cpu_est_0_.C 1 1 1 Node cpu_est_1_.AR - 5 5 1 Node cpu_est_1_.D + 4 6 1 Node cpu_est_1_.T 1 1 1 Node cpu_est_1_.C + 3 6 1 NodeX1 cpu_est_2_.D.X1 + 1 1 1 NodeX2 cpu_est_2_.D.X2 1 1 1 Node cpu_est_2_.AR - 4 5 1 Node cpu_est_2_.D 1 1 1 Node cpu_est_2_.C - 2 4 1 Node CLK_PRE_66_0- - 4 11 1 Node SM_AMIGA_7__0 + 2 4 1 Node AMIGA_BUS_ENABLE_LOW_0 + 3 8 1 NodeX1 SM_AMIGA_7__0.X1 + 1 5 1 NodeX2 SM_AMIGA_7__0.X2 ========= - 338 P-Term Total: 338 + 302 P-Term Total: 302 Total Pins: 59 - Total Nodes: 56 + Total Nodes: 45 Average P-Term/Output: 2 @@ -1369,12 +1299,9 @@ AVEC = (1); AMIGA_BUS_DATA_DIR = (BGACK_030.Q & !RW.PIN # !nEXP_SPACE & !BGACK_030.Q & !AS_000.PIN & RW.PIN); -CIIN = (A_23_ & A_22_ & A_21_ & A_20_ - # !A_23_ & !A_22_ & A_21_ & !A_20_ - # !A_23_ & A_22_ & !A_21_ & !A_20_ - # A_23_ & A_22_ & A_21_ & !A_19_ & !A_18_ & !A_17_ & !A_16_); +CIIN = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !AS_030.PIN); -CIIN.OE = (!A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_); +CIIN.OE = (!un16_ciin); SIZE_1_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); @@ -1385,8 +1312,9 @@ SIZE_1_.AP = (!RST); SIZE_1_.C = (CLK_OSZI); -IPL_030_2_.D = (IPL_2_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_2_.Q); +IPL_030_2_.D = (!inst_CLK_000_D0.Q & IPL_030_2_.Q + # inst_CLK_000_D1.Q & IPL_030_2_.Q + # IPL_2_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q); IPL_030_2_.AP = (!RST); @@ -1396,7 +1324,7 @@ AS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); AS_030.D = (BGACK_030.Q # AS_000.PIN - # CLK_030 & AS_030.Q + # !CLK_030 & AS_030.Q # UDS_000.PIN & LDS_000.PIN); AS_030.AP = (!RST); @@ -1405,7 +1333,7 @@ AS_030.C = (CLK_OSZI); AS_000.OE = (BGACK_030.Q); -!AS_000.D = (AVEC_EXP.Q & SM_AMIGA_6_.Q +!AS_000.D = (inst_CLK_000_D0.Q & SM_AMIGA_6_.Q # BERR & !AS_000.Q & !AS_030.PIN); AS_000.AP = (!RST); @@ -1414,35 +1342,43 @@ AS_000.C = (CLK_OSZI); RW_000.OE = (BGACK_030.Q); -!RW_000.D = (!AVEC_EXP.Q & !SM_AMIGA_7_.Q & !RW_000.Q +!RW_000.D = (!inst_CLK_000_D0.Q & !SM_AMIGA_7_.Q & !RW_000.Q # !SM_AMIGA_7_.Q & !SM_AMIGA_6_.Q & !RW_000.Q - # AVEC_EXP.Q & !SM_AMIGA_7_.Q & SM_AMIGA_6_.Q & !RW.PIN); + # inst_CLK_000_D0.Q & !SM_AMIGA_7_.Q & SM_AMIGA_6_.Q & !RW.PIN); RW_000.AP = (!RST); RW_000.C = (CLK_OSZI); +IPL_030_1_.D = (!inst_CLK_000_D0.Q & IPL_030_1_.Q + # inst_CLK_000_D1.Q & IPL_030_1_.Q + # IPL_1_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q); + +IPL_030_1_.AP = (!RST); + +IPL_030_1_.C = (CLK_OSZI); + DS_030.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); DS_030.D = (BGACK_030.Q # AS_000.PIN # AS_030.Q & RW_000.PIN # UDS_000.PIN & LDS_000.PIN - # !CLK_030 & AS_030.Q & inst_CLK_030_H.Q - # CLK_030 & DS_030.Q & !RW_000.PIN + # CLK_030 & AS_030.Q & inst_CLK_030_H.Q + # !CLK_030 & DS_030.Q & !RW_000.PIN # !inst_CLK_030_H.Q & DS_030.Q & !RW_000.PIN); DS_030.AP = (!RST); DS_030.C = (CLK_OSZI); -SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); +IPL_030_0_.D = (!inst_CLK_000_D0.Q & IPL_030_0_.Q + # inst_CLK_000_D1.Q & IPL_030_0_.Q + # IPL_0_ & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q); -!SIZE_0_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & !LDS_000.PIN); +IPL_030_0_.AP = (!RST); -SIZE_0_.AP = (!RST); - -SIZE_0_.C = (CLK_OSZI); +IPL_030_0_.C = (CLK_OSZI); A0.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); @@ -1453,14 +1389,14 @@ A0.AP = (!RST); A0.C = (CLK_OSZI); !BG_000.D = (!BG_030 & !BG_000.Q - # nEXP_SPACE & !BG_030 & CLK_000 & SM_AMIGA_7_.Q & AS_030.PIN); + # nEXP_SPACE & !BG_030 & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & AS_030.PIN); BG_000.AP = (!RST); BG_000.C = (CLK_OSZI); BGACK_030.D = (BGACK_000 & BGACK_030.Q - # BGACK_000 & AVEC_EXP.Q); + # BGACK_000 & inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q); BGACK_030.AP = (!RST); @@ -1474,40 +1410,27 @@ CLK_EXP.C = (CLK_OSZI); DSACK1.OE = (nEXP_SPACE); -!DSACK1.D = (SM_AMIGA_1_.Q & CLK_000_N_SYNC_6_.Q +!DSACK1.D = (!inst_CLK_000_D4.Q & inst_CLK_000_D3.Q & SM_AMIGA_1_.Q # BERR & !DSACK1.Q & !AS_030.PIN); DSACK1.AP = (!RST); DSACK1.C = (CLK_OSZI); -IPL_030_1_.D = (IPL_1_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_1_.Q); - -IPL_030_1_.AP = (!RST); - -IPL_030_1_.C = (CLK_OSZI); - AVEC_EXP.AR = (!RST); AVEC_EXP.D = (CLK_000_P_SYNC_9_.Q); AVEC_EXP.C = (CLK_OSZI); -IPL_030_0_.D = (IPL_0_ & AVEC_EXP.Q - # !AVEC_EXP.Q & IPL_030_0_.Q); +E.D.X1 = (inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_1_.Q & cpu_est_2_.Q & E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_0_.Q & !cpu_est_2_.Q & !E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !E.Q); -IPL_030_0_.AP = (!RST); - -IPL_030_0_.C = (CLK_OSZI); +E.D.X2 = (E.Q); E.AR = (!RST); -!E.D = (!AVEC_EXP.Q & !E.Q - # cpu_est_2_.Q & !E.Q - # AVEC_EXP.Q & cpu_est_1_.Q & cpu_est_2_.Q - # !cpu_est_0_.Q & cpu_est_1_.Q & !E.Q); - E.C = (CLK_OSZI); VMA.D.X1 = (VMA.Q @@ -1527,10 +1450,10 @@ RESET.C = (CLK_OSZI); RW.OE = (!BGACK_030.Q); -!RW.D = (CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !UDS_000.PIN - # !CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !UDS_000.PIN - # CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !LDS_000.PIN - # !CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !LDS_000.PIN); +!RW.D = (!CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !UDS_000.PIN + # CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !UDS_000.PIN + # !CLK_030 & !BGACK_030.Q & !RW.Q & !AS_000.PIN & !LDS_000.PIN + # CLK_030 & !BGACK_030.Q & !AS_000.PIN & !RW_000.PIN & !LDS_000.PIN); RW.AP = (!RST); @@ -1539,7 +1462,7 @@ RW.C = (CLK_OSZI); !AMIGA_BUS_ENABLE.D = (!BGACK_030.Q # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & SM_AMIGA_1_.Q & !AS_030.PIN # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & SM_AMIGA_0_.Q & !AS_030.PIN - # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & !inst_CLK_000_NE.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_0_.Q + # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & inst_CLK_000_D0.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_0_.Q # !AMIGA_BUS_ENABLE.Q & inst_BGACK_030_INT_D.Q & !SM_AMIGA_7_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & inst_BGACK_030_INT_D.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_7_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); @@ -1551,7 +1474,15 @@ AMIGA_BUS_ENABLE_LOW.AR = (!RST); AMIGA_BUS_ENABLE_LOW.D = (!AMIGA_BUS_ENABLE_LOW.Q); -AMIGA_BUS_ENABLE_LOW.C = (!CLK_PRE_66_0); +AMIGA_BUS_ENABLE_LOW.C = (AMIGA_BUS_ENABLE_LOW_0); + +SIZE_0_.OE = (!nEXP_SPACE & !BGACK_030.Q & !AS_030.Q); + +!SIZE_0_.D = (!BGACK_030.Q & !AS_000.PIN & !UDS_000.PIN & !LDS_000.PIN); + +SIZE_0_.AP = (!RST); + +SIZE_0_.C = (CLK_OSZI); inst_AS_030_000_SYNC.D = (!BERR # AS_030.PIN @@ -1588,6 +1519,18 @@ inst_CLK_000_D0.AP = (!RST); inst_CLK_000_D0.C = (CLK_OSZI); +inst_CLK_000_D1.D = (inst_CLK_000_D0.Q); + +inst_CLK_000_D1.AP = (!RST); + +inst_CLK_000_D1.C = (CLK_OSZI); + +inst_CLK_000_D4.D = (inst_CLK_000_D3.Q); + +inst_CLK_000_D4.AP = (!RST); + +inst_CLK_000_D4.C = (CLK_OSZI); + CLK_CNT_N_0_.AR = (!RST); CLK_CNT_N_0_.D = (CLK_CNT_N_0_.Q & CLK_CNT_N_1_.Q @@ -1609,12 +1552,6 @@ inst_CLK_OUT_PRE_25.D = (inst_CLK_OUT_PRE_50_D.Q & inst_CLK_OUT_PRE_25.Q inst_CLK_OUT_PRE_25.C = (CLK_OSZI); -inst_CLK_000_D1.D = (inst_CLK_000_D0.Q); - -inst_CLK_000_D1.AP = (!RST); - -inst_CLK_000_D1.C = (CLK_OSZI); - inst_CLK_000_D2.D = (inst_CLK_000_D1.Q); inst_CLK_000_D2.AP = (!RST); @@ -1627,12 +1564,6 @@ inst_CLK_000_D3.AP = (!RST); inst_CLK_000_D3.C = (CLK_OSZI); -inst_CLK_000_NE.AR = (!RST); - -inst_CLK_000_NE.D = (CLK_000_N_SYNC_11_.Q); - -inst_CLK_000_NE.C = (CLK_OSZI); - inst_CLK_OUT_PRE_D.AR = (!RST); inst_CLK_OUT_PRE_D.D = (inst_CLK_OUT_PRE.Q); @@ -1651,63 +1582,57 @@ CLK_000_P_SYNC_9_.D = (CLK_000_P_SYNC_8_.Q); CLK_000_P_SYNC_9_.C = (CLK_OSZI); -CLK_000_N_SYNC_11_.AR = (!RST); - -CLK_000_N_SYNC_11_.D = (CLK_000_N_SYNC_10_.Q); - -CLK_000_N_SYNC_11_.C = (CLK_OSZI); - -SM_AMIGA_7_.D = (SM_AMIGA_7__0 - # !BERR & SM_AMIGA_0_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_6_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_4_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q - # !BERR & !inst_CLK_000_NE.Q & SM_AMIGA_3_.Q - # !BERR & !AVEC_EXP.Q & SM_AMIGA_2_.Q - # !BERR & !VPA & VMA.Q & SM_AMIGA_3_.Q - # !BERR & !VPA & SM_AMIGA_3_.Q & cpu_est_1_.Q - # !BERR & !VPA & SM_AMIGA_3_.Q & !E.Q - # !BERR & VPA & SM_AMIGA_3_.Q & DTACK.PIN - # !nEXP_SPACE & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); +!SM_AMIGA_7_.D = (SM_AMIGA_7__0 + # BERR & !inst_CLK_000_D0.Q & SM_AMIGA_0_.Q + # BERR & SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q + # BERR & SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q + # BERR & !SM_AMIGA_0_.Q & SM_AMIGA_4_.Q + # BERR & !SM_AMIGA_0_.Q & SM_AMIGA_5_.Q + # BERR & !SM_AMIGA_0_.Q & SM_AMIGA_3_.Q + # BERR & !SM_AMIGA_0_.Q & SM_AMIGA_2_.Q + # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # VPA & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & !DTACK.PIN + # !VPA & !VMA.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q & !cpu_est_1_.Q & E.Q); SM_AMIGA_7_.AP = (!RST); SM_AMIGA_7_.C = (CLK_OSZI); +SM_AMIGA_6_.AR = (!RST); + +SM_AMIGA_6_.D = (BERR & !inst_CLK_000_D0.Q & SM_AMIGA_6_.Q + # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_7_.Q); + +SM_AMIGA_6_.C = (CLK_OSZI); + SM_AMIGA_1_.AR = (!RST); -SM_AMIGA_1_.D = (AVEC_EXP.Q & SM_AMIGA_2_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_1_.Q); +SM_AMIGA_1_.D = (inst_CLK_000_D0.Q & SM_AMIGA_2_.Q + # BERR & inst_CLK_000_D0.Q & SM_AMIGA_1_.Q); SM_AMIGA_1_.C = (CLK_OSZI); SM_AMIGA_0_.AR = (!RST); -SM_AMIGA_0_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_0_.Q - # inst_CLK_000_NE.Q & SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q); +SM_AMIGA_0_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_1_.Q + # BERR & !inst_CLK_000_D0.Q & SM_AMIGA_0_.Q); SM_AMIGA_0_.C = (CLK_OSZI); -SM_AMIGA_6_.AR = (!RST); - -SM_AMIGA_6_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_6_.Q - # nEXP_SPACE & !inst_AS_030_000_SYNC.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_7_.Q); - -SM_AMIGA_6_.C = (CLK_OSZI); - SM_AMIGA_4_.AR = (!RST); -SM_AMIGA_4_.D = (inst_CLK_000_NE.Q & SM_AMIGA_5_.Q - # BERR & !AVEC_EXP.Q & SM_AMIGA_4_.Q); +SM_AMIGA_4_.D = (!inst_CLK_000_D0.Q & SM_AMIGA_5_.Q + # BERR & !inst_CLK_000_D0.Q & SM_AMIGA_4_.Q); SM_AMIGA_4_.C = (CLK_OSZI); -CLK_000_N_SYNC_6_.AR = (!RST); +inst_CLK_030_H.D = (!RST & inst_CLK_030_H.Q + # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN + # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN + # !CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !UDS_000.PIN + # !CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !LDS_000.PIN); -CLK_000_N_SYNC_6_.D = (CLK_000_N_SYNC_5_.Q); - -CLK_000_N_SYNC_6_.C = (CLK_OSZI); +inst_CLK_030_H.C = (CLK_OSZI); CLK_CNT_P_1_.AR = (!RST); @@ -1721,14 +1646,6 @@ CLK_CNT_N_1_.AP = (!RST); CLK_CNT_N_1_.C = (!CLK_OSZI); -inst_CLK_030_H.D = (!RST & inst_CLK_030_H.Q - # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !UDS_000.PIN - # !BGACK_030.Q & inst_CLK_030_H.Q & !AS_000.PIN & !LDS_000.PIN - # CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !UDS_000.PIN - # CLK_030 & RST & !BGACK_030.Q & !AS_030.Q & !AS_000.PIN & !LDS_000.PIN); - -inst_CLK_030_H.C = (CLK_OSZI); - CLK_CNT_P_0_.AR = (!RST); CLK_CNT_P_0_.D = (CLK_CNT_P_1_.Q & CLK_CNT_P_0_.Q @@ -1745,9 +1662,9 @@ inst_LDS_000_INT.C = (CLK_OSZI); inst_DS_000_ENABLE.AR = (!RST); -inst_DS_000_ENABLE.D = (AVEC_EXP.Q & SM_AMIGA_4_.Q +inst_DS_000_ENABLE.D = (inst_CLK_000_D0.Q & SM_AMIGA_4_.Q # BERR & inst_DS_000_ENABLE.Q & !AS_030.PIN - # AVEC_EXP.Q & SM_AMIGA_6_.Q & RW.PIN); + # inst_CLK_000_D0.Q & SM_AMIGA_6_.Q & RW.PIN); inst_DS_000_ENABLE.C = (CLK_OSZI); @@ -1812,125 +1729,70 @@ CLK_000_P_SYNC_8_.D = (CLK_000_P_SYNC_7_.Q); CLK_000_P_SYNC_8_.C = (CLK_OSZI); -CLK_000_N_SYNC_0_.AR = (!RST); - -CLK_000_N_SYNC_0_.D = (!inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & inst_CLK_000_D2.Q & inst_CLK_000_D3.Q); - -CLK_000_N_SYNC_0_.C = (CLK_OSZI); - -CLK_000_N_SYNC_1_.AR = (!RST); - -CLK_000_N_SYNC_1_.D = (CLK_000_N_SYNC_0_.Q); - -CLK_000_N_SYNC_1_.C = (CLK_OSZI); - -CLK_000_N_SYNC_2_.AR = (!RST); - -CLK_000_N_SYNC_2_.D = (CLK_000_N_SYNC_1_.Q); - -CLK_000_N_SYNC_2_.C = (CLK_OSZI); - -CLK_000_N_SYNC_3_.AR = (!RST); - -CLK_000_N_SYNC_3_.D = (CLK_000_N_SYNC_2_.Q); - -CLK_000_N_SYNC_3_.C = (CLK_OSZI); - -CLK_000_N_SYNC_4_.AR = (!RST); - -CLK_000_N_SYNC_4_.D = (CLK_000_N_SYNC_3_.Q); - -CLK_000_N_SYNC_4_.C = (CLK_OSZI); - -CLK_000_N_SYNC_5_.AR = (!RST); - -CLK_000_N_SYNC_5_.D = (CLK_000_N_SYNC_4_.Q); - -CLK_000_N_SYNC_5_.C = (CLK_OSZI); - -CLK_000_N_SYNC_7_.AR = (!RST); - -CLK_000_N_SYNC_7_.D = (CLK_000_N_SYNC_6_.Q); - -CLK_000_N_SYNC_7_.C = (CLK_OSZI); - -CLK_000_N_SYNC_8_.AR = (!RST); - -CLK_000_N_SYNC_8_.D = (CLK_000_N_SYNC_7_.Q); - -CLK_000_N_SYNC_8_.C = (CLK_OSZI); - -CLK_000_N_SYNC_9_.AR = (!RST); - -CLK_000_N_SYNC_9_.D = (CLK_000_N_SYNC_8_.Q); - -CLK_000_N_SYNC_9_.C = (CLK_OSZI); - -CLK_000_N_SYNC_10_.AR = (!RST); - -CLK_000_N_SYNC_10_.D = (CLK_000_N_SYNC_9_.Q); - -CLK_000_N_SYNC_10_.C = (CLK_OSZI); +!un16_ciin = (nEXP_SPACE & AS_030.PIN + # !A_31_ & nEXP_SPACE & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ + # !A_31_ & !A_30_ & !A_29_ & !A_28_ & !A_27_ & !A_26_ & !A_25_ & !A_24_ & A_23_ & A_22_ & A_21_ & A_20_ & !AS_030.PIN); SM_AMIGA_5_.AR = (!RST); -SM_AMIGA_5_.D = (AVEC_EXP.Q & SM_AMIGA_6_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_5_.Q); +SM_AMIGA_5_.D = (inst_CLK_000_D0.Q & SM_AMIGA_6_.Q + # BERR & inst_CLK_000_D0.Q & SM_AMIGA_5_.Q); SM_AMIGA_5_.C = (CLK_OSZI); SM_AMIGA_3_.AR = (!RST); -SM_AMIGA_3_.D = (AVEC_EXP.Q & SM_AMIGA_4_.Q - # BERR & !inst_CLK_000_NE.Q & SM_AMIGA_3_.Q - # BERR & !VPA & VMA.Q & SM_AMIGA_3_.Q - # BERR & !VPA & SM_AMIGA_3_.Q & cpu_est_1_.Q - # BERR & !VPA & SM_AMIGA_3_.Q & !E.Q - # BERR & VPA & SM_AMIGA_3_.Q & DTACK.PIN); +SM_AMIGA_3_.T = (!BERR & !inst_CLK_000_D0.Q & SM_AMIGA_3_.Q + # !BERR & !SM_AMIGA_4_.Q & SM_AMIGA_3_.Q + # inst_CLK_000_D0.Q & SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q + # VPA & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_3_.Q & !DTACK.PIN + # !VPA & !VMA.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_3_.Q & !cpu_est_1_.Q & E.Q); SM_AMIGA_3_.C = (CLK_OSZI); SM_AMIGA_2_.AR = (!RST); -SM_AMIGA_2_.D = (BERR & !AVEC_EXP.Q & SM_AMIGA_2_.Q - # VPA & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & !DTACK.PIN - # !VPA & !VMA.Q & inst_CLK_000_NE.Q & SM_AMIGA_3_.Q & !cpu_est_1_.Q & E.Q); +SM_AMIGA_2_.D = (BERR & !inst_CLK_000_D0.Q & SM_AMIGA_2_.Q + # VPA & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_3_.Q & !DTACK.PIN + # !VPA & !VMA.Q & !inst_CLK_000_D0.Q & inst_CLK_000_D1.Q & SM_AMIGA_3_.Q & !cpu_est_1_.Q & E.Q); SM_AMIGA_2_.C = (CLK_OSZI); cpu_est_0_.AR = (!RST); -cpu_est_0_.D = (!AVEC_EXP.Q & cpu_est_0_.Q - # AVEC_EXP.Q & !cpu_est_0_.Q); +cpu_est_0_.D = (!inst_CLK_000_D0.Q & cpu_est_0_.Q + # inst_CLK_000_D1.Q & cpu_est_0_.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_0_.Q); cpu_est_0_.C = (CLK_OSZI); cpu_est_1_.AR = (!RST); -cpu_est_1_.D = (!AVEC_EXP.Q & cpu_est_1_.Q - # !cpu_est_0_.Q & cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_0_.Q & !cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_2_.Q & E.Q - # AVEC_EXP.Q & !cpu_est_2_.Q & !E.Q); +cpu_est_1_.T = (inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_1_.Q & cpu_est_2_.Q & E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_0_.Q & !cpu_est_2_.Q & E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_0_.Q & cpu_est_2_.Q & !E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_1_.Q & !cpu_est_2_.Q & !E.Q); cpu_est_1_.C = (CLK_OSZI); -cpu_est_2_.AR = (!RST); +cpu_est_2_.D.X1 = (inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & !cpu_est_0_.Q & !cpu_est_1_.Q & !cpu_est_2_.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_0_.Q & !cpu_est_2_.Q & E.Q + # inst_CLK_000_D0.Q & !inst_CLK_000_D1.Q & cpu_est_0_.Q & !cpu_est_1_.Q & cpu_est_2_.Q & !E.Q); -cpu_est_2_.D = (!AVEC_EXP.Q & cpu_est_2_.Q - # cpu_est_1_.Q & cpu_est_2_.Q - # AVEC_EXP.Q & !cpu_est_0_.Q & !cpu_est_1_.Q - # AVEC_EXP.Q & cpu_est_0_.Q & E.Q); +cpu_est_2_.D.X2 = (cpu_est_2_.Q); + +cpu_est_2_.AR = (!RST); cpu_est_2_.C = (CLK_OSZI); -!CLK_PRE_66_0 = (CLK_CNT_P_1_.Q & CLK_CNT_N_1_.Q +AMIGA_BUS_ENABLE_LOW_0 = (CLK_CNT_P_1_.Q & CLK_CNT_N_1_.Q # !CLK_CNT_N_0_.Q & CLK_CNT_P_0_.Q); -SM_AMIGA_7__0 = (AVEC_EXP.Q & SM_AMIGA_0_.Q - # inst_AS_030_000_SYNC.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # inst_CLK_000_D0.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q - # !inst_CLK_000_D1.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); +SM_AMIGA_7__0.X1 = (!inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q & SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # !inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q + # inst_CLK_000_D0.Q & !SM_AMIGA_6_.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_4_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q & !SM_AMIGA_2_.Q); + +SM_AMIGA_7__0.X2 = (inst_CLK_000_D0.Q & !SM_AMIGA_1_.Q & !SM_AMIGA_0_.Q & !SM_AMIGA_5_.Q & !SM_AMIGA_3_.Q); Reverse-Polarity Equations: diff --git a/Logic/68030_tk.tal b/Logic/68030_tk.tal index c02d7e9..4b6f98d 100644 --- a/Logic/68030_tk.tal +++ b/Logic/68030_tk.tal @@ -32,15 +32,11 @@ TCR, Clocked Output-to-Register Time, TSU TCO TPD TCR #passes #passes #passes #passes SIGNAL NAME min max min max min max min max - AVEC_EXP .. .. 0 0 .. .. 1 2 - RN_AVEC_EXP .. .. 0 0 .. .. 1 2 -inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 2 inst_CLK_000_D0 1 1 .. .. .. .. 1 2 - inst_CLK_000_D1 .. .. .. .. .. .. 1 2 SM_AMIGA_7_ 1 2 .. .. .. .. 1 1 + SM_AMIGA_6_ 1 1 .. .. .. .. 1 2 SM_AMIGA_1_ 1 1 .. .. .. .. 1 2 SM_AMIGA_0_ 1 1 .. .. .. .. 1 2 - SM_AMIGA_6_ 1 1 .. .. .. .. 1 2 SM_AMIGA_4_ 1 1 .. .. .. .. 1 2 inst_LDS_000_INT 1 1 1 1 .. .. 2 2 inst_DS_000_ENABLE 1 1 1 1 .. .. 2 2 @@ -61,9 +57,12 @@ AMIGA_BUS_DATA_DIR .. .. .. .. 1 1 .. .. RN_AS_000 1 1 0 0 .. .. 1 1 RW_000 1 1 0 0 .. .. 1 1 RN_RW_000 1 1 0 0 .. .. 1 1 + IPL_030_1_ 1 1 0 0 .. .. 1 1 + RN_IPL_030_1_ 1 1 0 0 .. .. 1 1 DS_030 1 1 0 0 .. .. 1 1 RN_DS_030 1 1 0 0 .. .. 1 1 - SIZE_0_ 1 1 0 0 .. .. .. .. + IPL_030_0_ 1 1 0 0 .. .. 1 1 + RN_IPL_030_0_ 1 1 0 0 .. .. 1 1 A0 1 1 0 0 .. .. .. .. BG_000 1 1 0 0 .. .. 1 1 RN_BG_000 1 1 0 0 .. .. 1 1 @@ -71,10 +70,6 @@ AMIGA_BUS_DATA_DIR .. .. .. .. 1 1 .. .. RN_BGACK_030 1 1 0 1 .. .. 1 1 DSACK1 1 1 0 0 .. .. 1 1 RN_DSACK1 1 1 0 0 .. .. 1 1 - IPL_030_1_ 1 1 0 0 .. .. 1 1 - RN_IPL_030_1_ 1 1 0 0 .. .. 1 1 - IPL_030_0_ 1 1 0 0 .. .. 1 1 - RN_IPL_030_0_ 1 1 0 0 .. .. 1 1 E .. .. 0 0 .. .. 1 1 RN_E .. .. 0 0 .. .. 1 1 VMA .. .. 0 0 .. .. 1 1 @@ -85,23 +80,24 @@ AMIGA_BUS_ENABLE 1 1 0 0 .. .. 1 1 RN_AMIGA_BUS_ENABLE 1 1 0 0 .. .. 1 1 AMIGA_BUS_ENABLE_LOW .. .. 0 0 .. .. 1 1 RN_AMIGA_BUS_ENABLE_LOW .. .. 0 0 .. .. 1 1 + SIZE_0_ 1 1 0 0 .. .. .. .. +inst_AS_030_000_SYNC 1 1 .. .. .. .. 1 1 inst_BGACK_030_INT_D .. .. .. .. .. .. 1 1 inst_VPA_D 1 1 .. .. .. .. 1 1 inst_CLK_OUT_PRE_50_D .. .. .. .. .. .. 1 1 + inst_CLK_000_D1 .. .. .. .. .. .. 1 1 + inst_CLK_000_D4 .. .. .. .. .. .. 1 1 CLK_CNT_N_0_ .. .. .. .. .. .. 1 1 inst_CLK_OUT_PRE_50 .. .. .. .. .. .. 1 1 inst_CLK_OUT_PRE_25 .. .. .. .. .. .. 1 1 inst_CLK_000_D2 .. .. .. .. .. .. 1 1 inst_CLK_000_D3 .. .. .. .. .. .. 1 1 - inst_CLK_000_NE .. .. .. .. .. .. 1 1 inst_CLK_OUT_PRE_D .. .. .. .. .. .. 1 1 inst_CLK_OUT_PRE .. .. .. .. .. .. 1 1 CLK_000_P_SYNC_9_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_11_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_6_ .. .. .. .. .. .. 1 1 + inst_CLK_030_H 1 1 .. .. .. .. 1 1 CLK_CNT_P_1_ .. .. .. .. .. .. 1 1 CLK_CNT_N_1_ .. .. .. .. .. .. 1 1 - inst_CLK_030_H 1 1 .. .. .. .. 1 1 CLK_CNT_P_0_ .. .. .. .. .. .. 1 1 CLK_000_P_SYNC_0_ .. .. .. .. .. .. 1 1 CLK_000_P_SYNC_1_ .. .. .. .. .. .. 1 1 @@ -112,16 +108,7 @@ CLK_000_P_SYNC_5_ .. .. .. .. .. .. 1 1 CLK_000_P_SYNC_6_ .. .. .. .. .. .. 1 1 CLK_000_P_SYNC_7_ .. .. .. .. .. .. 1 1 CLK_000_P_SYNC_8_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_0_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_1_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_2_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_3_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_4_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_5_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_7_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_8_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_9_ .. .. .. .. .. .. 1 1 -CLK_000_N_SYNC_10_ .. .. .. .. .. .. 1 1 + un16_ciin .. .. .. .. 1 1 .. .. cpu_est_0_ .. .. .. .. .. .. 1 1 cpu_est_1_ .. .. .. .. .. .. 1 1 cpu_est_2_ .. .. .. .. .. .. 1 1 \ No newline at end of file diff --git a/Logic/68030_tk.tt2 b/Logic/68030_tk.tt2 index 3ee68b9..f052230 100644 --- a/Logic/68030_tk.tt2 +++ b/Logic/68030_tk.tt2 @@ -1,465 +1,437 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Mon Jun 09 20:20:30 2014 +#$ DATE Sun Jun 15 16:36:43 2014 #$ MODULE 68030_tk -#$ PINS 59 A_31_ IPL_2_ FC_1_ UDS_000 LDS_000 A_30_ nEXP_SPACE A_29_ BERR A_28_ BG_030 A_27_ A_26_ A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000 A_22_ CLK_OSZI A_21_ CLK_DIV_OUT A_20_ A_19_ FPU_CS A_18_ A_17_ DTACK A_16_ AVEC IPL_1_ VPA IPL_0_ FC_0_ RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 DS_030 SIZE_0_ A0 BG_000 BGACK_030 CLK_EXP DSACK1 IPL_030_1_ AVEC_EXP IPL_030_0_ E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW -#$ NODES 55 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_000_NE inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_ SM_AMIGA_7_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_6_ SM_AMIGA_4_ CLK_000_N_SYNC_6_ CLK_CNT_P_1_ CLK_CNT_N_1_ inst_CLK_030_H CLK_CNT_P_0_ inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ cpu_est_0_ cpu_est_1_ cpu_est_2_ CLK_PRE_66_0 +#$ PINS 59 A_28_ A_27_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ A_17_ A_16_ UDS_000 IPL_1_ LDS_000 IPL_0_ FC_0_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT FPU_CS DTACK AVEC VPA RST AMIGA_BUS_DATA_DIR CIIN A_30_ A_29_ SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 IPL_030_1_ DS_030 IPL_030_0_ A0 BG_000 BGACK_030 CLK_EXP DSACK1 AVEC_EXP E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW SIZE_0_ +#$ NODES 43 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D4 CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ inst_CLK_030_H CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ un16_ciin SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ cpu_est_0_ cpu_est_1_ cpu_est_2_ .type fr -.i 113 -.o 248 -.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q inst_CLK_000_D0.Q CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_NE.Q inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q CLK_000_N_SYNC_6_.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q inst_CLK_030_H.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q BG_000.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q CLK_PRE_66_0 AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN -.ob FPU_CS AVEC AMIGA_BUS_DATA_DIR CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_0_.C SIZE_0_.AP SIZE_1_.C SIZE_1_.AP IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_5_.AR inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR BGACK_030.C BGACK_030.AP CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR AS_000.C AS_000.AP inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR BG_000.C BG_000.AP DSACK1.C DSACK1.AP inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.C inst_UDS_000_INT.AP RW_000.C RW_000.AP VMA.C VMA.AP A0.C A0.AP inst_CLK_030_H.C RW.C RW.AP DS_030.C DS_030.AP AS_030.C AS_030.AP AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AMIGA_BUS_ENABLE_LOW.C AMIGA_BUS_ENABLE_LOW.AR inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP RESET.C RESET.AR CLK_EXP.C CLK_EXP.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP AVEC_EXP.C AVEC_EXP.AR inst_CLK_000_NE.C inst_CLK_000_NE.AR inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR UDS_000 LDS_000 DTACK CLK_PRE_66_0 AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D AVEC_EXP.D VMA.D AMIGA_BUS_ENABLE.D AMIGA_BUS_ENABLE_LOW.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D AS_030.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D inst_CLK_000_D0.D CLK_CNT_N_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D3.D inst_CLK_000_NE.D inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE.D CLK_000_P_SYNC_9_.D CLK_000_N_SYNC_11_.D AS_000.D SM_AMIGA_7_.D SM_AMIGA_1_.D SM_AMIGA_0_.D SM_AMIGA_6_.D SM_AMIGA_4_.D CLK_000_N_SYNC_6_.D CLK_CNT_P_1_.D CLK_CNT_N_1_.D RW_000.D DSACK1.D inst_CLK_030_H.D CLK_CNT_P_0_.D RW.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D BG_000.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D SM_AMIGA_5_.D SM_AMIGA_3_.D SM_AMIGA_2_.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D RESET.D E.D -.p 453 ------------------------------------------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---0-------------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------0---------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1------------------------------------------------------------------------------------------------------- ~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~1~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0----------------------------------------------------------------------------------------------------- ~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-----------0000000---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1111------------------------------------------------------------------------------------------ ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------0010------------------------------------------------------------------------------------------ ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------0100------------------------------------------------------------------------------------------ ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------1----------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------0--------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------111-0000-------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0----------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------1------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -----------------------------1--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1----------------0010--1-----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1-----0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------0------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1---1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------01--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--10--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0---111------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1---000------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0--------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------0----0---1--------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1-------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1-----------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1--------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------0------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0-----------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1---------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------10--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------1----------------------100--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----1-----------------100--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------1------------100--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1-------------0--------100--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -----1--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1---0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----1--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -----0-----------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0----1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0---1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0---------------------------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----------------------------1-------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1----1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0----0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ----0-----------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ---------0------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ------------------------------------------------------0---------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -----0------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------------------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0---------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------------------------0--------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------------------------0---------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------------------------0----------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------1----------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------1---------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------------1----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----0--------------------------------------------------------------------------------1-----1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0-----0--------------------------------------------------------------------------------1-----1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------01--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --------------------------------1----------------------------------------------------------------10--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --------------------------------1----------------------------------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------0------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------------------------------------------------------------------------11-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------1----------------------------------------------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------1-----------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------0-------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------1----------------------------------------------------------------1--1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------------------------------------------------------------------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------0---------------------0--------------1-------------------------------------------1-----0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------------------------1------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------------------------------------------------------------------------------01------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----1-----0--------------------------------------------------------------------------------1-------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0-----0--------------------------------------------------------------------------------1-------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-----------1-------------------------------------------0110------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -----------------------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------1----------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------1------1----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------------11----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------------------1---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------------------1---------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1-------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1-----------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-------------------------------------------------------------1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------0-----1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------1-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------1-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---1------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0--------------------------------1--------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---1------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0--------------------------------1--------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0--100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------1-------------------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------------------------1------------------------1-------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------0-----------------------------------------------------------------------0---------1 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------0 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1---------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----00------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0------------------------------------------------------------------------------------------------------- ~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~0~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1----------------------------------------------------------------------------------------------------- ~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------10-------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------011------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1-0------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------00------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------0--1------------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--01----------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--0-1---------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--0--1--------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--0---1-------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----0---------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------0--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~ -------------------------------00--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-----0---------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0--------------------11-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0-----------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1--------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--11--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1---0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------00--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -----0------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------1---------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0-----------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0-------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1----------0-----0-0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-------------------------------------------------10--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------00--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------01---0---1--------100--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1----------------000--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------01-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ------------------------------------1--------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1---------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-----------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1--------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ------------------------------------------------------0--0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------0-1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0----------------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------------------0---------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------00------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1---1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ------------------------------------------0------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------11---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------0-------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------0--0----0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0---------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1-------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0----1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1----0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------01--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------00---------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ------0---------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------0----------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ---------------------------------------------------------0---------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ----------------------------------------------------------0--------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------0-----------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------1-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------0-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------------------------------1---------------1------10-----------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------------------------------1---------------1-------0----------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------001---------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------00-1--------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------0------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------00----------------------------------001-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0---------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------1--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------------------------------------------------0-------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------1------1000---------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1-------000--------------------------------100-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------0----0---1---------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ --------------------------------0--------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------0---------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ --------------------------------1----------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------0---------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----0-----0--------------------------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0--------------------1-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0---------------------------------------------------------------------------------0----1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------0-----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ---------------------------------0----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0-0-------------------------------------------------------10--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------------------------------------------------11-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------0------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ---------------------------------0-----------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ---------------------------------0------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0--------------------00--------------1-------------------------------------------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----------0---------------------0--------------1---------0---------------------------------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----------0--------------------10--------------1-------0-----------------------------------1-----0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0---------------------0--------------1-------000---------------------------------10----0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------------------------------------------------1101------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -------------------------------------------------------------------------------------------------0001------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -----0-----0----------------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0--------------------1-------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------0-------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -----------0---------------------------------------------------------------------------------0------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------------------------------------------------------------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------1----------------------------------------------------------------10-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ---------------------------------------------------------------------------------------------------10------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------1----------------------------------------------------------------1110------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -------------------------------------------------------------------------------------------------0010------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------------------------------------------------------------------------------------------100------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -----------------------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-0--1--------------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ---------------------------------------------------------00-------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1----------------0010--1-----------------------------------------------------------------------0----------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------0-----------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----------------------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---011-------------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11-0-----------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11------------------1------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11-------------------1-----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11--------------------0----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11---------------------1---1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11------------------------01----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1-----------------1----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1------------------1---------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------------0--------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------0-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------0-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0-----------------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0------0-------------------------1--------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0----------------------------------0------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0-----------------------------------------------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0--------------------------------------0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0--------------------------------0-----0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1---------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--01------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0-----------------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0------0-------------------------1--------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0----------------------------------0------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0-----------------------------------------------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0--------------------------------------0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0--------------------------------0-----0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0---1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-----1----------------------------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------1--------------------1-------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------1---------------------------------------------------------------------------------0------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------1--------------------0---------------1---------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----------1------------------------------------1---------0-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----------1--------------------1---------------1-------0-----------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------1-------000---------------------------------10------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------0 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---------------------0--1-------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0----------------------------------------------------0------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------0--------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0-------------------------------------------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +.i 100 +.o 212 +.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q VMA.Q AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D4.Q CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q BG_000.Q CLK_000_P_SYNC_8_.Q un16_ciin SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN +.ob FPU_CS AVEC AMIGA_BUS_DATA_DIR CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_0_.C SIZE_0_.AP SIZE_1_.C SIZE_1_.AP IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AP RW_000.C RW_000.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR BG_000.C BG_000.AP DSACK1.C DSACK1.AP inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.C inst_UDS_000_INT.AP A0.C A0.AP inst_CLK_030_H.C RW.C RW.AP DS_030.C DS_030.AP AS_030.C AS_030.AP inst_CLK_000_D4.C inst_CLK_000_D4.AP AMIGA_BUS_ENABLE_LOW.C AMIGA_BUS_ENABLE_LOW.AR inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.C inst_CLK_000_D3.AP RESET.C RESET.AR CLK_EXP.C CLK_EXP.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP AVEC_EXP.C AVEC_EXP.AR inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR UDS_000 LDS_000 DTACK un16_ciin AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D AVEC_EXP.D VMA.D AMIGA_BUS_ENABLE.D AMIGA_BUS_ENABLE_LOW.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D AS_030.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_000_D4.D CLK_CNT_N_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_000_D2.D inst_CLK_000_D3.D inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE.D CLK_000_P_SYNC_9_.D AS_000.D SM_AMIGA_7_.D SM_AMIGA_6_.D SM_AMIGA_1_.D SM_AMIGA_0_.D SM_AMIGA_4_.D inst_CLK_030_H.D CLK_CNT_P_1_.D CLK_CNT_N_1_.D RW_000.D DSACK1.D CLK_CNT_P_0_.D RW.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D BG_000.D CLK_000_P_SYNC_8_.D SM_AMIGA_5_.D SM_AMIGA_3_.T SM_AMIGA_2_.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D cpu_est_0_.D cpu_est_1_.T cpu_est_2_.D E.D RESET.D +.p 425 +---------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--0------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------0--------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------------------------------------------------------------------------------ ~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~~~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0---------------------------------------------------------------------------------------- ~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------------0------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------0----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------1---------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------0-------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~ +------1-----------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1----------------0010--1----1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0---1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1----0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------0-----0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------1-----1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------1-------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1-------------------------------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------1--------------------------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1-----------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +----------------------------1----------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +----0------------------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-----1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0----10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10----00----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------------------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0----------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------0----01----------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-1------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1----------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----1----------------------------------0------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------0------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------1-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-1--------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------1-------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------1-------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-1---------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------0--------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------1--------------------1-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1---1----------------1-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------1-----------1-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1---------0----------1-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1---------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------0---------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------0---------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0--------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------------1-------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------11----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0---------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1---1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0---0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +--------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +---0-----------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +---------------------------------------0-----------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +----------------------------------------1----------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +----------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------1--------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----0----------------------------------1--------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----0--------------------1-----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------1---------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------0---------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----0-----------------------------------0--------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0--------------------------------------------------0-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +---------------------------------------1---------------1-----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +---------------------------------------1----------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------0----------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +----0----------------------------------0----------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------00-0----------------------000------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------0000----------------------000------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-----------------0000----------------------000------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------0000----------------------000------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-----------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +----------------------------------------1----------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +---------------------------------------0------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +----------------------------------------1-----------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------------0-------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----------------------------------------1------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +---------------------------------------0--------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +----------------------------------------1-------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------------------------1----------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10-------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------------------------1-----------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----0--------------------------------------------------------------------1-----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10-------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +---------------------------------------0----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +----------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +---------------------------------------10-------------------------------------------1-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------------------------10--------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------------------------0-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------------------------10-------------------------------------------1--1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------------------------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------0--------------------0-------01--------------------------------------1-----0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~ +---------------------------------------10--------------------------------------------011------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +--------------------------------------------------------------------------------------01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------------------------10-------------------------------------------1-01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +----0-----0--------------------------------------------------------------------1-------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10-------------------------------------------1-10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +---------------------------------------1----------1---------------------------------0110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10--------------------------------------------000------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +----------------------------------------------------------------------------------------1----------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0-----------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------0-------------1----------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------------1-1----------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0----------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-----------------------1---------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1---------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1-------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1-----------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1----------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1---------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0-----------00000001111-----------------------------------------------------------------0----------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----------------------------------------------------------1-----------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------1------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-----------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------------------------------------------1-----------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0---------1-----------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1---------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------1-------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +-------0---1------------------0-----0----------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-------------------------1--------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~1~~~1~1~~~~~~~~~~~~~~~~~~~~~~~ +-------0---1------------------0-----0----------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-------------------------1--------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0----------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0--100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----1--------------------------------------------------------------------1------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1----------------------------01--------------------------------------1------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~ +---------------------------------------1------------1----------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------0----------------------------------------------------------0---------1 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------------------------------------------------------------0 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1--------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---00----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0------------------------------------------------------------------------------------------ ~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~~~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1---------------------------------------------------------------------------------------- ~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1----------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1---------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1--------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0--1--------0000000--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------0-------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------0------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------0------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0----------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-----------1------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----0--------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~00~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~0~~~~~~~~~~~~~~~~0~~~~~~~~~0~~~~~~~0~~~ +----0----------------------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0--------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----0--------------------1-------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------0-------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~0~~~ +------------------------------0---------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-0-------------------------------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------0-----------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------0----------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0----11------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-----0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------00------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----------------------------------1-----------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------00----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------0----1------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------0--------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1---1-----------0--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----------------------------------------------1-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1------------------------------------------------10--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------01---01----------1-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1---------------0-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------01-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------1---------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----0-----------------------------------------------0--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0--------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0---------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-----------0-------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------00------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0---1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1---0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0---0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0--0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------01----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0--0--------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------10---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-----0---------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------0-----------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0-------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------------------------------------------------0----------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----------------------------------0--------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----1-------------------------------------------------0------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1---------------1-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----0--------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------0---------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------------------------0-----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +---------------------------------------1------------100-----------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-------------001----------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------0-------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-------------00-----------------------001------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------------------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0--------------------1------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------------------------------------0---------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-----------------------------------------------------0--------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------00------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---1------------------------------0----01-----------0-00-----------------------00------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------0100-----------------------00------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------0-00----------------------100------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-----------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +----------------------------------------1----------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------------0------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------------1-----------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------0-------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------1------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------0----------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10-------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------0--------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +----------------------------------------1-------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +----0-----0--------------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----1-----0----------------------------0---------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------0---------------------------------------------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------------------------0-----------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-0--------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10--------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------------------------0------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +----------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------------------------------------------------------------------------------010------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------------------------0-------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0--------------------0-------01-----------0-00-----------------------10----0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------111------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +------------------------------------------------------------------------------------0-01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +----0-----0----------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----1-----0----------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------------------------------------1----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----------0---------------------------------------------------------------------0------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------------------------------------------------------------------------------01-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +---------------------------------------10-------------------------------------------10-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +--------------------------------------------------------------------------------------10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------------------------------------------------------------------------------0-10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +------------------------------------------------------------------------------------1-00------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------------------------------------------------------------------------------100------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +----------------------------------------------------------------------------------------1----------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1-0---------------------------------10-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------------------0--0--------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0-----------00000001111-----------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1----------------0010--1----------------------------------------------------------0----------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----------------------------0-----------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------------0-------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--011-------------------------1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11-0-----------------------1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11------------------1------1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11-------------------1-----1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11--------------------0----1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11---------------------1---1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11------------------------01--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1-----------------1----------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1------------------1---------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------------0---------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-----------1-----------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0---------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------0-------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0----------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-----0----------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0-----0-------------------1--------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------0-------------------------------0--------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-----0----------------------------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0----------------------------------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------0-----------------------------------0----------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-------------------------0---------0----------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-----------1--------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0----------------------------------------------------------0--01------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0----------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-----0----------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0-----0-------------------1--------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------0-------------------------------0--------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-----0----------------------------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0----------------------------------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------0-----------------------------------0----------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-------------------------0---------0----------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0----------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0----------------------------------------------------------0--00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0---1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----1---------------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----1-----1----------------------------0----------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------1---------------------------------------------------------------------0-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------1----------------------------01-----------0-00-----------------------10-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1-----------------------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1---------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0--------------------------------------------------------------------0 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-----------01----------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0--------------------------------------------------0-------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--------0----------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--------------------------------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ .end diff --git a/Logic/68030_tk.tt3 b/Logic/68030_tk.tt3 index 6ae371e..9796cef 100644 --- a/Logic/68030_tk.tt3 +++ b/Logic/68030_tk.tt3 @@ -1,465 +1,437 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Mon Jun 09 20:20:30 2014 +#$ DATE Sun Jun 15 16:36:43 2014 #$ MODULE 68030_tk -#$ PINS 59 A_31_ IPL_2_ FC_1_ UDS_000 LDS_000 A_30_ nEXP_SPACE A_29_ BERR A_28_ BG_030 A_27_ A_26_ A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000 A_22_ CLK_OSZI A_21_ CLK_DIV_OUT A_20_ A_19_ FPU_CS A_18_ A_17_ DTACK A_16_ AVEC IPL_1_ VPA IPL_0_ FC_0_ RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 DS_030 SIZE_0_ A0 BG_000 BGACK_030 CLK_EXP DSACK1 IPL_030_1_ AVEC_EXP IPL_030_0_ E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW -#$ NODES 55 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_000_NE inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ CLK_000_N_SYNC_11_ SM_AMIGA_7_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_6_ SM_AMIGA_4_ CLK_000_N_SYNC_6_ CLK_CNT_P_1_ CLK_CNT_N_1_ inst_CLK_030_H CLK_CNT_P_0_ inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ cpu_est_0_ cpu_est_1_ cpu_est_2_ CLK_PRE_66_0 +#$ PINS 59 A_28_ A_27_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ A_17_ A_16_ UDS_000 IPL_1_ LDS_000 IPL_0_ FC_0_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT FPU_CS DTACK AVEC VPA RST AMIGA_BUS_DATA_DIR CIIN A_30_ A_29_ SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 IPL_030_1_ DS_030 IPL_030_0_ A0 BG_000 BGACK_030 CLK_EXP DSACK1 AVEC_EXP E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW SIZE_0_ +#$ NODES 43 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D4 CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_000_D2 inst_CLK_000_D3 inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ inst_CLK_030_H CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ un16_ciin SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ cpu_est_0_ cpu_est_1_ cpu_est_2_ .type fr -.i 113 -.o 248 -.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q inst_CLK_000_D0.Q CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_NE.Q inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q CLK_000_N_SYNC_6_.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q inst_CLK_030_H.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q BG_000.Q CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q CLK_PRE_66_0 AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN -.ob FPU_CS AVEC AMIGA_BUS_DATA_DIR CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_0_.C SIZE_0_.AP SIZE_1_.C SIZE_1_.AP IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_5_.AR inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR BGACK_030.C BGACK_030.AP CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR AS_000.C AS_000.AP inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR BG_000.C BG_000.AP DSACK1.C DSACK1.AP inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.C inst_UDS_000_INT.AP RW_000.C RW_000.AP VMA.C VMA.AP A0.C A0.AP inst_CLK_030_H.C RW.C RW.AP DS_030.C DS_030.AP AS_030.C AS_030.AP AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AMIGA_BUS_ENABLE_LOW.C AMIGA_BUS_ENABLE_LOW.AR inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.C inst_CLK_000_D2.AP RESET.C RESET.AR CLK_EXP.C CLK_EXP.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP AVEC_EXP.C AVEC_EXP.AR inst_CLK_000_NE.C inst_CLK_000_NE.AR inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR UDS_000 LDS_000 DTACK CLK_PRE_66_0 AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D AVEC_EXP.D VMA.D AMIGA_BUS_ENABLE.D AMIGA_BUS_ENABLE_LOW.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D AS_030.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D inst_CLK_000_D0.D CLK_CNT_N_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_000_D1.D inst_CLK_000_D2.D inst_CLK_000_D3.D inst_CLK_000_NE.D inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE.D CLK_000_P_SYNC_9_.D CLK_000_N_SYNC_11_.D AS_000.D SM_AMIGA_7_.D SM_AMIGA_1_.D SM_AMIGA_0_.D SM_AMIGA_6_.D SM_AMIGA_4_.D CLK_000_N_SYNC_6_.D CLK_CNT_P_1_.D CLK_CNT_N_1_.D RW_000.D DSACK1.D inst_CLK_030_H.D CLK_CNT_P_0_.D RW.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_8_.D CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_8_.D BG_000.D CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_10_.D SM_AMIGA_5_.D SM_AMIGA_3_.D SM_AMIGA_2_.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D cpu_est_0_.D cpu_est_1_.D cpu_est_2_.D RESET.D E.D -.p 453 ------------------------------------------------------------------------------------------------------------------ ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ ---0-------------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------1----------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------0---------------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------1-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1------------------------------------------------------------------------------------------------------- ~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~1~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0----------------------------------------------------------------------------------------------------- ~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -0-----------0000000---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1111------------------------------------------------------------------------------------------ ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------0010------------------------------------------------------------------------------------------ ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------0100------------------------------------------------------------------------------------------ ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------1----------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------1---------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------0--------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------1-------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------111-0000-------------------------------------------------------------------------------------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------0----------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------1-----------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --1-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ -------1------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------1---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ -----------------------------1--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ -----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------0------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0-------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1----------------0010--1-----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0----1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1-----0---------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-----------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------0------0--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-----1-------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------1-------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1---1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------01--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--10--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0---111------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1---000------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------1---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ --------------------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------1------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0--------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------0----0---1--------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1-------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1-----------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1--------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------0------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0-----------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1--1---------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------10--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------1----------------------100--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----1-----------------100--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------1------------100--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1-------------0--------100--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -----1--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------0------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------1---0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----1--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------0-------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ -----0-----------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1-----------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0----1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0-------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0---1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0---------------------------------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----------------------------1-------------------------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1----1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0----0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------0---0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---------------------------------------------------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------1------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------1----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------1--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ ----0-----------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ---------0------------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ ------------------------------------------------------0---------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ ------------------------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ -----0------------------------------------------0------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0-----0---------------------1----------------------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0------------------------------------------0-------------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ -----0--------------------------0------------------------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------------------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------1------------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-------------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0---------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-------------------------------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ --------------------------------0--------------------------------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ --------------------------------0---------------------------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ --------------------------------0----------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ --------------------------------1----------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ ---------------------------------1---------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------------1----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----0--------------------------------------------------------------------------------1-----1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0-----0--------------------------------------------------------------------------------1-----1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------01--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --------------------------------1----------------------------------------------------------------10--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ --------------------------------1----------------------------------------------------------------00--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------0------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------------------------------------------------------------------------11-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------1----------------------------------------------------------------1-0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------1-----------------------------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------0-------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 --------------------------------1----------------------------------------------------------------1--1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ --------------------------------------------------------------------------------------------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----------0---------------------0--------------1-------------------------------------------1-----0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------------------------1------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ ---------------------------------------------------------------------------------------------------01------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 -----1-----0--------------------------------------------------------------------------------1-------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0-----0--------------------------------------------------------------------------------1-------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1-----------1-------------------------------------------0110------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ -----------------------------------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------------------------1----------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------1------1----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1----------------------11----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------0----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1------------------------1---------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------0--------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------------------1---------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------1-------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-----------------------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1-----------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1-------------------------------------------------------------1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------0-----1---------------------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------1-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------1-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---1------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0--------------------------------1--------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1---1------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0--------------------------------1--------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0--100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ -----0-----1--------------------------------------------------------------------------------1-------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------1-------------------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ --------------------------------1------------------------1-------------------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0--------------------------0-----------------------------------------------------------------------0---------1 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------0 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -1---------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0------------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----00------------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------0---------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------0-------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------1------------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------0------------------------------------------------------------------------------------------------------- ~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~0~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0------------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1----------------------------------------------------------------------------------------------------- ~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------1---------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------1--------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------1-------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------1------------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------1----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------10-------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------011------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1-0------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------00------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------0--1------------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--01----------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--0-1---------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--0--1--------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------1--0---1-------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------1---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --0-----------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ ----0---------------------------1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------0---1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ -----------------------------0--1--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ -----0--------------------------0--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~00~~~~~~~~~~ -------------------------------00--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-----0---------------------1-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0--------------------11-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------------------------------1------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---1----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1--------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0-----------------------------------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1--------1------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------0------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------1---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------0---------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------0--11--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------1---0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------00--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------0-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------1------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------0------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ -----0------------------------------------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------1---------------0----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------------------------------------------0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ --------------------------------------------------0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------0-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------0------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------0-------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0------------------------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------0---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------0-----------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0-------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1----------0-----0-0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-------------------------------------------------10--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------00--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------01---0---1--------100--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1----------------000--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------01-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----0----------------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ------------------------------------1--------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------1---------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------0-----------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1--------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ------------------------------------------------------0--0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------0-1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0----------------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ ------------------------------------------------0---------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------00------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------1---1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ ------------------------------------------0------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------11---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------1------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------0-------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------0--0----0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0-------------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------0---------------------------------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------1-------------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------0----------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------0----1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------1----0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------01--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-----------------------------------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------00---------0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------10-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------0--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------0------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------0------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------0---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------0--------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ ------------------------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ ------0---------------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ ------------------------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------0----------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-------------------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ---------------------------------------------------------0---------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ ----------------------------------------------------------0--------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------0-----------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0--------------------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------1-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------0-----------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------------------------------1---------------1------10-----------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ --------------------------------1---------------1-------0----------------------------------10--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------001---------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------00-1--------------------------------00--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1--------------------------------------------------0------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------00----------------------------------001-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0---------------------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0---------------------1-----------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------1--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------0--------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------------------------------------------------0-------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------------------------------------------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ ------------------------------------------------1------1000---------------------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------------------------------------------1-------000--------------------------------100-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-------------------------------0----0---1---------0000--------------------------------000-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0-------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ --------------------------------0--------------------------------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ --------------------------------0---------------------------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ --------------------------------1----------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ ---------------------------------0---------------------------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0----------------------------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ -----0-----0--------------------------------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0--------------------1-----------------------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0---------------------------------------------------------------------------------0----1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------0-----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ ---------------------------------0----------------------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------0-0-------------------------------------------------------10--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1-----------------------------------------------------------------11-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------0------------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ---------------------------------0-----------------------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------010-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ---------------------------------0------------------------------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0--------------------00--------------1-------------------------------------------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----------0---------------------0--------------1---------0---------------------------------------0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----------0--------------------10--------------1-------0-----------------------------------1-----0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------0---------------------0--------------1-------000---------------------------------10----0-1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1----------------------------------------------------------------1101------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -------------------------------------------------------------------------------------------------0001------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -----0-----0----------------------------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------0--------------------1-------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ --------------------------------0-------------------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 -----------0---------------------------------------------------------------------------------0------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -------------------------------------------------------------------------------------------------01-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------1----------------------------------------------------------------10-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ ---------------------------------------------------------------------------------------------------10------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0 --------------------------------1----------------------------------------------------------------1110------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ -------------------------------------------------------------------------------------------------0010------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ --------------------------------------------------------------------------------------------------100------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ -----------------------------------------------------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------0---------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1-0--1--------------------------------------------1-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ ---------------------------------------------------------00-------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---1---1----------------0010--1-----------------------------------------------------------------------0----------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1------------------------------0-----------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1-----------------------------------------------0------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---011-------------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11-0-----------------------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11------------------1------1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11-------------------1-----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11--------------------0----1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11---------------------1---1----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----11------------------------01----------------------1-----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1-----------------1----------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------0--1------------------1---------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----1---------------------------------------------------------0--------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1------------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------0-------------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------0-----------------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0-----------------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0------0-------------------------1--------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0----------------------------------0------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0-----------------------------------------------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0--------------------------------------0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0--------------------------------0-----0--------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------------------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ------------1---------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--01------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0-----------------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0------0-------------------------1--------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0----------------------------------0------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0------0----------------------------------------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------0----------------------0-----------------------------------------------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------1----------------------0--------------------------------------0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0--------------------------------0-----0--------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0-----------------------------------------------------------------------0--00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0---1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------0----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ---------------------------------------------------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0-----1----------------------------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------1--------------------1-------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------1---------------------------------------------------------------------------------0------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ -----------1--------------------0---------------1---------------------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----------1------------------------------------1---------0-----------------------------------------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ -----------1--------------------1---------------1-------0-----------------------------------1-------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----------1------------------------------------1-------000---------------------------------10------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----1------------------------------------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------1---------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------------------------------------------------------------------------------1---------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -------------------------------0---------------------------------------------------------------------------------0 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ --------------------------------1---------------------0--1-------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ -----0----------------------------------------------------0------------------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0---------0--------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ ----------------------------------------------------------0-------------------------------------------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +.i 100 +.o 212 +.ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q VMA.Q AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D4.Q CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q BG_000.Q CLK_000_P_SYNC_8_.Q un16_ciin SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN +.ob FPU_CS AVEC AMIGA_BUS_DATA_DIR CIIN cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.C cpu_est_2_.AR E.C E.AR SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_0_.C SIZE_0_.AP SIZE_1_.C SIZE_1_.AP IPL_030_0_.C IPL_030_0_.AP IPL_030_1_.C IPL_030_1_.AP IPL_030_2_.C IPL_030_2_.AP SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.C SM_AMIGA_4_.AR CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.C CLK_CNT_N_1_.AP RW_000.C RW_000.AP VMA.C VMA.AP BGACK_030.C BGACK_030.AP inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP AS_000.C AS_000.AP inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR BG_000.C BG_000.AP DSACK1.C DSACK1.AP inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.C inst_UDS_000_INT.AP A0.C A0.AP inst_CLK_030_H.C RW.C RW.AP DS_030.C DS_030.AP AS_030.C AS_030.AP inst_CLK_000_D4.C inst_CLK_000_D4.AP AMIGA_BUS_ENABLE_LOW.C AMIGA_BUS_ENABLE_LOW.AR inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.C inst_CLK_000_D3.AP RESET.C RESET.AR CLK_EXP.C CLK_EXP.AR inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.C inst_VPA_D.AP AVEC_EXP.C AVEC_EXP.AR inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR UDS_000 LDS_000 DTACK un16_ciin AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE CLK_DIV_OUT.AR CLK_DIV_OUT.C CLK_DIV_OUT.D BGACK_030.D AVEC_EXP.D VMA.D AMIGA_BUS_ENABLE.D AMIGA_BUS_ENABLE_LOW.D inst_AS_030_000_SYNC.D inst_BGACK_030_INT_D.D AS_030.D inst_VPA_D.D inst_CLK_OUT_PRE_50_D.D inst_CLK_000_D0.D inst_CLK_000_D1.D inst_CLK_000_D4.D CLK_CNT_N_0_.D inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_25.D inst_CLK_000_D2.D inst_CLK_000_D3.D inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE.D CLK_000_P_SYNC_9_.D AS_000.D SM_AMIGA_7_.D SM_AMIGA_6_.D SM_AMIGA_1_.D SM_AMIGA_0_.D SM_AMIGA_4_.D inst_CLK_030_H.D CLK_CNT_P_1_.D CLK_CNT_N_1_.D RW_000.D DSACK1.D CLK_CNT_P_0_.D RW.D inst_LDS_000_INT.D inst_DS_000_ENABLE.D inst_UDS_000_INT.D DS_030.D SIZE_0_.D SIZE_1_.D A0.D CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_7_.D BG_000.D CLK_000_P_SYNC_8_.D SM_AMIGA_5_.D SM_AMIGA_3_.T SM_AMIGA_2_.D CLK_EXP.D IPL_030_0_.D IPL_030_1_.D IPL_030_2_.D cpu_est_0_.D cpu_est_1_.T cpu_est_2_.D E.D RESET.D +.p 425 +---------------------------------------------------------------------------------------------------- ~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1 +--0------------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----1---------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +------0--------------------------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------1------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------------------------------------------------------------------------------ ~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~11~1~1~1~~~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0---------------------------------------------------------------------------------------- ~~~~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~1~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0---------------0-------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0----------------0------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0-----------------0------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------0----------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------1---------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------1--------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------0-------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------1------------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------0---------------------------------------------------------------------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~11~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~ +------1-----------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------0------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1----------------0010--1----1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0---1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1----0---------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------0-----0--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~1~~111~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------1-----1-------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------1-------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-1-------------------------------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +------1--------------------------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------1-----------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +----------------------------1----------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +----0------------------------------------1---------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-----1------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------01------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0----10------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10----00----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------1---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~ +------------------------------------------------1--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------1-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0----------1------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------0----01----------1------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-1------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1----------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----1----------------------------------0------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------0------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------1-0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-1--------------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------1-------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------1-------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-------------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-1---------------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1--------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------0--------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------1--------------------1-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1---1----------------1-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------1-----------1-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1---------0----------1-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1---------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------0---------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------0---------------1-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0--------------------------------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------------1-------------------1------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------11----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0---------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-------------------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0------1---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1------------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-------------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0------1--------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0------------------1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1---1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0---0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0------------------------------------------------------1------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------1---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------1-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------1------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------1------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------1----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------1---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------1--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------1-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~ +--------------------------------------------------------------------------1------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~ +---0-----------------------------------------------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +---------------------------------------0-----------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +----------------------------------------1----------------------------------1------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +----------------------------------------------------------------------------1----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------0---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------1--------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~ +----0----------------------------------1--------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0--------------------------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----0--------------------1-----------------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------1---------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------0---------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +----0-----------------------------------0--------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0--------------------------------------------------0-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +---------------------------------------1---------------1-----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~ +---------------------------------------1----------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------0----------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~ +----0----------------------------------0----------------------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------00-0----------------------000------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------0000----------------------000------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------1-----------------0000----------------------000------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------0000----------------------000------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-----------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +----------------------------------------1----------------------------------------1------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~ +---------------------------------------0------------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +----------------------------------------1-----------------------------------------1----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~ +---------------------------------------0-------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +----------------------------------------1------------------------------------------1---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~ +---------------------------------------0--------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +----------------------------------------1-------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------------------------1----------------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10-------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~ +-------------------------------1-----------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----0--------------------------------------------------------------------1-----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10-------------------------------------------00-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +---------------------------------------0----------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +----------------------------------------1---------------------------------------------1------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------------------------------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +---------------------------------------10-------------------------------------------1-0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------------------------10--------------------------------------------00------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------------------------0-----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------------------------------------1----------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------------------------10-------------------------------------------1--1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~ +-------------------------------------------------------------------------------------0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +----------0--------------------0-------01--------------------------------------1-----0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~ +---------------------------------------10--------------------------------------------011------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +--------------------------------------------------------------------------------------01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~ +---------------------------------------10-------------------------------------------1-01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +----0-----0--------------------------------------------------------------------1-------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10-------------------------------------------1-10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +---------------------------------------1----------1---------------------------------0110------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10--------------------------------------------000------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~ +----------------------------------------------------------------------------------------1----------- 1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------1----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0-----------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0-----------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------0-------------1----------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------------1-1----------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------0----------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1-----------------------1---------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1---------------------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1---------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1--------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1-------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1------------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1-----------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1----------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1---------------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0-----------00000001111-----------------------------------------------------------------0----------- ~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----------------------------------------------------------1-----------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------1------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~1~~~111~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------------------------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-----------------------------------------------------1--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------------------------------------------1-----------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------0---------1-----------------------0--------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------1---------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------1-------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------1------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +-------0---1------------------0-----0----------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-------------------------1--------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~1~~~1~1~~~~~~~~~~~~~~~~~~~~~~~ +-------0---1------------------0-----0----------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-------------------------1--------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0----------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0--100--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------1-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----1--------------------------------------------------------------------1------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------1----------------------------01--------------------------------------1------------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~11~~~~~~~~~ +---------------------------------------1------------1----------------------------------------------1 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~1~~~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0--------------------------0----------------------------------------------------------0---------1 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------------------------------------------------------------0 ~~1~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +1--------------------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---00----------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------0--------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------0------------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------1------------------------------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------0------------------------------------------------------------------------------------------ ~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~00~0~0~0~~~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0----------------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------1---------------------------------------------------------------------------------------- ~~~~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~0~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------1--------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------1-------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------1------------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------1------------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------1----------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------1---------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------1--------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0--1--------0000000--------------------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------0-------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------0------------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------0------------------------------------------------------------------------------ ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------0----------------------------------------------------------------------------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~00~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-----------1------------------1--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0--------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~00~00~~~~~~~~~~~~~0~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----0--------------------1-------------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------1------------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------1----------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1--------------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~0~~000~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~00~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~0~~~~~~~~~~~~~~~~0~~~~~~~~~0~~~~~~~0~~~ +----0----------------------------------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0--------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----0--------------------1-------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------0-------0------------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~0~~~ +------------------------------0---------1----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------------0----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-0-------------------------------------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +---------------------------0-----------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------0----------10----------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +------------------------------------------0--------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------1-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------0-------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------0----11------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------1-----0------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------00------------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------1------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------0------------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------1----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------0----------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------0---------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~ +------------------------------------------------0--------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------0-------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------0------------------0------------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0----------------------------------------------0------------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1------------1----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---0------------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----------------------------------------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +----------------------------------1-----------------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------0-----------0----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------00----------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------0----1------1---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0------------------------------------------------0---------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------0--------------1--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1---1-----------0--0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----------------------------------------------1-0--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1------------------------------------------------10--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------01---01----------1-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1---------------0-00--------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------01-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1----------------------------------1---------------0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----0-----------------------------------------------0--0-------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1------------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------0--------------------------------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------1-------------------0------------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1--------------0------------------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------0---------------1----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------------------1---------------0----------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-----------0-------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------00------0---------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0---1-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------1---0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------0---0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------0--0-------------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------01----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------------------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0--0--------0----------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------10---------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------0-------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------0------------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------0------------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~ +----------------------------------------------------------------------0----------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------0---------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~ +------------------------------------------------------------------------0--------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------0-------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~ +--------------------------------------------------------------------------0------------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~ +-----0---------------------------------------------------------------------0------------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------------------------------------------0----------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------1---------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------0-----------------------1--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-------------------------------------------------------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------------------------------------------------0-------------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~ +-------------------------------------------------------0----------------------0--------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----------------------------------0--------------------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----1-------------------------------------------------0------------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1---------------1-----------------------1-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----0--------------------------------------------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------0---------------------------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +-------------------------------------------------------0-----------------------0-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +---------------------------------------1------------100-----------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-------------001----------------------00-------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------0-------------------------1------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-------------00-----------------------001------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0---------------------------------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0--------------------1------------------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------------------------------------0---------------------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-----------------------------------------------------0--------------------------0------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------00------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---1------------------------------0----01-----------0-00-----------------------00------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------0100-----------------------00------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------0-00----------------------100------------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0-----------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +----------------------------------------1----------------------------------------0------------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~ +---------------------------------------0------------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +----------------------------------------1-----------------------------------------0----------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~ +---------------------------------------0-------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +----------------------------------------1------------------------------------------0---------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~ +-------------------------------0----------------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10-------------------------------------------1--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +---------------------------------------0--------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +----------------------------------------1-------------------------------------------0--------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~ +----0-----0--------------------------------------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----1-----0----------------------------0---------------------------------------------1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------0---------------------------------------------------------------------0----1-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +-------------------------------0-----------------------------------------------------0-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------0-0--------------------------------------------10-------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------10--------------------------------------------11------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +-------------------------------0------------------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0----------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +----------------------------------------1---------------------------------------------0------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +------------------------------------------------------------------------------------010------------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------------------------0-------------------------------------------------------1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----------0--------------------0-------01-----------0-00-----------------------10----0-1------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------111------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +------------------------------------------------------------------------------------0-01------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +----0-----0----------------------------------------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +---------------------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----1-----0----------------------------0-----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------------------------------------1----------------------------------------------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +----------0---------------------------------------------------------------------0------0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +------------------------------------------------------------------------------------01-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +---------------------------------------10-------------------------------------------10-0------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +--------------------------------------------------------------------------------------10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~ +------------------------------------------------------------------------------------0-10------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +------------------------------------------------------------------------------------1-00------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~ +-------------------------------------------------------------------------------------100------------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~ +----------------------------------------------------------------------------------------1----------- ~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1------------------------------------------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------0------------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1-0---------------------------------10-----------------------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~ +----------------------------------------------------0--0--------------------------------1----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +0-----------00000001111-----------------------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--1---1----------------0010--1----------------------------------------------------------0----------- 0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-----------------------------0-----------------------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1---------------------------------------------0-------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--011-------------------------1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11-0-----------------------1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11------------------1------1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11-------------------1-----1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11--------------------0----1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11---------------------1---1--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---11------------------------01--------------------1------------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1-----------------1----------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------0--1------------------1---------------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----1-------------------------------------------------------0---------------------------0----------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-----------1-----------------------------------------------------------------------------1---------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------0---------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------0-------------------------1-------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +--------------------------------------------------------------------------------------------0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0----------------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-----0----------------------------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0-----0-------------------1--------------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------0-------------------------------0--------------------------0--0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-----0----------------------------------------------------01-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0----------------------------------------------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------0-----------------------------------0----------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-------------------------0---------0----------------------00-0------- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------------------------------------------------------------1------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~ +-----------1--------------------------------------------------------------------------------11------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0----------------------------------------------------------0--01------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0----------------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-----0----------------------------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0-----0-------------------1--------------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------0-------------------------------0--------------------------0---0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-----0----------------------------------------------------01--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +-------1----------------------0----------------------------------------------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------0----------------------0-----------------------------------0----------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0-------------------------0---------0----------------------00--0------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0----------------------------------------------------------0--10------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0----------------------------------------------------------0--00------ ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0--0----- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0---1---- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0----1--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------0----0--- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------------------------------------------------0-- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0-----1---------------------------------------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----1-----1----------------------------0----------------------------------------------------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~ +----------1---------------------------------------------------------------------0-----------------1- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~ +----------1----------------------------01-----------0-00-----------------------10-----------------0- ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---1-----------------------------------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------1--------------------------------------------------------------------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-----------------------------------------------------------------------------------------1---------1 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +------------------------------0--------------------------------------------------------------------0 ~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +---------------------------------------1-----------01----------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~ +----0--------------------------------------------------0-------------------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--------0----------------------------------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ +-------------------------------------------------------0--------------------------------1----------0 ~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~~0~~~~~~~~~~~~~~~~~~~~~~~~~~~ .end diff --git a/Logic/68030_tk.tt4 b/Logic/68030_tk.tt4 index 6908637..2a2480c 100644 --- a/Logic/68030_tk.tt4 +++ b/Logic/68030_tk.tt4 @@ -1,81 +1,74 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Mon Jun 09 20:20:30 2014 +#$ DATE Sun Jun 15 16:36:43 2014 #$ MODULE BUS68030 -#$ PINS 59 A_31_ IPL_2_ FC_1_ UDS_000 LDS_000 A_30_ nEXP_SPACE A_29_ BERR A_28_ - BG_030 A_27_ A_26_ A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000 A_22_ CLK_OSZI - A_21_ CLK_DIV_OUT A_20_ A_19_ FPU_CS A_18_ A_17_ DTACK A_16_ AVEC IPL_1_ VPA - IPL_0_ FC_0_ RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 - DS_030 SIZE_0_ A0 BG_000 BGACK_030 CLK_EXP DSACK1 IPL_030_1_ AVEC_EXP IPL_030_0_ - E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW -#$ NODES 56 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D - inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 - inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 - inst_CLK_000_NE inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ - CLK_000_N_SYNC_11_ SM_AMIGA_7_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_6_ SM_AMIGA_4_ - CLK_000_N_SYNC_6_ CLK_CNT_P_1_ CLK_CNT_N_1_ inst_CLK_030_H CLK_CNT_P_0_ - inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ - CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ - CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ - CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ - CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ - CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ - cpu_est_0_ cpu_est_1_ cpu_est_2_ CLK_PRE_66_0 SM_AMIGA_7__0 +#$ PINS 59 A_28_ A_27_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ A_20_ + A_19_ FC_1_ A_18_ A_17_ A_16_ UDS_000 IPL_1_ LDS_000 IPL_0_ FC_0_ nEXP_SPACE + BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT FPU_CS DTACK AVEC VPA + RST AMIGA_BUS_DATA_DIR CIIN A_30_ A_29_ SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 + IPL_030_1_ DS_030 IPL_030_0_ A0 BG_000 BGACK_030 CLK_EXP DSACK1 AVEC_EXP E VMA + RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW SIZE_0_ +#$ NODES 45 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D + inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D4 + CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_000_D2 + inst_CLK_000_D3 inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ + SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ inst_CLK_030_H + CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT inst_DS_000_ENABLE + inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ + CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ + CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ un16_ciin SM_AMIGA_5_ SM_AMIGA_3_ + SM_AMIGA_2_ cpu_est_0_ cpu_est_1_ cpu_est_2_ AMIGA_BUS_ENABLE_LOW_0 + SM_AMIGA_7__0 .type f -.i 114 -.o 250 +.i 102 +.o 218 .ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ - A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q + A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q VMA.Q AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q - inst_CLK_000_D0.Q CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q - inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_NE.Q - inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q - AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q - CLK_000_N_SYNC_6_.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q - inst_CLK_030_H.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q - inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q - CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q - CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q - CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q - CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q BG_000.Q - CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q - SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q - cpu_est_2_.Q E.Q CLK_PRE_66_0 AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN - UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN - RW.PIN SM_AMIGA_7__0 + inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D4.Q CLK_CNT_N_0_.Q + inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q + inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q AS_000.Q + SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q + inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q CLK_CNT_P_0_.Q + RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q DS_030.Q + CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q + CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q + BG_000.Q CLK_000_P_SYNC_8_.Q un16_ciin SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q + IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q + E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN + SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN + AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0 .ob UDS_000% UDS_000.OE LDS_000% LDS_000.OE CLK_DIV_OUT.D CLK_DIV_OUT.C CLK_DIV_OUT.AR FPU_CS% DTACK DTACK.OE AVEC AMIGA_BUS_DATA_DIR CIIN CIIN.OE SIZE_1_.D% SIZE_1_.C SIZE_1_.AP SIZE_1_.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP AS_030.D AS_030.C AS_030.AP AS_030.OE AS_000.D% AS_000.C AS_000.AP - AS_000.OE RW_000.D% RW_000.C RW_000.AP RW_000.OE DS_030.D DS_030.C DS_030.AP - DS_030.OE SIZE_0_.D% SIZE_0_.C SIZE_0_.AP SIZE_0_.OE A0.D A0.C A0.AP A0.OE - BG_000.D% BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D - CLK_EXP.C CLK_EXP.AR DSACK1.D% DSACK1.C DSACK1.AP DSACK1.OE IPL_030_1_.D - IPL_030_1_.C IPL_030_1_.AP AVEC_EXP.D AVEC_EXP.C AVEC_EXP.AR IPL_030_0_.D - IPL_030_0_.C IPL_030_0_.AP E.D% E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D - RESET.C RESET.AR RW.D% RW.C RW.AP RW.OE AMIGA_BUS_ENABLE.D% AMIGA_BUS_ENABLE.C - AMIGA_BUS_ENABLE.AP AMIGA_BUS_ENABLE_LOW.D AMIGA_BUS_ENABLE_LOW.C - AMIGA_BUS_ENABLE_LOW.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C + AS_000.OE RW_000.D% RW_000.C RW_000.AP RW_000.OE IPL_030_1_.D IPL_030_1_.C + IPL_030_1_.AP DS_030.D DS_030.C DS_030.AP DS_030.OE IPL_030_0_.D IPL_030_0_.C + IPL_030_0_.AP A0.D A0.C A0.AP A0.OE BG_000.D% BG_000.C BG_000.AP BGACK_030.D + BGACK_030.C BGACK_030.AP CLK_EXP.D CLK_EXP.C CLK_EXP.AR DSACK1.D% DSACK1.C + DSACK1.AP DSACK1.OE AVEC_EXP.D AVEC_EXP.C AVEC_EXP.AR E.D.X1 E.D.X2 E.C E.AR + VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C RESET.AR RW.D% RW.C RW.AP RW.OE + AMIGA_BUS_ENABLE.D% AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP + AMIGA_BUS_ENABLE_LOW.D AMIGA_BUS_ENABLE_LOW.C AMIGA_BUS_ENABLE_LOW.AR SIZE_0_.D% + SIZE_0_.C SIZE_0_.AP SIZE_0_.OE inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR - inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP CLK_CNT_N_0_.D - CLK_CNT_N_0_.C CLK_CNT_N_0_.AR inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C - inst_CLK_OUT_PRE_50.AR inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C - inst_CLK_OUT_PRE_25.AR inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP + inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_CLK_000_D1.D + inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_CLK_000_D4.D inst_CLK_000_D4.C + inst_CLK_000_D4.AP CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR + inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR + inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.D - inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_NE.D inst_CLK_000_NE.C - inst_CLK_000_NE.AR inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C + inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR - CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR - CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR SM_AMIGA_7_.D - SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR - SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR SM_AMIGA_6_.D SM_AMIGA_6_.C - SM_AMIGA_6_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR CLK_000_N_SYNC_6_.D - CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C - CLK_CNT_P_1_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP inst_CLK_030_H.D - inst_CLK_030_H.C CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR + CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR SM_AMIGA_7_.D% + SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR + SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C + SM_AMIGA_0_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR inst_CLK_030_H.D + inst_CLK_030_H.C CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR CLK_CNT_N_1_.D + CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR inst_LDS_000_INT.D inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR inst_UDS_000_INT.D inst_UDS_000_INT.C inst_UDS_000_INT.AP CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR @@ -86,192 +79,166 @@ CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C - CLK_000_P_SYNC_8_.AR CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C - CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C - CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C - CLK_000_N_SYNC_2_.AR CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C - CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C - CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C - CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C - CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C - CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C - CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C - CLK_000_N_SYNC_10_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_3_.D - SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR - cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C cpu_est_1_.AR - cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR CLK_PRE_66_0% SM_AMIGA_7__0 -.phase 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 172 --------------------------------------------------------------------10--------------------------------------------- 1000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1----------------------------------------------------------------------------------- 0101000000000000000001000000100011000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------01---------------------------------------------- 0010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------1----------------------------------------------------------------- 0000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1-------------------------------------------------------------------------------------------------------- 0000010000000001000100100010001000100010001000100100100100010010010010001001001000100000100100100100100000100100100100100100100100100100100100100100100100100000101001001001001001001001001001001001001001001001001001001001001001001001001001001001001000 ------------0------------------------------------------------------------------------------------------------------ 0000001000000000100010010001000100010001000100010010010010001001001001000100100100010010010010010010010010010010010010010010010010010010010010010010010010010010000100100100100100100100100100100100100100100100100100100100100100100100100100100100100100 ---1---1----------------0010--1-----------------------------------------------------------------------0------------ 0000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------------1--- 0000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------0------0---------------------------------------------------------------------------- 0000000001000000010000001000000000001000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------------------- 0000000000100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------0-----------------------------------------------------------------------0---------1- 0000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1---------------------------------------------------------------------------------0- 0000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------1111------------------------------------------------------------------------------------------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------0010------------------------------------------------------------------------------------------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------0100------------------------------------------------------------------------------------------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------111-0000--------------------------------------------------------------------------------------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-----------0000000----------------------------------------------------------------------------------------------- 0000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--01------- 0000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--10------- 0000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------------------------1---------------------------------------------------------------------------------- 0000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0---------------------------------------------------------------1------------------ 0000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1-----------------------------1---------------------------------------------------------------------------- 0000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------1----------- 0000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------------------------11------- 0000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1------------------------1--------------------------------------------------------- 0000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -----1-----------------------------------------------0------------------------------------------------0------------ 0000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0---------------------0-------0---------------------------------------------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------0--0----0---------------------------------------------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1---------------------0--1-------------------------------------------------------0- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----------------------------1-------------------------1-------------------------------------------------- 0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1-----------------------------------------------------------------1---------- 0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1-------------------------------------------------------------1---------------------------------0---------- 0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------0-----1---------------------------------0---------- 0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--00------- 0000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0---------------------------------------------------------------------------------0-------------------------- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-0--1--------------------------------------------1-----------------------------------------------1------------ 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-----------------------1----------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1------------------------1---------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------1---1------------------------------------------------------- 0000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1---------------------------------------------------------0--------------------------------------0------------ 0000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1---1---------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0--------------------------------------------------------------1------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------1--------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1--1---------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0-------------------------------------------------------------1-------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1-----------------------------------------------------------------11--------------- 0000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0-------------------------------------------------------------------0-------------- 0000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------01-0-------------- 0000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------10-------------- 0000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1--------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0-------1-----------1-------------------------------------------0110-------------- 0000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-----0-0-------------------------------------------------------10---------------- 0000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1----------------------0----------------------------------0------------------------------------0--0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0----------------------0-----------------------------------------------------------------------00-0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1----------------------0----------------------------------0------------------------------------0---0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0----------------------0-----------------------------------------------------------------------00--0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0----------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1----------0-----0-0---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------01---0---1--------100---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1----------------000---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1-----------------1----------------------------------------------0------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1------------------1---------------------------------------------0------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------------------------------0------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0-------------------------------1------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1----------------0010--1-----1------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0----1------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------1-----------------0------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------1------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------1------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1----------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1--------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------1------------------1----------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------0------------------0----------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------0-------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------0----------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1---1---------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------01---------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0--10---------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------1--------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------1-------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------1-------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------1---------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1---------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------1----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------1------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------------------1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------------------------------1---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0------------------------------------------------------------1--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0-------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0-------------------------------------------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0------------------------------------------1----------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0------1----------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----1--------------------------------------------------------------------------------1-------------------1-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0--------------------------------------------------------------------------------1-------0-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0--------------------------------------------------------------------------------1-----1---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0---------------------1----------------------------------------------------------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------------------------------0000--------------------------------000--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1------------------------------------------0------1----------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1------------------------------------------------------------1--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0-----------------------1---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1------10---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------0----0---1--------1------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0-------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1------------------------------------------1----------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1----------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------1------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0---------------------------------------------------1-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1---1------------------0------0----------------------------------------------------------------0--0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0--------------------------------1--------------------------------------0--0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1---1------------------0------0----------------------------------------------------------------0---0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0--------------------------------1--------------------------------------0---0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1----1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------0----0------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------1-------------------------------------1--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------0--100---- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1-------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1--------------------------------------------------------------1---------------------------------0------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1------------------------1-------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------1-----------------------------------1--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------0----1---- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1---000------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------1------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------1------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------1----------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------1---------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------1--------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------1-------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------1------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------1------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 -----------------------------------------0---111------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------1---------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------1--------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------1-------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 -----------------------------------------------------------------------------------1------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 ------------------------------------------------------------------------------------1------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 -----------------------------------------------------------1------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 --------------------------------------------------------------------------------------1---------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 ---------------------------------------------------------------------------------------1--------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 -----------------------------------------------------------------------------------------1------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -----1------------------------------------------0------------------------------------------1----------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -----1-----0---------------------1----------------------------------------------------------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1------------------------------------------0-------------------------------------------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1-----0--------------------------------------------------------------------------------1-----1---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1-----0--------------------------------------------------------------------------------1-------0-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1-----1--------------------------------------------------------------------------------1-------------------1-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1--------------------------0------------------------------------------------------------1--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 -----------0---------------------0--------------1-------------------------------------------1-----0-1-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 -----------1------------------------------------1-------------------------------------------1-------------------0-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 --------------------------------0----------------------------------------------------------------1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------------------------1----------------------------------------------------------------0----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------------------------0-----------------------------------------------------------------1---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 -------------------------------------------------------------------------------------------------01---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1----------------------------------------------------------------10---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1------------------------------------------------------------------11-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1------------------------------------------------------------------00-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1----------------------------------------------------------------00---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------------------------0------------------------------------------------------------------1--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------------------------------------------------------------------------------------------11--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------------------------1----------------------------------------------------------------1--1-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 ------------------------------------------------------------11----------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 ------------------------------------------0----------------------1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------------------------1-----------------------1---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ---------------------------------------------0---------0000--------------------------------000--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -----------------------------------------1-------------0000--------------------------------000--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ------------------------------------1------------------0000--------------------------------000--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 + CLK_000_P_SYNC_8_.AR un16_ciin% SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR + SM_AMIGA_3_.T SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C + SM_AMIGA_2_.AR cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.T cpu_est_1_.C + cpu_est_1_.AR cpu_est_2_.D.X1 cpu_est_2_.D.X2 cpu_est_2_.C cpu_est_2_.AR + AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0.X1 SM_AMIGA_7__0.X2 +.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 155 +----------------------------------------------------------------10------------------------------------ 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------1----------------------------------------------------------------------- 01010000000000000000010000001000100010000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------01------------------------------------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------1------------------------------------------------------ 00001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------1-------------------------------------------------------------------------------------------- 00000100000000010001001000100010001001000100100010010010010001000100010010010001000001000100100100100100100100000100100100100100100100100100100100100101000001001001001001001001001001001001001001000100100100100100010000 +-----------0------------------------------------------------------------------------------------------ 00000010000000001000100100010001000100100010010001001001001000100010001001001000100100100010010010010010010010010010010010010010010010010010010010010000100100100100100100100100100100100100100100100010010010010010001000 +--1---1----------------0010--1----------------------------------------------------------0------------- 00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------------------1---- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------------0-----0----------------------------------------------------------------- 00000000010000000100000010000000000000010000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------------ 00000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------------0----------------------------------------------------------0---------1-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------1--------------------------------------------------------------------0-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0-----------00000001111-----------------------------------------------------------------0------------- 00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +-----------------------------------------------------------------------------0------------------------ 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0----------------------------------------------------------0--01-------- 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0----------------------------------------------------------0--10-------- 00000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-------------------------------------10------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0-------------------------------------------1------------------ 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1------------------------------------------1------------------ 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0----------------------------1----------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------------1------------ 00000000000000000000010000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------------------11-------- 00000000000000000000010000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1------------1------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----1---------------------------------------------0-------------------------------------0------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0-----------0-------0------------------------------------------ 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------00------0------------------------------------------ 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1-----------01----------------------------------------------0-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------1-----------10------------------------------------------------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0------------------------------------------1------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1-----------------------------------------1------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1----------------------------1-------------------1--------------------------------------------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------1-----------------------------------------------------1----------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0----------------------------------------------------------1-----------------------0----------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------0---------1-----------------------0----------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1----------10------------------------------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0-----------------------------------------1-------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1----------------------------------------1-------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0---------------------------------------------------------------------0-------------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1-0---------------------------------10-----------------------------------------------1------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1-----------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1--------------------------------10------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0----1------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-------------------------------------------------------0---------------------------0------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1-------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------10--------------------------------------------111-------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------10--------------------------------------------000-------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +---------------------------------------10-------------------------------------------1-00-------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------------1-------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------0-------1----------1---------------------------------0110-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------1-----0-0--------------------------------------------10---------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0----------------------0-------------------------------0--------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1----------------------0----------------------------------------------------------00-0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0----------------------0-------------------------------0--------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1----------------------0----------------------------------------------------------00--0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1---1-----------0--0----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1------------------------------01---01----------1-00----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1---------------0-00----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1-----------------1----------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1------------------1---------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------0-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0----------------------------------------------------------0--00-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0------------------------------1------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1----------------0010--1----1------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0---1------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------1----------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------1------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1--------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------1---------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------0---------------0------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------0-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1-----1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------01--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------0----10--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-------------------------------------------------0-------------------------1--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-------------------------------------------------0------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-------------------------------------------------0-----------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-------------------------------------------------01---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1------------------------------------------------10----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-----------------------------------------------1-0----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1----------------------------------0--------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000 +---1------------------------------0----01-----------0-00-----------------------00--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------1----------------------------01-----------0-00-----------------------10-----------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------0--------------------0-------01-----------0-00-----------------------10----0-1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1------------------------------0----01----------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1----------------------------------0------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1----------------------------------1-------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1----------------------------------------1--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0-------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 +----1----------------------------------0---------------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0--------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-----------0--------------------------------------------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +-------0---1------------------0-----0----------------------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0-------------------------1--------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +-------0---1------------------0-----0----------------------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0-------------------------1--------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------1---1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------0---0---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------1---------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------------0--100----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +---------------------------------------1---------------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +----1-----------------------------------------------------------1-----------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +---------------------------------------1------------1----------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------1-------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------------0----1----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +---------------------------------------10----00------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 +--------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 +---------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +----------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 +-----------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 +-------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +--------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 +0--1--------0000000----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +---1------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +----1----------------------------------1--------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----0----------------------------------0---------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----0--------------------------------------------------0-----------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +---------------------------------------1---------------1-----------------------0---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----------0--------------------0-------01--------------------------------------1-----0-1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000 +----------1----------------------------01--------------------------------------1------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000 +----1----------------------------------0----------------------------------------1--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +---------------------------------------0--------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +----------------------------------------1-------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +---------------------------------------10-------------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +---------------------------------------10--------------------------------------------011-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +---------------------------------------10-------------------------------------------1-01-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000 +---------------------------------------10-------------------------------------------1-10-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +---------------------------------------10-------------------------------------------000--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +---------------------------------------10-------------------------------------------1010-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +--------------------------------------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------------------------------------0------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------------------------11------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------0------------0100-----------------------00--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +---------------------------------------0------------0-00----------------------100--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +---------------------------------------1------------0000----------------------000--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +---------------------------------------1-------------00-----------------------00---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 .end diff --git a/Logic/68030_tk.tte b/Logic/68030_tk.tte index 8e21eb7..e81c055 100644 --- a/Logic/68030_tk.tte +++ b/Logic/68030_tk.tte @@ -1,81 +1,74 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Mon Jun 09 20:20:30 2014 +#$ DATE Sun Jun 15 16:36:43 2014 #$ MODULE BUS68030 -#$ PINS 59 A_31_ IPL_2_ FC_1_ UDS_000 LDS_000 A_30_ nEXP_SPACE A_29_ BERR A_28_ - BG_030 A_27_ A_26_ A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000 A_22_ CLK_OSZI - A_21_ CLK_DIV_OUT A_20_ A_19_ FPU_CS A_18_ A_17_ DTACK A_16_ AVEC IPL_1_ VPA - IPL_0_ FC_0_ RST AMIGA_BUS_DATA_DIR CIIN SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 - DS_030 SIZE_0_ A0 BG_000 BGACK_030 CLK_EXP DSACK1 IPL_030_1_ AVEC_EXP IPL_030_0_ - E VMA RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW -#$ NODES 56 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D - inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 - inst_CLK_OUT_PRE_25 inst_CLK_000_D1 inst_CLK_000_D2 inst_CLK_000_D3 - inst_CLK_000_NE inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ - CLK_000_N_SYNC_11_ SM_AMIGA_7_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_6_ SM_AMIGA_4_ - CLK_000_N_SYNC_6_ CLK_CNT_P_1_ CLK_CNT_N_1_ inst_CLK_030_H CLK_CNT_P_0_ - inst_LDS_000_INT inst_DS_000_ENABLE inst_UDS_000_INT CLK_000_P_SYNC_0_ - CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ - CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ - CLK_000_N_SYNC_0_ CLK_000_N_SYNC_1_ CLK_000_N_SYNC_2_ CLK_000_N_SYNC_3_ - CLK_000_N_SYNC_4_ CLK_000_N_SYNC_5_ CLK_000_N_SYNC_7_ CLK_000_N_SYNC_8_ - CLK_000_N_SYNC_9_ CLK_000_N_SYNC_10_ SM_AMIGA_5_ SM_AMIGA_3_ SM_AMIGA_2_ - cpu_est_0_ cpu_est_1_ cpu_est_2_ CLK_PRE_66_0 SM_AMIGA_7__0 +#$ PINS 59 A_28_ A_27_ A_26_ A_25_ A_31_ A_24_ A_23_ A_22_ A_21_ IPL_2_ A_20_ + A_19_ FC_1_ A_18_ A_17_ A_16_ UDS_000 IPL_1_ LDS_000 IPL_0_ FC_0_ nEXP_SPACE + BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT FPU_CS DTACK AVEC VPA + RST AMIGA_BUS_DATA_DIR CIIN A_30_ A_29_ SIZE_1_ IPL_030_2_ AS_030 AS_000 RW_000 + IPL_030_1_ DS_030 IPL_030_0_ A0 BG_000 BGACK_030 CLK_EXP DSACK1 AVEC_EXP E VMA + RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_ENABLE_LOW SIZE_0_ +#$ NODES 45 inst_AS_030_000_SYNC inst_BGACK_030_INT_D inst_VPA_D + inst_CLK_OUT_PRE_50_D inst_CLK_000_D0 inst_CLK_000_D1 inst_CLK_000_D4 + CLK_CNT_N_0_ inst_CLK_OUT_PRE_50 inst_CLK_OUT_PRE_25 inst_CLK_000_D2 + inst_CLK_000_D3 inst_CLK_OUT_PRE_D inst_CLK_OUT_PRE CLK_000_P_SYNC_9_ + SM_AMIGA_7_ SM_AMIGA_6_ SM_AMIGA_1_ SM_AMIGA_0_ SM_AMIGA_4_ inst_CLK_030_H + CLK_CNT_P_1_ CLK_CNT_N_1_ CLK_CNT_P_0_ inst_LDS_000_INT inst_DS_000_ENABLE + inst_UDS_000_INT CLK_000_P_SYNC_0_ CLK_000_P_SYNC_1_ CLK_000_P_SYNC_2_ + CLK_000_P_SYNC_3_ CLK_000_P_SYNC_4_ CLK_000_P_SYNC_5_ CLK_000_P_SYNC_6_ + CLK_000_P_SYNC_7_ CLK_000_P_SYNC_8_ un16_ciin SM_AMIGA_5_ SM_AMIGA_3_ + SM_AMIGA_2_ cpu_est_0_ cpu_est_1_ cpu_est_2_ AMIGA_BUS_ENABLE_LOW_0 + SM_AMIGA_7__0 .type f -.i 114 -.o 250 +.i 102 +.o 218 .ilb A_31_ IPL_2_ FC_1_ nEXP_SPACE BERR BG_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI VPA RST A_30_ A_29_ A_28_ A_27_ A_26_ A_25_ A_24_ A_23_ A_22_ A_21_ - A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q AVEC_EXP.Q VMA.Q + A_20_ A_19_ A_18_ A_17_ A_16_ IPL_1_ IPL_0_ FC_0_ BGACK_030.Q VMA.Q AMIGA_BUS_ENABLE.Q AMIGA_BUS_ENABLE_LOW.Q inst_AS_030_000_SYNC.Q inst_BGACK_030_INT_D.Q AS_030.Q inst_VPA_D.Q inst_CLK_OUT_PRE_50_D.Q - inst_CLK_000_D0.Q CLK_CNT_N_0_.Q inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q - inst_CLK_000_D1.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q inst_CLK_000_NE.Q - inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q CLK_000_N_SYNC_11_.Q - AS_000.Q SM_AMIGA_7_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_6_.Q SM_AMIGA_4_.Q - CLK_000_N_SYNC_6_.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q - inst_CLK_030_H.Q CLK_CNT_P_0_.Q RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q - inst_UDS_000_INT.Q DS_030.Q CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q - CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q - CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q CLK_000_P_SYNC_8_.Q CLK_000_N_SYNC_0_.Q - CLK_000_N_SYNC_1_.Q CLK_000_N_SYNC_2_.Q CLK_000_N_SYNC_3_.Q CLK_000_N_SYNC_4_.Q - CLK_000_N_SYNC_5_.Q CLK_000_N_SYNC_7_.Q CLK_000_N_SYNC_8_.Q BG_000.Q - CLK_000_N_SYNC_9_.Q CLK_000_N_SYNC_10_.Q SM_AMIGA_5_.Q SM_AMIGA_3_.Q - SM_AMIGA_2_.Q IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q - cpu_est_2_.Q E.Q CLK_PRE_66_0 AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN - UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN - RW.PIN SM_AMIGA_7__0 + inst_CLK_000_D0.Q inst_CLK_000_D1.Q inst_CLK_000_D4.Q CLK_CNT_N_0_.Q + inst_CLK_OUT_PRE_50.Q inst_CLK_OUT_PRE_25.Q inst_CLK_000_D2.Q inst_CLK_000_D3.Q + inst_CLK_OUT_PRE_D.Q inst_CLK_OUT_PRE.Q CLK_000_P_SYNC_9_.Q AS_000.Q + SM_AMIGA_7_.Q SM_AMIGA_6_.Q SM_AMIGA_1_.Q SM_AMIGA_0_.Q SM_AMIGA_4_.Q + inst_CLK_030_H.Q CLK_CNT_P_1_.Q CLK_CNT_N_1_.Q RW_000.Q DSACK1.Q CLK_CNT_P_0_.Q + RW.Q inst_LDS_000_INT.Q inst_DS_000_ENABLE.Q inst_UDS_000_INT.Q DS_030.Q + CLK_000_P_SYNC_0_.Q CLK_000_P_SYNC_1_.Q CLK_000_P_SYNC_2_.Q CLK_000_P_SYNC_3_.Q + CLK_000_P_SYNC_4_.Q CLK_000_P_SYNC_5_.Q CLK_000_P_SYNC_6_.Q CLK_000_P_SYNC_7_.Q + BG_000.Q CLK_000_P_SYNC_8_.Q un16_ciin SM_AMIGA_5_.Q SM_AMIGA_3_.Q SM_AMIGA_2_.Q + IPL_030_0_.Q IPL_030_1_.Q IPL_030_2_.Q cpu_est_0_.Q cpu_est_1_.Q cpu_est_2_.Q + E.Q AS_030.PIN AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN + SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN DTACK.PIN RW.PIN + AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0 .ob UDS_000- UDS_000.OE LDS_000- LDS_000.OE CLK_DIV_OUT.D CLK_DIV_OUT.C CLK_DIV_OUT.AR FPU_CS- DTACK DTACK.OE AVEC AMIGA_BUS_DATA_DIR CIIN CIIN.OE SIZE_1_.D- SIZE_1_.C SIZE_1_.AP SIZE_1_.OE IPL_030_2_.D IPL_030_2_.C IPL_030_2_.AP AS_030.D AS_030.C AS_030.AP AS_030.OE AS_000.D- AS_000.C AS_000.AP - AS_000.OE RW_000.D- RW_000.C RW_000.AP RW_000.OE DS_030.D DS_030.C DS_030.AP - DS_030.OE SIZE_0_.D- SIZE_0_.C SIZE_0_.AP SIZE_0_.OE A0.D A0.C A0.AP A0.OE - BG_000.D- BG_000.C BG_000.AP BGACK_030.D BGACK_030.C BGACK_030.AP CLK_EXP.D - CLK_EXP.C CLK_EXP.AR DSACK1.D- DSACK1.C DSACK1.AP DSACK1.OE IPL_030_1_.D - IPL_030_1_.C IPL_030_1_.AP AVEC_EXP.D AVEC_EXP.C AVEC_EXP.AR IPL_030_0_.D - IPL_030_0_.C IPL_030_0_.AP E.D- E.C E.AR VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D - RESET.C RESET.AR RW.D- RW.C RW.AP RW.OE AMIGA_BUS_ENABLE.D- AMIGA_BUS_ENABLE.C - AMIGA_BUS_ENABLE.AP AMIGA_BUS_ENABLE_LOW.D AMIGA_BUS_ENABLE_LOW.C - AMIGA_BUS_ENABLE_LOW.AR inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C + AS_000.OE RW_000.D- RW_000.C RW_000.AP RW_000.OE IPL_030_1_.D IPL_030_1_.C + IPL_030_1_.AP DS_030.D DS_030.C DS_030.AP DS_030.OE IPL_030_0_.D IPL_030_0_.C + IPL_030_0_.AP A0.D A0.C A0.AP A0.OE BG_000.D- BG_000.C BG_000.AP BGACK_030.D + BGACK_030.C BGACK_030.AP CLK_EXP.D CLK_EXP.C CLK_EXP.AR DSACK1.D- DSACK1.C + DSACK1.AP DSACK1.OE AVEC_EXP.D AVEC_EXP.C AVEC_EXP.AR E.D.X1 E.D.X2 E.C E.AR + VMA.D.X1 VMA.D.X2 VMA.C VMA.AP RESET.D RESET.C RESET.AR RW.D- RW.C RW.AP RW.OE + AMIGA_BUS_ENABLE.D- AMIGA_BUS_ENABLE.C AMIGA_BUS_ENABLE.AP + AMIGA_BUS_ENABLE_LOW.D AMIGA_BUS_ENABLE_LOW.C AMIGA_BUS_ENABLE_LOW.AR SIZE_0_.D- + SIZE_0_.C SIZE_0_.AP SIZE_0_.OE inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR - inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP CLK_CNT_N_0_.D - CLK_CNT_N_0_.C CLK_CNT_N_0_.AR inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C - inst_CLK_OUT_PRE_50.AR inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C - inst_CLK_OUT_PRE_25.AR inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP + inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_CLK_000_D1.D + inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_CLK_000_D4.D inst_CLK_000_D4.C + inst_CLK_000_D4.AP CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR + inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR + inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_000_D3.D - inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_NE.D inst_CLK_000_NE.C - inst_CLK_000_NE.AR inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C + inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR - CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR - CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR SM_AMIGA_7_.D - SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR - SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR SM_AMIGA_6_.D SM_AMIGA_6_.C - SM_AMIGA_6_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR CLK_000_N_SYNC_6_.D - CLK_000_N_SYNC_6_.C CLK_000_N_SYNC_6_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C - CLK_CNT_P_1_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP inst_CLK_030_H.D - inst_CLK_030_H.C CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR + CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR SM_AMIGA_7_.D- + SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR + SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C + SM_AMIGA_0_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR inst_CLK_030_H.D + inst_CLK_030_H.C CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR CLK_CNT_N_1_.D + CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR inst_LDS_000_INT.D inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR inst_UDS_000_INT.D inst_UDS_000_INT.C inst_UDS_000_INT.AP CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR @@ -86,192 +79,166 @@ CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C - CLK_000_P_SYNC_8_.AR CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C - CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C - CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C - CLK_000_N_SYNC_2_.AR CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C - CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C - CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C - CLK_000_N_SYNC_5_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C - CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C - CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C - CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C - CLK_000_N_SYNC_10_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_3_.D - SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR - cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C cpu_est_1_.AR - cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR CLK_PRE_66_0- SM_AMIGA_7__0 -.phase 1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 -.p 172 --------------------------------------------------------------------10--------------------------------------------- 1000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1----------------------------------------------------------------------------------- 0101000000000000000001000000100011000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------01---------------------------------------------- 0010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------1----------------------------------------------------------------- 0000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------1-------------------------------------------------------------------------------------------------------- 0000010000000001000100100010001000100010001000100100100100010010010010001001001000100000100100100100100000100100100100100100100100100100100100100100100100100000101001001001001001001001001001001001001001001001001001001001001001001001001001001001001000 ------------0------------------------------------------------------------------------------------------------------ 0000001000000000100010010001000100010001000100010010010010001001001001000100100100010010010010010010010010010010010010010010010010010010010010010010010010010010000100100100100100100100100100100100100100100100100100100100100100100100100100100100100100 ---1---1----------------0010--1-----------------------------------------------------------------------0------------ 0000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------------1--- 0000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------0------0---------------------------------------------------------------------------- 0000000001000000010000001000000000001000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------------------- 0000000000100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------0-----------------------------------------------------------------------0---------1- 0000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------1---------------------------------------------------------------------------------0- 0000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------1111------------------------------------------------------------------------------------------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------0010------------------------------------------------------------------------------------------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------0100------------------------------------------------------------------------------------------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------111-0000--------------------------------------------------------------------------------------- 0000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -0-----------0000000----------------------------------------------------------------------------------------------- 0000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--01------- 0000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--10------- 0000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --1-----------------------------1---------------------------------------------------------------------------------- 0000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0---------------------------------------------------------------1------------------ 0000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1-----------------------------1---------------------------------------------------------------------------- 0000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------------1----------- 0000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------------------------------11------- 0000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1------------------------1--------------------------------------------------------- 0000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -----1-----------------------------------------------0------------------------------------------------0------------ 0000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0---------------------0-------0---------------------------------------------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------0--0----0---------------------------------------------------- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1---------------------0--1-------------------------------------------------------0- 0000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0-----------------------------1-------------------------1-------------------------------------------------- 0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------1-----------------------------------------------------------------1---------- 0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1-------------------------------------------------------------1---------------------------------0---------- 0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------0-----1---------------------------------0---------- 0000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0-----------------------------------------------------------------------0--00------- 0000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------0---------------------------------------------------------------------------------0-------------------------- 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-0--1--------------------------------------------1-----------------------------------------------1------------ 0000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1-----------------------1----------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------1------------------------1---------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------1---1------------------------------------------------------- 0000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1---------------------------------------------------------0--------------------------------------0------------ 0000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------1---1---------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0--------------------------------------------------------------1------------------- 0000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------1--------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------1--1---------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0-------------------------------------------------------------1-------------------- 0000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1-----------------------------------------------------------------11--------------- 0000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------0-------------------------------------------------------------------0-------------- 0000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------------------01-0-------------- 0000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------10-------------- 0000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1--------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------0-------1-----------1-------------------------------------------0110-------------- 0000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------1-----0-0-------------------------------------------------------10---------------- 0000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1----------------------0----------------------------------0------------------------------------0--0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0----------------------0-----------------------------------------------------------------------00-0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1----------------------0----------------------------------0------------------------------------0---0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------0----------------------0-----------------------------------------------------------------------00--0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0----------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1----------0-----0-0---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------01---0---1--------100---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1----------------000---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1-----------------1----------------------------------------------0------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------0--1------------------1---------------------------------------------0------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------0------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------------------------------0------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0-------------------------------1------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---1---1----------------0010--1-----1------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0----1------------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------1-----------------0------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------1------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------1------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------1----------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------1--------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------1------------------1----------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------0------------------0----------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------0-------------------------------------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------0----------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------1---1---------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------01---------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------0--10---------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1------------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------1--------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------1-------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------1-------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------1---------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------1---------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------1----------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------1------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------------------------------------------1 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------------------------------1---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0------------------------------------------------------------1--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0-------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0--------------------------0------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0-------------------------------------------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0------------------------------------------1----------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0------------------------------------------0------1----------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----1--------------------------------------------------------------------------------1-------------------1-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0--------------------------------------------------------------------------------1-------0-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0--------------------------------------------------------------------------------1-----1---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----0-----0---------------------1----------------------------------------------------------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----0--------------------------------------------------0000--------------------------------000--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1------------------------------------------0------1----------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1------------------------------------------------------------1--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0-----------------------1---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1------10---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ----1-------------------------------0----0---1--------1------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0------------------------1--------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----1--------------------------0-------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------1------------------------------------------1----------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------------------1----------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------1------------------------------------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------0---------------------------------------------------1-------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1---1------------------0------0----------------------------------------------------------------0--0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0--------------------------------1--------------------------------------0--0-------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------1---1------------------0------0----------------------------------------------------------------0---0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------0--------------------------------1--------------------------------------0---0------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------1----1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------0----0------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------1-------------------------------------1--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------0--100---- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1-------------------------1-------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1--------------------------------------------------------------1---------------------------------0------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 --------------------------------1------------------------1-------------------------------------------------------1- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------1-----------------------------------1--------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------------------------------0----1---- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------1---000------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------1------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------1------------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000 -------------------------------------------------------------------------1----------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------1---------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------1--------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------------------------------------1-------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------------------------------1------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 ------------------------------------------------------------------------------1------------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 -----------------------------------------0---111------------------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 --------------------------------------------------------------------------------1---------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 ---------------------------------------------------------------------------------1--------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 ----------------------------------------------------------------------------------1-------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 -----------------------------------------------------------------------------------1------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 ------------------------------------------------------------------------------------1------------------------------ 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 -----------------------------------------------------------1------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 --------------------------------------------------------------------------------------1---------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 ---------------------------------------------------------------------------------------1--------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 -----------------------------------------------------------------------------------------1------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 -----1------------------------------------------0------------------------------------------1----------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000 -----1-----0---------------------1----------------------------------------------------------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1------------------------------------------0-------------------------------------------1---------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1-----0--------------------------------------------------------------------------------1-----1---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1-----0--------------------------------------------------------------------------------1-------0-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1-----1--------------------------------------------------------------------------------1-------------------1-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000 -----1--------------------------0------------------------------------------------------------1--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 -----------0---------------------0--------------1-------------------------------------------1-----0-1-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 -----------1------------------------------------1-------------------------------------------1-------------------0-- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000 --------------------------------0----------------------------------------------------------------1----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------------------------1----------------------------------------------------------------0----------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000 --------------------------------0-----------------------------------------------------------------1---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 -------------------------------------------------------------------------------------------------01---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1----------------------------------------------------------------10---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1------------------------------------------------------------------11-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1------------------------------------------------------------------00-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000 --------------------------------1----------------------------------------------------------------00---------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------------------------0------------------------------------------------------------------1--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------------------------------------------------------------------------------------------11--------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 --------------------------------1----------------------------------------------------------------1--1-------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000 ------------------------------------------------------------11----------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 ------------------------------------------0----------------------1------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 --------------------------------1-----------------------1---------------------------------------------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ---------------------------------------------0---------0000--------------------------------000--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 -----------------------------------------1-------------0000--------------------------------000--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 ------------------------------------1------------------0000--------------------------------000--------------------- 0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 + CLK_000_P_SYNC_8_.AR un16_ciin- SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR + SM_AMIGA_3_.T SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C + SM_AMIGA_2_.AR cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.T cpu_est_1_.C + cpu_est_1_.AR cpu_est_2_.D.X1 cpu_est_2_.D.X2 cpu_est_2_.C cpu_est_2_.AR + AMIGA_BUS_ENABLE_LOW_0 SM_AMIGA_7__0.X1 SM_AMIGA_7__0.X2 +.phase 11111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +.p 155 +----------------------------------------------------------------10------------------------------------ 10000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------1----------------------------------------------------------------------- 01010000000000000000010000001000100010000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------01------------------------------------- 00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------1------------------------------------------------------ 00001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------1-------------------------------------------------------------------------------------------- 00000100000000010001001000100010001001000100100010010010010001000100010010010001000001000100100100100100100100000100100100100100100100100100100100100101000001001001001001001001001001001001001001000100100100100100010000 +-----------0------------------------------------------------------------------------------------------ 00000010000000001000100100010001000100100010010001001001001000100010001001001000100100100010010010010010010010010010010010010010010010010010010010010000100100100100100100100100100100100100100100100010010010010010001000 +--1---1----------------0010--1----------------------------------------------------------0------------- 00000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------------------1---- 00000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------------0-----0----------------------------------------------------------------- 00000000010000000100000010000000000000010000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------------------------------------------------------------ 00000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0--------------------------0----------------------------------------------------------0---------1-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------1--------------------------------------------------------------------0-- 00000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +0-----------00000001111-----------------------------------------------------------------0------------- 00000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +-----------------------------------------------------------------------------0------------------------ 00000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0----------------------------------------------------------0--01-------- 00000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0----------------------------------------------------------0--10-------- 00000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-1-------------------------------------10------------------------------------------------------------- 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0-------------------------------------------1------------------ 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1------------------------------------------1------------------ 00000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0----------------------------1----------------------------------------------------------------- 00000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------------1------------ 00000000000000000000010000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------------------------------------------11-------- 00000000000000000000010000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1------------1------------------------------------------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----1---------------------------------------------0-------------------------------------0------------- 00000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0-----------0-------0------------------------------------------ 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------00------0------------------------------------------ 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1-----------01----------------------------------------------0-- 00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------1-----------10------------------------------------------------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0------------------------------------------1------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1-----------------------------------------1------------------- 00000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1----------------------------1-------------------1--------------------------------------------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------1-----------------------------------------------------1----------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0----------------------------------------------------------1-----------------------0----------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------------------0---------1-----------------------0----------- 00000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------1----------10------------------------------------------------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0-----------------------------------------1-------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1----------------------------------------1-------------------- 00000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----0---------------------------------------------------------------------0-------------------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1-0---------------------------------10-----------------------------------------------1------------- 00000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1-----------------------1----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------1--------------------------------10------------------------------------------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------0----1------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-------------------------------------------------------0---------------------------0------------- 00000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1-------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------1---------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------10--------------------------------------------111-------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------10--------------------------------------------000-------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +---------------------------------------10-------------------------------------------1-00-------------- 00000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------------------------------1-------------- 00000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------1---------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------0-------1----------1---------------------------------0110-------------- 00000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------1-----0-0--------------------------------------------10---------------- 00000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0----------------------0-------------------------------0--------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1----------------------0----------------------------------------------------------00-0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------0----------------------0-------------------------------0--------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------1----------------------0----------------------------------------------------------00--0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0----------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1---1-----------0--0----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1------------------------------01---01----------1-00----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1---------------0-00----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1-----------------1----------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------0--1------------------1---------------------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------0-------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------------------------1- 00000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0----------------------------------------------------------0--00-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----0------------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---0------------------------------1------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--1---1----------------0010--1----1------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0---1------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------1----------------0-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------1------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------1---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------1--------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1-------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------1------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------1---------------1------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------0---------------0------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------0-------------------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------0---------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------1-----1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------01--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------0----10--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------1------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------1-------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------------1----------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +--------------------------------------------1--------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------------------------------------------------------------------------1------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------------------------------------------1 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-------------------------------------------------0-------------------------1--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-------------------------------------------------0------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-------------------------------------------------0-----------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-------------------------------------------------01---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1------------------------------------------------10----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1-----------------------------------------------1-0----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1----------------------------------0--------------1----------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000 +---1------------------------------0----01-----------0-00-----------------------00--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------1----------------------------01-----------0-00-----------------------10-----------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----------0--------------------0-------01-----------0-00-----------------------10----0-1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000 +---1------------------------------0----01----------1-------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1----------------------------------0------------1------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000 +----1----------------------------------1-------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------1----------------------------------------1--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0-------------1------------------------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000 +----1----------------------------------0---------------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------0--------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000 +-----------0--------------------------------------------1--------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +-------0---1------------------0-----0----------------------------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0-------------------------1--------------------------------0--0--------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +-------0---1------------------0-----0----------------------------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +------------------------------0-------------------------1--------------------------------0---0-------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000 +------------------------------------------1----------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------1---1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------0---0---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000 +---------------------------------------------------------------1---------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------------0--100----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000 +---------------------------------------1---------------1---------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +----1-----------------------------------------------------------1-----------------------0------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +---------------------------------------1------------1----------------------------------------------1-- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000 +-----------------------------------------------------------------1-------------------------1---------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------------------------------0----1----- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000 +---------------------------------------10----00------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000 +-------------------------------------------------------------------1---------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000 +--------------------------------------------------------------------1--------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000 +---------------------------------------------------------------------1-------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000 +----------------------------------------------------------------------1------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000 +-----------------------------------------------------------------------1------------------------------ 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000 +------------------------------------------------------------------------1----------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000 +-------------------------------------------------------------------------1---------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000 +--------------------------------------------------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000 +0--1--------0000000----------------------------------------------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +---1------------------------------------------------------------------------------------1------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000 +----1----------------------------------1--------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000 +----0----------------------------------0---------------------------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----0--------------------------------------------------0-----------------------1---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +---------------------------------------1---------------1-----------------------0---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000 +----------0--------------------0-------01--------------------------------------1-----0-1-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000 +----------1----------------------------01--------------------------------------1------------------0--- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000 +----1----------------------------------0----------------------------------------1--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000 +---------------------------------------0--------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +----------------------------------------1-------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +---------------------------------------10-------------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000 +---------------------------------------10--------------------------------------------011-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +---------------------------------------10-------------------------------------------1-01-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000 +---------------------------------------10-------------------------------------------1-10-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000 +---------------------------------------10-------------------------------------------000--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +---------------------------------------10-------------------------------------------1010-------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000 +--------------------------------------------------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000 +------------------------------------------0------------------1---------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------------------------11------------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100 +---------------------------------------0------------0100-----------------------00--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +---------------------------------------0------------0-00----------------------100--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +---------------------------------------1------------0000----------------------000--------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010 +---------------------------------------1-------------00-----------------------00---------------------- 00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001 .end diff --git a/Logic/68030_tk.vcl b/Logic/68030_tk.vcl index b0573f6..0a079bb 100644 --- a/Logic/68030_tk.vcl +++ b/Logic/68030_tk.vcl @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 6/9/14; -TIME = 20:20:36; +DATE = 6/15/14; +TIME = 16:36:48; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -134,105 +134,93 @@ layer = OFF; [LOCATION ASSIGNMENT] Layer = OFF -AS_000 = BIDIR,33,3,-; AS_030 = BIDIR,82,7,-; +AS_000 = BIDIR,33,3,-; RW = BIDIR,71,6,-; UDS_000 = OUTPUT,32,3,-; LDS_000 = OUTPUT,31,3,-; -RW_000 = BIDIR,80,7,-; DS_030 = BIDIR,98,0,-; +RW_000 = BIDIR,80,7,-; +A0 = OUTPUT,69,6,-; DSACK1 = BIDIR,81,7,-; SIZE_1_ = OUTPUT,79,7,-; SIZE_0_ = OUTPUT,70,6,-; -A0 = OUTPUT,69,6,-; DTACK = OUTPUT,30,3,-; AMIGA_BUS_ENABLE = OUTPUT,34,3,-; E = OUTPUT,66,6,-; -CIIN = OUTPUT,47,4,-; +IPL_030_2_ = OUTPUT,9,1,-; +IPL_030_0_ = OUTPUT,8,1,-; +IPL_030_1_ = OUTPUT,7,1,-; BGACK_030 = OUTPUT,83,7,-; AMIGA_BUS_DATA_DIR = OUTPUT,48,4,-; VMA = OUTPUT,35,3,-; BG_000 = OUTPUT,29,3,-; -IPL_030_2_ = OUTPUT,9,1,-; -IPL_030_0_ = OUTPUT,8,1,-; -IPL_030_1_ = OUTPUT,7,1,-; AVEC = OUTPUT,92,0,-; FPU_CS = OUTPUT,78,7,-; CLK_DIV_OUT = OUTPUT,65,6,-; +CIIN = OUTPUT,47,4,-; AVEC_EXP = OUTPUT,22,2,-; AMIGA_BUS_ENABLE_LOW = OUTPUT,20,2,-; CLK_EXP = OUTPUT,10,1,-; RESET = OUTPUT,3,1,-; -RN_AVEC_EXP = NODE,-1,2,-; RN_BGACK_030 = NODE,-1,7,-; -SM_AMIGA_6_ = NODE,*,0,-; -inst_AS_030_000_SYNC = NODE,*,7,-; -RN_AS_030 = NODE,-1,7,-; -SM_AMIGA_7_ = NODE,*,5,-; -cpu_est_1_ = NODE,*,6,-; -RN_E = NODE,-1,6,-; -SM_AMIGA_1_ = NODE,*,5,-; +inst_CLK_000_D0 = NODE,*,2,-; inst_CLK_000_D1 = NODE,*,3,-; -inst_CLK_000_D0 = NODE,*,1,-; +SM_AMIGA_7_ = NODE,*,5,-; +RN_AS_030 = NODE,-1,7,-; +cpu_est_0_ = NODE,*,7,-; +SM_AMIGA_6_ = NODE,*,5,-; +inst_AS_030_000_SYNC = NODE,*,1,-; +cpu_est_1_ = NODE,*,5,-; +RN_E = NODE,-1,6,-; cpu_est_2_ = NODE,*,3,-; -inst_DS_000_ENABLE = NODE,*,1,-; -inst_CLK_OUT_PRE_25 = NODE,*,2,-; +SM_AMIGA_1_ = NODE,*,5,-; +inst_CLK_000_D3 = NODE,*,2,-; +inst_DS_000_ENABLE = NODE,*,2,-; +inst_CLK_OUT_PRE_25 = NODE,*,6,-; RN_VMA = NODE,-1,3,-; -cpu_est_0_ = NODE,*,3,-; -inst_UDS_000_INT = NODE,*,2,-; +SM_AMIGA_5_ = NODE,*,2,-; +inst_UDS_000_INT = NODE,*,0,-; inst_LDS_000_INT = NODE,*,2,-; SM_AMIGA_4_ = NODE,*,5,-; SM_AMIGA_0_ = NODE,*,5,-; -inst_CLK_OUT_PRE_D = NODE,*,7,-; -inst_CLK_000_NE = NODE,*,0,-; -inst_CLK_OUT_PRE_50 = NODE,*,7,-; +inst_CLK_OUT_PRE_D = NODE,*,1,-; +inst_CLK_000_D2 = NODE,*,7,-; +inst_CLK_OUT_PRE_50 = NODE,*,4,-; RN_DS_030 = NODE,-1,0,-; RN_AMIGA_BUS_ENABLE = NODE,-1,3,-; SM_AMIGA_3_ = NODE,*,5,-; inst_CLK_030_H = NODE,*,0,-; RN_RW = NODE,-1,6,-; -SM_AMIGA_7__0 = NODE,*,5,-; -RN_RW_000 = NODE,-1,7,-; -SM_AMIGA_2_ = NODE,*,5,-; RN_IPL_030_0_ = NODE,-1,1,-; RN_IPL_030_1_ = NODE,-1,1,-; +RN_RW_000 = NODE,-1,7,-; +RN_IPL_030_2_ = NODE,-1,1,-; +SM_AMIGA_7__0 = NODE,*,5,-; +SM_AMIGA_2_ = NODE,*,5,-; +un16_ciin = NODE,*,4,-; RN_DSACK1 = NODE,-1,7,-; RN_BG_000 = NODE,-1,3,-; RN_AS_000 = NODE,-1,3,-; -RN_IPL_030_2_ = NODE,-1,1,-; -CLK_PRE_66_0 = NODE,*,4,-; -SM_AMIGA_5_ = NODE,*,5,-; -CLK_CNT_P_0_ = NODE,*,4,-; -CLK_CNT_N_0_ = NODE,*,4,-; +AMIGA_BUS_ENABLE_LOW_0 = NODE,*,0,-; +CLK_CNT_P_0_ = NODE,*,0,-; +CLK_CNT_N_0_ = NODE,*,0,-; RN_AMIGA_BUS_ENABLE_LOW = NODE,-1,2,-; -CLK_000_N_SYNC_10_ = NODE,*,2,-; -CLK_000_N_SYNC_9_ = NODE,*,1,-; -CLK_000_N_SYNC_8_ = NODE,*,2,-; -CLK_000_N_SYNC_7_ = NODE,*,7,-; -CLK_000_N_SYNC_5_ = NODE,*,1,-; -CLK_000_N_SYNC_4_ = NODE,*,2,-; -CLK_000_N_SYNC_3_ = NODE,*,2,-; -CLK_000_N_SYNC_2_ = NODE,*,6,-; -CLK_000_N_SYNC_1_ = NODE,*,5,-; -CLK_000_N_SYNC_0_ = NODE,*,0,-; -CLK_000_P_SYNC_8_ = NODE,*,1,-; -CLK_000_P_SYNC_7_ = NODE,*,0,-; +CLK_000_P_SYNC_8_ = NODE,*,6,-; +CLK_000_P_SYNC_7_ = NODE,*,6,-; CLK_000_P_SYNC_6_ = NODE,*,4,-; -CLK_000_P_SYNC_5_ = NODE,*,1,-; -CLK_000_P_SYNC_4_ = NODE,*,0,-; -CLK_000_P_SYNC_3_ = NODE,*,6,-; -CLK_000_P_SYNC_2_ = NODE,*,2,-; -CLK_000_P_SYNC_1_ = NODE,*,6,-; -CLK_000_P_SYNC_0_ = NODE,*,0,-; -CLK_CNT_N_1_ = NODE,*,4,-; -CLK_CNT_P_1_ = NODE,*,4,-; -CLK_000_N_SYNC_6_ = NODE,*,2,-; -CLK_000_N_SYNC_11_ = NODE,*,0,-; -CLK_000_P_SYNC_9_ = NODE,*,6,-; +CLK_000_P_SYNC_5_ = NODE,*,2,-; +CLK_000_P_SYNC_4_ = NODE,*,4,-; +CLK_000_P_SYNC_3_ = NODE,*,2,-; +CLK_000_P_SYNC_2_ = NODE,*,4,-; +CLK_000_P_SYNC_1_ = NODE,*,1,-; +CLK_000_P_SYNC_0_ = NODE,*,6,-; +CLK_CNT_N_1_ = NODE,*,0,-; +CLK_CNT_P_1_ = NODE,*,0,-; +CLK_000_P_SYNC_9_ = NODE,*,0,-; inst_CLK_OUT_PRE = NODE,*,4,-; -inst_CLK_000_D3 = NODE,*,0,-; -inst_CLK_000_D2 = NODE,*,5,-; -inst_CLK_OUT_PRE_50_D = NODE,*,7,-; -inst_VPA_D = NODE,*,6,-; -inst_BGACK_030_INT_D = NODE,*,3,-; +inst_CLK_000_D4 = NODE,*,4,-; +inst_CLK_OUT_PRE_50_D = NODE,*,4,-; +inst_VPA_D = NODE,*,1,-; +inst_BGACK_030_INT_D = NODE,*,7,-; CLK_OSZI = INPUT,61,-,-; diff --git a/Logic/68030_tk.vco b/Logic/68030_tk.vco index 6407fb9..813e85e 100644 --- a/Logic/68030_tk.vco +++ b/Logic/68030_tk.vco @@ -17,8 +17,8 @@ Parent = m4a5.lci; SDS_file = m4a5.sds; Design = 68030_tk.tt4; Rev = 0.01; -DATE = 6/9/14; -TIME = 20:20:36; +DATE = 6/15/14; +TIME = 16:36:48; Type = TT2; Pre_Fit_Time = 1; Source_Format = Pure_VHDL; @@ -134,118 +134,107 @@ layer = OFF; [LOCATION ASSIGNMENT] Layer = OFF; -A_31_ = INPUT,4, B,-; -IPL_2_ = INPUT,68, G,-; -FC_1_ = INPUT,58, F,-; -UDS_000 = BIDIR,32, D,-; -LDS_000 = BIDIR,31, D,-; -A_30_ = INPUT,5, B,-; -nEXP_SPACE = INPUT,14,-,-; -A_29_ = INPUT,6, B,-; -BERR = INPUT,41, E,-; A_28_ = INPUT,15, C,-; -BG_030 = INPUT,21, C,-; A_27_ = INPUT,16, C,-; A_26_ = INPUT,17, C,-; A_25_ = INPUT,18, C,-; -BGACK_000 = INPUT,28, D,-; +A_31_ = INPUT,4, B,-; A_24_ = INPUT,19, C,-; -CLK_030 = INPUT,64,-,-; A_23_ = INPUT,84, H,-; -CLK_000 = INPUT,11,-,-; A_22_ = INPUT,85, H,-; -CLK_OSZI = INPUT,61,-,-; A_21_ = INPUT,94, A,-; -CLK_DIV_OUT = OUTPUT,65, G,-; +IPL_2_ = INPUT,68, G,-; A_20_ = INPUT,93, A,-; A_19_ = INPUT,97, A,-; -FPU_CS = OUTPUT,78, H,-; +FC_1_ = INPUT,58, F,-; A_18_ = INPUT,95, A,-; A_17_ = INPUT,59, F,-; -DTACK = BIDIR,30, D,-; A_16_ = INPUT,96, A,-; -AVEC = OUTPUT,92, A,-; +UDS_000 = BIDIR,32, D,-; IPL_1_ = INPUT,56, F,-; -VPA = INPUT,36,-,-; +LDS_000 = BIDIR,31, D,-; IPL_0_ = INPUT,67, G,-; FC_0_ = INPUT,57, F,-; +nEXP_SPACE = INPUT,14,-,-; +BERR = INPUT,41, E,-; +BG_030 = INPUT,21, C,-; +BGACK_000 = INPUT,28, D,-; +CLK_030 = INPUT,64,-,-; +CLK_000 = INPUT,11,-,-; +CLK_OSZI = INPUT,61,-,-; +CLK_DIV_OUT = OUTPUT,65, G,-; +FPU_CS = OUTPUT,78, H,-; +DTACK = BIDIR,30, D,-; +AVEC = OUTPUT,92, A,-; +VPA = INPUT,36,-,-; RST = INPUT,86,-,-; AMIGA_BUS_DATA_DIR = OUTPUT,48, E,-; CIIN = OUTPUT,47, E,-; +A_30_ = INPUT,5, B,-; +A_29_ = INPUT,6, B,-; SIZE_1_ = BIDIR,79, H,-; IPL_030_2_ = OUTPUT,9, B,-; AS_030 = BIDIR,82, H,-; AS_000 = BIDIR,33, D,-; RW_000 = BIDIR,80, H,-; +IPL_030_1_ = OUTPUT,7, B,-; DS_030 = BIDIR,98, A,-; -SIZE_0_ = BIDIR,70, G,-; +IPL_030_0_ = OUTPUT,8, B,-; A0 = BIDIR,69, G,-; BG_000 = OUTPUT,29, D,-; BGACK_030 = OUTPUT,83, H,-; CLK_EXP = OUTPUT,10, B,-; DSACK1 = BIDIR,81, H,-; -IPL_030_1_ = OUTPUT,7, B,-; AVEC_EXP = OUTPUT,22, C,-; -IPL_030_0_ = OUTPUT,8, B,-; E = OUTPUT,66, G,-; VMA = OUTPUT,35, D,-; RESET = OUTPUT,3, B,-; RW = BIDIR,71, G,-; AMIGA_BUS_ENABLE = OUTPUT,34, D,-; AMIGA_BUS_ENABLE_LOW = OUTPUT,20, C,-; -inst_AS_030_000_SYNC = NODE,9, H,-; -inst_BGACK_030_INT_D = NODE,10, D,-; -inst_VPA_D = NODE,10, G,-; -inst_CLK_OUT_PRE_50_D = NODE,10, H,-; -inst_CLK_000_D0 = NODE,5, B,-; -CLK_CNT_N_0_ = NODE,1, E,-; -inst_CLK_OUT_PRE_50 = NODE,2, H,-; -inst_CLK_OUT_PRE_25 = NODE,4, C,-; +SIZE_0_ = BIDIR,70, G,-; +inst_AS_030_000_SYNC = NODE,5, B,-; +inst_BGACK_030_INT_D = NODE,2, H,-; +inst_VPA_D = NODE,2, B,-; +inst_CLK_OUT_PRE_50_D = NODE,6, E,-; +inst_CLK_000_D0 = NODE,4, C,-; inst_CLK_000_D1 = NODE,9, D,-; -inst_CLK_000_D2 = NODE,6, F,-; -inst_CLK_000_D3 = NODE,10, A,-; -inst_CLK_000_NE = NODE,12, A,-; -inst_CLK_OUT_PRE_D = NODE,13, H,-; -inst_CLK_OUT_PRE = NODE,2, E,-; -CLK_000_P_SYNC_9_ = NODE,6, G,-; -CLK_000_N_SYNC_11_ = NODE,6, A,-; +inst_CLK_000_D4 = NODE,2, E,-; +CLK_CNT_N_0_ = NODE,9, A,-; +inst_CLK_OUT_PRE_50 = NODE,4, E,-; +inst_CLK_OUT_PRE_25 = NODE,5, G,-; +inst_CLK_000_D2 = NODE,13, H,-; +inst_CLK_000_D3 = NODE,8, C,-; +inst_CLK_OUT_PRE_D = NODE,9, B,-; +inst_CLK_OUT_PRE = NODE,13, E,-; +CLK_000_P_SYNC_9_ = NODE,6, A,-; SM_AMIGA_7_ = NODE,0, F,-; -SM_AMIGA_1_ = NODE,4, F,-; -SM_AMIGA_0_ = NODE,12, F,-; -SM_AMIGA_6_ = NODE,8, A,-; -SM_AMIGA_4_ = NODE,8, F,-; -CLK_000_N_SYNC_6_ = NODE,10, C,-; -CLK_CNT_P_1_ = NODE,13, E,-; -CLK_CNT_N_1_ = NODE,9, E,-; -inst_CLK_030_H = NODE,1, A,-; -CLK_CNT_P_0_ = NODE,12, E,-; -inst_LDS_000_INT = NODE,1, C,-; -inst_DS_000_ENABLE = NODE,9, B,-; -inst_UDS_000_INT = NODE,8, C,-; -CLK_000_P_SYNC_0_ = NODE,2, A,-; -CLK_000_P_SYNC_1_ = NODE,2, G,-; -CLK_000_P_SYNC_2_ = NODE,6, C,-; -CLK_000_P_SYNC_3_ = NODE,13, G,-; -CLK_000_P_SYNC_4_ = NODE,13, A,-; -CLK_000_P_SYNC_5_ = NODE,10, B,-; -CLK_000_P_SYNC_6_ = NODE,5, E,-; -CLK_000_P_SYNC_7_ = NODE,9, A,-; -CLK_000_P_SYNC_8_ = NODE,6, B,-; -CLK_000_N_SYNC_0_ = NODE,5, A,-; -CLK_000_N_SYNC_1_ = NODE,2, F,-; -CLK_000_N_SYNC_2_ = NODE,9, G,-; -CLK_000_N_SYNC_3_ = NODE,2, C,-; -CLK_000_N_SYNC_4_ = NODE,13, C,-; -CLK_000_N_SYNC_5_ = NODE,2, B,-; -CLK_000_N_SYNC_7_ = NODE,6, H,-; -CLK_000_N_SYNC_8_ = NODE,9, C,-; -CLK_000_N_SYNC_9_ = NODE,13, B,-; -CLK_000_N_SYNC_10_ = NODE,5, C,-; -SM_AMIGA_5_ = NODE,13, F,-; -SM_AMIGA_3_ = NODE,5, F,-; -SM_AMIGA_2_ = NODE,9, F,-; -cpu_est_0_ = NODE,6, D,-; -cpu_est_1_ = NODE,5, G,-; +SM_AMIGA_6_ = NODE,4, F,-; +SM_AMIGA_1_ = NODE,12, F,-; +SM_AMIGA_0_ = NODE,5, F,-; +SM_AMIGA_4_ = NODE,1, F,-; +inst_CLK_030_H = NODE,12, A,-; +CLK_CNT_P_1_ = NODE,2, A,-; +CLK_CNT_N_1_ = NODE,13, A,-; +CLK_CNT_P_0_ = NODE,5, A,-; +inst_LDS_000_INT = NODE,9, C,-; +inst_DS_000_ENABLE = NODE,1, C,-; +inst_UDS_000_INT = NODE,8, A,-; +CLK_000_P_SYNC_0_ = NODE,2, G,-; +CLK_000_P_SYNC_1_ = NODE,13, B,-; +CLK_000_P_SYNC_2_ = NODE,9, E,-; +CLK_000_P_SYNC_3_ = NODE,2, C,-; +CLK_000_P_SYNC_4_ = NODE,5, E,-; +CLK_000_P_SYNC_5_ = NODE,13, C,-; +CLK_000_P_SYNC_6_ = NODE,1, E,-; +CLK_000_P_SYNC_7_ = NODE,13, G,-; +CLK_000_P_SYNC_8_ = NODE,9, G,-; +un16_ciin = NODE,8, E,-; +SM_AMIGA_5_ = NODE,5, C,-; +SM_AMIGA_3_ = NODE,9, F,-; +SM_AMIGA_2_ = NODE,2, F,-; +cpu_est_0_ = NODE,9, H,-; +cpu_est_1_ = NODE,8, F,-; cpu_est_2_ = NODE,2, D,-; -CLK_PRE_66_0 = NODE,8, E,-; -SM_AMIGA_7__0 = NODE,1, F,-; +AMIGA_BUS_ENABLE_LOW_0 = NODE,1, A,-; +SM_AMIGA_7__0 = NODE,13, F,-; diff --git a/Logic/68030_tk.xrf b/Logic/68030_tk.xrf index 3b30d29..72bfdf6 100644 --- a/Logic/68030_tk.xrf +++ b/Logic/68030_tk.xrf @@ -2,7 +2,7 @@ Signal Name Cross Reference File ispLEVER Classic 1.7.00.05.28.13 -Design '68030_tk' created Mon Jun 09 20:20:30 2014 +Design '68030_tk' created Sun Jun 15 16:36:43 2014 LEGEND: '>' Functional Block Port Separator diff --git a/Logic/BUS68030.bl0 b/Logic/BUS68030.bl0 index e864bab..8a861fb 100644 --- a/Logic/BUS68030.bl0 +++ b/Logic/BUS68030.bl0 @@ -1,180 +1,172 @@ -#$ DATE Mon Jun 09 20:20:30 2014 +#$ DATE Sun Jun 15 16:36:43 2014 #$ TOOL EDIF2BLIF version IspLever 1.0 #$ MODULE bus68030 -#$ PINS 59 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 SIZE_0_ A0 A_30_ nEXP_SPACE A_29_ BERR A_28_ BG_030 A_27_ BG_000 A_26_ BGACK_030 A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000 A_22_ CLK_OSZI A_21_ CLK_DIV_OUT A_20_ CLK_EXP A_19_ FPU_CS A_18_ DSACK1 A_17_ DTACK A_16_ AVEC IPL_030_1_ AVEC_EXP IPL_030_0_ E IPL_1_ VPA IPL_0_ VMA FC_0_ RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN -#$ NODES 491 a_i_20__n un19_fpu_cs_1 a_i_23__n un19_fpu_cs_2 cpu_est_i_2__n un19_fpu_cs_3 VPA_D_i SM_AMIGA_0_sqmuxa_1_1 clk_cnt_n_i_0__n DS_000_ENABLE_0_sqmuxa_1 \ -# a_i_30__n AS_030_000_SYNC_0_sqmuxa_1 inst_BGACK_030_INTreg a_i_31__n AS_030_000_SYNC_0_sqmuxa_2 vcc_n_n a_i_28__n N_102_1 inst_avec_expreg a_i_29__n \ -# N_101_1 inst_VMA_INTreg a_i_26__n N_100_1 inst_AMIGA_BUS_ENABLE_INTreg a_i_27__n N_110_1 inst_CLK_OUT_PRE_33reg a_i_24__n N_104_1 \ -# inst_AS_030_000_SYNC a_i_25__n N_104_2 inst_BGACK_030_INT_D N_104_3 inst_AS_000_DMA RST_i state_machine_un10_clk_000_ne_1_n inst_VPA_D sm_amiga_ns_0_1_0__n \ -# inst_CLK_OUT_PRE_50_D sm_amiga_ns_0_2_0__n inst_CLK_000_D0 CLK_OSZI_i sm_amiga_ns_0_3_0__n CLK_CNT_N_0_ cpu_est_ns_0_1_2__n inst_CLK_OUT_PRE_50 CLK_OUT_PRE_50_D_i N_30_1 \ -# inst_CLK_OUT_PRE_25 AS_030_c N_222_1 inst_CLK_000_D1 cpu_estse_1_un3_n inst_CLK_000_D2 AS_000_c cpu_estse_1_un1_n inst_CLK_000_D3 cpu_estse_1_un0_n \ -# inst_CLK_000_NE RW_000_c ipl_030_0_2__un3_n inst_CLK_OUT_PRE_D ipl_030_0_2__un1_n inst_CLK_OUT_PRE DS_030_c ipl_030_0_2__un0_n CLK_000_P_SYNC_9_ ipl_030_0_1__un3_n \ -# CLK_000_N_SYNC_11_ UDS_000_c ipl_030_0_1__un1_n state_machine_un10_clk_000_d0_n ipl_030_0_1__un0_n inst_AS_000_INT LDS_000_c ipl_030_0_0__un3_n SM_AMIGA_7_ ipl_030_0_0__un1_n \ -# SM_AMIGA_1_ size_c_0__n ipl_030_0_0__un0_n SM_AMIGA_0_ rw_000_int_0_un3_n SM_AMIGA_6_ size_c_1__n rw_000_int_0_un1_n SM_AMIGA_4_ rw_000_int_0_un0_n \ -# CLK_000_N_SYNC_6_ a_c_16__n dsack1_int_0_un3_n CLK_CNT_P_1_ dsack1_int_0_un1_n CLK_CNT_N_1_ a_c_17__n dsack1_int_0_un0_n inst_RW_000_INT ds_000_enable_0_un3_n \ -# inst_DSACK1_INT a_c_18__n ds_000_enable_0_un1_n state_machine_un3_clk_out_pre_50_n ds_000_enable_0_un0_n inst_CLK_030_H a_c_19__n as_000_int_0_un3_n CLK_CNT_P_0_ as_000_int_0_un1_n \ -# inst_RW_000_DMA a_c_20__n as_000_int_0_un0_n un1_LDS_000_INT as_030_000_sync_0_un3_n inst_LDS_000_INT a_c_21__n as_030_000_sync_0_un1_n inst_DS_000_ENABLE as_030_000_sync_0_un0_n \ -# un1_UDS_000_INT a_c_22__n bgack_030_int_0_un3_n inst_UDS_000_INT bgack_030_int_0_un1_n a_c_23__n bgack_030_int_0_un0_n as_000_dma_0_un3_n state_machine_un12_clk_000_d0_n a_c_24__n \ -# as_000_dma_0_un1_n as_000_dma_0_un0_n inst_DS_000_DMA a_c_25__n ds_000_dma_0_un3_n SIZE_DMA_0_ ds_000_dma_0_un1_n SIZE_DMA_1_ a_c_26__n ds_000_dma_0_un0_n \ -# inst_A0_DMA rw_000_dma_0_un3_n G_109 a_c_27__n rw_000_dma_0_un1_n G_115 rw_000_dma_0_un0_n CLK_000_P_SYNC_0_ a_c_28__n clk_030_h_0_un3_n \ -# CLK_000_P_SYNC_1_ clk_030_h_0_un1_n CLK_000_P_SYNC_2_ a_c_29__n clk_030_h_0_un0_n CLK_000_P_SYNC_3_ amiga_bus_enable_int_0_un3_n CLK_000_P_SYNC_4_ a_c_30__n amiga_bus_enable_int_0_un1_n \ -# CLK_000_P_SYNC_5_ amiga_bus_enable_int_0_un0_n CLK_000_P_SYNC_6_ a_c_31__n bg_000_0_un3_n CLK_000_P_SYNC_7_ bg_000_0_un1_n CLK_000_P_SYNC_8_ A0_c bg_000_0_un0_n \ -# CLK_000_N_SYNC_0_ lds_000_int_0_un3_n CLK_000_N_SYNC_1_ nEXP_SPACE_c lds_000_int_0_un1_n CLK_000_N_SYNC_2_ lds_000_int_0_un0_n CLK_000_N_SYNC_3_ BERR_c uds_000_int_0_un3_n \ -# CLK_000_N_SYNC_4_ uds_000_int_0_un1_n CLK_000_N_SYNC_5_ BG_030_c uds_000_int_0_un0_n CLK_000_N_SYNC_7_ vma_int_0_un3_n CLK_000_N_SYNC_8_ BG_000DFFSHreg vma_int_0_un1_n \ -# CLK_000_N_SYNC_9_ vma_int_0_un0_n CLK_000_N_SYNC_10_ cpu_estse_0_un3_n un28_ciin BGACK_000_c cpu_estse_0_un1_n un19_fpu_cs cpu_estse_0_un0_n SM_AMIGA_5_ \ -# CLK_030_c cpu_estse_2_un3_n SM_AMIGA_3_ cpu_estse_2_un1_n SM_AMIGA_2_ CLK_000_c cpu_estse_2_un0_n CLK_OSZI_c CLK_OUT_INTreg IPL_030DFFSH_0_reg \ -# IPL_030DFFSH_1_reg IPL_030DFFSH_2_reg ipl_c_0__n ipl_c_1__n CLK_OUT_PRE_25_0 ipl_c_2__n DSACK1_c DTACK_c VPA_c cpu_est_0_ \ -# RST_c cpu_est_1_ cpu_est_2_ RESETDFFRHreg cpu_est_3_reg RW_c fc_c_0__n cpu_est_ns_1__n fc_c_1__n cpu_est_ns_2__n \ -# un6_clk_pre_66 un2_clk_pre_66 AMIGA_BUS_DATA_DIR_c N_222 N_37 N_223 N_224 SM_AMIGA_0_sqmuxa_i N_227 DS_000_ENABLE_0_sqmuxa_i \ -# N_219 un1_SM_AMIGA_0_sqmuxa_2_i N_228 state_machine_un10_clk_000_ne_i_n N_229 state_machine_un4_clk_000_ne_i_n N_230 state_machine_un6_clk_000_ne_i_n N_28 state_machine_rw_000_int_3_0_n \ -# N_29 N_75_0 N_30 sm_amiga_ns_0_0__n un28_ciin_0_x2 N_105_i N_31 N_106_i N_220 sm_amiga_ns_0_1__n \ -# N_32 N_107_i N_35 sm_amiga_ns_0_2__n state_machine_un5_clk_000_n_sync_n N_110_i N_178 sm_amiga_ns_0_4__n N_179 N_114_i \ -# N_179_1 N_113_i un19_fpu_cs_5 sm_amiga_ns_0_6__n state_machine_un28_as_030_n N_91_i N_247 N_92_i state_machine_un8_bgack_030_int_n sm_amiga_i_5__n \ -# state_machine_un10_bgack_030_int_n N_93_i CLK_030_H_1_sqmuxa N_95_0 AS_000_DMA_1_sqmuxa N_103_i DS_000_DMA_1_sqmuxa N_100_i DS_000_DMA_1_sqmuxa_1 N_102_i \ -# state_machine_un24_bgack_030_int_n N_101_i state_machine_clk_030_h_2_n N_104_i state_machine_clk_030_h_2_f1_n state_machine_un4_bgack_000_0_n state_machine_un31_bgack_030_int_n N_33_i state_machine_ds_000_dma_3_n N_220_0 \ -# un1_bgack_030_int_d state_machine_un3_bgack_030_int_d_i_n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa un1_bgack_030_int_d_0 state_machine_un10_bg_030_n AMIGA_BUS_ENABLE_INT_3_sqmuxa_i state_machine_un3_bgack_030_int_d_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 sm_amiga_i_6__n \ -# SM_AMIGA_0_sqmuxa_1 N_96_i AMIGA_BUS_ENABLE_INT_3_sqmuxa sm_amiga_i_2__n N_98 sm_amiga_i_4__n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 N_115_i state_machine_un8_bg_030_n AMIGA_BUS_ENABLE_INT_2_sqmuxa \ -# N_111_i N_103 N_112_i N_91 sm_amiga_ns_0_5__n N_109 N_109_i N_112 N_108_i N_115 \ -# sm_amiga_ns_0_3__n N_95 AMIGA_BUS_ENABLE_INT_2_sqmuxa_i N_108 AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i N_111 un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 N_96 BG_030_c_i state_machine_un4_bgack_000_n \ -# state_machine_un8_bg_030_i_n N_33 state_machine_un10_bg_030_0_n DS_000_ENABLE_0_sqmuxa state_machine_un5_bgack_030_int_d_i_n AS_030_000_SYNC_0_sqmuxa state_machine_un10_bgack_030_int_0_n un2_as_030 state_machine_ds_000_dma_3_0_n un1_AS_030_000_SYNC_0_sqmuxa_1_1 \ -# state_machine_size_dma_4_0_0__n un1_SM_AMIGA_0_sqmuxa_2 state_machine_size_dma_4_0_1__n un1_AS_030_000_SYNC_0_sqmuxa_1 CLK_030_H_i N_102 CLK_030_H_1_sqmuxa_i N_92 state_machine_clk_030_h_2_f1_0_n N_101 \ -# un3_dtack_i N_93 cpu_est_ns_0_1__n N_100 N_37_i N_110 N_224_i N_107 N_32_i N_114_1 \ -# N_223_i N_104 N_178_i N_114 N_179_i state_machine_un10_clk_000_ne_n AMIGA_BUS_DATA_DIR_c_0 state_machine_un6_clk_000_ne_n N_219_i N_113 \ -# N_30_i SM_AMIGA_0_sqmuxa N_31_i N_99 un28_ciin_0 N_105 N_28_i N_106 N_29_i N_75 \ -# cpu_est_ns_e_0_0__n state_machine_rw_000_int_3_n N_228_i state_machine_un4_clk_000_ne_n N_229_i DSACK1_INT_1_sqmuxa N_230_i DSACK1_INT_0_sqmuxa cpu_est_ns_0_2__n AS_000_INT_1_sqmuxa \ -# N_35_i un19_fpu_cs_i N_227_i un1_AS_030_000_SYNC_0_sqmuxa_1_1_i N_164_i N_99_i N_222_i DSACK1_INT_0_sqmuxa_i state_machine_un10_clk_000_d0_i_n DTACK_i \ -# state_machine_un12_clk_000_d0_0_n BERR_i un2_clk_pre_66_i CLK_000_NE_i un6_clk_pre_66_i sm_amiga_i_1__n CLK_PRE_66_0 VPA_i LDS_000_INT_i VMA_INT_i \ -# un1_LDS_000_INT_0 sm_amiga_i_0__n UDS_000_INT_i SM_AMIGA_0_sqmuxa_1_i un1_UDS_000_INT_0 sm_amiga_i_3__n state_machine_un7_ds_030_i_n avec_exp_i A0_c_i AS_030_i \ -# size_c_i_1__n AS_030_000_SYNC_0_sqmuxa_i un3_dtack_i_1 AS_030_000_SYNC_i N_247_1 a_i_16__n N_247_2 a_i_19__n N_247_3 a_i_17__n \ -# N_247_4 a_i_18__n N_247_5 sm_amiga_i_7__n N_247_6 N_98_i state_machine_un7_ds_030_i_1_n BGACK_030_INT_i N_31_1 BGACK_030_INT_D_i \ -# N_31_2 DS_000_DMA_1_sqmuxa_1_i N_179_1_0 state_machine_un8_bgack_030_int_i_n state_machine_clk_000_p_sync_3_1_0__n CLK_030_i state_machine_clk_000_p_sync_3_2_0__n UDS_000_i state_machine_un28_as_030_1_n LDS_000_i \ -# state_machine_un28_as_030_2_n state_machine_un31_bgack_030_int_i_n state_machine_clk_000_n_sync_2_1_0__n RW_000_i cpu_est_ns_0_1_1__n state_machine_un24_bgack_030_int_i_n cpu_est_ns_0_2_1__n AS_000_DMA_i state_machine_un10_clk_000_d0_1_n CLK_000_D2_i \ -# state_machine_un10_clk_000_d0_2_n CLK_000_D3_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 CLK_000_D1_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 state_machine_un28_as_030_i_n N_96_i_1 nEXP_SPACE_i state_machine_un8_bg_030_1_n AS_000_i \ -# state_machine_un8_bg_030_2_n RW_i un1_bgack_030_int_d_0_1 CLK_000_D0_i N_33_1 cpu_est_i_3__n N_33_2 cpu_est_i_1__n un19_fpu_cs_5_1 cpu_est_i_0__n \ -# un19_fpu_cs_5_2 +#$ PINS 59 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 IPL_030_1_ DS_030 IPL_030_0_ UDS_000 IPL_1_ LDS_000 IPL_0_ A0 FC_0_ nEXP_SPACE BERR BG_030 BG_000 BGACK_030 BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 DTACK AVEC AVEC_EXP E VPA VMA RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN SIZE_0_ A_30_ A_29_ +#$ NODES 473 sm_amiga_ns_0_2_0__n CLK_OSZI_i sm_amiga_ns_0_3_0__n uds_000_int_0_un3_n uds_000_int_0_un1_n un16_ciin_i uds_000_int_0_un0_n CLK_OUT_PRE_50_D_i ipl_030_0_0__un3_n inst_BGACK_030_INTreg \ +# AS_030_c ipl_030_0_0__un1_n vcc_n_n ipl_030_0_0__un0_n inst_avec_expreg AS_000_c ipl_030_0_1__un3_n inst_VMA_INTreg ipl_030_0_1__un1_n inst_AMIGA_BUS_ENABLE_INTreg \ +# RW_000_c ipl_030_0_1__un0_n inst_CLK_OUT_PRE_33reg ipl_030_0_2__un3_n inst_AS_030_000_SYNC DS_030_c ipl_030_0_2__un1_n inst_BGACK_030_INT_D ipl_030_0_2__un0_n inst_AS_000_DMA \ +# UDS_000_c cpu_estse_0_un3_n inst_VPA_D cpu_estse_0_un1_n inst_CLK_OUT_PRE_50_D LDS_000_c cpu_estse_0_un0_n inst_CLK_000_D0 cpu_estse_1_un3_n inst_CLK_000_D1 \ +# size_c_0__n cpu_estse_1_un1_n inst_CLK_000_D4 cpu_estse_1_un0_n CLK_CNT_N_0_ size_c_1__n cpu_estse_2_un3_n inst_CLK_OUT_PRE_50 cpu_estse_2_un1_n inst_CLK_OUT_PRE_25 \ +# a_c_16__n cpu_estse_2_un0_n inst_CLK_000_D2 as_030_000_sync_0_un3_n inst_CLK_000_D3 a_c_17__n as_030_000_sync_0_un1_n inst_CLK_OUT_PRE_D as_030_000_sync_0_un0_n inst_CLK_OUT_PRE \ +# a_c_18__n ds_000_enable_0_un3_n CLK_000_P_SYNC_9_ ds_000_enable_0_un1_n inst_AS_000_INT a_c_19__n ds_000_enable_0_un0_n SM_AMIGA_7_ lds_000_int_0_un3_n SM_AMIGA_6_ \ +# a_c_20__n lds_000_int_0_un1_n SM_AMIGA_1_ lds_000_int_0_un0_n SM_AMIGA_0_ a_c_21__n bgack_030_int_0_un3_n SM_AMIGA_4_ bgack_030_int_0_un1_n inst_CLK_030_H \ +# a_c_22__n bgack_030_int_0_un0_n CLK_CNT_P_1_ amiga_bus_enable_int_0_un3_n CLK_CNT_N_1_ a_c_23__n amiga_bus_enable_int_0_un1_n inst_RW_000_INT amiga_bus_enable_int_0_un0_n inst_DSACK1_INT \ +# a_c_24__n ds_000_dma_0_un3_n state_machine_un3_clk_out_pre_50_n ds_000_dma_0_un1_n CLK_CNT_P_0_ a_c_25__n ds_000_dma_0_un0_n inst_RW_000_DMA as_000_dma_0_un3_n un1_LDS_000_INT \ +# a_c_26__n as_000_dma_0_un1_n inst_LDS_000_INT as_000_dma_0_un0_n inst_DS_000_ENABLE a_c_27__n clk_030_h_0_un3_n un1_UDS_000_INT clk_030_h_0_un1_n inst_UDS_000_INT \ +# a_c_28__n clk_030_h_0_un0_n rw_000_dma_0_un3_n a_c_29__n rw_000_dma_0_un1_n inst_DS_000_DMA rw_000_dma_0_un0_n SIZE_DMA_0_ a_c_30__n vma_int_0_un3_n \ +# SIZE_DMA_1_ vma_int_0_un1_n inst_A0_DMA a_c_31__n vma_int_0_un0_n G_108 as_000_int_0_un3_n G_114 A0_c as_000_int_0_un1_n \ +# CLK_000_P_SYNC_0_ as_000_int_0_un0_n CLK_000_P_SYNC_1_ nEXP_SPACE_c dsack1_int_0_un3_n CLK_000_P_SYNC_2_ dsack1_int_0_un1_n CLK_000_P_SYNC_3_ BERR_c dsack1_int_0_un0_n \ +# CLK_000_P_SYNC_4_ rw_000_int_0_un3_n CLK_000_P_SYNC_5_ BG_030_c rw_000_int_0_un1_n CLK_000_P_SYNC_6_ rw_000_int_0_un0_n CLK_000_P_SYNC_7_ BG_000DFFSHreg bg_000_0_un3_n \ +# CLK_000_P_SYNC_8_ bg_000_0_un1_n un19_fpu_cs bg_000_0_un0_n un5_ciin BGACK_000_c CLK_030_c un16_ciin SM_AMIGA_5_ SM_AMIGA_3_ \ +# CLK_OSZI_c SM_AMIGA_2_ CLK_OUT_INTreg IPL_030DFFSH_0_reg IPL_030DFFSH_1_reg IPL_030DFFSH_2_reg ipl_c_0__n ipl_c_1__n ipl_c_2__n CLK_OUT_PRE_25_0 \ +# DSACK1_c DTACK_c VPA_c cpu_est_0_ cpu_est_1_ RST_c cpu_est_2_ cpu_est_3_reg RESETDFFRHreg cpu_estse \ +# RW_c fc_c_0__n un6_clk_pre_66 un2_clk_pre_66 fc_c_1__n N_96 state_machine_un34_clk_000_d0_n state_machine_un16_clk_000_d0_n AMIGA_BUS_DATA_DIR_c N_88 \ +# N_111 state_machine_un8_bgack_030_int_n N_175_1 UDS_000_INT_i state_machine_un10_clk_000_d0_2_n un1_UDS_000_INT_0 state_machine_un38_clk_000_d0_1_n SM_AMIGA_0_sqmuxa_i N_171 DS_000_ENABLE_0_sqmuxa_i \ +# cpu_est_ns_1__n un1_SM_AMIGA_0_sqmuxa_2_i DSACK1_INT_0_sqmuxa N_100_i state_machine_un1_as_030_n sm_amiga_ns_0_0__n AS_030_000_SYNC_0_sqmuxa N_102_i state_machine_un10_bg_030_n N_103_i \ +# un8_ciin sm_amiga_ns_0_1__n un12_ciin N_106_i un14_ciin N_105_i state_machine_un8_bg_030_n sm_amiga_ns_0_3__n AS_000_INT_1_sqmuxa N_107_i \ +# DSACK1_INT_1_sqmuxa sm_amiga_ns_0_4__n state_machine_rw_000_int_3_n N_108_i N_71 N_109_i state_machine_un12_clk_000_d0_n sm_amiga_ns_0_5__n state_machine_un10_clk_000_d0_n N_110_i \ +# state_machine_un5_clk_000_d0_n N_163 N_88_i N_164 N_90_i N_165 N_93_0 state_machine_un38_clk_000_d0_n N_97_i state_machine_un31_bgack_030_int_n \ +# N_98_i N_174 N_99_i N_175 N_101_i N_112 sm_amiga_i_4__n state_machine_un32_clk_000_d0_n N_91_i state_machine_clk_030_h_2_n \ +# N_168_i DS_000_DMA_1_sqmuxa_1 cpu_est_ns_0_2__n AS_000_DMA_1_sqmuxa state_machine_un10_clk_000_d0_2_i_n CLK_030_H_1_sqmuxa_1 N_167_i DS_000_DMA_1_sqmuxa N_170_i state_machine_un24_bgack_030_int_n \ +# N_169_i state_machine_un10_bgack_030_int_n N_160_i state_machine_clk_030_h_2_f1_n N_92_0 CLK_030_H_1_sqmuxa N_104_i un1_bgack_030_int_d un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa state_machine_un1_as_030_i_n \ +# state_machine_un3_bgack_030_int_d_n state_machine_un6_clk_000_p_sync_i_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 state_machine_un6_bgack_000_0_n SM_AMIGA_0_sqmuxa_1 LDS_000_INT_i AMIGA_BUS_ENABLE_INT_3_sqmuxa un1_LDS_000_INT_0 AMIGA_BUS_ENABLE_INT_2_sqmuxa state_machine_un7_ds_030_i_n \ +# AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 A0_c_i N_95 size_c_i_1__n un1_AS_030_000_SYNC_0_sqmuxa_1 state_machine_un5_bgack_030_int_d_i_n un1_SM_AMIGA_0_sqmuxa_2 AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i un2_as_030 AMIGA_BUS_ENABLE_INT_2_sqmuxa_i \ +# state_machine_un6_bgack_000_n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 state_machine_un6_clk_000_p_sync_n state_machine_un3_bgack_030_int_d_i_n N_104 un1_bgack_030_int_d_0 N_92 AMIGA_BUS_ENABLE_INT_3_sqmuxa_i cpu_est_ns_2__n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i \ +# N_167 un3_dtack_i N_168 CLK_030_H_i N_169 CLK_030_H_1_sqmuxa_i N_170 state_machine_clk_030_h_2_f1_0_n N_102 state_machine_un10_bgack_030_int_0_n \ +# DS_000_ENABLE_0_sqmuxa state_machine_un38_clk_000_d0_i_n N_101 state_machine_un32_clk_000_d0_i_n N_90 state_machine_un34_clk_000_d0_0_n N_91 N_112_i N_100 sm_amiga_ns_0_7__n \ +# state_machine_un30_clk_000_d0_n N_175_i N_98 N_174_i N_97 AMIGA_BUS_DATA_DIR_c_0 N_107 state_machine_size_dma_4_0_1__n N_99 state_machine_size_dma_4_0_0__n \ +# N_93 N_171_i N_110 N_164_i N_108 N_163_i N_109 cpu_est_ns_0_1__n SM_AMIGA_0_sqmuxa state_machine_un10_clk_000_d0_i_n \ +# N_105 state_machine_un5_clk_000_d0_i_n N_106 state_machine_un12_clk_000_d0_0_n N_103 N_71_0 un19_fpu_cs_i state_machine_rw_000_int_3_0_n CLK_000_D1_i BG_030_c_i \ +# sm_amiga_i_1__n state_machine_un8_bg_030_i_n sm_amiga_i_0__n state_machine_un10_bg_030_0_n CLK_000_D0_i un8_ciin_i sm_amiga_i_2__n un14_ciin_0 BERR_i un2_clk_pre_66_i \ +# state_machine_un30_clk_000_d0_i_n un6_clk_pre_66_i sm_amiga_i_3__n CLK_PRE_66_0 SM_AMIGA_0_sqmuxa_1_i cpu_est_ns_0_1_1__n CLK_000_D3_i cpu_est_ns_0_2_1__n CLK_000_D2_i N_91_i_1 \ +# cpu_est_i_1__n un5_ciin_1 cpu_est_i_0__n un5_ciin_2 cpu_est_i_3__n un5_ciin_3 state_machine_un38_clk_000_d0_1_i_n un5_ciin_4 AS_030_000_SYNC_i un5_ciin_5 \ +# CLK_000_D4_i un5_ciin_6 sm_amiga_i_5__n un5_ciin_7 sm_amiga_i_6__n un5_ciin_8 a_i_19__n un5_ciin_9 a_i_18__n un5_ciin_10 \ +# AS_030_i un5_ciin_11 a_i_16__n state_machine_un8_bg_030_1_n AS_030_000_SYNC_0_sqmuxa_i state_machine_un8_bg_030_2_n N_95_i un12_ciin_1 BGACK_030_INT_i un12_ciin_2 \ +# BGACK_030_INT_D_i un12_ciin_3 AS_000_i un12_ciin_4 CLK_030_i un12_ciin_5 AS_000_DMA_i un12_ciin_6 state_machine_un24_bgack_030_int_i_n AS_030_000_SYNC_0_sqmuxa_1 \ +# RW_000_i AS_030_000_SYNC_0_sqmuxa_2 UDS_000_i state_machine_un38_clk_000_d0_1_0_n LDS_000_i state_machine_un5_clk_000_d0_1_n state_machine_un8_bgack_030_int_i_n state_machine_un5_clk_000_d0_2_n CLK_030_H_1_sqmuxa_1_i state_machine_un10_clk_000_d0_1_n \ +# DS_000_DMA_1_sqmuxa_1_i state_machine_un10_clk_000_d0_2_0_n DTACK_i state_machine_un10_clk_000_d0_3_n sm_amiga_i_7__n N_175_1_0 N_111_i un3_dtack_i_1 nEXP_SPACE_i un1_bgack_030_int_d_0_1 \ +# RW_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 state_machine_un31_bgack_030_int_i_n AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i state_machine_un7_ds_030_i_1_n VPA_i un19_fpu_cs_1 VMA_INT_i un19_fpu_cs_2 \ +# cpu_est_i_2__n un19_fpu_cs_3 N_165_i_0 un19_fpu_cs_4 DSACK1_INT_0_sqmuxa_i un19_fpu_cs_5 N_96_i un19_fpu_cs_6 a_i_31__n DSACK1_INT_0_sqmuxa_1 \ +# a_i_30__n SM_AMIGA_0_sqmuxa_1_1 a_i_29__n cpu_est_ns_0_1_2__n a_i_28__n state_machine_clk_000_p_sync_3_1_0__n a_i_27__n DS_000_ENABLE_0_sqmuxa_1 a_i_26__n N_101_1 \ +# a_i_25__n N_101_2 a_i_24__n N_101_3 un5_ciin_i N_100_1 un12_ciin_i N_98_1 clk_cnt_n_i_0__n N_97_1 \ +# N_107_1 RST_i sm_amiga_ns_0_1_0__n .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BERR.BLIF BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF \ CLK_OSZI.BLIF VPA.BLIF RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF \ A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF A_17_.BLIF A_16_.BLIF \ - IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF A0.BLIF DSACK1.BLIF DTACK.BLIF RW.BLIF SIZE_0_.BLIF a_i_20__n.BLIF un19_fpu_cs_1.BLIF a_i_23__n.BLIF un19_fpu_cs_2.BLIF cpu_est_i_2__n.BLIF un19_fpu_cs_3.BLIF \ - VPA_D_i.BLIF SM_AMIGA_0_sqmuxa_1_1.BLIF clk_cnt_n_i_0__n.BLIF DS_000_ENABLE_0_sqmuxa_1.BLIF a_i_30__n.BLIF AS_030_000_SYNC_0_sqmuxa_1.BLIF inst_BGACK_030_INTreg.BLIF a_i_31__n.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF \ - vcc_n_n.BLIF a_i_28__n.BLIF N_102_1.BLIF inst_avec_expreg.BLIF a_i_29__n.BLIF N_101_1.BLIF inst_VMA_INTreg.BLIF a_i_26__n.BLIF N_100_1.BLIF \ - inst_AMIGA_BUS_ENABLE_INTreg.BLIF a_i_27__n.BLIF N_110_1.BLIF inst_CLK_OUT_PRE_33reg.BLIF a_i_24__n.BLIF N_104_1.BLIF inst_AS_030_000_SYNC.BLIF a_i_25__n.BLIF N_104_2.BLIF \ - inst_BGACK_030_INT_D.BLIF N_104_3.BLIF inst_AS_000_DMA.BLIF RST_i.BLIF state_machine_un10_clk_000_ne_1_n.BLIF inst_VPA_D.BLIF sm_amiga_ns_0_1_0__n.BLIF inst_CLK_OUT_PRE_50_D.BLIF sm_amiga_ns_0_2_0__n.BLIF \ - inst_CLK_000_D0.BLIF CLK_OSZI_i.BLIF sm_amiga_ns_0_3_0__n.BLIF CLK_CNT_N_0_.BLIF cpu_est_ns_0_1_2__n.BLIF inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_50_D_i.BLIF N_30_1.BLIF inst_CLK_OUT_PRE_25.BLIF \ - AS_030_c.BLIF N_222_1.BLIF inst_CLK_000_D1.BLIF cpu_estse_1_un3_n.BLIF inst_CLK_000_D2.BLIF AS_000_c.BLIF cpu_estse_1_un1_n.BLIF inst_CLK_000_D3.BLIF cpu_estse_1_un0_n.BLIF \ - inst_CLK_000_NE.BLIF RW_000_c.BLIF ipl_030_0_2__un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF ipl_030_0_2__un1_n.BLIF inst_CLK_OUT_PRE.BLIF DS_030_c.BLIF ipl_030_0_2__un0_n.BLIF CLK_000_P_SYNC_9_.BLIF \ - ipl_030_0_1__un3_n.BLIF CLK_000_N_SYNC_11_.BLIF UDS_000_c.BLIF ipl_030_0_1__un1_n.BLIF state_machine_un10_clk_000_d0_n.BLIF ipl_030_0_1__un0_n.BLIF inst_AS_000_INT.BLIF LDS_000_c.BLIF ipl_030_0_0__un3_n.BLIF \ - SM_AMIGA_7_.BLIF ipl_030_0_0__un1_n.BLIF SM_AMIGA_1_.BLIF size_c_0__n.BLIF ipl_030_0_0__un0_n.BLIF SM_AMIGA_0_.BLIF rw_000_int_0_un3_n.BLIF SM_AMIGA_6_.BLIF size_c_1__n.BLIF \ - rw_000_int_0_un1_n.BLIF SM_AMIGA_4_.BLIF rw_000_int_0_un0_n.BLIF CLK_000_N_SYNC_6_.BLIF a_c_16__n.BLIF dsack1_int_0_un3_n.BLIF CLK_CNT_P_1_.BLIF dsack1_int_0_un1_n.BLIF CLK_CNT_N_1_.BLIF \ - a_c_17__n.BLIF dsack1_int_0_un0_n.BLIF inst_RW_000_INT.BLIF ds_000_enable_0_un3_n.BLIF inst_DSACK1_INT.BLIF a_c_18__n.BLIF ds_000_enable_0_un1_n.BLIF state_machine_un3_clk_out_pre_50_n.BLIF ds_000_enable_0_un0_n.BLIF \ - inst_CLK_030_H.BLIF a_c_19__n.BLIF as_000_int_0_un3_n.BLIF CLK_CNT_P_0_.BLIF as_000_int_0_un1_n.BLIF inst_RW_000_DMA.BLIF a_c_20__n.BLIF as_000_int_0_un0_n.BLIF un1_LDS_000_INT.BLIF \ - as_030_000_sync_0_un3_n.BLIF inst_LDS_000_INT.BLIF a_c_21__n.BLIF as_030_000_sync_0_un1_n.BLIF inst_DS_000_ENABLE.BLIF as_030_000_sync_0_un0_n.BLIF un1_UDS_000_INT.BLIF a_c_22__n.BLIF bgack_030_int_0_un3_n.BLIF \ - inst_UDS_000_INT.BLIF bgack_030_int_0_un1_n.BLIF a_c_23__n.BLIF bgack_030_int_0_un0_n.BLIF as_000_dma_0_un3_n.BLIF state_machine_un12_clk_000_d0_n.BLIF a_c_24__n.BLIF as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF \ - inst_DS_000_DMA.BLIF a_c_25__n.BLIF ds_000_dma_0_un3_n.BLIF SIZE_DMA_0_.BLIF ds_000_dma_0_un1_n.BLIF SIZE_DMA_1_.BLIF a_c_26__n.BLIF ds_000_dma_0_un0_n.BLIF inst_A0_DMA.BLIF \ - rw_000_dma_0_un3_n.BLIF G_109.BLIF a_c_27__n.BLIF rw_000_dma_0_un1_n.BLIF G_115.BLIF rw_000_dma_0_un0_n.BLIF CLK_000_P_SYNC_0_.BLIF a_c_28__n.BLIF clk_030_h_0_un3_n.BLIF \ - CLK_000_P_SYNC_1_.BLIF clk_030_h_0_un1_n.BLIF CLK_000_P_SYNC_2_.BLIF a_c_29__n.BLIF clk_030_h_0_un0_n.BLIF CLK_000_P_SYNC_3_.BLIF amiga_bus_enable_int_0_un3_n.BLIF CLK_000_P_SYNC_4_.BLIF a_c_30__n.BLIF \ - amiga_bus_enable_int_0_un1_n.BLIF CLK_000_P_SYNC_5_.BLIF amiga_bus_enable_int_0_un0_n.BLIF CLK_000_P_SYNC_6_.BLIF a_c_31__n.BLIF bg_000_0_un3_n.BLIF CLK_000_P_SYNC_7_.BLIF bg_000_0_un1_n.BLIF CLK_000_P_SYNC_8_.BLIF \ - A0_c.BLIF bg_000_0_un0_n.BLIF CLK_000_N_SYNC_0_.BLIF lds_000_int_0_un3_n.BLIF CLK_000_N_SYNC_1_.BLIF nEXP_SPACE_c.BLIF lds_000_int_0_un1_n.BLIF CLK_000_N_SYNC_2_.BLIF lds_000_int_0_un0_n.BLIF \ - CLK_000_N_SYNC_3_.BLIF BERR_c.BLIF uds_000_int_0_un3_n.BLIF CLK_000_N_SYNC_4_.BLIF uds_000_int_0_un1_n.BLIF CLK_000_N_SYNC_5_.BLIF BG_030_c.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_7_.BLIF \ - vma_int_0_un3_n.BLIF CLK_000_N_SYNC_8_.BLIF BG_000DFFSHreg.BLIF vma_int_0_un1_n.BLIF CLK_000_N_SYNC_9_.BLIF vma_int_0_un0_n.BLIF CLK_000_N_SYNC_10_.BLIF cpu_estse_0_un3_n.BLIF un28_ciin.BLIF \ - BGACK_000_c.BLIF cpu_estse_0_un1_n.BLIF un19_fpu_cs.BLIF cpu_estse_0_un0_n.BLIF SM_AMIGA_5_.BLIF CLK_030_c.BLIF cpu_estse_2_un3_n.BLIF SM_AMIGA_3_.BLIF cpu_estse_2_un1_n.BLIF \ - SM_AMIGA_2_.BLIF CLK_000_c.BLIF cpu_estse_2_un0_n.BLIF CLK_OSZI_c.BLIF CLK_OUT_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF IPL_030DFFSH_1_reg.BLIF IPL_030DFFSH_2_reg.BLIF ipl_c_0__n.BLIF \ - ipl_c_1__n.BLIF CLK_OUT_PRE_25_0.BLIF ipl_c_2__n.BLIF DSACK1_c.BLIF DTACK_c.BLIF VPA_c.BLIF cpu_est_0_.BLIF RST_c.BLIF cpu_est_1_.BLIF \ - cpu_est_2_.BLIF RESETDFFRHreg.BLIF cpu_est_3_reg.BLIF RW_c.BLIF fc_c_0__n.BLIF cpu_est_ns_1__n.BLIF fc_c_1__n.BLIF cpu_est_ns_2__n.BLIF un6_clk_pre_66.BLIF \ - un2_clk_pre_66.BLIF AMIGA_BUS_DATA_DIR_c.BLIF N_222.BLIF N_37.BLIF N_223.BLIF N_224.BLIF SM_AMIGA_0_sqmuxa_i.BLIF N_227.BLIF DS_000_ENABLE_0_sqmuxa_i.BLIF \ - N_219.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF N_228.BLIF state_machine_un10_clk_000_ne_i_n.BLIF N_229.BLIF state_machine_un4_clk_000_ne_i_n.BLIF N_230.BLIF state_machine_un6_clk_000_ne_i_n.BLIF N_28.BLIF \ - state_machine_rw_000_int_3_0_n.BLIF N_29.BLIF N_75_0.BLIF N_30.BLIF sm_amiga_ns_0_0__n.BLIF un28_ciin_0_x2.BLIF N_105_i.BLIF N_31.BLIF N_106_i.BLIF \ - N_220.BLIF sm_amiga_ns_0_1__n.BLIF N_32.BLIF N_107_i.BLIF N_35.BLIF sm_amiga_ns_0_2__n.BLIF state_machine_un5_clk_000_n_sync_n.BLIF N_110_i.BLIF N_178.BLIF \ - sm_amiga_ns_0_4__n.BLIF N_179.BLIF N_114_i.BLIF N_179_1.BLIF N_113_i.BLIF un19_fpu_cs_5.BLIF sm_amiga_ns_0_6__n.BLIF state_machine_un28_as_030_n.BLIF N_91_i.BLIF \ - N_247.BLIF N_92_i.BLIF state_machine_un8_bgack_030_int_n.BLIF sm_amiga_i_5__n.BLIF state_machine_un10_bgack_030_int_n.BLIF N_93_i.BLIF CLK_030_H_1_sqmuxa.BLIF N_95_0.BLIF AS_000_DMA_1_sqmuxa.BLIF \ - N_103_i.BLIF DS_000_DMA_1_sqmuxa.BLIF N_100_i.BLIF DS_000_DMA_1_sqmuxa_1.BLIF N_102_i.BLIF state_machine_un24_bgack_030_int_n.BLIF N_101_i.BLIF state_machine_clk_030_h_2_n.BLIF N_104_i.BLIF \ - state_machine_clk_030_h_2_f1_n.BLIF state_machine_un4_bgack_000_0_n.BLIF state_machine_un31_bgack_030_int_n.BLIF N_33_i.BLIF state_machine_ds_000_dma_3_n.BLIF N_220_0.BLIF un1_bgack_030_int_d.BLIF state_machine_un3_bgack_030_int_d_i_n.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF \ - un1_bgack_030_int_d_0.BLIF state_machine_un10_bg_030_n.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF state_machine_un3_bgack_030_int_d_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF sm_amiga_i_6__n.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_96_i.BLIF \ - AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF sm_amiga_i_2__n.BLIF N_98.BLIF sm_amiga_i_4__n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF N_115_i.BLIF state_machine_un8_bg_030_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF N_111_i.BLIF \ - N_103.BLIF N_112_i.BLIF N_91.BLIF sm_amiga_ns_0_5__n.BLIF N_109.BLIF N_109_i.BLIF N_112.BLIF N_108_i.BLIF N_115.BLIF \ - sm_amiga_ns_0_3__n.BLIF N_95.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF N_108.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF N_111.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF N_96.BLIF BG_030_c_i.BLIF \ - state_machine_un4_bgack_000_n.BLIF state_machine_un8_bg_030_i_n.BLIF N_33.BLIF state_machine_un10_bg_030_0_n.BLIF DS_000_ENABLE_0_sqmuxa.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF AS_030_000_SYNC_0_sqmuxa.BLIF state_machine_un10_bgack_030_int_0_n.BLIF un2_as_030.BLIF \ - state_machine_ds_000_dma_3_0_n.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF state_machine_size_dma_4_0_0__n.BLIF un1_SM_AMIGA_0_sqmuxa_2.BLIF state_machine_size_dma_4_0_1__n.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF CLK_030_H_i.BLIF N_102.BLIF CLK_030_H_1_sqmuxa_i.BLIF \ - N_92.BLIF state_machine_clk_030_h_2_f1_0_n.BLIF N_101.BLIF un3_dtack_i.BLIF N_93.BLIF cpu_est_ns_0_1__n.BLIF N_100.BLIF N_37_i.BLIF N_110.BLIF \ - N_224_i.BLIF N_107.BLIF N_32_i.BLIF N_114_1.BLIF N_223_i.BLIF N_104.BLIF N_178_i.BLIF N_114.BLIF N_179_i.BLIF \ - state_machine_un10_clk_000_ne_n.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF state_machine_un6_clk_000_ne_n.BLIF N_219_i.BLIF N_113.BLIF N_30_i.BLIF SM_AMIGA_0_sqmuxa.BLIF N_31_i.BLIF N_99.BLIF \ - un28_ciin_0.BLIF N_105.BLIF N_28_i.BLIF N_106.BLIF N_29_i.BLIF N_75.BLIF cpu_est_ns_e_0_0__n.BLIF state_machine_rw_000_int_3_n.BLIF N_228_i.BLIF \ - state_machine_un4_clk_000_ne_n.BLIF N_229_i.BLIF DSACK1_INT_1_sqmuxa.BLIF N_230_i.BLIF DSACK1_INT_0_sqmuxa.BLIF cpu_est_ns_0_2__n.BLIF AS_000_INT_1_sqmuxa.BLIF N_35_i.BLIF un19_fpu_cs_i.BLIF \ - N_227_i.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1_i.BLIF N_164_i.BLIF N_99_i.BLIF N_222_i.BLIF DSACK1_INT_0_sqmuxa_i.BLIF state_machine_un10_clk_000_d0_i_n.BLIF DTACK_i.BLIF state_machine_un12_clk_000_d0_0_n.BLIF \ - BERR_i.BLIF un2_clk_pre_66_i.BLIF CLK_000_NE_i.BLIF un6_clk_pre_66_i.BLIF sm_amiga_i_1__n.BLIF CLK_PRE_66_0.BLIF VPA_i.BLIF LDS_000_INT_i.BLIF VMA_INT_i.BLIF \ - un1_LDS_000_INT_0.BLIF sm_amiga_i_0__n.BLIF UDS_000_INT_i.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF un1_UDS_000_INT_0.BLIF sm_amiga_i_3__n.BLIF state_machine_un7_ds_030_i_n.BLIF avec_exp_i.BLIF A0_c_i.BLIF \ - AS_030_i.BLIF size_c_i_1__n.BLIF AS_030_000_SYNC_0_sqmuxa_i.BLIF un3_dtack_i_1.BLIF AS_030_000_SYNC_i.BLIF N_247_1.BLIF a_i_16__n.BLIF N_247_2.BLIF a_i_19__n.BLIF \ - N_247_3.BLIF a_i_17__n.BLIF N_247_4.BLIF a_i_18__n.BLIF N_247_5.BLIF sm_amiga_i_7__n.BLIF N_247_6.BLIF N_98_i.BLIF state_machine_un7_ds_030_i_1_n.BLIF \ - BGACK_030_INT_i.BLIF N_31_1.BLIF BGACK_030_INT_D_i.BLIF N_31_2.BLIF DS_000_DMA_1_sqmuxa_1_i.BLIF N_179_1_0.BLIF state_machine_un8_bgack_030_int_i_n.BLIF state_machine_clk_000_p_sync_3_1_0__n.BLIF CLK_030_i.BLIF \ - state_machine_clk_000_p_sync_3_2_0__n.BLIF UDS_000_i.BLIF state_machine_un28_as_030_1_n.BLIF LDS_000_i.BLIF state_machine_un28_as_030_2_n.BLIF state_machine_un31_bgack_030_int_i_n.BLIF state_machine_clk_000_n_sync_2_1_0__n.BLIF RW_000_i.BLIF cpu_est_ns_0_1_1__n.BLIF \ - state_machine_un24_bgack_030_int_i_n.BLIF cpu_est_ns_0_2_1__n.BLIF AS_000_DMA_i.BLIF state_machine_un10_clk_000_d0_1_n.BLIF CLK_000_D2_i.BLIF state_machine_un10_clk_000_d0_2_n.BLIF CLK_000_D3_i.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF CLK_000_D1_i.BLIF \ - AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF state_machine_un28_as_030_i_n.BLIF N_96_i_1.BLIF nEXP_SPACE_i.BLIF state_machine_un8_bg_030_1_n.BLIF AS_000_i.BLIF state_machine_un8_bg_030_2_n.BLIF RW_i.BLIF un1_bgack_030_int_d_0_1.BLIF \ - CLK_000_D0_i.BLIF N_33_1.BLIF cpu_est_i_3__n.BLIF N_33_2.BLIF cpu_est_i_1__n.BLIF un19_fpu_cs_5_1.BLIF cpu_est_i_0__n.BLIF un19_fpu_cs_5_2.BLIF AS_030.PIN \ + IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF SIZE_1_.BLIF AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF A0.BLIF DSACK1.BLIF DTACK.BLIF RW.BLIF SIZE_0_.BLIF sm_amiga_ns_0_2_0__n.BLIF CLK_OSZI_i.BLIF sm_amiga_ns_0_3_0__n.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un1_n.BLIF un16_ciin_i.BLIF \ + uds_000_int_0_un0_n.BLIF CLK_OUT_PRE_50_D_i.BLIF ipl_030_0_0__un3_n.BLIF inst_BGACK_030_INTreg.BLIF AS_030_c.BLIF ipl_030_0_0__un1_n.BLIF vcc_n_n.BLIF ipl_030_0_0__un0_n.BLIF inst_avec_expreg.BLIF \ + AS_000_c.BLIF ipl_030_0_1__un3_n.BLIF inst_VMA_INTreg.BLIF ipl_030_0_1__un1_n.BLIF inst_AMIGA_BUS_ENABLE_INTreg.BLIF RW_000_c.BLIF ipl_030_0_1__un0_n.BLIF inst_CLK_OUT_PRE_33reg.BLIF ipl_030_0_2__un3_n.BLIF \ + inst_AS_030_000_SYNC.BLIF DS_030_c.BLIF ipl_030_0_2__un1_n.BLIF inst_BGACK_030_INT_D.BLIF ipl_030_0_2__un0_n.BLIF inst_AS_000_DMA.BLIF UDS_000_c.BLIF cpu_estse_0_un3_n.BLIF inst_VPA_D.BLIF \ + cpu_estse_0_un1_n.BLIF inst_CLK_OUT_PRE_50_D.BLIF LDS_000_c.BLIF cpu_estse_0_un0_n.BLIF inst_CLK_000_D0.BLIF cpu_estse_1_un3_n.BLIF inst_CLK_000_D1.BLIF size_c_0__n.BLIF cpu_estse_1_un1_n.BLIF \ + inst_CLK_000_D4.BLIF cpu_estse_1_un0_n.BLIF CLK_CNT_N_0_.BLIF size_c_1__n.BLIF cpu_estse_2_un3_n.BLIF inst_CLK_OUT_PRE_50.BLIF cpu_estse_2_un1_n.BLIF inst_CLK_OUT_PRE_25.BLIF a_c_16__n.BLIF \ + cpu_estse_2_un0_n.BLIF inst_CLK_000_D2.BLIF as_030_000_sync_0_un3_n.BLIF inst_CLK_000_D3.BLIF a_c_17__n.BLIF as_030_000_sync_0_un1_n.BLIF inst_CLK_OUT_PRE_D.BLIF as_030_000_sync_0_un0_n.BLIF inst_CLK_OUT_PRE.BLIF \ + a_c_18__n.BLIF ds_000_enable_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF ds_000_enable_0_un1_n.BLIF inst_AS_000_INT.BLIF a_c_19__n.BLIF ds_000_enable_0_un0_n.BLIF SM_AMIGA_7_.BLIF lds_000_int_0_un3_n.BLIF \ + SM_AMIGA_6_.BLIF a_c_20__n.BLIF lds_000_int_0_un1_n.BLIF SM_AMIGA_1_.BLIF lds_000_int_0_un0_n.BLIF SM_AMIGA_0_.BLIF a_c_21__n.BLIF bgack_030_int_0_un3_n.BLIF SM_AMIGA_4_.BLIF \ + bgack_030_int_0_un1_n.BLIF inst_CLK_030_H.BLIF a_c_22__n.BLIF bgack_030_int_0_un0_n.BLIF CLK_CNT_P_1_.BLIF amiga_bus_enable_int_0_un3_n.BLIF CLK_CNT_N_1_.BLIF a_c_23__n.BLIF amiga_bus_enable_int_0_un1_n.BLIF \ + inst_RW_000_INT.BLIF amiga_bus_enable_int_0_un0_n.BLIF inst_DSACK1_INT.BLIF a_c_24__n.BLIF ds_000_dma_0_un3_n.BLIF state_machine_un3_clk_out_pre_50_n.BLIF ds_000_dma_0_un1_n.BLIF CLK_CNT_P_0_.BLIF a_c_25__n.BLIF \ + ds_000_dma_0_un0_n.BLIF inst_RW_000_DMA.BLIF as_000_dma_0_un3_n.BLIF un1_LDS_000_INT.BLIF a_c_26__n.BLIF as_000_dma_0_un1_n.BLIF inst_LDS_000_INT.BLIF as_000_dma_0_un0_n.BLIF inst_DS_000_ENABLE.BLIF \ + a_c_27__n.BLIF clk_030_h_0_un3_n.BLIF un1_UDS_000_INT.BLIF clk_030_h_0_un1_n.BLIF inst_UDS_000_INT.BLIF a_c_28__n.BLIF clk_030_h_0_un0_n.BLIF rw_000_dma_0_un3_n.BLIF a_c_29__n.BLIF \ + rw_000_dma_0_un1_n.BLIF inst_DS_000_DMA.BLIF rw_000_dma_0_un0_n.BLIF SIZE_DMA_0_.BLIF a_c_30__n.BLIF vma_int_0_un3_n.BLIF SIZE_DMA_1_.BLIF vma_int_0_un1_n.BLIF inst_A0_DMA.BLIF \ + a_c_31__n.BLIF vma_int_0_un0_n.BLIF G_108.BLIF as_000_int_0_un3_n.BLIF G_114.BLIF A0_c.BLIF as_000_int_0_un1_n.BLIF CLK_000_P_SYNC_0_.BLIF as_000_int_0_un0_n.BLIF \ + CLK_000_P_SYNC_1_.BLIF nEXP_SPACE_c.BLIF dsack1_int_0_un3_n.BLIF CLK_000_P_SYNC_2_.BLIF dsack1_int_0_un1_n.BLIF CLK_000_P_SYNC_3_.BLIF BERR_c.BLIF dsack1_int_0_un0_n.BLIF CLK_000_P_SYNC_4_.BLIF \ + rw_000_int_0_un3_n.BLIF CLK_000_P_SYNC_5_.BLIF BG_030_c.BLIF rw_000_int_0_un1_n.BLIF CLK_000_P_SYNC_6_.BLIF rw_000_int_0_un0_n.BLIF CLK_000_P_SYNC_7_.BLIF BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF \ + CLK_000_P_SYNC_8_.BLIF bg_000_0_un1_n.BLIF un19_fpu_cs.BLIF bg_000_0_un0_n.BLIF un5_ciin.BLIF BGACK_000_c.BLIF CLK_030_c.BLIF un16_ciin.BLIF SM_AMIGA_5_.BLIF \ + SM_AMIGA_3_.BLIF CLK_OSZI_c.BLIF SM_AMIGA_2_.BLIF CLK_OUT_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF IPL_030DFFSH_1_reg.BLIF IPL_030DFFSH_2_reg.BLIF ipl_c_0__n.BLIF ipl_c_1__n.BLIF \ + ipl_c_2__n.BLIF CLK_OUT_PRE_25_0.BLIF DSACK1_c.BLIF DTACK_c.BLIF VPA_c.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF RST_c.BLIF cpu_est_2_.BLIF \ + cpu_est_3_reg.BLIF RESETDFFRHreg.BLIF cpu_estse.BLIF RW_c.BLIF fc_c_0__n.BLIF un6_clk_pre_66.BLIF un2_clk_pre_66.BLIF fc_c_1__n.BLIF N_96.BLIF \ + state_machine_un34_clk_000_d0_n.BLIF state_machine_un16_clk_000_d0_n.BLIF AMIGA_BUS_DATA_DIR_c.BLIF N_88.BLIF N_111.BLIF state_machine_un8_bgack_030_int_n.BLIF N_175_1.BLIF UDS_000_INT_i.BLIF state_machine_un10_clk_000_d0_2_n.BLIF \ + un1_UDS_000_INT_0.BLIF state_machine_un38_clk_000_d0_1_n.BLIF SM_AMIGA_0_sqmuxa_i.BLIF N_171.BLIF DS_000_ENABLE_0_sqmuxa_i.BLIF cpu_est_ns_1__n.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF DSACK1_INT_0_sqmuxa.BLIF N_100_i.BLIF \ + state_machine_un1_as_030_n.BLIF sm_amiga_ns_0_0__n.BLIF AS_030_000_SYNC_0_sqmuxa.BLIF N_102_i.BLIF state_machine_un10_bg_030_n.BLIF N_103_i.BLIF un8_ciin.BLIF sm_amiga_ns_0_1__n.BLIF un12_ciin.BLIF \ + N_106_i.BLIF un14_ciin.BLIF N_105_i.BLIF state_machine_un8_bg_030_n.BLIF sm_amiga_ns_0_3__n.BLIF AS_000_INT_1_sqmuxa.BLIF N_107_i.BLIF DSACK1_INT_1_sqmuxa.BLIF sm_amiga_ns_0_4__n.BLIF \ + state_machine_rw_000_int_3_n.BLIF N_108_i.BLIF N_71.BLIF N_109_i.BLIF state_machine_un12_clk_000_d0_n.BLIF sm_amiga_ns_0_5__n.BLIF state_machine_un10_clk_000_d0_n.BLIF N_110_i.BLIF state_machine_un5_clk_000_d0_n.BLIF \ + N_163.BLIF N_88_i.BLIF N_164.BLIF N_90_i.BLIF N_165.BLIF N_93_0.BLIF state_machine_un38_clk_000_d0_n.BLIF N_97_i.BLIF state_machine_un31_bgack_030_int_n.BLIF \ + N_98_i.BLIF N_174.BLIF N_99_i.BLIF N_175.BLIF N_101_i.BLIF N_112.BLIF sm_amiga_i_4__n.BLIF state_machine_un32_clk_000_d0_n.BLIF N_91_i.BLIF \ + state_machine_clk_030_h_2_n.BLIF N_168_i.BLIF DS_000_DMA_1_sqmuxa_1.BLIF cpu_est_ns_0_2__n.BLIF AS_000_DMA_1_sqmuxa.BLIF state_machine_un10_clk_000_d0_2_i_n.BLIF CLK_030_H_1_sqmuxa_1.BLIF N_167_i.BLIF DS_000_DMA_1_sqmuxa.BLIF \ + N_170_i.BLIF state_machine_un24_bgack_030_int_n.BLIF N_169_i.BLIF state_machine_un10_bgack_030_int_n.BLIF N_160_i.BLIF state_machine_clk_030_h_2_f1_n.BLIF N_92_0.BLIF CLK_030_H_1_sqmuxa.BLIF N_104_i.BLIF \ + un1_bgack_030_int_d.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF state_machine_un1_as_030_i_n.BLIF state_machine_un3_bgack_030_int_d_n.BLIF state_machine_un6_clk_000_p_sync_i_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF state_machine_un6_bgack_000_0_n.BLIF SM_AMIGA_0_sqmuxa_1.BLIF LDS_000_INT_i.BLIF \ + AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF un1_LDS_000_INT_0.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF state_machine_un7_ds_030_i_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF A0_c_i.BLIF N_95.BLIF size_c_i_1__n.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF \ + state_machine_un5_bgack_030_int_d_i_n.BLIF un1_SM_AMIGA_0_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF un2_as_030.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF state_machine_un6_bgack_000_n.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF state_machine_un6_clk_000_p_sync_n.BLIF state_machine_un3_bgack_030_int_d_i_n.BLIF \ + N_104.BLIF un1_bgack_030_int_d_0.BLIF N_92.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF cpu_est_ns_2__n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF N_167.BLIF un3_dtack_i.BLIF N_168.BLIF \ + CLK_030_H_i.BLIF N_169.BLIF CLK_030_H_1_sqmuxa_i.BLIF N_170.BLIF state_machine_clk_030_h_2_f1_0_n.BLIF N_102.BLIF state_machine_un10_bgack_030_int_0_n.BLIF DS_000_ENABLE_0_sqmuxa.BLIF state_machine_un38_clk_000_d0_i_n.BLIF \ + N_101.BLIF state_machine_un32_clk_000_d0_i_n.BLIF N_90.BLIF state_machine_un34_clk_000_d0_0_n.BLIF N_91.BLIF N_112_i.BLIF N_100.BLIF sm_amiga_ns_0_7__n.BLIF state_machine_un30_clk_000_d0_n.BLIF \ + N_175_i.BLIF N_98.BLIF N_174_i.BLIF N_97.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_107.BLIF state_machine_size_dma_4_0_1__n.BLIF N_99.BLIF state_machine_size_dma_4_0_0__n.BLIF \ + N_93.BLIF N_171_i.BLIF N_110.BLIF N_164_i.BLIF N_108.BLIF N_163_i.BLIF N_109.BLIF cpu_est_ns_0_1__n.BLIF SM_AMIGA_0_sqmuxa.BLIF \ + state_machine_un10_clk_000_d0_i_n.BLIF N_105.BLIF state_machine_un5_clk_000_d0_i_n.BLIF N_106.BLIF state_machine_un12_clk_000_d0_0_n.BLIF N_103.BLIF N_71_0.BLIF un19_fpu_cs_i.BLIF state_machine_rw_000_int_3_0_n.BLIF \ + CLK_000_D1_i.BLIF BG_030_c_i.BLIF sm_amiga_i_1__n.BLIF state_machine_un8_bg_030_i_n.BLIF sm_amiga_i_0__n.BLIF state_machine_un10_bg_030_0_n.BLIF CLK_000_D0_i.BLIF un8_ciin_i.BLIF sm_amiga_i_2__n.BLIF \ + un14_ciin_0.BLIF BERR_i.BLIF un2_clk_pre_66_i.BLIF state_machine_un30_clk_000_d0_i_n.BLIF un6_clk_pre_66_i.BLIF sm_amiga_i_3__n.BLIF CLK_PRE_66_0.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF cpu_est_ns_0_1_1__n.BLIF \ + CLK_000_D3_i.BLIF cpu_est_ns_0_2_1__n.BLIF CLK_000_D2_i.BLIF N_91_i_1.BLIF cpu_est_i_1__n.BLIF un5_ciin_1.BLIF cpu_est_i_0__n.BLIF un5_ciin_2.BLIF cpu_est_i_3__n.BLIF \ + un5_ciin_3.BLIF state_machine_un38_clk_000_d0_1_i_n.BLIF un5_ciin_4.BLIF AS_030_000_SYNC_i.BLIF un5_ciin_5.BLIF CLK_000_D4_i.BLIF un5_ciin_6.BLIF sm_amiga_i_5__n.BLIF un5_ciin_7.BLIF \ + sm_amiga_i_6__n.BLIF un5_ciin_8.BLIF a_i_19__n.BLIF un5_ciin_9.BLIF a_i_18__n.BLIF un5_ciin_10.BLIF AS_030_i.BLIF un5_ciin_11.BLIF a_i_16__n.BLIF \ + state_machine_un8_bg_030_1_n.BLIF AS_030_000_SYNC_0_sqmuxa_i.BLIF state_machine_un8_bg_030_2_n.BLIF N_95_i.BLIF un12_ciin_1.BLIF BGACK_030_INT_i.BLIF un12_ciin_2.BLIF BGACK_030_INT_D_i.BLIF un12_ciin_3.BLIF \ + AS_000_i.BLIF un12_ciin_4.BLIF CLK_030_i.BLIF un12_ciin_5.BLIF AS_000_DMA_i.BLIF un12_ciin_6.BLIF state_machine_un24_bgack_030_int_i_n.BLIF AS_030_000_SYNC_0_sqmuxa_1.BLIF RW_000_i.BLIF \ + AS_030_000_SYNC_0_sqmuxa_2.BLIF UDS_000_i.BLIF state_machine_un38_clk_000_d0_1_0_n.BLIF LDS_000_i.BLIF state_machine_un5_clk_000_d0_1_n.BLIF state_machine_un8_bgack_030_int_i_n.BLIF state_machine_un5_clk_000_d0_2_n.BLIF CLK_030_H_1_sqmuxa_1_i.BLIF state_machine_un10_clk_000_d0_1_n.BLIF \ + DS_000_DMA_1_sqmuxa_1_i.BLIF state_machine_un10_clk_000_d0_2_0_n.BLIF DTACK_i.BLIF state_machine_un10_clk_000_d0_3_n.BLIF sm_amiga_i_7__n.BLIF N_175_1_0.BLIF N_111_i.BLIF un3_dtack_i_1.BLIF nEXP_SPACE_i.BLIF \ + un1_bgack_030_int_d_0_1.BLIF RW_i.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF state_machine_un31_bgack_030_int_i_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF VPA_D_i.BLIF state_machine_un7_ds_030_i_1_n.BLIF VPA_i.BLIF un19_fpu_cs_1.BLIF \ + VMA_INT_i.BLIF un19_fpu_cs_2.BLIF cpu_est_i_2__n.BLIF un19_fpu_cs_3.BLIF N_165_i_0.BLIF un19_fpu_cs_4.BLIF DSACK1_INT_0_sqmuxa_i.BLIF un19_fpu_cs_5.BLIF N_96_i.BLIF \ + un19_fpu_cs_6.BLIF a_i_31__n.BLIF DSACK1_INT_0_sqmuxa_1.BLIF a_i_30__n.BLIF SM_AMIGA_0_sqmuxa_1_1.BLIF a_i_29__n.BLIF cpu_est_ns_0_1_2__n.BLIF a_i_28__n.BLIF state_machine_clk_000_p_sync_3_1_0__n.BLIF \ + a_i_27__n.BLIF DS_000_ENABLE_0_sqmuxa_1.BLIF a_i_26__n.BLIF N_101_1.BLIF a_i_25__n.BLIF N_101_2.BLIF a_i_24__n.BLIF N_101_3.BLIF un5_ciin_i.BLIF \ + N_100_1.BLIF un12_ciin_i.BLIF N_98_1.BLIF clk_cnt_n_i_0__n.BLIF N_97_1.BLIF N_107_1.BLIF RST_i.BLIF sm_amiga_ns_0_1_0__n.BLIF AS_030.PIN \ AS_000.PIN RW_000.PIN DS_030.PIN UDS_000.PIN LDS_000.PIN SIZE_0_.PIN SIZE_1_.PIN A0.PIN DSACK1.PIN \ DTACK.PIN RW.PIN .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS AVEC AVEC_EXP E VMA RESET \ AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN IPL_030_1_ IPL_030_0_ cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D cpu_est_1_.C \ - cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D \ - SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR \ - SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ - CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D \ - CLK_000_N_SYNC_10_.C CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP \ - CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_0_.AP SIZE_DMA_1_.D SIZE_DMA_1_.C \ - SIZE_DMA_1_.AP IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP CLK_000_P_SYNC_1_.D \ - CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR \ - CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C \ - CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR CLK_000_N_SYNC_2_.D \ - CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_3_.AR CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_4_.AR CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_5_.AR \ - inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR inst_AS_000_INT.D inst_AS_000_INT.C \ - inst_AS_000_INT.AP inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_DSACK1_INT.D inst_DSACK1_INT.C inst_DSACK1_INT.AP inst_LDS_000_INT.D \ - inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.D inst_UDS_000_INT.C inst_UDS_000_INT.AP inst_RW_000_INT.D inst_RW_000_INT.C inst_RW_000_INT.AP inst_VMA_INTreg.D inst_VMA_INTreg.C inst_VMA_INTreg.AP \ - inst_A0_DMA.D inst_A0_DMA.C inst_A0_DMA.AP inst_CLK_030_H.D inst_CLK_030_H.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_DMA.AP inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_000_DMA.AP \ - inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_000_DMA.AP inst_AMIGA_BUS_ENABLE_INTreg.D inst_AMIGA_BUS_ENABLE_INTreg.C inst_AMIGA_BUS_ENABLE_INTreg.AP inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_CLK_OUT_PRE_33reg.D inst_CLK_OUT_PRE_33reg.C \ - inst_CLK_OUT_PRE_33reg.AR inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP RESETDFFRHreg.D \ + cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D \ + SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR \ + CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_0_.AP SIZE_DMA_1_.D SIZE_DMA_1_.C SIZE_DMA_1_.AP IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C \ + IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D \ + SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR \ + CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C \ + CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.D \ + CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP \ + inst_RW_000_INT.D inst_RW_000_INT.C inst_RW_000_INT.AP inst_VMA_INTreg.D inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C \ + inst_CLK_OUT_PRE_25.AR inst_AMIGA_BUS_ENABLE_INTreg.D inst_AMIGA_BUS_ENABLE_INTreg.C inst_AMIGA_BUS_ENABLE_INTreg.AP inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_000_INT.AP inst_DS_000_ENABLE.D \ + inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP inst_DSACK1_INT.D inst_DSACK1_INT.C inst_DSACK1_INT.AP inst_LDS_000_INT.D inst_LDS_000_INT.C inst_LDS_000_INT.AP \ + inst_UDS_000_INT.D inst_UDS_000_INT.C inst_UDS_000_INT.AP inst_A0_DMA.D inst_A0_DMA.C inst_A0_DMA.AP inst_CLK_030_H.D inst_CLK_030_H.C inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_DMA.AP \ + inst_DS_000_DMA.D inst_DS_000_DMA.C inst_DS_000_DMA.AP inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_000_DMA.AP inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP inst_CLK_OUT_PRE_33reg.D inst_CLK_OUT_PRE_33reg.C \ + inst_CLK_OUT_PRE_33reg.AR inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D inst_CLK_000_D3.C inst_CLK_000_D3.AP RESETDFFRHreg.D \ RESETDFFRHreg.C RESETDFFRHreg.AR CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_CLK_000_D1.D inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.D inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP \ inst_CLK_OUT_PRE_50_D.D inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.D inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.D inst_CLK_000_D0.C inst_CLK_000_D0.AP inst_VPA_D.D inst_VPA_D.C \ - inst_VPA_D.AP inst_avec_expreg.D inst_avec_expreg.C inst_avec_expreg.AR inst_CLK_000_NE.D inst_CLK_000_NE.C inst_CLK_000_NE.AR inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR un28_ciin_0_x2.X1 \ - un28_ciin_0_x2.X2 G_109.X1 G_109.X2 G_115.X1 G_115.X2 CLK_OUT_PRE_25_0.X1 CLK_OUT_PRE_25_0.X2 SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 DSACK1 DTACK RW SIZE_0_ a_i_20__n un19_fpu_cs_1 a_i_23__n un19_fpu_cs_2 \ - cpu_est_i_2__n un19_fpu_cs_3 VPA_D_i SM_AMIGA_0_sqmuxa_1_1 clk_cnt_n_i_0__n DS_000_ENABLE_0_sqmuxa_1 a_i_30__n AS_030_000_SYNC_0_sqmuxa_1 a_i_31__n AS_030_000_SYNC_0_sqmuxa_2 vcc_n_n \ - a_i_28__n N_102_1 a_i_29__n N_101_1 a_i_26__n N_100_1 a_i_27__n N_110_1 a_i_24__n N_104_1 a_i_25__n \ - N_104_2 N_104_3 RST_i state_machine_un10_clk_000_ne_1_n sm_amiga_ns_0_1_0__n sm_amiga_ns_0_2_0__n CLK_OSZI_i sm_amiga_ns_0_3_0__n cpu_est_ns_0_1_2__n CLK_OUT_PRE_50_D_i N_30_1 \ - AS_030_c N_222_1 cpu_estse_1_un3_n AS_000_c cpu_estse_1_un1_n cpu_estse_1_un0_n RW_000_c ipl_030_0_2__un3_n ipl_030_0_2__un1_n DS_030_c ipl_030_0_2__un0_n \ - ipl_030_0_1__un3_n UDS_000_c ipl_030_0_1__un1_n state_machine_un10_clk_000_d0_n ipl_030_0_1__un0_n LDS_000_c ipl_030_0_0__un3_n ipl_030_0_0__un1_n size_c_0__n ipl_030_0_0__un0_n rw_000_int_0_un3_n \ - size_c_1__n rw_000_int_0_un1_n rw_000_int_0_un0_n a_c_16__n dsack1_int_0_un3_n dsack1_int_0_un1_n a_c_17__n dsack1_int_0_un0_n ds_000_enable_0_un3_n a_c_18__n ds_000_enable_0_un1_n \ - state_machine_un3_clk_out_pre_50_n ds_000_enable_0_un0_n a_c_19__n as_000_int_0_un3_n as_000_int_0_un1_n a_c_20__n as_000_int_0_un0_n un1_LDS_000_INT as_030_000_sync_0_un3_n a_c_21__n as_030_000_sync_0_un1_n \ - as_030_000_sync_0_un0_n un1_UDS_000_INT a_c_22__n bgack_030_int_0_un3_n bgack_030_int_0_un1_n a_c_23__n bgack_030_int_0_un0_n as_000_dma_0_un3_n state_machine_un12_clk_000_d0_n a_c_24__n as_000_dma_0_un1_n \ - as_000_dma_0_un0_n a_c_25__n ds_000_dma_0_un3_n ds_000_dma_0_un1_n a_c_26__n ds_000_dma_0_un0_n rw_000_dma_0_un3_n a_c_27__n rw_000_dma_0_un1_n rw_000_dma_0_un0_n a_c_28__n \ - clk_030_h_0_un3_n clk_030_h_0_un1_n a_c_29__n clk_030_h_0_un0_n amiga_bus_enable_int_0_un3_n a_c_30__n amiga_bus_enable_int_0_un1_n amiga_bus_enable_int_0_un0_n a_c_31__n bg_000_0_un3_n bg_000_0_un1_n \ - A0_c bg_000_0_un0_n lds_000_int_0_un3_n nEXP_SPACE_c lds_000_int_0_un1_n lds_000_int_0_un0_n BERR_c uds_000_int_0_un3_n uds_000_int_0_un1_n BG_030_c uds_000_int_0_un0_n \ - vma_int_0_un3_n vma_int_0_un1_n vma_int_0_un0_n cpu_estse_0_un3_n un28_ciin BGACK_000_c cpu_estse_0_un1_n un19_fpu_cs cpu_estse_0_un0_n CLK_030_c cpu_estse_2_un3_n \ - cpu_estse_2_un1_n CLK_000_c cpu_estse_2_un0_n CLK_OSZI_c ipl_c_0__n ipl_c_1__n ipl_c_2__n DSACK1_c DTACK_c VPA_c RST_c \ - RW_c fc_c_0__n cpu_est_ns_1__n fc_c_1__n cpu_est_ns_2__n un6_clk_pre_66 un2_clk_pre_66 AMIGA_BUS_DATA_DIR_c N_222 N_37 N_223 \ - N_224 SM_AMIGA_0_sqmuxa_i N_227 DS_000_ENABLE_0_sqmuxa_i N_219 un1_SM_AMIGA_0_sqmuxa_2_i N_228 state_machine_un10_clk_000_ne_i_n N_229 state_machine_un4_clk_000_ne_i_n N_230 \ - state_machine_un6_clk_000_ne_i_n N_28 state_machine_rw_000_int_3_0_n N_29 N_75_0 N_30 sm_amiga_ns_0_0__n N_105_i N_31 N_106_i N_220 \ - sm_amiga_ns_0_1__n N_32 N_107_i N_35 sm_amiga_ns_0_2__n state_machine_un5_clk_000_n_sync_n N_110_i N_178 sm_amiga_ns_0_4__n N_179 N_114_i \ - N_179_1 N_113_i un19_fpu_cs_5 sm_amiga_ns_0_6__n state_machine_un28_as_030_n N_91_i N_247 N_92_i state_machine_un8_bgack_030_int_n sm_amiga_i_5__n state_machine_un10_bgack_030_int_n \ - N_93_i CLK_030_H_1_sqmuxa N_95_0 AS_000_DMA_1_sqmuxa N_103_i DS_000_DMA_1_sqmuxa N_100_i DS_000_DMA_1_sqmuxa_1 N_102_i state_machine_un24_bgack_030_int_n N_101_i \ - state_machine_clk_030_h_2_n N_104_i state_machine_clk_030_h_2_f1_n state_machine_un4_bgack_000_0_n state_machine_un31_bgack_030_int_n N_33_i state_machine_ds_000_dma_3_n N_220_0 un1_bgack_030_int_d state_machine_un3_bgack_030_int_d_i_n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa \ - un1_bgack_030_int_d_0 state_machine_un10_bg_030_n AMIGA_BUS_ENABLE_INT_3_sqmuxa_i state_machine_un3_bgack_030_int_d_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 sm_amiga_i_6__n SM_AMIGA_0_sqmuxa_1 N_96_i AMIGA_BUS_ENABLE_INT_3_sqmuxa sm_amiga_i_2__n \ - N_98 sm_amiga_i_4__n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 N_115_i state_machine_un8_bg_030_n AMIGA_BUS_ENABLE_INT_2_sqmuxa N_111_i N_103 N_112_i N_91 sm_amiga_ns_0_5__n \ - N_109 N_109_i N_112 N_108_i N_115 sm_amiga_ns_0_3__n N_95 AMIGA_BUS_ENABLE_INT_2_sqmuxa_i N_108 AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i N_111 \ - un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 N_96 BG_030_c_i state_machine_un4_bgack_000_n state_machine_un8_bg_030_i_n N_33 state_machine_un10_bg_030_0_n DS_000_ENABLE_0_sqmuxa state_machine_un5_bgack_030_int_d_i_n AS_030_000_SYNC_0_sqmuxa state_machine_un10_bgack_030_int_0_n \ - un2_as_030 state_machine_ds_000_dma_3_0_n un1_AS_030_000_SYNC_0_sqmuxa_1_1 state_machine_size_dma_4_0_0__n un1_SM_AMIGA_0_sqmuxa_2 state_machine_size_dma_4_0_1__n un1_AS_030_000_SYNC_0_sqmuxa_1 CLK_030_H_i N_102 CLK_030_H_1_sqmuxa_i N_92 \ - state_machine_clk_030_h_2_f1_0_n N_101 un3_dtack_i N_93 cpu_est_ns_0_1__n N_100 N_37_i N_110 N_224_i N_107 N_32_i \ - N_114_1 N_223_i N_104 N_178_i N_114 N_179_i state_machine_un10_clk_000_ne_n AMIGA_BUS_DATA_DIR_c_0 state_machine_un6_clk_000_ne_n N_219_i N_113 \ - N_30_i SM_AMIGA_0_sqmuxa N_31_i N_99 un28_ciin_0 N_105 N_28_i N_106 N_29_i N_75 cpu_est_ns_e_0_0__n \ - state_machine_rw_000_int_3_n N_228_i state_machine_un4_clk_000_ne_n N_229_i DSACK1_INT_1_sqmuxa N_230_i DSACK1_INT_0_sqmuxa cpu_est_ns_0_2__n AS_000_INT_1_sqmuxa N_35_i un19_fpu_cs_i \ - N_227_i un1_AS_030_000_SYNC_0_sqmuxa_1_1_i N_164_i N_99_i N_222_i DSACK1_INT_0_sqmuxa_i state_machine_un10_clk_000_d0_i_n DTACK_i state_machine_un12_clk_000_d0_0_n BERR_i un2_clk_pre_66_i \ - CLK_000_NE_i un6_clk_pre_66_i sm_amiga_i_1__n CLK_PRE_66_0 VPA_i LDS_000_INT_i VMA_INT_i un1_LDS_000_INT_0 sm_amiga_i_0__n UDS_000_INT_i SM_AMIGA_0_sqmuxa_1_i \ - un1_UDS_000_INT_0 sm_amiga_i_3__n state_machine_un7_ds_030_i_n avec_exp_i A0_c_i AS_030_i size_c_i_1__n AS_030_000_SYNC_0_sqmuxa_i un3_dtack_i_1 AS_030_000_SYNC_i N_247_1 \ - a_i_16__n N_247_2 a_i_19__n N_247_3 a_i_17__n N_247_4 a_i_18__n N_247_5 sm_amiga_i_7__n N_247_6 N_98_i \ - state_machine_un7_ds_030_i_1_n BGACK_030_INT_i N_31_1 BGACK_030_INT_D_i N_31_2 DS_000_DMA_1_sqmuxa_1_i N_179_1_0 state_machine_un8_bgack_030_int_i_n state_machine_clk_000_p_sync_3_1_0__n CLK_030_i state_machine_clk_000_p_sync_3_2_0__n \ - UDS_000_i state_machine_un28_as_030_1_n LDS_000_i state_machine_un28_as_030_2_n state_machine_un31_bgack_030_int_i_n state_machine_clk_000_n_sync_2_1_0__n RW_000_i cpu_est_ns_0_1_1__n state_machine_un24_bgack_030_int_i_n cpu_est_ns_0_2_1__n AS_000_DMA_i \ - state_machine_un10_clk_000_d0_1_n CLK_000_D2_i state_machine_un10_clk_000_d0_2_n CLK_000_D3_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 CLK_000_D1_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 state_machine_un28_as_030_i_n N_96_i_1 nEXP_SPACE_i state_machine_un8_bg_030_1_n \ - AS_000_i state_machine_un8_bg_030_2_n RW_i un1_bgack_030_int_d_0_1 CLK_000_D0_i N_33_1 cpu_est_i_3__n N_33_2 cpu_est_i_1__n un19_fpu_cs_5_1 cpu_est_i_0__n \ - un19_fpu_cs_5_2 AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE SIZE_0_.OE SIZE_1_.OE \ - A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE + inst_VPA_D.AP inst_avec_expreg.D inst_avec_expreg.C inst_avec_expreg.AR inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR CLK_OUT_PRE_25_0.X1 CLK_OUT_PRE_25_0.X2 G_108.X1 G_108.X2 \ + G_114.X1 G_114.X2 cpu_estse.X1 cpu_estse.X2 SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 DSACK1 DTACK RW SIZE_0_ sm_amiga_ns_0_2_0__n CLK_OSZI_i sm_amiga_ns_0_3_0__n uds_000_int_0_un3_n uds_000_int_0_un1_n un16_ciin_i uds_000_int_0_un0_n \ + CLK_OUT_PRE_50_D_i ipl_030_0_0__un3_n AS_030_c ipl_030_0_0__un1_n vcc_n_n ipl_030_0_0__un0_n AS_000_c ipl_030_0_1__un3_n ipl_030_0_1__un1_n RW_000_c ipl_030_0_1__un0_n \ + ipl_030_0_2__un3_n DS_030_c ipl_030_0_2__un1_n ipl_030_0_2__un0_n UDS_000_c cpu_estse_0_un3_n cpu_estse_0_un1_n LDS_000_c cpu_estse_0_un0_n cpu_estse_1_un3_n size_c_0__n \ + cpu_estse_1_un1_n cpu_estse_1_un0_n size_c_1__n cpu_estse_2_un3_n cpu_estse_2_un1_n a_c_16__n cpu_estse_2_un0_n as_030_000_sync_0_un3_n a_c_17__n as_030_000_sync_0_un1_n as_030_000_sync_0_un0_n \ + a_c_18__n ds_000_enable_0_un3_n ds_000_enable_0_un1_n a_c_19__n ds_000_enable_0_un0_n lds_000_int_0_un3_n a_c_20__n lds_000_int_0_un1_n lds_000_int_0_un0_n a_c_21__n bgack_030_int_0_un3_n \ + bgack_030_int_0_un1_n a_c_22__n bgack_030_int_0_un0_n amiga_bus_enable_int_0_un3_n a_c_23__n amiga_bus_enable_int_0_un1_n amiga_bus_enable_int_0_un0_n a_c_24__n ds_000_dma_0_un3_n state_machine_un3_clk_out_pre_50_n ds_000_dma_0_un1_n \ + a_c_25__n ds_000_dma_0_un0_n as_000_dma_0_un3_n un1_LDS_000_INT a_c_26__n as_000_dma_0_un1_n as_000_dma_0_un0_n a_c_27__n clk_030_h_0_un3_n un1_UDS_000_INT clk_030_h_0_un1_n \ + a_c_28__n clk_030_h_0_un0_n rw_000_dma_0_un3_n a_c_29__n rw_000_dma_0_un1_n rw_000_dma_0_un0_n a_c_30__n vma_int_0_un3_n vma_int_0_un1_n a_c_31__n vma_int_0_un0_n \ + as_000_int_0_un3_n A0_c as_000_int_0_un1_n as_000_int_0_un0_n nEXP_SPACE_c dsack1_int_0_un3_n dsack1_int_0_un1_n BERR_c dsack1_int_0_un0_n rw_000_int_0_un3_n BG_030_c \ + rw_000_int_0_un1_n rw_000_int_0_un0_n bg_000_0_un3_n bg_000_0_un1_n un19_fpu_cs bg_000_0_un0_n un5_ciin BGACK_000_c CLK_030_c un16_ciin CLK_OSZI_c \ + ipl_c_0__n ipl_c_1__n ipl_c_2__n DSACK1_c DTACK_c VPA_c RST_c RW_c fc_c_0__n un6_clk_pre_66 un2_clk_pre_66 \ + fc_c_1__n N_96 state_machine_un34_clk_000_d0_n state_machine_un16_clk_000_d0_n AMIGA_BUS_DATA_DIR_c N_88 N_111 state_machine_un8_bgack_030_int_n N_175_1 UDS_000_INT_i state_machine_un10_clk_000_d0_2_n \ + un1_UDS_000_INT_0 state_machine_un38_clk_000_d0_1_n SM_AMIGA_0_sqmuxa_i N_171 DS_000_ENABLE_0_sqmuxa_i cpu_est_ns_1__n un1_SM_AMIGA_0_sqmuxa_2_i DSACK1_INT_0_sqmuxa N_100_i state_machine_un1_as_030_n sm_amiga_ns_0_0__n \ + AS_030_000_SYNC_0_sqmuxa N_102_i state_machine_un10_bg_030_n N_103_i un8_ciin sm_amiga_ns_0_1__n un12_ciin N_106_i un14_ciin N_105_i state_machine_un8_bg_030_n \ + sm_amiga_ns_0_3__n AS_000_INT_1_sqmuxa N_107_i DSACK1_INT_1_sqmuxa sm_amiga_ns_0_4__n state_machine_rw_000_int_3_n N_108_i N_71 N_109_i state_machine_un12_clk_000_d0_n sm_amiga_ns_0_5__n \ + state_machine_un10_clk_000_d0_n N_110_i state_machine_un5_clk_000_d0_n N_163 N_88_i N_164 N_90_i N_165 N_93_0 state_machine_un38_clk_000_d0_n N_97_i \ + state_machine_un31_bgack_030_int_n N_98_i N_174 N_99_i N_175 N_101_i N_112 sm_amiga_i_4__n state_machine_un32_clk_000_d0_n N_91_i state_machine_clk_030_h_2_n \ + N_168_i DS_000_DMA_1_sqmuxa_1 cpu_est_ns_0_2__n AS_000_DMA_1_sqmuxa state_machine_un10_clk_000_d0_2_i_n CLK_030_H_1_sqmuxa_1 N_167_i DS_000_DMA_1_sqmuxa N_170_i state_machine_un24_bgack_030_int_n N_169_i \ + state_machine_un10_bgack_030_int_n N_160_i state_machine_clk_030_h_2_f1_n N_92_0 CLK_030_H_1_sqmuxa N_104_i un1_bgack_030_int_d un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa state_machine_un1_as_030_i_n state_machine_un3_bgack_030_int_d_n state_machine_un6_clk_000_p_sync_i_n \ + AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 state_machine_un6_bgack_000_0_n SM_AMIGA_0_sqmuxa_1 LDS_000_INT_i AMIGA_BUS_ENABLE_INT_3_sqmuxa un1_LDS_000_INT_0 AMIGA_BUS_ENABLE_INT_2_sqmuxa state_machine_un7_ds_030_i_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 A0_c_i N_95 \ + size_c_i_1__n un1_AS_030_000_SYNC_0_sqmuxa_1 state_machine_un5_bgack_030_int_d_i_n un1_SM_AMIGA_0_sqmuxa_2 AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i un2_as_030 AMIGA_BUS_ENABLE_INT_2_sqmuxa_i state_machine_un6_bgack_000_n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 state_machine_un6_clk_000_p_sync_n state_machine_un3_bgack_030_int_d_i_n \ + N_104 un1_bgack_030_int_d_0 N_92 AMIGA_BUS_ENABLE_INT_3_sqmuxa_i cpu_est_ns_2__n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i N_167 un3_dtack_i N_168 CLK_030_H_i N_169 \ + CLK_030_H_1_sqmuxa_i N_170 state_machine_clk_030_h_2_f1_0_n N_102 state_machine_un10_bgack_030_int_0_n DS_000_ENABLE_0_sqmuxa state_machine_un38_clk_000_d0_i_n N_101 state_machine_un32_clk_000_d0_i_n N_90 state_machine_un34_clk_000_d0_0_n \ + N_91 N_112_i N_100 sm_amiga_ns_0_7__n state_machine_un30_clk_000_d0_n N_175_i N_98 N_174_i N_97 AMIGA_BUS_DATA_DIR_c_0 N_107 \ + state_machine_size_dma_4_0_1__n N_99 state_machine_size_dma_4_0_0__n N_93 N_171_i N_110 N_164_i N_108 N_163_i N_109 cpu_est_ns_0_1__n \ + SM_AMIGA_0_sqmuxa state_machine_un10_clk_000_d0_i_n N_105 state_machine_un5_clk_000_d0_i_n N_106 state_machine_un12_clk_000_d0_0_n N_103 N_71_0 un19_fpu_cs_i state_machine_rw_000_int_3_0_n CLK_000_D1_i \ + BG_030_c_i sm_amiga_i_1__n state_machine_un8_bg_030_i_n sm_amiga_i_0__n state_machine_un10_bg_030_0_n CLK_000_D0_i un8_ciin_i sm_amiga_i_2__n un14_ciin_0 BERR_i un2_clk_pre_66_i \ + state_machine_un30_clk_000_d0_i_n un6_clk_pre_66_i sm_amiga_i_3__n CLK_PRE_66_0 SM_AMIGA_0_sqmuxa_1_i cpu_est_ns_0_1_1__n CLK_000_D3_i cpu_est_ns_0_2_1__n CLK_000_D2_i N_91_i_1 cpu_est_i_1__n \ + un5_ciin_1 cpu_est_i_0__n un5_ciin_2 cpu_est_i_3__n un5_ciin_3 state_machine_un38_clk_000_d0_1_i_n un5_ciin_4 AS_030_000_SYNC_i un5_ciin_5 CLK_000_D4_i un5_ciin_6 \ + sm_amiga_i_5__n un5_ciin_7 sm_amiga_i_6__n un5_ciin_8 a_i_19__n un5_ciin_9 a_i_18__n un5_ciin_10 AS_030_i un5_ciin_11 a_i_16__n \ + state_machine_un8_bg_030_1_n AS_030_000_SYNC_0_sqmuxa_i state_machine_un8_bg_030_2_n N_95_i un12_ciin_1 BGACK_030_INT_i un12_ciin_2 BGACK_030_INT_D_i un12_ciin_3 AS_000_i un12_ciin_4 \ + CLK_030_i un12_ciin_5 AS_000_DMA_i un12_ciin_6 state_machine_un24_bgack_030_int_i_n AS_030_000_SYNC_0_sqmuxa_1 RW_000_i AS_030_000_SYNC_0_sqmuxa_2 UDS_000_i state_machine_un38_clk_000_d0_1_0_n LDS_000_i \ + state_machine_un5_clk_000_d0_1_n state_machine_un8_bgack_030_int_i_n state_machine_un5_clk_000_d0_2_n CLK_030_H_1_sqmuxa_1_i state_machine_un10_clk_000_d0_1_n DS_000_DMA_1_sqmuxa_1_i state_machine_un10_clk_000_d0_2_0_n DTACK_i state_machine_un10_clk_000_d0_3_n sm_amiga_i_7__n N_175_1_0 \ + N_111_i un3_dtack_i_1 nEXP_SPACE_i un1_bgack_030_int_d_0_1 RW_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 state_machine_un31_bgack_030_int_i_n AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i state_machine_un7_ds_030_i_1_n VPA_i \ + un19_fpu_cs_1 VMA_INT_i un19_fpu_cs_2 cpu_est_i_2__n un19_fpu_cs_3 N_165_i_0 un19_fpu_cs_4 DSACK1_INT_0_sqmuxa_i un19_fpu_cs_5 N_96_i un19_fpu_cs_6 \ + a_i_31__n DSACK1_INT_0_sqmuxa_1 a_i_30__n SM_AMIGA_0_sqmuxa_1_1 a_i_29__n cpu_est_ns_0_1_2__n a_i_28__n state_machine_clk_000_p_sync_3_1_0__n a_i_27__n DS_000_ENABLE_0_sqmuxa_1 a_i_26__n \ + N_101_1 a_i_25__n N_101_2 a_i_24__n N_101_3 un5_ciin_i N_100_1 un12_ciin_i N_98_1 clk_cnt_n_i_0__n N_97_1 \ + N_107_1 RST_i sm_amiga_ns_0_1_0__n AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE \ + SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE .names inst_AS_000_DMA.BLIF AS_030 1 1 .names AS_030.PIN AS_030_c @@ -247,1325 +239,1241 @@ 1 1 .names BGACK_030_INT_i.BLIF RW.OE 1 1 -.names un28_ciin.BLIF CIIN +.names un5_ciin.BLIF CIIN 1 1 -.names N_247.BLIF CIIN.OE +.names un16_ciin_i.BLIF CIIN.OE 1 1 -.names state_machine_un7_ds_030_i_1_n.BLIF size_c_0__n.BLIF state_machine_un7_ds_030_i_n +.names cpu_est_ns_0_1_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_ns_0_1__n 11 1 -.names inst_CLK_000_D3.BLIF CLK_000_D3_i -0 1 -.names RST_i.BLIF inst_BGACK_030_INT_D.AP -1 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF N_31_1 +.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_164 11 1 -.names N_179_1.BLIF state_machine_un10_bgack_030_int_n.BLIF state_machine_un8_bgack_030_int_n +.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_91_i_1 11 1 -.names RST_i.BLIF IPL_030DFFSH_1_reg.AP -1 1 -.names a_c_23__n.BLIF N_220.BLIF N_31_2 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_165 11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i -0 1 -.names N_31_1.BLIF N_31_2.BLIF N_31 +.names N_91_i_1.BLIF sm_amiga_i_6__n.BLIF N_91_i 11 1 -.names AS_000_DMA_i.BLIF CLK_030_c.BLIF CLK_030_H_1_sqmuxa -11 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50_D.D +.names VPA_c.BLIF VPA_i +0 1 +.names CLK_OSZI_i.BLIF CLK_CNT_N_0_.C 1 1 -.names N_230.BLIF N_230_i +.names state_machine_un34_clk_000_d0_0_n.BLIF state_machine_un34_clk_000_d0_n 0 1 -.names state_machine_un24_bgack_030_int_n.BLIF state_machine_un24_bgack_030_int_i_n +.names inst_VMA_INTreg.BLIF VMA_INT_i 0 1 -.names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n -0 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF state_machine_un24_bgack_030_int_i_n.BLIF DS_000_DMA_1_sqmuxa -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_2_reg.C -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50_D.C -1 1 -.names N_35.BLIF N_35_i -0 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_227.BLIF N_227_i -0 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n -0 1 -.names RST_i.BLIF IPL_030DFFSH_2_reg.AP -1 1 -.names RST_i.BLIF inst_CLK_OUT_PRE_50_D.AR -1 1 -.names N_222.BLIF N_222_i -0 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_32 -11 1 -.names state_machine_un10_clk_000_d0_n.BLIF state_machine_un10_clk_000_d0_i_n -0 1 -.names N_32.BLIF cpu_est_i_3__n.BLIF N_35 -11 1 -.names state_machine_un12_clk_000_d0_0_n.BLIF state_machine_un12_clk_000_d0_n -0 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n -0 1 -.names un2_clk_pre_66.BLIF un2_clk_pre_66_i -0 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_37 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C -1 1 -.names un6_clk_pre_66.BLIF un6_clk_pre_66_i -0 1 -.names N_222_i.BLIF state_machine_un10_clk_000_d0_i_n.BLIF state_machine_un12_clk_000_d0_0_n -11 1 -.names CLK_PRE_66_0.BLIF inst_CLK_OUT_PRE_33reg.C -0 1 -.names N_35_i.BLIF N_227_i.BLIF N_164_i -11 1 -.names RST_i.BLIF CLK_000_P_SYNC_1_.AR -1 1 -.names RST_i.BLIF inst_CLK_OUT_PRE_D.AR -1 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names N_28_i.BLIF N_29_i.BLIF cpu_est_ns_e_0_0__n -11 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 -.names N_30_i.BLIF N_31_i.BLIF un28_ciin_0 -11 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n -0 1 -.names CLK_000_c.BLIF inst_CLK_000_D0.D -1 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT -0 1 -.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF N_219_i -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C -1 1 -.names A0_c.BLIF A0_c_i -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C -1 1 -.names N_224.BLIF N_224_i -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i -0 1 -.names RST_i.BLIF CLK_000_P_SYNC_2_.AR -1 1 -.names N_32.BLIF N_32_i -0 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF state_machine_un5_clk_000_n_sync_n -11 1 -.names RST_i.BLIF inst_CLK_000_D0.AP -1 1 -.names N_223.BLIF N_223_i -0 1 -.names RW_c.BLIF RW_i -0 1 -.names N_178.BLIF N_178_i -0 1 -.names un2_clk_pre_66_i.BLIF un6_clk_pre_66_i.BLIF CLK_PRE_66_0 -11 1 -.names N_179.BLIF N_179_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C -1 1 -.names VPA_c.BLIF inst_VPA_D.D -1 1 -.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c -0 1 -.names N_219_i.BLIF N_219 +.names N_112.BLIF N_112_i 0 1 .names inst_VPA_D.BLIF VPA_D_i 0 1 -.names RST_i.BLIF CLK_000_P_SYNC_3_.AR +.names RST_i.BLIF CLK_CNT_N_0_.AR 1 1 -.names CLK_OSZI_c.BLIF inst_VPA_D.C +.names sm_amiga_ns_0_7__n.BLIF SM_AMIGA_0_.D +0 1 +.names LDS_000_i.BLIF UDS_000_i.BLIF state_machine_un31_bgack_030_int_n +11 1 +.names N_175.BLIF N_175_i +0 1 +.names state_machine_un31_bgack_030_int_n.BLIF state_machine_un31_bgack_030_int_i_n +0 1 +.names N_174.BLIF N_174_i +0 1 +.names state_machine_un8_bgack_030_int_n.BLIF state_machine_un31_bgack_030_int_n.BLIF state_machine_size_dma_4_0_0__n +11 1 +.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D 1 1 -.names N_30.BLIF N_30_i +.names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c 0 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_223 +.names state_machine_un8_bgack_030_int_n.BLIF state_machine_un31_bgack_030_int_i_n.BLIF state_machine_size_dma_4_0_1__n 11 1 -.names N_31.BLIF N_31_i -0 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names RST_i.BLIF inst_VPA_D.AP -1 1 -.names un28_ciin_0.BLIF un28_ciin -0 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_224 -11 1 -.names N_28.BLIF N_28_i -0 1 -.names N_219.BLIF cpu_est_2_.BLIF N_227 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C -1 1 -.names N_29.BLIF N_29_i -0 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_228 -11 1 -.names cpu_est_ns_e_0_0__n.BLIF cpu_est_0_.D -0 1 -.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_229 -11 1 -.names RST_i.BLIF CLK_000_P_SYNC_4_.AR -1 1 -.names CLK_OSZI_c.BLIF inst_avec_expreg.C -1 1 -.names N_228.BLIF N_228_i -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_230 -11 1 -.names N_229.BLIF N_229_i -0 1 -.names inst_avec_expreg.BLIF cpu_est_i_0__n.BLIF N_28 -11 1 -.names RST_i.BLIF inst_avec_expreg.AR -1 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i -0 1 -.names avec_exp_i.BLIF cpu_est_0_.BLIF N_29 -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i -0 1 -.names a_c_20__n.BLIF a_i_20__n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C -1 1 -.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa -0 1 -.names a_c_23__n.BLIF a_i_23__n -0 1 -.names BG_030_c.BLIF BG_030_c_i +.names state_machine_size_dma_4_0_1__n.BLIF SIZE_DMA_1_.D 0 1 .names a_c_24__n.BLIF a_i_24__n 0 1 -.names RST_i.BLIF CLK_000_P_SYNC_5_.AR -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -.names state_machine_un8_bg_030_n.BLIF state_machine_un8_bg_030_i_n -0 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names state_machine_un10_bg_030_0_n.BLIF state_machine_un10_bg_030_n -0 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names RST_i.BLIF inst_CLK_000_NE.AR -1 1 -.names state_machine_un10_bgack_030_int_0_n.BLIF state_machine_un10_bgack_030_int_n -0 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names state_machine_ds_000_dma_3_0_n.BLIF state_machine_ds_000_dma_3_n -0 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C +.names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C 1 1 .names state_machine_size_dma_4_0_0__n.BLIF SIZE_DMA_0_.D 0 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names N_171.BLIF N_171_i +0 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names RST_i.BLIF CLK_CNT_N_1_.AP +1 1 +.names N_164.BLIF N_164_i +0 1 .names a_c_29__n.BLIF a_i_29__n 0 1 -.names state_machine_size_dma_4_0_1__n.BLIF SIZE_DMA_1_.D +.names N_163.BLIF N_163_i 0 1 -.names a_c_30__n.BLIF a_i_30__n +.names a_c_28__n.BLIF a_i_28__n 0 1 -.names RST_i.BLIF CLK_000_P_SYNC_6_.AR -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C -1 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i +.names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n 0 1 .names a_c_31__n.BLIF a_i_31__n 0 1 -.names CLK_030_H_1_sqmuxa.BLIF CLK_030_H_1_sqmuxa_i +.names state_machine_un10_clk_000_d0_n.BLIF state_machine_un10_clk_000_d0_i_n 0 1 -.names RST_i.BLIF inst_CLK_OUT_PRE_50.AR +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C 1 1 -.names state_machine_clk_030_h_2_f1_0_n.BLIF state_machine_clk_030_h_2_f1_n +.names state_machine_un5_clk_000_d0_n.BLIF state_machine_un5_clk_000_d0_i_n 0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 -11 1 -.names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n +.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n 0 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 +.names state_machine_un12_clk_000_d0_0_n.BLIF state_machine_un12_clk_000_d0_n +0 1 +.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n 11 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C +.names RST_i.BLIF inst_RW_000_INT.AP 1 1 -.names N_37.BLIF N_37_i +.names A0_c.BLIF A0_c_i 0 1 -.names CLK_CNT_N_1_.BLIF CLK_CNT_P_1_.BLIF un6_clk_pre_66 +.names N_96_i.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n 11 1 -.names a_c_21__n.BLIF un28_ciin_0_x2.X1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF inst_AS_000_INT.D +1- 1 +-1 1 +.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i +0 1 +.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n +0 1 +.names AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i +0 1 +.names inst_DSACK1_INT.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n +11 1 +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C 1 1 +.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa +0 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 .names state_machine_un3_bgack_030_int_d_n.BLIF state_machine_un3_bgack_030_int_d_i_n 0 1 -.names CLK_CNT_N_0_.BLIF clk_cnt_n_i_0__n -0 1 -.names RST_i.BLIF CLK_000_P_SYNC_7_.AR +.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF inst_DSACK1_INT.D +1- 1 +-1 1 +.names RST_i.BLIF inst_VMA_INTreg.AP 1 1 .names un1_bgack_030_int_d_0.BLIF un1_bgack_030_int_d 0 1 -.names clk_cnt_n_i_0__n.BLIF CLK_CNT_P_0_.BLIF un2_clk_pre_66 -11 1 -.names a_c_22__n.BLIF un28_ciin_0_x2.X2 -1 1 +.names N_71.BLIF rw_000_int_0_un3_n +0 1 .names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i 0 1 -.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D -0 1 +.names state_machine_rw_000_int_3_n.BLIF N_71.BLIF rw_000_int_0_un1_n +11 1 .names AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i 0 1 -.names RST_c.BLIF RST_i +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i 0 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names inst_CLK_OUT_PRE_33reg.BLIF inst_CLK_OUT_PRE_33reg.D -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF inst_RW_000_INT.D +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C 1 1 -.names CLK_CNT_N_0_.BLIF G_109.X1 +.names CLK_030_H_1_sqmuxa.BLIF CLK_030_H_1_sqmuxa_i +0 1 +.names RW_i.BLIF sm_amiga_i_7__n.BLIF state_machine_rw_000_int_3_0_n +11 1 +.names state_machine_clk_030_h_2_f1_0_n.BLIF state_machine_clk_030_h_2_f1_n +0 1 +.names N_96_i.BLIF sm_amiga_i_7__n.BLIF N_71_0 +11 1 +.names RST_i.BLIF inst_BGACK_030_INTreg.AP 1 1 -.names N_96_i.BLIF N_96 +.names state_machine_un10_bgack_030_int_0_n.BLIF state_machine_un10_bgack_030_int_n 0 1 -.names CLK_OSZI_c.BLIF CLK_OSZI_i +.names N_96.BLIF N_96_i 0 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +.names state_machine_un38_clk_000_d0_n.BLIF state_machine_un38_clk_000_d0_i_n 0 1 -.names G_115.BLIF CLK_CNT_P_0_.D +.names N_96_i.BLIF state_machine_un1_as_030_i_n.BLIF AS_000_INT_1_sqmuxa +11 1 +.names state_machine_un32_clk_000_d0_n.BLIF state_machine_un32_clk_000_d0_i_n +0 1 +.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i 0 1 -.names RST_i.BLIF CLK_000_P_SYNC_8_.AR -1 1 -.names CLK_CNT_N_1_.BLIF G_109.X2 -1 1 .names SM_AMIGA_4_.BLIF sm_amiga_i_4__n 0 1 -.names G_109.BLIF CLK_CNT_N_0_.D -0 1 -.names N_115.BLIF N_115_i -0 1 -.names inst_CLK_OUT_PRE_50_D.BLIF CLK_OUT_PRE_50_D_i -0 1 -.names N_111.BLIF N_111_i -0 1 -.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_50_D_i.BLIF state_machine_un3_clk_out_pre_50_n -11 1 -.names CLK_CNT_P_0_.BLIF G_115.X1 -1 1 -.names N_112.BLIF N_112_i -0 1 -.names DS_030_c.BLIF lds_000_int_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C -1 1 -.names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D -0 1 -.names inst_LDS_000_INT.BLIF DS_030_c.BLIF lds_000_int_0_un1_n -11 1 -.names CLK_CNT_P_1_.BLIF G_115.X2 -1 1 -.names N_109.BLIF N_109_i -0 1 -.names state_machine_un7_ds_030_i_n.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n -11 1 -.names RST_i.BLIF CLK_000_P_SYNC_9_.AR -1 1 -.names N_108.BLIF N_108_i -0 1 -.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF inst_LDS_000_INT.D -1- 1 --1 1 -.names sm_amiga_ns_0_3__n.BLIF SM_AMIGA_4_.D -0 1 -.names DS_030_c.BLIF uds_000_int_0_un3_n -0 1 -.names inst_CLK_OUT_PRE_25.BLIF CLK_OUT_PRE_25_0.X1 -1 1 -.names N_113.BLIF N_113_i -0 1 -.names inst_UDS_000_INT.BLIF DS_030_c.BLIF uds_000_int_0_un1_n -11 1 -.names sm_amiga_ns_0_6__n.BLIF SM_AMIGA_1_.D -0 1 -.names A0_c.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C -1 1 -.names state_machine_un3_clk_out_pre_50_n.BLIF CLK_OUT_PRE_25_0.X2 -1 1 -.names N_91_i.BLIF N_91 -0 1 -.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INT.D -1- 1 --1 1 -.names N_92_i.BLIF N_92 -0 1 -.names state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un3_n -0 1 -.names RST_i.BLIF CLK_000_N_SYNC_0_.AR -1 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names state_machine_un10_clk_000_d0_n.BLIF state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un1_n -11 1 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -.names N_93_i.BLIF N_93 -0 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -.names N_95_0.BLIF N_95 -0 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D -1- 1 --1 1 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -.names N_103.BLIF N_103_i -0 1 -.names inst_avec_expreg.BLIF cpu_estse_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C -1 1 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -.names N_100.BLIF N_100_i -0 1 -.names cpu_est_ns_1__n.BLIF inst_avec_expreg.BLIF cpu_estse_0_un1_n -11 1 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -.names N_102.BLIF N_102_i -0 1 -.names cpu_est_1_.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_1_.AR -1 1 -.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D -1 1 -.names N_101.BLIF N_101_i -0 1 -.names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D -1- 1 --1 1 -.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D -1 1 -.names N_104.BLIF N_104_i -0 1 -.names inst_avec_expreg.BLIF cpu_estse_2_un3_n -0 1 -.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D -1 1 -.names state_machine_un4_bgack_000_0_n.BLIF state_machine_un4_bgack_000_n -0 1 -.names N_164_i.BLIF inst_avec_expreg.BLIF cpu_estse_2_un1_n -11 1 -.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D -1 1 -.names N_33.BLIF N_33_i -0 1 -.names cpu_est_3_reg.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C -1 1 -.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D -1 1 -.names N_220_0.BLIF N_220 -0 1 -.names cpu_estse_2_un1_n.BLIF cpu_estse_2_un0_n.BLIF cpu_est_3_reg.D -1- 1 --1 1 -.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D -1 1 -.names un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un1_SM_AMIGA_0_sqmuxa_2 -0 1 -.names vcc_n_n -1 -.names RST_i.BLIF CLK_000_N_SYNC_2_.AR -1 1 -.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D -1 1 -.names state_machine_un10_clk_000_ne_n.BLIF state_machine_un10_clk_000_ne_i_n -0 1 -.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D -1 1 -.names state_machine_un4_clk_000_ne_n.BLIF state_machine_un4_clk_000_ne_i_n -0 1 -.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D -1 1 -.names state_machine_un6_clk_000_ne_i_n.BLIF state_machine_un6_clk_000_ne_n -0 1 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D -1 1 -.names state_machine_rw_000_int_3_0_n.BLIF state_machine_rw_000_int_3_n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C -1 1 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D -1 1 -.names N_75_0.BLIF N_75 -0 1 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D -1 1 -.names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D -0 1 -.names RST_i.BLIF CLK_000_N_SYNC_3_.AR -1 1 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -.names N_105.BLIF N_105_i -0 1 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -.names N_106.BLIF N_106_i -0 1 -.names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D -1 1 -.names sm_amiga_ns_0_1__n.BLIF SM_AMIGA_6_.D -0 1 -.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D -1 1 -.names N_107.BLIF N_107_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C -1 1 -.names inst_CLK_OUT_PRE.BLIF inst_CLK_OUT_PRE_D.D -1 1 -.names sm_amiga_ns_0_2__n.BLIF SM_AMIGA_5_.D -0 1 -.names CLK_000_P_SYNC_9_.BLIF inst_avec_expreg.D -1 1 -.names N_110.BLIF N_110_i -0 1 -.names RST_i.BLIF CLK_000_N_SYNC_4_.AR -1 1 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -.names sm_amiga_ns_0_4__n.BLIF SM_AMIGA_3_.D -0 1 -.names N_114.BLIF N_114_i -0 1 -.names SM_AMIGA_0_sqmuxa.BLIF SM_AMIGA_0_sqmuxa_i -0 1 -.names DS_000_ENABLE_0_sqmuxa.BLIF DS_000_ENABLE_0_sqmuxa_i -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -.names CLK_000_N_SYNC_6_.BLIF SM_AMIGA_1_.BLIF DSACK1_INT_0_sqmuxa -11 1 -.names inst_avec_expreg.BLIF cpu_estse_1_un3_n -0 1 -.names RST_i.BLIF CLK_000_N_SYNC_5_.AR -1 1 -.names cpu_est_ns_2__n.BLIF inst_avec_expreg.BLIF cpu_estse_1_un1_n -11 1 -.names cpu_est_2_.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n -11 1 -.names cpu_estse_1_un1_n.BLIF cpu_estse_1_un0_n.BLIF cpu_est_2_.D -1- 1 --1 1 -.names inst_avec_expreg.BLIF ipl_030_0_2__un3_n -0 1 .names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_25.C 1 1 -.names ipl_c_2__n.BLIF inst_avec_expreg.BLIF ipl_030_0_2__un1_n +.names N_91_i.BLIF N_91 +0 1 +.names CLK_CNT_N_1_.BLIF CLK_CNT_P_1_.BLIF un6_clk_pre_66 +11 1 +.names N_168.BLIF N_168_i +0 1 +.names CLK_CNT_N_0_.BLIF clk_cnt_n_i_0__n +0 1 +.names RST_i.BLIF inst_CLK_OUT_PRE_25.AR +1 1 +.names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n +0 1 +.names clk_cnt_n_i_0__n.BLIF CLK_CNT_P_0_.BLIF un2_clk_pre_66 +11 1 +.names state_machine_un10_clk_000_d0_2_n.BLIF state_machine_un10_clk_000_d0_2_i_n +0 1 +.names un2_clk_pre_66_i.BLIF un6_clk_pre_66_i.BLIF CLK_PRE_66_0 +11 1 +.names N_167.BLIF N_167_i +0 1 +.names N_170.BLIF N_170_i +0 1 +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C +1 1 +.names N_169.BLIF N_169_i +0 1 +.names state_machine_un10_bg_030_n.BLIF bg_000_0_un3_n +0 1 +.names N_92_0.BLIF N_92 +0 1 +.names BG_030_c.BLIF state_machine_un10_bg_030_n.BLIF bg_000_0_un1_n +11 1 +.names RST_i.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP +1 1 +.names N_104.BLIF N_104_i +0 1 +.names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n +11 1 +.names state_machine_un1_as_030_i_n.BLIF state_machine_un1_as_030_n +0 1 +.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF BG_000DFFSHreg.D +1- 1 +-1 1 +.names state_machine_un6_clk_000_p_sync_n.BLIF state_machine_un6_clk_000_p_sync_i_n +0 1 +.names un12_ciin.BLIF un12_ciin_i +0 1 +.names state_machine_un6_bgack_000_0_n.BLIF state_machine_un6_bgack_000_n +0 1 +.names AS_030_i.BLIF un12_ciin_i.BLIF un8_ciin +11 1 +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C +1 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names nEXP_SPACE_c.BLIF un8_ciin_i.BLIF un14_ciin_0 +11 1 +.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT +0 1 +.names BG_030_c_i.BLIF state_machine_un8_bg_030_i_n.BLIF state_machine_un10_bg_030_0_n +11 1 +.names RST_i.BLIF inst_AS_030_000_SYNC.AP +1 1 +.names N_105.BLIF N_105_i +0 1 +.names un5_ciin.BLIF un5_ciin_i +0 1 +.names sm_amiga_ns_0_3__n.BLIF SM_AMIGA_4_.D +0 1 +.names un5_ciin_i.BLIF un14_ciin.BLIF un16_ciin +11 1 +.names N_107.BLIF N_107_i +0 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names sm_amiga_ns_0_4__n.BLIF SM_AMIGA_3_.D +0 1 +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D +0 1 +.names CLK_OSZI_c.BLIF inst_AS_000_INT.C +1 1 +.names N_108.BLIF N_108_i +0 1 +.names RST_c.BLIF RST_i +0 1 +.names N_109.BLIF N_109_i +0 1 +.names inst_CLK_OUT_PRE_33reg.BLIF inst_CLK_OUT_PRE_33reg.D +0 1 +.names RST_i.BLIF inst_AS_000_INT.AP +1 1 +.names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D +0 1 +.names G_108.BLIF CLK_CNT_N_0_.D +0 1 +.names N_110.BLIF N_110_i +0 1 +.names CLK_OSZI_c.BLIF CLK_OSZI_i +0 1 +.names N_88_i.BLIF N_88 +0 1 +.names inst_CLK_OUT_PRE_50_D.BLIF CLK_OUT_PRE_50_D_i +0 1 +.names N_90_i.BLIF N_90 +0 1 +.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_50_D_i.BLIF state_machine_un3_clk_out_pre_50_n +11 1 +.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +1 1 +.names N_93_0.BLIF N_93 +0 1 +.names un16_ciin.BLIF un16_ciin_i +0 1 +.names N_97.BLIF N_97_i +0 1 +.names G_114.BLIF CLK_CNT_P_0_.D +0 1 +.names RST_i.BLIF inst_DS_000_ENABLE.AR +1 1 +.names N_98.BLIF N_98_i +0 1 +.names vcc_n_n +1 +.names N_99.BLIF N_99_i +0 1 +.names N_101.BLIF N_101_i +0 1 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C +1 1 +.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT +0 1 +.names SM_AMIGA_0_sqmuxa.BLIF SM_AMIGA_0_sqmuxa_i +0 1 +.names RST_i.BLIF BG_000DFFSHreg.AP +1 1 +.names DS_000_ENABLE_0_sqmuxa.BLIF DS_000_ENABLE_0_sqmuxa_i +0 1 +.names un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un1_SM_AMIGA_0_sqmuxa_2 +0 1 +.names N_100.BLIF N_100_i +0 1 +.names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D +0 1 +.names CLK_OSZI_c.BLIF inst_DSACK1_INT.C +1 1 +.names N_102.BLIF N_102_i +0 1 +.names N_103.BLIF N_103_i +0 1 +.names RST_i.BLIF inst_DSACK1_INT.AP +1 1 +.names sm_amiga_ns_0_1__n.BLIF SM_AMIGA_6_.D +0 1 +.names N_106.BLIF N_106_i +0 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF state_machine_un6_clk_000_p_sync_n +11 1 +.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C +1 1 +.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +11 1 +.names DS_030_c.BLIF uds_000_int_0_un3_n +0 1 +.names RST_i.BLIF inst_LDS_000_INT.AP +1 1 +.names inst_UDS_000_INT.BLIF DS_030_c.BLIF uds_000_int_0_un1_n +11 1 +.names A0_c.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n +11 1 +.names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INT.D +1- 1 +-1 1 +.names un19_fpu_cs.BLIF un19_fpu_cs_i +0 1 +.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C +1 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names N_93.BLIF sm_amiga_i_2__n.BLIF N_110 11 1 .names CLK_OSZI_c.BLIF cpu_est_0_.C 1 1 -.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names RST_i.BLIF inst_CLK_OUT_PRE_25.AR +.names RST_i.BLIF inst_UDS_000_INT.AP 1 1 -.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF IPL_030DFFSH_2_reg.D -1- 1 --1 1 +.names N_88_i.BLIF SM_AMIGA_2_.BLIF N_109 +11 1 +.names SM_AMIGA_3_.BLIF state_machine_un30_clk_000_d0_n.BLIF N_108 +11 1 .names RST_i.BLIF cpu_est_0_.AR 1 1 -.names inst_avec_expreg.BLIF ipl_030_0_1__un3_n -0 1 -.names ipl_c_1__n.BLIF inst_avec_expreg.BLIF ipl_030_0_1__un1_n +.names N_88_i.BLIF SM_AMIGA_4_.BLIF N_106 11 1 +.names inst_CLK_000_D0.BLIF CLK_000_D0_i +0 1 +.names CLK_OSZI_c.BLIF inst_A0_DMA.C +1 1 +.names CLK_000_D0_i.BLIF SM_AMIGA_5_.BLIF N_105 +11 1 +.names N_88_i.BLIF SM_AMIGA_6_.BLIF N_103 +11 1 +.names CLK_OSZI_c.BLIF cpu_est_1_.C +1 1 +.names RST_i.BLIF inst_A0_DMA.AP +1 1 +.names N_88.BLIF SM_AMIGA_0_.BLIF N_99 +11 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names RST_i.BLIF cpu_est_1_.AR +1 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_1__n.BLIF N_95 +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_030_H.C +1 1 +.names inst_CLK_000_D0.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_0_sqmuxa +11 1 +.names state_machine_un16_clk_000_d0_n.BLIF state_machine_un34_clk_000_d0_n.BLIF state_machine_un30_clk_000_d0_n +11 1 +.names CLK_OSZI_c.BLIF cpu_est_2_.C +1 1 +.names DS_000_ENABLE_0_sqmuxa_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF un1_SM_AMIGA_0_sqmuxa_2_i +11 1 +.names SM_AMIGA_0_sqmuxa_1.BLIF SM_AMIGA_0_sqmuxa_1_i +0 1 +.names RST_i.BLIF cpu_est_2_.AR +1 1 +.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C +1 1 +.names SM_AMIGA_7_.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_102 +11 1 +.names inst_CLK_000_D0.BLIF SM_AMIGA_6_.BLIF N_96 +11 1 +.names RST_i.BLIF inst_RW_000_DMA.AP +1 1 +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +0 1 +.names state_machine_un30_clk_000_d0_n.BLIF state_machine_un30_clk_000_d0_i_n +0 1 +.names CLK_OSZI_c.BLIF cpu_est_3_reg.C +1 1 +.names BERR_c.BLIF SM_AMIGA_1_.BLIF N_93_0 +11 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_90_i +11 1 +.names RST_i.BLIF cpu_est_3_reg.AR +1 1 +.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C +1 1 +.names BERR_c.BLIF BERR_i +0 1 +.names BERR_c.BLIF CLK_000_D0_i.BLIF N_88_i +11 1 +.names RST_i.BLIF inst_DS_000_DMA.AP +1 1 +.names inst_CLK_000_D0.BLIF N_110_i.BLIF SM_AMIGA_1_.D +11 1 +.names N_108_i.BLIF N_109_i.BLIF sm_amiga_ns_0_5__n +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C +1 1 +.names N_107_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF sm_amiga_ns_0_4__n +11 1 +.names N_105_i.BLIF N_106_i.BLIF sm_amiga_ns_0_3__n +11 1 +.names RST_i.BLIF SM_AMIGA_3_.AR +1 1 +.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C +1 1 +.names N_102_i.BLIF N_103_i.BLIF sm_amiga_ns_0_1__n +11 1 +.names CLK_000_D0_i.BLIF SM_AMIGA_1_.BLIF N_111 +11 1 +.names RST_i.BLIF inst_AS_000_DMA.AP +1 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF state_machine_un10_clk_000_d0_2_n +11 1 +.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_167 +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C +1 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_168 +11 1 +.names inst_CLK_000_D3.BLIF inst_CLK_000_D4.D +1 1 +.names A_16_.BLIF a_c_16__n +1 1 +.names state_machine_un38_clk_000_d0_1_n.BLIF state_machine_un38_clk_000_d0_1_i_n +0 1 +.names RST_i.BLIF SM_AMIGA_2_.AR +1 1 +.names A_17_.BLIF a_c_17__n +1 1 +.names cpu_est_2_.BLIF state_machine_un38_clk_000_d0_1_i_n.BLIF N_169 +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_D4.C +1 1 +.names A_18_.BLIF a_c_18__n +1 1 +.names cpu_est_3_reg.BLIF cpu_est_i_3__n +0 1 +.names A_19_.BLIF a_c_19__n +1 1 +.names N_171.BLIF cpu_est_i_3__n.BLIF N_170 +11 1 +.names RST_i.BLIF inst_CLK_000_D4.AP +1 1 +.names A_20_.BLIF a_c_20__n +1 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C +1 1 +.names A_21_.BLIF a_c_21__n +1 1 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_171 +11 1 +.names A_22_.BLIF a_c_22__n +1 1 +.names N_169_i.BLIF N_170_i.BLIF N_160_i +11 1 +.names RST_i.BLIF SM_AMIGA_1_.AR +1 1 +.names A_23_.BLIF a_c_23__n +1 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n +0 1 +.names A_24_.BLIF a_c_24__n +1 1 +.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF state_machine_un38_clk_000_d0_1_n +11 1 +.names RST_i.BLIF inst_CLK_OUT_PRE_33reg.AR +1 1 +.names A_25_.BLIF a_c_25__n +1 1 +.names inst_CLK_000_D2.BLIF CLK_000_D2_i +0 1 +.names A_26_.BLIF a_c_26__n +1 1 +.names inst_CLK_000_D3.BLIF CLK_000_D3_i +0 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C +1 1 +.names A_27_.BLIF a_c_27__n +1 1 +.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D +1 1 +.names A_28_.BLIF a_c_28__n +1 1 +.names AS_030_i.BLIF BERR_c.BLIF state_machine_un1_as_030_i_n +11 1 +.names RST_i.BLIF SM_AMIGA_0_.AR +1 1 +.names A_29_.BLIF a_c_29__n +1 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_D2.C +1 1 +.names A_30_.BLIF a_c_30__n +1 1 +.names N_92.BLIF sm_amiga_i_6__n.BLIF N_104 +11 1 +.names A_31_.BLIF a_c_31__n +1 1 +.names inst_CLK_000_D0.BLIF N_104_i.BLIF SM_AMIGA_5_.D +11 1 +.names RST_i.BLIF inst_CLK_000_D2.AP +1 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names CLK_OSZI_c.BLIF CLK_CNT_P_0_.C +1 1 +.names nEXP_SPACE.BLIF nEXP_SPACE_c +1 1 +.names BERR_c.BLIF SM_AMIGA_5_.BLIF N_92_0 +11 1 +.names BERR.BLIF BERR_c +1 1 +.names state_machine_un1_as_030_i_n.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un2_as_030 +11 1 +.names RST_i.BLIF CLK_CNT_P_0_.AR +1 1 +.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE.D +1 1 +.names BG_030.BLIF BG_030_c +1 1 +.names inst_CLK_000_D4.BLIF CLK_000_D4_i +0 1 +.names BG_000DFFSHreg.BLIF BG_000 +1 1 +.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i +0 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE.C +1 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030 +1 1 +.names state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_0__un3_n +0 1 +.names CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.D +1 1 +.names BGACK_000.BLIF BGACK_000_c +1 1 +.names ipl_c_0__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_0__un1_n +11 1 +.names RST_i.BLIF inst_CLK_OUT_PRE.AR +1 1 +.names CLK_030.BLIF CLK_030_c +1 1 +.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +11 1 +.names CLK_OSZI_c.BLIF CLK_CNT_P_1_.C +1 1 +.names CLK_000.BLIF inst_CLK_000_D0.D +1 1 +.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF IPL_030DFFSH_0_reg.D +1- 1 +-1 1 +.names CLK_OSZI.BLIF CLK_OSZI_c +1 1 +.names state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_1__un3_n +0 1 +.names RST_i.BLIF CLK_CNT_P_1_.AR +1 1 +.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.D +1 1 +.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT +1 1 +.names ipl_c_1__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_1__un1_n +11 1 +.names CLK_OUT_INTreg.BLIF CLK_EXP +1 1 .names IPL_030DFFSH_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n 11 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +.names CLK_OSZI_c.BLIF inst_CLK_000_D3.C +1 1 +.names un19_fpu_cs_i.BLIF FPU_CS 1 1 .names ipl_030_0_1__un1_n.BLIF ipl_030_0_1__un0_n.BLIF IPL_030DFFSH_1_reg.D 1- 1 -1 1 -.names CLK_OSZI_c.BLIF cpu_est_1_.C +.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ 1 1 -.names inst_avec_expreg.BLIF ipl_030_0_0__un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_2__un3_n 0 1 -.names RST_i.BLIF inst_BGACK_030_INTreg.AP +.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C 1 1 -.names ipl_c_0__n.BLIF inst_avec_expreg.BLIF ipl_030_0_0__un1_n -11 1 -.names RST_i.BLIF cpu_est_1_.AR +.names RST_i.BLIF inst_CLK_000_D3.AP 1 1 -.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ +1 1 +.names ipl_c_2__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_2__un1_n 11 1 -.names ipl_030_0_0__un1_n.BLIF ipl_030_0_0__un0_n.BLIF IPL_030DFFSH_0_reg.D +.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ +1 1 +.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n +11 1 +.names RST_i.BLIF SIZE_DMA_0_.AP +1 1 +.names IPL_0_.BLIF ipl_c_0__n +1 1 +.names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF IPL_030DFFSH_2_reg.D 1- 1 -1 1 -.names N_75.BLIF rw_000_int_0_un3_n +.names vcc_n_n.BLIF RESETDFFRHreg.D +1 1 +.names IPL_1_.BLIF ipl_c_1__n +1 1 +.names state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_0_un3_n 0 1 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C +.names IPL_2_.BLIF ipl_c_2__n 1 1 -.names state_machine_rw_000_int_3_n.BLIF N_75.BLIF rw_000_int_0_un1_n +.names cpu_est_ns_1__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_0_un1_n 11 1 -.names CLK_OSZI_c.BLIF cpu_est_2_.C +.names CLK_OSZI_c.BLIF RESETDFFRHreg.C 1 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +.names cpu_est_1_.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n 11 1 -.names RST_i.BLIF CLK_000_P_SYNC_0_.AR +.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C 1 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF inst_RW_000_INT.D +.names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D 1- 1 -1 1 -.names RST_i.BLIF cpu_est_2_.AR +.names RST_i.BLIF RESETDFFRHreg.AR 1 1 -.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i +.names vcc_n_n.BLIF AVEC +1 1 +.names state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_1_un3_n 0 1 -.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n -0 1 -.names inst_DSACK1_INT.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n -11 1 -.names CLK_OSZI_c.BLIF inst_AS_000_INT.C +.names RST_i.BLIF SIZE_DMA_1_.AP 1 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF cpu_est_3_reg.C +.names inst_avec_expreg.BLIF AVEC_EXP 1 1 -.names dsack1_int_0_un1_n.BLIF dsack1_int_0_un0_n.BLIF inst_DSACK1_INT.D +.names cpu_est_ns_2__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_1_un1_n +11 1 +.names cpu_est_3_reg.BLIF E +1 1 +.names cpu_est_2_.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n +11 1 +.names VPA.BLIF VPA_c +1 1 +.names cpu_estse_1_un1_n.BLIF cpu_estse_1_un0_n.BLIF cpu_est_2_.D 1- 1 -1 1 -.names RST_i.BLIF inst_AS_000_INT.AP +.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C 1 1 +.names inst_VMA_INTreg.BLIF VMA +1 1 +.names state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_2_un3_n +0 1 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_0_reg.C +1 1 +.names RST.BLIF RST_c +1 1 +.names N_160_i.BLIF state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_2_un1_n +11 1 +.names RST_i.BLIF CLK_OUT_INTreg.AR +1 1 +.names RESETDFFRHreg.BLIF RESET +1 1 +.names cpu_est_3_reg.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n +11 1 +.names RST_i.BLIF IPL_030DFFSH_0_reg.AP +1 1 +.names cpu_estse_2_un1_n.BLIF cpu_estse_2_un0_n.BLIF cpu_est_3_reg.D +1- 1 +-1 1 +.names FC_0_.BLIF fc_c_0__n +1 1 +.names N_95.BLIF N_95_i +0 1 +.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D +1 1 +.names FC_1_.BLIF fc_c_1__n +1 1 +.names N_95_i.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa +11 1 +.names inst_AMIGA_BUS_ENABLE_INTreg.BLIF AMIGA_BUS_ENABLE +1 1 +.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AS_030_i.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 +11 1 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_1_reg.C +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C +1 1 +.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR +1 1 +.names un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF as_030_000_sync_0_un3_n +0 1 +.names inst_CLK_OUT_PRE_33reg.BLIF AMIGA_BUS_ENABLE_LOW +1 1 +.names inst_AS_030_000_SYNC.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF as_030_000_sync_0_un1_n +11 1 +.names RST_i.BLIF IPL_030DFFSH_1_reg.AP +1 1 +.names RST_i.BLIF inst_CLK_000_D1.AP +1 1 +.names state_machine_un1_as_030_n.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n +11 1 +.names N_98_i.BLIF N_100_i.BLIF sm_amiga_ns_0_2_0__n +11 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF inst_AS_030_000_SYNC.D +1- 1 +-1 1 +.names sm_amiga_ns_0_1_0__n.BLIF sm_amiga_ns_0_2_0__n.BLIF sm_amiga_ns_0_3_0__n +11 1 .names un2_as_030.BLIF ds_000_enable_0_un3_n 0 1 -.names RST_i.BLIF cpu_est_3_reg.AR +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D 1 1 +.names sm_amiga_ns_0_3_0__n.BLIF N_101_i.BLIF sm_amiga_ns_0_0__n +11 1 .names inst_DS_000_ENABLE.BLIF un2_as_030.BLIF ds_000_enable_0_un1_n 11 1 +.names CLK_OSZI_c.BLIF IPL_030DFFSH_2_reg.C +1 1 +.names inst_CLK_000_D0.BLIF RW_c.BLIF DS_000_ENABLE_0_sqmuxa_1 +11 1 .names un1_SM_AMIGA_0_sqmuxa_2.BLIF ds_000_enable_0_un3_n.BLIF ds_000_enable_0_un0_n 11 1 +.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C +1 1 +.names DS_000_ENABLE_0_sqmuxa_1.BLIF SM_AMIGA_6_.BLIF DS_000_ENABLE_0_sqmuxa +11 1 .names ds_000_enable_0_un1_n.BLIF ds_000_enable_0_un0_n.BLIF inst_DS_000_ENABLE.D 1- 1 -1 1 -.names CLK_OSZI_c.BLIF inst_DS_000_ENABLE.C +.names RST_i.BLIF IPL_030DFFSH_2_reg.AP 1 1 -.names N_99.BLIF N_99_i +.names N_90_i.BLIF N_91_i.BLIF N_101_1 +11 1 +.names DS_030_c.BLIF lds_000_int_0_un3_n 0 1 +.names RST_i.BLIF inst_BGACK_030_INT_D.AP +1 1 +.names SM_AMIGA_0_sqmuxa_1_i.BLIF sm_amiga_i_0__n.BLIF N_101_2 +11 1 +.names inst_LDS_000_INT.BLIF DS_030_c.BLIF lds_000_int_0_un1_n +11 1 +.names N_101_1.BLIF N_101_2.BLIF N_101_3 +11 1 +.names state_machine_un7_ds_030_i_n.BLIF lds_000_int_0_un3_n.BLIF lds_000_int_0_un0_n +11 1 +.names N_101_3.BLIF sm_amiga_i_3__n.BLIF N_101 +11 1 +.names lds_000_int_0_un1_n.BLIF lds_000_int_0_un0_n.BLIF inst_LDS_000_INT.D +1- 1 +-1 1 .names CLK_OSZI_c.BLIF SM_AMIGA_7_.C 1 1 -.names A_16_.BLIF a_c_16__n +.names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50_D.D 1 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_100_1 +11 1 +.names state_machine_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n 0 1 -.names RST_i.BLIF inst_DS_000_ENABLE.AR -1 1 -.names A_17_.BLIF a_c_17__n -1 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n +.names N_100_1.BLIF state_machine_un30_clk_000_d0_i_n.BLIF N_100 +11 1 +.names BGACK_000_c.BLIF state_machine_un6_bgack_000_n.BLIF bgack_030_int_0_un1_n 11 1 .names RST_i.BLIF SM_AMIGA_7_.AP 1 1 -.names A_18_.BLIF a_c_18__n +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50_D.C 1 1 -.names N_99_i.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names A_19_.BLIF a_c_19__n -1 1 -.names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF inst_AS_000_INT.D -1- 1 --1 1 -.names A_20_.BLIF a_c_20__n -1 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1_i -0 1 -.names CLK_OSZI_c.BLIF BG_000DFFSHreg.C -1 1 -.names A_21_.BLIF a_c_21__n -1 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF as_030_000_sync_0_un3_n -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -.names A_22_.BLIF a_c_22__n -1 1 -.names inst_AS_030_000_SYNC.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF as_030_000_sync_0_un1_n -11 1 -.names RST_i.BLIF BG_000DFFSHreg.AP -1 1 -.names A_23_.BLIF a_c_23__n -1 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1_1_i.BLIF as_030_000_sync_0_un3_n.BLIF as_030_000_sync_0_un0_n -11 1 -.names RST_i.BLIF SM_AMIGA_6_.AR -1 1 -.names A_24_.BLIF a_c_24__n -1 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF inst_AS_030_000_SYNC.D -1- 1 --1 1 -.names A_25_.BLIF a_c_25__n -1 1 -.names un19_fpu_cs.BLIF un19_fpu_cs_i -0 1 -.names A_26_.BLIF a_c_26__n -1 1 -.names N_99_i.BLIF sm_amiga_i_7__n.BLIF N_75_0 -11 1 -.names CLK_OSZI_c.BLIF inst_DSACK1_INT.C -1 1 -.names A_27_.BLIF a_c_27__n -1 1 -.names SM_AMIGA_2_.BLIF inst_avec_expreg.BLIF N_113 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -.names A_28_.BLIF a_c_28__n -1 1 -.names N_92_i.BLIF SM_AMIGA_3_.BLIF N_111 -11 1 -.names RST_i.BLIF inst_DSACK1_INT.AP -1 1 -.names A_29_.BLIF a_c_29__n -1 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_5_.BLIF N_108 -11 1 -.names RST_i.BLIF SM_AMIGA_5_.AR -1 1 -.names A_30_.BLIF a_c_30__n -1 1 -.names N_91_i.BLIF SM_AMIGA_6_.BLIF N_106 -11 1 -.names A_31_.BLIF a_c_31__n -1 1 -.names SM_AMIGA_7_.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_105 -11 1 -.names SM_AMIGA_6_.BLIF inst_avec_expreg.BLIF N_99 -11 1 -.names CLK_OSZI_c.BLIF inst_LDS_000_INT.C -1 1 -.names nEXP_SPACE.BLIF nEXP_SPACE_c -1 1 -.names SM_AMIGA_4_.BLIF inst_avec_expreg.BLIF SM_AMIGA_0_sqmuxa -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -.names BERR.BLIF BERR_c -1 1 -.names RW_i.BLIF sm_amiga_i_7__n.BLIF state_machine_rw_000_int_3_0_n -11 1 -.names RST_i.BLIF inst_LDS_000_INT.AP -1 1 -.names BG_030.BLIF BG_030_c -1 1 -.names state_machine_un4_clk_000_ne_i_n.BLIF state_machine_un10_clk_000_ne_i_n.BLIF state_machine_un6_clk_000_ne_i_n -11 1 -.names RST_i.BLIF SM_AMIGA_4_.AR -1 1 -.names BG_000DFFSHreg.BLIF BG_000 -1 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF DSACK1_INT_1_sqmuxa -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030 -1 1 -.names N_99_i.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF AS_000_INT_1_sqmuxa -11 1 -.names BGACK_000.BLIF BGACK_000_c -1 1 -.names DTACK_c.BLIF DTACK_i -0 1 -.names CLK_OSZI_c.BLIF inst_UDS_000_INT.C -1 1 -.names CLK_030.BLIF CLK_030_c -1 1 -.names DTACK_i.BLIF VPA_c.BLIF state_machine_un4_clk_000_ne_n -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_3_.C -1 1 -.names CLK_000.BLIF CLK_000_c -1 1 -.names DS_000_ENABLE_0_sqmuxa_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF un1_SM_AMIGA_0_sqmuxa_2_i -11 1 -.names RST_i.BLIF inst_UDS_000_INT.AP -1 1 -.names CLK_OSZI.BLIF CLK_OSZI_c -1 1 -.names SM_AMIGA_0_sqmuxa_1.BLIF SM_AMIGA_0_sqmuxa_1_i -0 1 -.names RST_i.BLIF SM_AMIGA_3_.AR -1 1 -.names CLK_OUT_INTreg.BLIF CLK_DIV_OUT -1 1 -.names N_114_1.BLIF SM_AMIGA_1_.BLIF N_114 -11 1 -.names CLK_OUT_INTreg.BLIF CLK_EXP -1 1 -.names VPA_c.BLIF VPA_i -0 1 -.names un19_fpu_cs_i.BLIF FPU_CS -1 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -.names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ -1 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n -0 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_2_.C -1 1 -.names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ -1 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_95_0 -11 1 -.names RST_i.BLIF inst_RW_000_INT.AP -1 1 -.names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ -1 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_93_i -11 1 -.names RST_i.BLIF SM_AMIGA_2_.AR -1 1 -.names IPL_0_.BLIF ipl_c_0__n -1 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i -0 1 -.names IPL_1_.BLIF ipl_c_1__n -1 1 -.names inst_CLK_000_NE.BLIF state_machine_un6_clk_000_ne_n.BLIF N_92_i -11 1 -.names IPL_2_.BLIF ipl_c_2__n -1 1 -.names BERR_c.BLIF BERR_i -0 1 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -.names BERR_c.BLIF avec_exp_i.BLIF N_91_i -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_1_.C -1 1 -.names N_113_i.BLIF N_114_i.BLIF sm_amiga_ns_0_6__n -11 1 -.names RST_i.BLIF inst_VMA_INTreg.AP -1 1 -.names vcc_n_n.BLIF AVEC -1 1 -.names N_110_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF sm_amiga_ns_0_4__n -11 1 -.names RST_i.BLIF SM_AMIGA_1_.AR -1 1 -.names inst_avec_expreg.BLIF AVEC_EXP -1 1 -.names N_99_i.BLIF N_107_i.BLIF sm_amiga_ns_0_2__n -11 1 -.names cpu_est_3_reg.BLIF E -1 1 -.names N_105_i.BLIF N_106_i.BLIF sm_amiga_ns_0_1__n -11 1 -.names VPA.BLIF VPA_c -1 1 -.names a_c_19__n.BLIF a_i_19__n -0 1 -.names CLK_OSZI_c.BLIF inst_A0_DMA.C -1 1 -.names inst_VMA_INTreg.BLIF VMA -1 1 -.names a_i_20__n.BLIF N_33_i.BLIF N_220_0 -11 1 -.names CLK_OSZI_c.BLIF SM_AMIGA_0_.C -1 1 -.names RST.BLIF RST_c -1 1 -.names BGACK_000_c.BLIF avec_exp_i.BLIF state_machine_un4_bgack_000_0_n -11 1 -.names RST_i.BLIF inst_A0_DMA.AP -1 1 -.names RESETDFFRHreg.BLIF RESET -1 1 -.names a_c_16__n.BLIF a_i_16__n -0 1 -.names RST_i.BLIF SM_AMIGA_0_.AR -1 1 -.names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i -0 1 -.names FC_0_.BLIF fc_c_0__n -1 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un2_as_030 -11 1 -.names FC_1_.BLIF fc_c_1__n -1 1 -.names AS_030_000_SYNC_0_sqmuxa.BLIF AS_030_000_SYNC_0_sqmuxa_i -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_030_H.C -1 1 -.names inst_AMIGA_BUS_ENABLE_INTreg.BLIF AMIGA_BUS_ENABLE -1 1 -.names AS_030_000_SYNC_0_sqmuxa_i.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -.names AMIGA_BUS_DATA_DIR_c.BLIF AMIGA_BUS_DATA_DIR -1 1 -.names AS_030_c.BLIF AS_030_i -0 1 -.names inst_CLK_OUT_PRE_33reg.BLIF AMIGA_BUS_ENABLE_LOW -1 1 -.names AS_030_i.BLIF BERR_c.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1 -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_6_.AR -1 1 -.names inst_avec_expreg.BLIF avec_exp_i -0 1 -.names CLK_OSZI_c.BLIF inst_RW_000_DMA.C -1 1 -.names sm_amiga_ns_0_3_0__n.BLIF N_103_i.BLIF sm_amiga_ns_0_0__n -11 1 -.names N_114_1.BLIF SM_AMIGA_5_.BLIF N_107 -11 1 -.names N_230_i.BLIF N_228_i.BLIF cpu_est_ns_0_1_2__n -11 1 -.names BERR_c.BLIF CLK_000_NE_i.BLIF N_114_1 -11 1 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -.names RST_i.BLIF inst_RW_000_DMA.AP -1 1 -.names cpu_est_ns_0_1_2__n.BLIF N_229_i.BLIF cpu_est_ns_0_2__n -11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n -0 1 -.names un28_ciin_0_x2.BLIF a_i_20__n.BLIF N_30_1 -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -.names N_30_1.BLIF a_i_23__n.BLIF N_30 -11 1 -.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AS_030_i.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 -11 1 -.names CLK_000_D0_i.BLIF N_37.BLIF N_222_1 -11 1 -.names BG_030_c_i.BLIF state_machine_un8_bg_030_i_n.BLIF state_machine_un10_bg_030_0_n -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_7_.AR -1 1 -.names CLK_OSZI_c.BLIF inst_DS_000_DMA.C -1 1 -.names N_222_1.BLIF VPA_D_i.BLIF N_222 -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 -11 1 -.names N_93.BLIF BERR_i.BLIF N_101_1 -11 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_1__n.BLIF N_98 -11 1 -.names RST_i.BLIF inst_DS_000_DMA.AP -1 1 -.names N_101_1.BLIF CLK_000_NE_i.BLIF N_101 -11 1 -.names N_91.BLIF SM_AMIGA_0_.BLIF N_103 -11 1 -.names BERR_i.BLIF N_96.BLIF N_100_1 -11 1 -.names N_91_i.BLIF SM_AMIGA_4_.BLIF N_109 -11 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C -1 1 -.names N_100_1.BLIF avec_exp_i.BLIF N_100 -11 1 -.names N_91_i.BLIF SM_AMIGA_2_.BLIF N_112 -11 1 -.names BERR_c.BLIF N_92.BLIF N_110_1 -11 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF N_115 -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_8_.AR -1 1 -.names CLK_OSZI_c.BLIF inst_AS_000_DMA.C -1 1 -.names N_110_1.BLIF SM_AMIGA_3_.BLIF N_110 -11 1 -.names N_108_i.BLIF N_109_i.BLIF sm_amiga_ns_0_3__n -11 1 -.names N_93_i.BLIF N_96_i.BLIF N_104_1 -11 1 -.names N_111_i.BLIF N_112_i.BLIF sm_amiga_ns_0_5__n -11 1 -.names RST_i.BLIF inst_AS_000_DMA.AP -1 1 -.names SM_AMIGA_0_sqmuxa_1_i.BLIF sm_amiga_i_0__n.BLIF N_104_2 -11 1 -.names N_103_i.BLIF N_115_i.BLIF SM_AMIGA_0_.D -11 1 -.names N_104_1.BLIF N_104_2.BLIF N_104_3 -11 1 -.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n -0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -.names N_104_3.BLIF sm_amiga_i_3__n.BLIF N_104 -11 1 -.names state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un3_n -0 1 -.names VPA_i.BLIF N_219_i.BLIF state_machine_un10_clk_000_ne_1_n -11 1 -.names BGACK_000_c.BLIF state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un1_n -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_9_.AR -1 1 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C -1 1 -.names state_machine_un10_clk_000_ne_1_n.BLIF VMA_INT_i.BLIF state_machine_un10_clk_000_ne_n +.names N_90.BLIF BERR_i.BLIF N_98_1 11 1 .names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF bgack_030_int_0_un0_n 11 1 -.names N_101_i.BLIF N_102_i.BLIF sm_amiga_ns_0_1_0__n +.names N_98_1.BLIF inst_CLK_000_D0.BLIF N_98 11 1 .names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF inst_BGACK_030_INTreg.D 1- 1 -1 1 -.names RST_i.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP +.names RST_i.BLIF inst_CLK_OUT_PRE_50_D.AR 1 1 -.names N_104_i.BLIF N_100_i.BLIF sm_amiga_ns_0_2_0__n +.names N_91.BLIF BERR_i.BLIF N_97_1 11 1 -.names a_c_17__n.BLIF a_i_17__n +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 +11 1 +.names N_97_1.BLIF CLK_000_D0_i.BLIF N_97 +11 1 +.names AS_030_000_SYNC_0_sqmuxa.BLIF AS_030_000_SYNC_0_sqmuxa_i 0 1 -.names sm_amiga_ns_0_1_0__n.BLIF sm_amiga_ns_0_2_0__n.BLIF sm_amiga_ns_0_3_0__n +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +1 1 +.names BERR_c.BLIF SM_AMIGA_3_.BLIF N_107_1 +11 1 +.names AS_030_000_SYNC_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1 +11 1 +.names N_107_1.BLIF state_machine_un30_clk_000_d0_i_n.BLIF N_107 +11 1 +.names AS_030_c.BLIF AS_030_i +0 1 +.names RST_i.BLIF SM_AMIGA_6_.AR +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_D.C +1 1 +.names N_99_i.BLIF N_97_i.BLIF sm_amiga_ns_0_1_0__n +11 1 +.names a_c_16__n.BLIF a_i_16__n +0 1 +.names AS_030_i.BLIF a_c_17__n.BLIF un19_fpu_cs_1 +11 1 +.names a_c_19__n.BLIF a_i_19__n +0 1 +.names RST_i.BLIF inst_CLK_OUT_PRE_D.AR +1 1 +.names a_i_16__n.BLIF a_i_18__n.BLIF un19_fpu_cs_2 11 1 .names a_c_18__n.BLIF a_i_18__n 0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF un19_fpu_cs_5_2 +.names a_i_19__n.BLIF BGACK_000_c.BLIF un19_fpu_cs_3 11 1 -.names state_machine_un8_bgack_030_int_n.BLIF state_machine_un8_bgack_030_int_i_n +.names BGACK_000_c.BLIF state_machine_un6_clk_000_p_sync_i_n.BLIF state_machine_un6_bgack_000_0_n +11 1 +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C +1 1 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF un19_fpu_cs_4 +11 1 +.names DS_000_DMA_1_sqmuxa_1.BLIF state_machine_un24_bgack_030_int_i_n.BLIF DS_000_DMA_1_sqmuxa +11 1 +.names un19_fpu_cs_1.BLIF un19_fpu_cs_2.BLIF un19_fpu_cs_5 +11 1 +.names AS_000_DMA_i.BLIF state_machine_un8_bgack_030_int_n.BLIF CLK_030_H_1_sqmuxa_1 +11 1 +.names RST_i.BLIF SM_AMIGA_5_.AR +1 1 +.names CLK_OSZI_c.BLIF inst_CLK_000_D0.C +1 1 +.names un19_fpu_cs_3.BLIF un19_fpu_cs_4.BLIF un19_fpu_cs_6 +11 1 +.names CLK_030_c.BLIF CLK_030_i 0 1 -.names un19_fpu_cs_5_1.BLIF un19_fpu_cs_5_2.BLIF un19_fpu_cs_5 +.names un19_fpu_cs_5.BLIF un19_fpu_cs_6.BLIF un19_fpu_cs 11 1 -.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n +.names inst_AS_000_DMA.BLIF AS_000_DMA_i 0 1 -.names RST_i.BLIF CLK_000_N_SYNC_10_.AR +.names RST_i.BLIF inst_CLK_000_D0.AP 1 1 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -.names AS_030_i.BLIF a_i_16__n.BLIF un19_fpu_cs_1 +.names inst_CLK_000_D3.BLIF CLK_000_D4_i.BLIF DSACK1_INT_0_sqmuxa_1 11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n +.names AS_000_DMA_i.BLIF CLK_030_i.BLIF CLK_030_H_1_sqmuxa 11 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF un19_fpu_cs_2 +.names DSACK1_INT_0_sqmuxa_1.BLIF SM_AMIGA_1_.BLIF DSACK1_INT_0_sqmuxa 11 1 -.names state_machine_un8_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n -11 1 -.names RST_i.BLIF inst_AS_030_000_SYNC.AP -1 1 -.names un19_fpu_cs_1.BLIF un19_fpu_cs_2.BLIF un19_fpu_cs_3 -11 1 -.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF inst_AS_000_DMA.D -1- 1 --1 1 -.names un19_fpu_cs_3.BLIF un19_fpu_cs_5.BLIF un19_fpu_cs -11 1 -.names DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un3_n +.names AS_000_c.BLIF AS_000_i 0 1 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C 1 1 .names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF SM_AMIGA_0_sqmuxa_1_1 11 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un1_n +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_175_1 11 1 -.names SM_AMIGA_0_sqmuxa_1_1.BLIF state_machine_un5_clk_000_n_sync_n.BLIF SM_AMIGA_0_sqmuxa_1 -11 1 -.names state_machine_ds_000_dma_3_n.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n -11 1 -.names RST_i.BLIF CLK_000_N_SYNC_11_.AR +.names VPA_c.BLIF inst_VPA_D.D 1 1 -.names RW_c.BLIF SM_AMIGA_6_.BLIF DS_000_ENABLE_0_sqmuxa_1 +.names SM_AMIGA_0_sqmuxa_1_1.BLIF state_machine_un16_clk_000_d0_n.BLIF SM_AMIGA_0_sqmuxa_1 11 1 -.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF inst_DS_000_DMA.D -1- 1 --1 1 -.names RST_i.BLIF inst_CLK_OUT_PRE_33reg.AR +.names N_175_1.BLIF state_machine_un10_bgack_030_int_n.BLIF state_machine_un8_bgack_030_int_n +11 1 +.names RST_i.BLIF SM_AMIGA_4_.AR 1 1 -.names DS_000_ENABLE_0_sqmuxa_1.BLIF inst_avec_expreg.BLIF DS_000_ENABLE_0_sqmuxa -11 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF DS_000_DMA_1_sqmuxa_1_i -0 1 -.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_7_.BLIF AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un3_n -0 1 -.names nEXP_SPACE_c.BLIF state_machine_un28_as_030_i_n.BLIF AS_030_000_SYNC_0_sqmuxa_2 -11 1 -.names inst_RW_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un1_n -11 1 -.names CLK_OSZI_i.BLIF CLK_CNT_N_0_.C -1 1 -.names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE.D -1 1 -.names AS_030_000_SYNC_0_sqmuxa_1.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF AS_030_000_SYNC_0_sqmuxa -11 1 -.names DS_000_DMA_1_sqmuxa_1_i.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names BERR_i.BLIF N_92.BLIF N_102_1 -11 1 -.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF inst_RW_000_DMA.D -1- 1 --1 1 -.names RST_i.BLIF CLK_CNT_N_0_.AR -1 1 -.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE.C -1 1 -.names N_102_1.BLIF SM_AMIGA_3_.BLIF N_102 -11 1 -.names RST_c.BLIF clk_030_h_0_un3_n -0 1 -.names state_machine_un10_clk_000_d0_1_n.BLIF state_machine_un10_clk_000_d0_2_n.BLIF state_machine_un10_clk_000_d0_n -11 1 -.names state_machine_clk_030_h_2_n.BLIF RST_c.BLIF clk_030_h_0_un1_n -11 1 -.names RST_i.BLIF inst_CLK_OUT_PRE.AR -1 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 -11 1 -.names inst_CLK_030_H.BLIF clk_030_h_0_un3_n.BLIF clk_030_h_0_un0_n -11 1 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D -1 1 -.names sm_amiga_i_7__n.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 -11 1 -.names clk_030_h_0_un1_n.BLIF clk_030_h_0_un0_n.BLIF inst_CLK_030_H.D -1- 1 --1 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa +.names N_167_i.BLIF N_168_i.BLIF cpu_est_ns_0_1_2__n 11 1 .names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un3_n 0 1 -.names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C +.names CLK_OSZI_c.BLIF inst_VPA_D.C 1 1 -.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.D -1 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_96_i_1 +.names cpu_est_ns_0_1_2__n.BLIF state_machine_un10_clk_000_d0_2_i_n.BLIF cpu_est_ns_0_2__n 11 1 .names inst_AMIGA_BUS_ENABLE_INTreg.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un1_n 11 1 -.names N_96_i_1.BLIF sm_amiga_i_6__n.BLIF N_96_i +.names CLK_000_D2_i.BLIF CLK_000_D3_i.BLIF state_machine_clk_000_p_sync_3_1_0__n 11 1 .names un1_bgack_030_int_d.BLIF amiga_bus_enable_int_0_un3_n.BLIF amiga_bus_enable_int_0_un0_n 11 1 -.names RST_i.BLIF CLK_CNT_N_1_.AP +.names RST_i.BLIF inst_VPA_D.AP 1 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D3.C -1 1 -.names AS_030_c.BLIF CLK_000_c.BLIF state_machine_un8_bg_030_1_n +.names state_machine_clk_000_p_sync_3_1_0__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF CLK_000_P_SYNC_0_.D 11 1 .names amiga_bus_enable_int_0_un1_n.BLIF amiga_bus_enable_int_0_un0_n.BLIF inst_AMIGA_BUS_ENABLE_INTreg.D 1- 1 -1 1 -.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF state_machine_un8_bg_030_2_n -11 1 -.names state_machine_un10_bg_030_n.BLIF bg_000_0_un3_n -0 1 -.names RST_i.BLIF inst_CLK_000_D3.AP +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C 1 1 -.names state_machine_un8_bg_030_1_n.BLIF state_machine_un8_bg_030_2_n.BLIF state_machine_un8_bg_030_n -11 1 -.names BG_030_c.BLIF state_machine_un10_bg_030_n.BLIF bg_000_0_un1_n -11 1 -.names state_machine_un3_bgack_030_int_d_i_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 -11 1 -.names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n -11 1 -.names CLK_OSZI_c.BLIF CLK_CNT_P_0_.C -1 1 -.names un1_bgack_030_int_d_0_1.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF un1_bgack_030_int_d_0 -11 1 -.names bg_000_0_un1_n.BLIF bg_000_0_un0_n.BLIF BG_000DFFSHreg.D -1- 1 --1 1 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D -1 1 -.names a_i_16__n.BLIF a_i_17__n.BLIF N_33_1 +.names state_machine_un10_clk_000_d0_2_n.BLIF inst_AS_000_INT.BLIF state_machine_un10_clk_000_d0_1_n 11 1 .names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_D_i.BLIF state_machine_un3_bgack_030_int_d_n 11 1 -.names RST_i.BLIF CLK_CNT_P_0_.AR -1 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_33_2 -11 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i -0 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D2.C -1 1 -.names N_33_1.BLIF N_33_2.BLIF N_33 -11 1 -.names inst_BGACK_030_INT_D.BLIF BGACK_030_INT_D_i -0 1 -.names a_c_17__n.BLIF BGACK_000_c.BLIF un19_fpu_cs_5_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF state_machine_un5_bgack_030_int_d_i_n -11 1 -.names CLK_CNT_P_0_.BLIF CLK_CNT_P_1_.D -1 1 -.names RST_i.BLIF inst_CLK_000_D2.AP -1 1 -.names N_179_1.BLIF RW_c.BLIF N_179_1_0 +.names inst_CLK_000_D0.BLIF cpu_est_i_0__n.BLIF state_machine_un10_clk_000_d0_2_0_n 11 1 .names inst_BGACK_030_INTreg.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 11 1 -.names N_179_1_0.BLIF nEXP_SPACE_i.BLIF N_179 +.names RST_i.BLIF CLK_000_P_SYNC_0_.AR +1 1 +.names state_machine_un10_clk_000_d0_1_n.BLIF state_machine_un10_clk_000_d0_2_0_n.BLIF state_machine_un10_clk_000_d0_3_n 11 1 -.names N_98.BLIF N_98_i +.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 +11 1 +.names CLK_OSZI_c.BLIF inst_avec_expreg.C +1 1 +.names state_machine_un10_clk_000_d0_3_n.BLIF cpu_est_i_3__n.BLIF state_machine_un10_clk_000_d0_n +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i 0 1 -.names CLK_OSZI_c.BLIF CLK_CNT_P_1_.C -1 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF state_machine_clk_000_p_sync_3_1_0__n +.names N_175_1.BLIF RW_c.BLIF N_175_1_0 11 1 -.names N_98_i.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa -11 1 -.names vcc_n_n.BLIF RESETDFFRHreg.D -1 1 -.names CLK_000_D2_i.BLIF CLK_000_D3_i.BLIF state_machine_clk_000_p_sync_3_2_0__n -11 1 -.names RW_000_i.BLIF state_machine_un8_bgack_030_int_n.BLIF DS_000_DMA_1_sqmuxa_1 -11 1 -.names RST_i.BLIF CLK_CNT_P_1_.AR -1 1 -.names state_machine_clk_000_p_sync_3_1_0__n.BLIF state_machine_clk_000_p_sync_3_2_0__n.BLIF CLK_000_P_SYNC_0_.D -11 1 -.names state_machine_clk_030_h_2_f1_n.BLIF state_machine_un8_bgack_030_int_n.BLIF state_machine_clk_030_h_2_n -11 1 -.names CLK_OSZI_c.BLIF RESETDFFRHreg.C -1 1 -.names a_i_16__n.BLIF a_i_18__n.BLIF state_machine_un28_as_030_1_n -11 1 -.names CLK_030_H_1_sqmuxa_i.BLIF CLK_030_H_i.BLIF state_machine_clk_030_h_2_f1_0_n -11 1 -.names a_i_19__n.BLIF un19_fpu_cs_5.BLIF state_machine_un28_as_030_2_n -11 1 -.names state_machine_un8_bgack_030_int_n.BLIF state_machine_un31_bgack_030_int_i_n.BLIF state_machine_size_dma_4_0_1__n -11 1 -.names RST_i.BLIF RESETDFFRHreg.AR -1 1 -.names state_machine_un28_as_030_1_n.BLIF state_machine_un28_as_030_2_n.BLIF state_machine_un28_as_030_n -11 1 -.names state_machine_un31_bgack_030_int_n.BLIF state_machine_un31_bgack_030_int_i_n +.names inst_BGACK_030_INT_D.BLIF BGACK_030_INT_D_i 0 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_0_.C +.names RST_i.BLIF inst_avec_expreg.AR 1 1 -.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.BLIF state_machine_clk_000_n_sync_2_1_0__n +.names N_175_1_0.BLIF nEXP_SPACE_i.BLIF N_175 11 1 -.names state_machine_un8_bgack_030_int_n.BLIF state_machine_un31_bgack_030_int_n.BLIF state_machine_size_dma_4_0_0__n +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF state_machine_un5_bgack_030_int_d_i_n 11 1 -.names state_machine_clk_000_n_sync_2_1_0__n.BLIF state_machine_un5_clk_000_n_sync_n.BLIF CLK_000_N_SYNC_0_.D -11 1 -.names AS_000_DMA_i.BLIF state_machine_un8_bgack_030_int_n.BLIF state_machine_ds_000_dma_3_0_n -11 1 -.names RST_i.BLIF SIZE_DMA_0_.AP -1 1 -.names N_32_i.BLIF N_37_i.BLIF cpu_est_ns_0_1_1__n -11 1 -.names UDS_000_c.BLIF state_machine_un8_bgack_030_int_n.BLIF inst_A0_DMA.D -11 1 -.names CLK_OSZI_c.BLIF CLK_OUT_INTreg.C -1 1 -.names N_223_i.BLIF N_224_i.BLIF cpu_est_ns_0_2_1__n -11 1 -.names CLK_030_c.BLIF state_machine_un8_bgack_030_int_n.BLIF AS_000_DMA_1_sqmuxa -11 1 -.names cpu_est_ns_0_1_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_ns_0_1__n -11 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF state_machine_un31_bgack_030_int_n -11 1 -.names RST_i.BLIF CLK_OUT_INTreg.AR -1 1 -.names inst_AS_000_INT.BLIF inst_CLK_000_D0.BLIF state_machine_un10_clk_000_d0_1_n -11 1 -.names UDS_000_c.BLIF UDS_000_i -0 1 -.names CLK_OSZI_c.BLIF SIZE_DMA_1_.C -1 1 -.names N_35.BLIF cpu_est_2_.BLIF state_machine_un10_clk_000_d0_2_n -11 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names size_c_1__n.BLIF size_c_i_1__n -0 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF state_machine_un10_bgack_030_int_0_n -11 1 -.names RST_i.BLIF SIZE_DMA_1_.AP -1 1 -.names inst_CLK_000_D0.BLIF inst_CLK_000_D1.D +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_1_.C 1 1 .names nEXP_SPACE_i.BLIF AS_000_DMA_i.BLIF un3_dtack_i_1 11 1 -.names CLK_030_c.BLIF CLK_030_i +.names CLK_030_H_1_sqmuxa_1.BLIF CLK_030_H_1_sqmuxa_1_i 0 1 .names un3_dtack_i_1.BLIF BGACK_030_INT_i.BLIF un3_dtack_i 11 1 -.names inst_CLK_030_H.BLIF CLK_030_i.BLIF state_machine_un24_bgack_030_int_n -11 1 -.names CLK_OSZI_c.BLIF inst_CLK_000_D1.C -1 1 -.names a_i_24__n.BLIF a_i_25__n.BLIF N_247_1 -11 1 -.names inst_BGACK_030_INTreg.BLIF RW_i.BLIF N_178 -11 1 -.names a_i_26__n.BLIF a_i_27__n.BLIF N_247_2 -11 1 -.names N_178_i.BLIF N_179_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_0_reg.C -1 1 -.names RST_i.BLIF inst_CLK_000_D1.AP -1 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF N_247_3 -11 1 -.names AS_000_c.BLIF AS_000_i +.names DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un3_n 0 1 -.names a_i_30__n.BLIF a_i_31__n.BLIF N_247_4 -11 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_179_1 -11 1 -.names RST_i.BLIF IPL_030DFFSH_0_reg.AP +.names RST_i.BLIF CLK_000_P_SYNC_1_.AR 1 1 -.names N_247_1.BLIF N_247_2.BLIF N_247_5 +.names state_machine_un3_bgack_030_int_d_i_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 +11 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un1_n +11 1 +.names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C +1 1 +.names un1_bgack_030_int_d_0_1.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF un1_bgack_030_int_d_0 +11 1 +.names CLK_030_H_1_sqmuxa_1_i.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names N_111_i.BLIF sm_amiga_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 +11 1 +.names ds_000_dma_0_un1_n.BLIF ds_000_dma_0_un0_n.BLIF inst_DS_000_DMA.D +1- 1 +-1 1 +.names RST_i.BLIF inst_CLK_OUT_PRE_50.AR +1 1 +.names sm_amiga_i_7__n.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 +11 1 +.names state_machine_un8_bgack_030_int_n.BLIF state_machine_un8_bgack_030_int_i_n +0 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_2_.C +1 1 +.names AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa +11 1 +.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n +0 1 +.names size_c_i_1__n.BLIF A0_c_i.BLIF state_machine_un7_ds_030_i_1_n +11 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n +11 1 +.names RST_i.BLIF CLK_000_P_SYNC_2_.AR +1 1 +.names inst_CLK_OUT_PRE_25.BLIF CLK_OUT_PRE_25_0.X1 +1 1 +.names state_machine_un7_ds_030_i_1_n.BLIF size_c_0__n.BLIF state_machine_un7_ds_030_i_n +11 1 +.names state_machine_un8_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF as_000_dma_0_un0_n +11 1 +.names a_i_24__n.BLIF a_i_25__n.BLIF un12_ciin_1 +11 1 +.names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF inst_AS_000_DMA.D +1- 1 +-1 1 +.names state_machine_un3_clk_out_pre_50_n.BLIF CLK_OUT_PRE_25_0.X2 +1 1 +.names a_i_26__n.BLIF a_i_27__n.BLIF un12_ciin_2 +11 1 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF state_machine_un24_bgack_030_int_n +11 1 +.names a_i_28__n.BLIF a_i_29__n.BLIF un12_ciin_3 +11 1 +.names UDS_000_c.BLIF UDS_000_i +0 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_3_.C +1 1 +.names a_i_30__n.BLIF a_i_31__n.BLIF un12_ciin_4 +11 1 +.names LDS_000_c.BLIF LDS_000_i +0 1 +.names CLK_CNT_N_0_.BLIF G_108.X1 +1 1 +.names un12_ciin_1.BLIF un12_ciin_2.BLIF un12_ciin_5 +11 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF state_machine_un10_bgack_030_int_0_n +11 1 +.names RST_i.BLIF CLK_000_P_SYNC_3_.AR +1 1 +.names un12_ciin_3.BLIF un12_ciin_4.BLIF un12_ciin_6 +11 1 +.names CLK_030_i.BLIF state_machine_un8_bgack_030_int_n.BLIF AS_000_DMA_1_sqmuxa +11 1 +.names CLK_CNT_N_1_.BLIF G_108.X2 +1 1 +.names un12_ciin_5.BLIF un12_ciin_6.BLIF un12_ciin +11 1 +.names UDS_000_c.BLIF state_machine_un8_bgack_030_int_n.BLIF inst_A0_DMA.D +11 1 +.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_7_.BLIF AS_030_000_SYNC_0_sqmuxa_1 +11 1 +.names CLK_030_H_1_sqmuxa_i.BLIF CLK_030_H_i.BLIF state_machine_clk_030_h_2_f1_0_n +11 1 +.names nEXP_SPACE_c.BLIF un19_fpu_cs_i.BLIF AS_030_000_SYNC_0_sqmuxa_2 +11 1 +.names state_machine_clk_030_h_2_f1_n.BLIF state_machine_un8_bgack_030_int_n.BLIF state_machine_clk_030_h_2_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_4_.C +1 1 +.names CLK_CNT_P_0_.BLIF G_114.X1 +1 1 +.names AS_030_000_SYNC_0_sqmuxa_1.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF AS_030_000_SYNC_0_sqmuxa +11 1 +.names RW_000_c.BLIF RW_000_i +0 1 +.names state_machine_un38_clk_000_d0_1_n.BLIF VMA_INT_i.BLIF state_machine_un38_clk_000_d0_1_0_n +11 1 +.names RW_000_i.BLIF state_machine_un8_bgack_030_int_n.BLIF DS_000_DMA_1_sqmuxa_1 +11 1 +.names RST_i.BLIF CLK_000_P_SYNC_4_.AR +1 1 +.names CLK_CNT_P_1_.BLIF G_114.X2 +1 1 +.names state_machine_un38_clk_000_d0_1_0_n.BLIF VPA_i.BLIF state_machine_un38_clk_000_d0_n +11 1 +.names state_machine_un24_bgack_030_int_n.BLIF state_machine_un24_bgack_030_int_i_n +0 1 +.names CLK_000_D0_i.BLIF VPA_D_i.BLIF state_machine_un5_clk_000_d0_1_n +11 1 +.names RW_c.BLIF RW_i +0 1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF state_machine_un5_clk_000_d0_2_n +11 1 +.names inst_BGACK_030_INTreg.BLIF RW_i.BLIF N_174 +11 1 +.names cpu_est_0_.BLIF cpu_estse.X1 +1 1 +.names state_machine_un5_clk_000_d0_1_n.BLIF state_machine_un5_clk_000_d0_2_n.BLIF state_machine_un5_clk_000_d0_n +11 1 +.names N_174_i.BLIF N_175_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_5_.C +1 1 +.names AS_030_i.BLIF a_c_20__n.BLIF un5_ciin_1 11 1 .names nEXP_SPACE_c.BLIF nEXP_SPACE_i 0 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.D +.names state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse.X2 1 1 -.names N_247_3.BLIF N_247_4.BLIF N_247_6 +.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 11 1 -.names state_machine_un28_as_030_n.BLIF state_machine_un28_as_030_i_n -0 1 -.names N_247_5.BLIF N_247_6.BLIF N_247 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF state_machine_un16_clk_000_d0_n 11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i -0 1 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INT_D.C +.names RST_i.BLIF CLK_000_P_SYNC_5_.AR 1 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF state_machine_un7_ds_030_i_1_n +.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 11 1 -.names inst_CLK_000_D2.BLIF CLK_000_D2_i +.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n 0 1 -.names CLK_OSZI_c.BLIF IPL_030DFFSH_1_reg.C +.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 +11 1 +.names N_111.BLIF N_111_i +0 1 +.names cpu_estse.BLIF cpu_est_0_.D 1 1 +.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 +11 1 +.names N_111_i.BLIF N_112_i.BLIF sm_amiga_ns_0_7__n +11 1 +.names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D +1 1 +.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 +11 1 +.names N_88_i.BLIF SM_AMIGA_0_.BLIF N_112 +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_6_.C +1 1 +.names CLK_000_P_SYNC_1_.BLIF CLK_000_P_SYNC_2_.D +1 1 +.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 +11 1 +.names state_machine_un32_clk_000_d0_i_n.BLIF state_machine_un38_clk_000_d0_i_n.BLIF state_machine_un34_clk_000_d0_0_n +11 1 +.names CLK_000_P_SYNC_2_.BLIF CLK_000_P_SYNC_3_.D +1 1 +.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 +11 1 +.names DTACK_c.BLIF DTACK_i +0 1 +.names RST_i.BLIF CLK_000_P_SYNC_6_.AR +1 1 +.names CLK_000_P_SYNC_3_.BLIF CLK_000_P_SYNC_4_.D +1 1 +.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 +11 1 +.names DTACK_i.BLIF VPA_c.BLIF state_machine_un32_clk_000_d0_n +11 1 +.names CLK_000_P_SYNC_4_.BLIF CLK_000_P_SYNC_5_.D +1 1 +.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 +11 1 +.names RST_c.BLIF clk_030_h_0_un3_n +0 1 +.names CLK_000_P_SYNC_5_.BLIF CLK_000_P_SYNC_6_.D +1 1 +.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 +11 1 +.names state_machine_clk_030_h_2_n.BLIF RST_c.BLIF clk_030_h_0_un1_n +11 1 +.names CLK_000_P_SYNC_6_.BLIF CLK_000_P_SYNC_7_.D +1 1 +.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin +11 1 +.names inst_CLK_030_H.BLIF clk_030_h_0_un3_n.BLIF clk_030_h_0_un0_n +11 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_7_.C +1 1 +.names CLK_000_P_SYNC_7_.BLIF CLK_000_P_SYNC_8_.D +1 1 +.names AS_030_c.BLIF inst_CLK_000_D0.BLIF state_machine_un8_bg_030_1_n +11 1 +.names clk_030_h_0_un1_n.BLIF clk_030_h_0_un0_n.BLIF inst_CLK_030_H.D +1- 1 +-1 1 +.names CLK_000_P_SYNC_8_.BLIF CLK_000_P_SYNC_9_.D +1 1 +.names CLK_000_D1_i.BLIF nEXP_SPACE_c.BLIF state_machine_un8_bg_030_2_n +11 1 +.names DS_000_DMA_1_sqmuxa_1.BLIF DS_000_DMA_1_sqmuxa_1_i +0 1 +.names RST_i.BLIF CLK_000_P_SYNC_7_.AR +1 1 +.names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D +1 1 +.names state_machine_un8_bg_030_1_n.BLIF state_machine_un8_bg_030_2_n.BLIF state_machine_un8_bg_030_n +11 1 +.names AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un3_n +0 1 +.names inst_CLK_OUT_PRE_D.BLIF CLK_OUT_INTreg.D +1 1 +.names N_71_0.BLIF N_71 +0 1 +.names inst_RW_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un1_n +11 1 +.names inst_CLK_OUT_PRE.BLIF inst_CLK_OUT_PRE_D.D +1 1 +.names state_machine_rw_000_int_3_0_n.BLIF state_machine_rw_000_int_3_n +0 1 +.names DS_000_DMA_1_sqmuxa_1_i.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names CLK_000_P_SYNC_9_.BLIF inst_avec_expreg.D +1 1 +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names rw_000_dma_0_un1_n.BLIF rw_000_dma_0_un0_n.BLIF inst_RW_000_DMA.D +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_8_.C +1 1 +.names state_machine_un8_bg_030_n.BLIF state_machine_un8_bg_030_i_n +0 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF DSACK1_INT_1_sqmuxa +11 1 +.names state_machine_un10_bg_030_0_n.BLIF state_machine_un10_bg_030_n +0 1 +.names N_165.BLIF N_165_i_0 +0 1 +.names RST_i.BLIF CLK_000_P_SYNC_8_.AR +1 1 +.names un8_ciin.BLIF un8_ciin_i +0 1 +.names state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un3_n +0 1 +.names un14_ciin_0.BLIF un14_ciin +0 1 +.names N_165_i_0.BLIF state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un1_n +11 1 +.names un2_clk_pre_66.BLIF un2_clk_pre_66_i +0 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names un6_clk_pre_66.BLIF un6_clk_pre_66_i +0 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D +1- 1 +-1 1 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_9_.C +1 1 +.names CLK_PRE_66_0.BLIF inst_CLK_OUT_PRE_33reg.C +0 1 +.names state_machine_un5_clk_000_d0_i_n.BLIF state_machine_un10_clk_000_d0_i_n.BLIF state_machine_un12_clk_000_d0_0_n +11 1 +.names N_163_i.BLIF N_164_i.BLIF cpu_est_ns_0_1_1__n +11 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names RST_i.BLIF CLK_000_P_SYNC_9_.AR +1 1 +.names N_165_i_0.BLIF N_171_i.BLIF cpu_est_ns_0_2_1__n +11 1 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_163 +11 1 .end diff --git a/Logic/BUS68030.bl1 b/Logic/BUS68030.bl1 index adf9162..bed791f 100644 --- a/Logic/BUS68030.bl1 +++ b/Logic/BUS68030.bl1 @@ -1,120 +1,121 @@ #$ TOOL ispLEVER Classic 1.7.00.05.28.13 -#$ DATE Mon Jun 09 20:20:30 2014 +#$ DATE Sun Jun 15 16:36:43 2014 #$ MODULE bus68030 -#$ PINS 59 SIZE_1_ A_31_ IPL_030_2_ IPL_2_ FC_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 \ -# LDS_000 SIZE_0_ A0 A_30_ nEXP_SPACE A_29_ BERR A_28_ BG_030 A_27_ BG_000 A_26_ BGACK_030 \ -# A_25_ BGACK_000 A_24_ CLK_030 A_23_ CLK_000 A_22_ CLK_OSZI A_21_ CLK_DIV_OUT A_20_ \ -# CLK_EXP A_19_ FPU_CS A_18_ DSACK1 A_17_ DTACK A_16_ AVEC IPL_030_1_ AVEC_EXP IPL_030_0_ E \ -# IPL_1_ VPA IPL_0_ VMA FC_0_ RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR \ -# AMIGA_BUS_ENABLE_LOW CIIN -#$ NODES 491 a_i_20__n un19_fpu_cs_1 a_i_23__n un19_fpu_cs_2 cpu_est_i_2__n \ -# un19_fpu_cs_3 VPA_D_i SM_AMIGA_0_sqmuxa_1_1 clk_cnt_n_i_0__n \ -# DS_000_ENABLE_0_sqmuxa_1 a_i_30__n AS_030_000_SYNC_0_sqmuxa_1 \ -# inst_BGACK_030_INTreg a_i_31__n AS_030_000_SYNC_0_sqmuxa_2 vcc_n_n a_i_28__n \ -# N_102_1 inst_avec_expreg a_i_29__n N_101_1 inst_VMA_INTreg a_i_26__n N_100_1 \ -# inst_AMIGA_BUS_ENABLE_INTreg a_i_27__n N_110_1 inst_CLK_OUT_PRE_33reg a_i_24__n \ -# N_104_1 inst_AS_030_000_SYNC a_i_25__n N_104_2 inst_BGACK_030_INT_D N_104_3 \ -# inst_AS_000_DMA RST_i state_machine_un10_clk_000_ne_1_n inst_VPA_D \ -# sm_amiga_ns_0_1_0__n inst_CLK_OUT_PRE_50_D sm_amiga_ns_0_2_0__n inst_CLK_000_D0 \ -# CLK_OSZI_i sm_amiga_ns_0_3_0__n CLK_CNT_N_0_ cpu_est_ns_0_1_2__n \ -# inst_CLK_OUT_PRE_50 CLK_OUT_PRE_50_D_i N_30_1 inst_CLK_OUT_PRE_25 AS_030_c N_222_1 \ -# inst_CLK_000_D1 cpu_estse_1_un3_n inst_CLK_000_D2 AS_000_c cpu_estse_1_un1_n \ -# inst_CLK_000_D3 cpu_estse_1_un0_n inst_CLK_000_NE RW_000_c ipl_030_0_2__un3_n \ -# inst_CLK_OUT_PRE_D ipl_030_0_2__un1_n inst_CLK_OUT_PRE DS_030_c ipl_030_0_2__un0_n \ -# CLK_000_P_SYNC_9_ ipl_030_0_1__un3_n CLK_000_N_SYNC_11_ UDS_000_c \ -# ipl_030_0_1__un1_n state_machine_un10_clk_000_d0_n ipl_030_0_1__un0_n \ -# inst_AS_000_INT LDS_000_c ipl_030_0_0__un3_n SM_AMIGA_7_ ipl_030_0_0__un1_n \ -# SM_AMIGA_1_ size_c_0__n ipl_030_0_0__un0_n SM_AMIGA_0_ rw_000_int_0_un3_n \ -# SM_AMIGA_6_ size_c_1__n rw_000_int_0_un1_n SM_AMIGA_4_ rw_000_int_0_un0_n \ -# CLK_000_N_SYNC_6_ a_c_16__n dsack1_int_0_un3_n CLK_CNT_P_1_ dsack1_int_0_un1_n \ -# CLK_CNT_N_1_ a_c_17__n dsack1_int_0_un0_n inst_RW_000_INT ds_000_enable_0_un3_n \ -# inst_DSACK1_INT a_c_18__n ds_000_enable_0_un1_n \ -# state_machine_un3_clk_out_pre_50_n ds_000_enable_0_un0_n inst_CLK_030_H a_c_19__n \ -# as_000_int_0_un3_n CLK_CNT_P_0_ as_000_int_0_un1_n inst_RW_000_DMA a_c_20__n \ -# as_000_int_0_un0_n un1_LDS_000_INT as_030_000_sync_0_un3_n inst_LDS_000_INT \ -# a_c_21__n as_030_000_sync_0_un1_n inst_DS_000_ENABLE as_030_000_sync_0_un0_n \ -# un1_UDS_000_INT a_c_22__n bgack_030_int_0_un3_n inst_UDS_000_INT \ -# bgack_030_int_0_un1_n a_c_23__n bgack_030_int_0_un0_n as_000_dma_0_un3_n \ -# state_machine_un12_clk_000_d0_n a_c_24__n as_000_dma_0_un1_n as_000_dma_0_un0_n \ -# inst_DS_000_DMA a_c_25__n ds_000_dma_0_un3_n SIZE_DMA_0_ ds_000_dma_0_un1_n \ -# SIZE_DMA_1_ a_c_26__n ds_000_dma_0_un0_n inst_A0_DMA rw_000_dma_0_un3_n G_109 \ -# a_c_27__n rw_000_dma_0_un1_n G_115 rw_000_dma_0_un0_n CLK_000_P_SYNC_0_ a_c_28__n \ -# clk_030_h_0_un3_n CLK_000_P_SYNC_1_ clk_030_h_0_un1_n CLK_000_P_SYNC_2_ a_c_29__n \ -# clk_030_h_0_un0_n CLK_000_P_SYNC_3_ amiga_bus_enable_int_0_un3_n \ -# CLK_000_P_SYNC_4_ a_c_30__n amiga_bus_enable_int_0_un1_n CLK_000_P_SYNC_5_ \ -# amiga_bus_enable_int_0_un0_n CLK_000_P_SYNC_6_ a_c_31__n bg_000_0_un3_n \ -# CLK_000_P_SYNC_7_ bg_000_0_un1_n CLK_000_P_SYNC_8_ A0_c bg_000_0_un0_n \ -# CLK_000_N_SYNC_0_ lds_000_int_0_un3_n CLK_000_N_SYNC_1_ nEXP_SPACE_c \ -# lds_000_int_0_un1_n CLK_000_N_SYNC_2_ lds_000_int_0_un0_n CLK_000_N_SYNC_3_ BERR_c \ -# uds_000_int_0_un3_n CLK_000_N_SYNC_4_ uds_000_int_0_un1_n CLK_000_N_SYNC_5_ \ -# BG_030_c uds_000_int_0_un0_n CLK_000_N_SYNC_7_ vma_int_0_un3_n CLK_000_N_SYNC_8_ \ -# BG_000DFFSHreg vma_int_0_un1_n CLK_000_N_SYNC_9_ vma_int_0_un0_n \ -# CLK_000_N_SYNC_10_ cpu_estse_0_un3_n un28_ciin BGACK_000_c cpu_estse_0_un1_n \ -# un19_fpu_cs cpu_estse_0_un0_n SM_AMIGA_5_ CLK_030_c cpu_estse_2_un3_n SM_AMIGA_3_ \ -# cpu_estse_2_un1_n SM_AMIGA_2_ CLK_000_c cpu_estse_2_un0_n CLK_OSZI_c CLK_OUT_INTreg \ -# IPL_030DFFSH_0_reg IPL_030DFFSH_1_reg IPL_030DFFSH_2_reg ipl_c_0__n ipl_c_1__n \ -# CLK_OUT_PRE_25_0 ipl_c_2__n DSACK1_c DTACK_c VPA_c cpu_est_0_ RST_c cpu_est_1_ \ -# cpu_est_2_ RESETDFFRHreg cpu_est_3_reg RW_c fc_c_0__n cpu_est_ns_1__n fc_c_1__n \ -# cpu_est_ns_2__n un6_clk_pre_66 un2_clk_pre_66 AMIGA_BUS_DATA_DIR_c N_222 N_37 N_223 \ -# N_224 SM_AMIGA_0_sqmuxa_i N_227 DS_000_ENABLE_0_sqmuxa_i N_219 \ -# un1_SM_AMIGA_0_sqmuxa_2_i N_228 state_machine_un10_clk_000_ne_i_n N_229 \ -# state_machine_un4_clk_000_ne_i_n N_230 state_machine_un6_clk_000_ne_i_n N_28 \ -# state_machine_rw_000_int_3_0_n N_29 N_75_0 N_30 sm_amiga_ns_0_0__n un28_ciin_0_x2 \ -# N_105_i N_31 N_106_i N_220 sm_amiga_ns_0_1__n N_32 N_107_i N_35 sm_amiga_ns_0_2__n \ -# state_machine_un5_clk_000_n_sync_n N_110_i N_178 sm_amiga_ns_0_4__n N_179 N_114_i \ -# N_179_1 N_113_i un19_fpu_cs_5 sm_amiga_ns_0_6__n state_machine_un28_as_030_n N_91_i \ -# N_247 N_92_i state_machine_un8_bgack_030_int_n sm_amiga_i_5__n \ -# state_machine_un10_bgack_030_int_n N_93_i CLK_030_H_1_sqmuxa N_95_0 \ -# AS_000_DMA_1_sqmuxa N_103_i DS_000_DMA_1_sqmuxa N_100_i DS_000_DMA_1_sqmuxa_1 \ -# N_102_i state_machine_un24_bgack_030_int_n N_101_i state_machine_clk_030_h_2_n \ -# N_104_i state_machine_clk_030_h_2_f1_n state_machine_un4_bgack_000_0_n \ -# state_machine_un31_bgack_030_int_n N_33_i state_machine_ds_000_dma_3_n N_220_0 \ -# un1_bgack_030_int_d state_machine_un3_bgack_030_int_d_i_n \ -# un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa un1_bgack_030_int_d_0 \ -# state_machine_un10_bg_030_n AMIGA_BUS_ENABLE_INT_3_sqmuxa_i \ -# state_machine_un3_bgack_030_int_d_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i \ -# AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 sm_amiga_i_6__n SM_AMIGA_0_sqmuxa_1 N_96_i \ -# AMIGA_BUS_ENABLE_INT_3_sqmuxa sm_amiga_i_2__n N_98 sm_amiga_i_4__n \ -# AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 N_115_i state_machine_un8_bg_030_n \ -# AMIGA_BUS_ENABLE_INT_2_sqmuxa N_111_i N_103 N_112_i N_91 sm_amiga_ns_0_5__n N_109 \ -# N_109_i N_112 N_108_i N_115 sm_amiga_ns_0_3__n N_95 AMIGA_BUS_ENABLE_INT_2_sqmuxa_i \ -# N_108 AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i N_111 un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 \ -# N_96 BG_030_c_i state_machine_un4_bgack_000_n state_machine_un8_bg_030_i_n N_33 \ -# state_machine_un10_bg_030_0_n DS_000_ENABLE_0_sqmuxa \ -# state_machine_un5_bgack_030_int_d_i_n AS_030_000_SYNC_0_sqmuxa \ -# state_machine_un10_bgack_030_int_0_n un2_as_030 state_machine_ds_000_dma_3_0_n \ -# un1_AS_030_000_SYNC_0_sqmuxa_1_1 state_machine_size_dma_4_0_0__n \ -# un1_SM_AMIGA_0_sqmuxa_2 state_machine_size_dma_4_0_1__n \ -# un1_AS_030_000_SYNC_0_sqmuxa_1 CLK_030_H_i N_102 CLK_030_H_1_sqmuxa_i N_92 \ -# state_machine_clk_030_h_2_f1_0_n N_101 un3_dtack_i N_93 cpu_est_ns_0_1__n N_100 \ -# N_37_i N_110 N_224_i N_107 N_32_i N_114_1 N_223_i N_104 N_178_i N_114 N_179_i \ -# state_machine_un10_clk_000_ne_n AMIGA_BUS_DATA_DIR_c_0 \ -# state_machine_un6_clk_000_ne_n N_219_i N_113 N_30_i SM_AMIGA_0_sqmuxa N_31_i N_99 \ -# un28_ciin_0 N_105 N_28_i N_106 N_29_i N_75 cpu_est_ns_e_0_0__n \ -# state_machine_rw_000_int_3_n N_228_i state_machine_un4_clk_000_ne_n N_229_i \ -# DSACK1_INT_1_sqmuxa N_230_i DSACK1_INT_0_sqmuxa cpu_est_ns_0_2__n \ -# AS_000_INT_1_sqmuxa N_35_i un19_fpu_cs_i N_227_i \ -# un1_AS_030_000_SYNC_0_sqmuxa_1_1_i N_164_i N_99_i N_222_i DSACK1_INT_0_sqmuxa_i \ -# state_machine_un10_clk_000_d0_i_n DTACK_i state_machine_un12_clk_000_d0_0_n \ -# BERR_i un2_clk_pre_66_i CLK_000_NE_i un6_clk_pre_66_i sm_amiga_i_1__n CLK_PRE_66_0 \ -# VPA_i LDS_000_INT_i VMA_INT_i un1_LDS_000_INT_0 sm_amiga_i_0__n UDS_000_INT_i \ -# SM_AMIGA_0_sqmuxa_1_i un1_UDS_000_INT_0 sm_amiga_i_3__n \ -# state_machine_un7_ds_030_i_n avec_exp_i A0_c_i AS_030_i size_c_i_1__n \ -# AS_030_000_SYNC_0_sqmuxa_i un3_dtack_i_1 AS_030_000_SYNC_i N_247_1 a_i_16__n \ -# N_247_2 a_i_19__n N_247_3 a_i_17__n N_247_4 a_i_18__n N_247_5 sm_amiga_i_7__n N_247_6 \ -# N_98_i state_machine_un7_ds_030_i_1_n BGACK_030_INT_i N_31_1 BGACK_030_INT_D_i \ -# N_31_2 DS_000_DMA_1_sqmuxa_1_i N_179_1_0 state_machine_un8_bgack_030_int_i_n \ -# state_machine_clk_000_p_sync_3_1_0__n CLK_030_i \ -# state_machine_clk_000_p_sync_3_2_0__n UDS_000_i state_machine_un28_as_030_1_n \ -# LDS_000_i state_machine_un28_as_030_2_n state_machine_un31_bgack_030_int_i_n \ -# state_machine_clk_000_n_sync_2_1_0__n RW_000_i cpu_est_ns_0_1_1__n \ -# state_machine_un24_bgack_030_int_i_n cpu_est_ns_0_2_1__n AS_000_DMA_i \ -# state_machine_un10_clk_000_d0_1_n CLK_000_D2_i state_machine_un10_clk_000_d0_2_n \ -# CLK_000_D3_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 CLK_000_D1_i \ -# AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 state_machine_un28_as_030_i_n N_96_i_1 \ -# nEXP_SPACE_i state_machine_un8_bg_030_1_n AS_000_i state_machine_un8_bg_030_2_n \ -# RW_i un1_bgack_030_int_d_0_1 CLK_000_D0_i N_33_1 cpu_est_i_3__n N_33_2 \ -# cpu_est_i_1__n un19_fpu_cs_5_1 cpu_est_i_0__n un19_fpu_cs_5_2 +#$ PINS 59 A_28_ A_27_ SIZE_1_ A_26_ A_25_ A_31_ A_24_ A_23_ IPL_030_2_ A_22_ A_21_ \ +# IPL_2_ A_20_ A_19_ FC_1_ A_18_ AS_030 A_17_ AS_000 A_16_ RW_000 IPL_030_1_ DS_030 \ +# IPL_030_0_ UDS_000 IPL_1_ LDS_000 IPL_0_ A0 FC_0_ nEXP_SPACE BERR BG_030 BG_000 BGACK_030 \ +# BGACK_000 CLK_030 CLK_000 CLK_OSZI CLK_DIV_OUT CLK_EXP FPU_CS DSACK1 DTACK AVEC AVEC_EXP \ +# E VPA VMA RST RESET RW AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN \ +# SIZE_0_ A_30_ A_29_ +#$ NODES 473 sm_amiga_ns_0_2_0__n CLK_OSZI_i sm_amiga_ns_0_3_0__n \ +# uds_000_int_0_un3_n uds_000_int_0_un1_n un16_ciin_i uds_000_int_0_un0_n \ +# CLK_OUT_PRE_50_D_i ipl_030_0_0__un3_n inst_BGACK_030_INTreg AS_030_c \ +# ipl_030_0_0__un1_n vcc_n_n ipl_030_0_0__un0_n inst_avec_expreg AS_000_c \ +# ipl_030_0_1__un3_n inst_VMA_INTreg ipl_030_0_1__un1_n \ +# inst_AMIGA_BUS_ENABLE_INTreg RW_000_c ipl_030_0_1__un0_n inst_CLK_OUT_PRE_33reg \ +# ipl_030_0_2__un3_n inst_AS_030_000_SYNC DS_030_c ipl_030_0_2__un1_n \ +# inst_BGACK_030_INT_D ipl_030_0_2__un0_n inst_AS_000_DMA UDS_000_c \ +# cpu_estse_0_un3_n inst_VPA_D cpu_estse_0_un1_n inst_CLK_OUT_PRE_50_D LDS_000_c \ +# cpu_estse_0_un0_n inst_CLK_000_D0 cpu_estse_1_un3_n inst_CLK_000_D1 size_c_0__n \ +# cpu_estse_1_un1_n inst_CLK_000_D4 cpu_estse_1_un0_n CLK_CNT_N_0_ size_c_1__n \ +# cpu_estse_2_un3_n inst_CLK_OUT_PRE_50 cpu_estse_2_un1_n inst_CLK_OUT_PRE_25 \ +# a_c_16__n cpu_estse_2_un0_n inst_CLK_000_D2 as_030_000_sync_0_un3_n \ +# inst_CLK_000_D3 a_c_17__n as_030_000_sync_0_un1_n inst_CLK_OUT_PRE_D \ +# as_030_000_sync_0_un0_n inst_CLK_OUT_PRE a_c_18__n ds_000_enable_0_un3_n \ +# CLK_000_P_SYNC_9_ ds_000_enable_0_un1_n inst_AS_000_INT a_c_19__n \ +# ds_000_enable_0_un0_n SM_AMIGA_7_ lds_000_int_0_un3_n SM_AMIGA_6_ a_c_20__n \ +# lds_000_int_0_un1_n SM_AMIGA_1_ lds_000_int_0_un0_n SM_AMIGA_0_ a_c_21__n \ +# bgack_030_int_0_un3_n SM_AMIGA_4_ bgack_030_int_0_un1_n inst_CLK_030_H a_c_22__n \ +# bgack_030_int_0_un0_n CLK_CNT_P_1_ amiga_bus_enable_int_0_un3_n CLK_CNT_N_1_ \ +# a_c_23__n amiga_bus_enable_int_0_un1_n inst_RW_000_INT \ +# amiga_bus_enable_int_0_un0_n inst_DSACK1_INT a_c_24__n ds_000_dma_0_un3_n \ +# state_machine_un3_clk_out_pre_50_n ds_000_dma_0_un1_n CLK_CNT_P_0_ a_c_25__n \ +# ds_000_dma_0_un0_n inst_RW_000_DMA as_000_dma_0_un3_n un1_LDS_000_INT a_c_26__n \ +# as_000_dma_0_un1_n inst_LDS_000_INT as_000_dma_0_un0_n inst_DS_000_ENABLE \ +# a_c_27__n clk_030_h_0_un3_n un1_UDS_000_INT clk_030_h_0_un1_n inst_UDS_000_INT \ +# a_c_28__n clk_030_h_0_un0_n rw_000_dma_0_un3_n a_c_29__n rw_000_dma_0_un1_n \ +# inst_DS_000_DMA rw_000_dma_0_un0_n SIZE_DMA_0_ a_c_30__n vma_int_0_un3_n \ +# SIZE_DMA_1_ vma_int_0_un1_n inst_A0_DMA a_c_31__n vma_int_0_un0_n G_108 \ +# as_000_int_0_un3_n G_114 A0_c as_000_int_0_un1_n CLK_000_P_SYNC_0_ \ +# as_000_int_0_un0_n CLK_000_P_SYNC_1_ nEXP_SPACE_c dsack1_int_0_un3_n \ +# CLK_000_P_SYNC_2_ dsack1_int_0_un1_n CLK_000_P_SYNC_3_ BERR_c dsack1_int_0_un0_n \ +# CLK_000_P_SYNC_4_ rw_000_int_0_un3_n CLK_000_P_SYNC_5_ BG_030_c rw_000_int_0_un1_n \ +# CLK_000_P_SYNC_6_ rw_000_int_0_un0_n CLK_000_P_SYNC_7_ BG_000DFFSHreg \ +# bg_000_0_un3_n CLK_000_P_SYNC_8_ bg_000_0_un1_n un19_fpu_cs bg_000_0_un0_n un5_ciin \ +# BGACK_000_c CLK_030_c un16_ciin SM_AMIGA_5_ SM_AMIGA_3_ CLK_OSZI_c SM_AMIGA_2_ \ +# CLK_OUT_INTreg IPL_030DFFSH_0_reg IPL_030DFFSH_1_reg IPL_030DFFSH_2_reg ipl_c_0__n \ +# ipl_c_1__n ipl_c_2__n CLK_OUT_PRE_25_0 DSACK1_c DTACK_c VPA_c cpu_est_0_ cpu_est_1_ \ +# RST_c cpu_est_2_ cpu_est_3_reg RESETDFFRHreg cpu_estse RW_c fc_c_0__n un6_clk_pre_66 \ +# un2_clk_pre_66 fc_c_1__n N_96 state_machine_un34_clk_000_d0_n \ +# state_machine_un16_clk_000_d0_n AMIGA_BUS_DATA_DIR_c N_88 N_111 \ +# state_machine_un8_bgack_030_int_n N_175_1 UDS_000_INT_i \ +# state_machine_un10_clk_000_d0_2_n un1_UDS_000_INT_0 \ +# state_machine_un38_clk_000_d0_1_n SM_AMIGA_0_sqmuxa_i N_171 \ +# DS_000_ENABLE_0_sqmuxa_i cpu_est_ns_1__n un1_SM_AMIGA_0_sqmuxa_2_i \ +# DSACK1_INT_0_sqmuxa N_100_i state_machine_un1_as_030_n sm_amiga_ns_0_0__n \ +# AS_030_000_SYNC_0_sqmuxa N_102_i state_machine_un10_bg_030_n N_103_i un8_ciin \ +# sm_amiga_ns_0_1__n un12_ciin N_106_i un14_ciin N_105_i state_machine_un8_bg_030_n \ +# sm_amiga_ns_0_3__n AS_000_INT_1_sqmuxa N_107_i DSACK1_INT_1_sqmuxa \ +# sm_amiga_ns_0_4__n state_machine_rw_000_int_3_n N_108_i N_71 N_109_i \ +# state_machine_un12_clk_000_d0_n sm_amiga_ns_0_5__n \ +# state_machine_un10_clk_000_d0_n N_110_i state_machine_un5_clk_000_d0_n N_163 \ +# N_88_i N_164 N_90_i N_165 N_93_0 state_machine_un38_clk_000_d0_n N_97_i \ +# state_machine_un31_bgack_030_int_n N_98_i N_174 N_99_i N_175 N_101_i N_112 \ +# sm_amiga_i_4__n state_machine_un32_clk_000_d0_n N_91_i \ +# state_machine_clk_030_h_2_n N_168_i DS_000_DMA_1_sqmuxa_1 cpu_est_ns_0_2__n \ +# AS_000_DMA_1_sqmuxa state_machine_un10_clk_000_d0_2_i_n CLK_030_H_1_sqmuxa_1 \ +# N_167_i DS_000_DMA_1_sqmuxa N_170_i state_machine_un24_bgack_030_int_n N_169_i \ +# state_machine_un10_bgack_030_int_n N_160_i state_machine_clk_030_h_2_f1_n N_92_0 \ +# CLK_030_H_1_sqmuxa N_104_i un1_bgack_030_int_d un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa \ +# state_machine_un1_as_030_i_n state_machine_un3_bgack_030_int_d_n \ +# state_machine_un6_clk_000_p_sync_i_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 \ +# state_machine_un6_bgack_000_0_n SM_AMIGA_0_sqmuxa_1 LDS_000_INT_i \ +# AMIGA_BUS_ENABLE_INT_3_sqmuxa un1_LDS_000_INT_0 AMIGA_BUS_ENABLE_INT_2_sqmuxa \ +# state_machine_un7_ds_030_i_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 A0_c_i N_95 \ +# size_c_i_1__n un1_AS_030_000_SYNC_0_sqmuxa_1 \ +# state_machine_un5_bgack_030_int_d_i_n un1_SM_AMIGA_0_sqmuxa_2 \ +# AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i un2_as_030 AMIGA_BUS_ENABLE_INT_2_sqmuxa_i \ +# state_machine_un6_bgack_000_n un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 \ +# state_machine_un6_clk_000_p_sync_n state_machine_un3_bgack_030_int_d_i_n N_104 \ +# un1_bgack_030_int_d_0 N_92 AMIGA_BUS_ENABLE_INT_3_sqmuxa_i cpu_est_ns_2__n \ +# AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i N_167 un3_dtack_i N_168 CLK_030_H_i N_169 \ +# CLK_030_H_1_sqmuxa_i N_170 state_machine_clk_030_h_2_f1_0_n N_102 \ +# state_machine_un10_bgack_030_int_0_n DS_000_ENABLE_0_sqmuxa \ +# state_machine_un38_clk_000_d0_i_n N_101 state_machine_un32_clk_000_d0_i_n N_90 \ +# state_machine_un34_clk_000_d0_0_n N_91 N_112_i N_100 sm_amiga_ns_0_7__n \ +# state_machine_un30_clk_000_d0_n N_175_i N_98 N_174_i N_97 AMIGA_BUS_DATA_DIR_c_0 \ +# N_107 state_machine_size_dma_4_0_1__n N_99 state_machine_size_dma_4_0_0__n N_93 \ +# N_171_i N_110 N_164_i N_108 N_163_i N_109 cpu_est_ns_0_1__n SM_AMIGA_0_sqmuxa \ +# state_machine_un10_clk_000_d0_i_n N_105 state_machine_un5_clk_000_d0_i_n N_106 \ +# state_machine_un12_clk_000_d0_0_n N_103 N_71_0 un19_fpu_cs_i \ +# state_machine_rw_000_int_3_0_n CLK_000_D1_i BG_030_c_i sm_amiga_i_1__n \ +# state_machine_un8_bg_030_i_n sm_amiga_i_0__n state_machine_un10_bg_030_0_n \ +# CLK_000_D0_i un8_ciin_i sm_amiga_i_2__n un14_ciin_0 BERR_i un2_clk_pre_66_i \ +# state_machine_un30_clk_000_d0_i_n un6_clk_pre_66_i sm_amiga_i_3__n CLK_PRE_66_0 \ +# SM_AMIGA_0_sqmuxa_1_i cpu_est_ns_0_1_1__n CLK_000_D3_i cpu_est_ns_0_2_1__n \ +# CLK_000_D2_i N_91_i_1 cpu_est_i_1__n un5_ciin_1 cpu_est_i_0__n un5_ciin_2 \ +# cpu_est_i_3__n un5_ciin_3 state_machine_un38_clk_000_d0_1_i_n un5_ciin_4 \ +# AS_030_000_SYNC_i un5_ciin_5 CLK_000_D4_i un5_ciin_6 sm_amiga_i_5__n un5_ciin_7 \ +# sm_amiga_i_6__n un5_ciin_8 a_i_19__n un5_ciin_9 a_i_18__n un5_ciin_10 AS_030_i \ +# un5_ciin_11 a_i_16__n state_machine_un8_bg_030_1_n AS_030_000_SYNC_0_sqmuxa_i \ +# state_machine_un8_bg_030_2_n N_95_i un12_ciin_1 BGACK_030_INT_i un12_ciin_2 \ +# BGACK_030_INT_D_i un12_ciin_3 AS_000_i un12_ciin_4 CLK_030_i un12_ciin_5 \ +# AS_000_DMA_i un12_ciin_6 state_machine_un24_bgack_030_int_i_n \ +# AS_030_000_SYNC_0_sqmuxa_1 RW_000_i AS_030_000_SYNC_0_sqmuxa_2 UDS_000_i \ +# state_machine_un38_clk_000_d0_1_0_n LDS_000_i state_machine_un5_clk_000_d0_1_n \ +# state_machine_un8_bgack_030_int_i_n state_machine_un5_clk_000_d0_2_n \ +# CLK_030_H_1_sqmuxa_1_i state_machine_un10_clk_000_d0_1_n DS_000_DMA_1_sqmuxa_1_i \ +# state_machine_un10_clk_000_d0_2_0_n DTACK_i state_machine_un10_clk_000_d0_3_n \ +# sm_amiga_i_7__n N_175_1_0 N_111_i un3_dtack_i_1 nEXP_SPACE_i \ +# un1_bgack_030_int_d_0_1 RW_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 \ +# state_machine_un31_bgack_030_int_i_n AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i \ +# state_machine_un7_ds_030_i_1_n VPA_i un19_fpu_cs_1 VMA_INT_i un19_fpu_cs_2 \ +# cpu_est_i_2__n un19_fpu_cs_3 N_165_i_0 un19_fpu_cs_4 DSACK1_INT_0_sqmuxa_i \ +# un19_fpu_cs_5 N_96_i un19_fpu_cs_6 a_i_31__n DSACK1_INT_0_sqmuxa_1 a_i_30__n \ +# SM_AMIGA_0_sqmuxa_1_1 a_i_29__n cpu_est_ns_0_1_2__n a_i_28__n \ +# state_machine_clk_000_p_sync_3_1_0__n a_i_27__n DS_000_ENABLE_0_sqmuxa_1 \ +# a_i_26__n N_101_1 a_i_25__n N_101_2 a_i_24__n N_101_3 un5_ciin_i N_100_1 un12_ciin_i \ +# N_98_1 clk_cnt_n_i_0__n N_97_1 N_107_1 RST_i sm_amiga_ns_0_1_0__n .model bus68030 .inputs A_31_.BLIF IPL_2_.BLIF FC_1_.BLIF nEXP_SPACE.BLIF BERR.BLIF \ BG_030.BLIF BGACK_000.BLIF CLK_030.BLIF CLK_000.BLIF CLK_OSZI.BLIF VPA.BLIF \ @@ -122,331 +123,317 @@ RST.BLIF A_30_.BLIF A_29_.BLIF A_28_.BLIF A_27_.BLIF A_26_.BLIF A_25_.BLIF \ A_24_.BLIF A_23_.BLIF A_22_.BLIF A_21_.BLIF A_20_.BLIF A_19_.BLIF A_18_.BLIF \ A_17_.BLIF A_16_.BLIF IPL_1_.BLIF IPL_0_.BLIF FC_0_.BLIF SIZE_1_.BLIF \ AS_030.BLIF AS_000.BLIF RW_000.BLIF DS_030.BLIF UDS_000.BLIF LDS_000.BLIF \ -A0.BLIF DSACK1.BLIF DTACK.BLIF RW.BLIF SIZE_0_.BLIF a_i_20__n.BLIF \ -un19_fpu_cs_1.BLIF a_i_23__n.BLIF un19_fpu_cs_2.BLIF cpu_est_i_2__n.BLIF \ -un19_fpu_cs_3.BLIF VPA_D_i.BLIF SM_AMIGA_0_sqmuxa_1_1.BLIF \ -clk_cnt_n_i_0__n.BLIF DS_000_ENABLE_0_sqmuxa_1.BLIF a_i_30__n.BLIF \ -AS_030_000_SYNC_0_sqmuxa_1.BLIF inst_BGACK_030_INTreg.BLIF a_i_31__n.BLIF \ -AS_030_000_SYNC_0_sqmuxa_2.BLIF vcc_n_n.BLIF a_i_28__n.BLIF N_102_1.BLIF \ -inst_avec_expreg.BLIF a_i_29__n.BLIF N_101_1.BLIF inst_VMA_INTreg.BLIF \ -a_i_26__n.BLIF N_100_1.BLIF inst_AMIGA_BUS_ENABLE_INTreg.BLIF a_i_27__n.BLIF \ -N_110_1.BLIF inst_CLK_OUT_PRE_33reg.BLIF a_i_24__n.BLIF N_104_1.BLIF \ -inst_AS_030_000_SYNC.BLIF a_i_25__n.BLIF N_104_2.BLIF \ -inst_BGACK_030_INT_D.BLIF N_104_3.BLIF inst_AS_000_DMA.BLIF RST_i.BLIF \ -state_machine_un10_clk_000_ne_1_n.BLIF inst_VPA_D.BLIF \ -sm_amiga_ns_0_1_0__n.BLIF inst_CLK_OUT_PRE_50_D.BLIF sm_amiga_ns_0_2_0__n.BLIF \ -inst_CLK_000_D0.BLIF CLK_OSZI_i.BLIF sm_amiga_ns_0_3_0__n.BLIF \ -CLK_CNT_N_0_.BLIF cpu_est_ns_0_1_2__n.BLIF inst_CLK_OUT_PRE_50.BLIF \ -CLK_OUT_PRE_50_D_i.BLIF N_30_1.BLIF inst_CLK_OUT_PRE_25.BLIF AS_030_c.BLIF \ -N_222_1.BLIF inst_CLK_000_D1.BLIF cpu_estse_1_un3_n.BLIF inst_CLK_000_D2.BLIF \ -AS_000_c.BLIF cpu_estse_1_un1_n.BLIF inst_CLK_000_D3.BLIF \ -cpu_estse_1_un0_n.BLIF inst_CLK_000_NE.BLIF RW_000_c.BLIF \ -ipl_030_0_2__un3_n.BLIF inst_CLK_OUT_PRE_D.BLIF ipl_030_0_2__un1_n.BLIF \ -inst_CLK_OUT_PRE.BLIF DS_030_c.BLIF ipl_030_0_2__un0_n.BLIF \ -CLK_000_P_SYNC_9_.BLIF ipl_030_0_1__un3_n.BLIF CLK_000_N_SYNC_11_.BLIF \ -UDS_000_c.BLIF ipl_030_0_1__un1_n.BLIF state_machine_un10_clk_000_d0_n.BLIF \ -ipl_030_0_1__un0_n.BLIF inst_AS_000_INT.BLIF LDS_000_c.BLIF \ -ipl_030_0_0__un3_n.BLIF SM_AMIGA_7_.BLIF ipl_030_0_0__un1_n.BLIF \ -SM_AMIGA_1_.BLIF size_c_0__n.BLIF ipl_030_0_0__un0_n.BLIF SM_AMIGA_0_.BLIF \ -rw_000_int_0_un3_n.BLIF SM_AMIGA_6_.BLIF size_c_1__n.BLIF \ -rw_000_int_0_un1_n.BLIF SM_AMIGA_4_.BLIF rw_000_int_0_un0_n.BLIF \ -CLK_000_N_SYNC_6_.BLIF a_c_16__n.BLIF dsack1_int_0_un3_n.BLIF \ -CLK_CNT_P_1_.BLIF dsack1_int_0_un1_n.BLIF CLK_CNT_N_1_.BLIF a_c_17__n.BLIF \ -dsack1_int_0_un0_n.BLIF inst_RW_000_INT.BLIF ds_000_enable_0_un3_n.BLIF \ -inst_DSACK1_INT.BLIF a_c_18__n.BLIF ds_000_enable_0_un1_n.BLIF \ -state_machine_un3_clk_out_pre_50_n.BLIF ds_000_enable_0_un0_n.BLIF \ -inst_CLK_030_H.BLIF a_c_19__n.BLIF as_000_int_0_un3_n.BLIF CLK_CNT_P_0_.BLIF \ -as_000_int_0_un1_n.BLIF inst_RW_000_DMA.BLIF a_c_20__n.BLIF \ -as_000_int_0_un0_n.BLIF un1_LDS_000_INT.BLIF as_030_000_sync_0_un3_n.BLIF \ -inst_LDS_000_INT.BLIF a_c_21__n.BLIF as_030_000_sync_0_un1_n.BLIF \ -inst_DS_000_ENABLE.BLIF as_030_000_sync_0_un0_n.BLIF un1_UDS_000_INT.BLIF \ -a_c_22__n.BLIF bgack_030_int_0_un3_n.BLIF inst_UDS_000_INT.BLIF \ -bgack_030_int_0_un1_n.BLIF a_c_23__n.BLIF bgack_030_int_0_un0_n.BLIF \ -as_000_dma_0_un3_n.BLIF state_machine_un12_clk_000_d0_n.BLIF a_c_24__n.BLIF \ -as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF inst_DS_000_DMA.BLIF \ -a_c_25__n.BLIF ds_000_dma_0_un3_n.BLIF SIZE_DMA_0_.BLIF \ -ds_000_dma_0_un1_n.BLIF SIZE_DMA_1_.BLIF a_c_26__n.BLIF \ -ds_000_dma_0_un0_n.BLIF inst_A0_DMA.BLIF rw_000_dma_0_un3_n.BLIF G_109.BLIF \ -a_c_27__n.BLIF rw_000_dma_0_un1_n.BLIF G_115.BLIF rw_000_dma_0_un0_n.BLIF \ -CLK_000_P_SYNC_0_.BLIF a_c_28__n.BLIF clk_030_h_0_un3_n.BLIF \ -CLK_000_P_SYNC_1_.BLIF clk_030_h_0_un1_n.BLIF CLK_000_P_SYNC_2_.BLIF \ -a_c_29__n.BLIF clk_030_h_0_un0_n.BLIF CLK_000_P_SYNC_3_.BLIF \ -amiga_bus_enable_int_0_un3_n.BLIF CLK_000_P_SYNC_4_.BLIF a_c_30__n.BLIF \ -amiga_bus_enable_int_0_un1_n.BLIF CLK_000_P_SYNC_5_.BLIF \ -amiga_bus_enable_int_0_un0_n.BLIF CLK_000_P_SYNC_6_.BLIF a_c_31__n.BLIF \ -bg_000_0_un3_n.BLIF CLK_000_P_SYNC_7_.BLIF bg_000_0_un1_n.BLIF \ -CLK_000_P_SYNC_8_.BLIF A0_c.BLIF bg_000_0_un0_n.BLIF CLK_000_N_SYNC_0_.BLIF \ -lds_000_int_0_un3_n.BLIF CLK_000_N_SYNC_1_.BLIF nEXP_SPACE_c.BLIF \ -lds_000_int_0_un1_n.BLIF CLK_000_N_SYNC_2_.BLIF lds_000_int_0_un0_n.BLIF \ -CLK_000_N_SYNC_3_.BLIF BERR_c.BLIF uds_000_int_0_un3_n.BLIF \ -CLK_000_N_SYNC_4_.BLIF uds_000_int_0_un1_n.BLIF CLK_000_N_SYNC_5_.BLIF \ -BG_030_c.BLIF uds_000_int_0_un0_n.BLIF CLK_000_N_SYNC_7_.BLIF \ -vma_int_0_un3_n.BLIF CLK_000_N_SYNC_8_.BLIF BG_000DFFSHreg.BLIF \ -vma_int_0_un1_n.BLIF CLK_000_N_SYNC_9_.BLIF vma_int_0_un0_n.BLIF \ -CLK_000_N_SYNC_10_.BLIF cpu_estse_0_un3_n.BLIF un28_ciin.BLIF BGACK_000_c.BLIF \ -cpu_estse_0_un1_n.BLIF un19_fpu_cs.BLIF cpu_estse_0_un0_n.BLIF \ -SM_AMIGA_5_.BLIF CLK_030_c.BLIF cpu_estse_2_un3_n.BLIF SM_AMIGA_3_.BLIF \ -cpu_estse_2_un1_n.BLIF SM_AMIGA_2_.BLIF CLK_000_c.BLIF cpu_estse_2_un0_n.BLIF \ -CLK_OSZI_c.BLIF CLK_OUT_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF \ +A0.BLIF DSACK1.BLIF DTACK.BLIF RW.BLIF SIZE_0_.BLIF sm_amiga_ns_0_2_0__n.BLIF \ +CLK_OSZI_i.BLIF sm_amiga_ns_0_3_0__n.BLIF uds_000_int_0_un3_n.BLIF \ +uds_000_int_0_un1_n.BLIF un16_ciin_i.BLIF uds_000_int_0_un0_n.BLIF \ +CLK_OUT_PRE_50_D_i.BLIF ipl_030_0_0__un3_n.BLIF inst_BGACK_030_INTreg.BLIF \ +AS_030_c.BLIF ipl_030_0_0__un1_n.BLIF vcc_n_n.BLIF ipl_030_0_0__un0_n.BLIF \ +inst_avec_expreg.BLIF AS_000_c.BLIF ipl_030_0_1__un3_n.BLIF \ +inst_VMA_INTreg.BLIF ipl_030_0_1__un1_n.BLIF inst_AMIGA_BUS_ENABLE_INTreg.BLIF \ +RW_000_c.BLIF ipl_030_0_1__un0_n.BLIF inst_CLK_OUT_PRE_33reg.BLIF \ +ipl_030_0_2__un3_n.BLIF inst_AS_030_000_SYNC.BLIF DS_030_c.BLIF \ +ipl_030_0_2__un1_n.BLIF inst_BGACK_030_INT_D.BLIF ipl_030_0_2__un0_n.BLIF \ +inst_AS_000_DMA.BLIF UDS_000_c.BLIF cpu_estse_0_un3_n.BLIF inst_VPA_D.BLIF \ +cpu_estse_0_un1_n.BLIF inst_CLK_OUT_PRE_50_D.BLIF LDS_000_c.BLIF \ +cpu_estse_0_un0_n.BLIF inst_CLK_000_D0.BLIF cpu_estse_1_un3_n.BLIF \ +inst_CLK_000_D1.BLIF size_c_0__n.BLIF cpu_estse_1_un1_n.BLIF \ +inst_CLK_000_D4.BLIF cpu_estse_1_un0_n.BLIF CLK_CNT_N_0_.BLIF size_c_1__n.BLIF \ +cpu_estse_2_un3_n.BLIF inst_CLK_OUT_PRE_50.BLIF cpu_estse_2_un1_n.BLIF \ +inst_CLK_OUT_PRE_25.BLIF a_c_16__n.BLIF cpu_estse_2_un0_n.BLIF \ +inst_CLK_000_D2.BLIF as_030_000_sync_0_un3_n.BLIF inst_CLK_000_D3.BLIF \ +a_c_17__n.BLIF as_030_000_sync_0_un1_n.BLIF inst_CLK_OUT_PRE_D.BLIF \ +as_030_000_sync_0_un0_n.BLIF inst_CLK_OUT_PRE.BLIF a_c_18__n.BLIF \ +ds_000_enable_0_un3_n.BLIF CLK_000_P_SYNC_9_.BLIF ds_000_enable_0_un1_n.BLIF \ +inst_AS_000_INT.BLIF a_c_19__n.BLIF ds_000_enable_0_un0_n.BLIF \ +SM_AMIGA_7_.BLIF lds_000_int_0_un3_n.BLIF SM_AMIGA_6_.BLIF a_c_20__n.BLIF \ +lds_000_int_0_un1_n.BLIF SM_AMIGA_1_.BLIF lds_000_int_0_un0_n.BLIF \ +SM_AMIGA_0_.BLIF a_c_21__n.BLIF bgack_030_int_0_un3_n.BLIF SM_AMIGA_4_.BLIF \ +bgack_030_int_0_un1_n.BLIF inst_CLK_030_H.BLIF a_c_22__n.BLIF \ +bgack_030_int_0_un0_n.BLIF CLK_CNT_P_1_.BLIF amiga_bus_enable_int_0_un3_n.BLIF \ +CLK_CNT_N_1_.BLIF a_c_23__n.BLIF amiga_bus_enable_int_0_un1_n.BLIF \ +inst_RW_000_INT.BLIF amiga_bus_enable_int_0_un0_n.BLIF inst_DSACK1_INT.BLIF \ +a_c_24__n.BLIF ds_000_dma_0_un3_n.BLIF state_machine_un3_clk_out_pre_50_n.BLIF \ +ds_000_dma_0_un1_n.BLIF CLK_CNT_P_0_.BLIF a_c_25__n.BLIF \ +ds_000_dma_0_un0_n.BLIF inst_RW_000_DMA.BLIF as_000_dma_0_un3_n.BLIF \ +un1_LDS_000_INT.BLIF a_c_26__n.BLIF as_000_dma_0_un1_n.BLIF \ +inst_LDS_000_INT.BLIF as_000_dma_0_un0_n.BLIF inst_DS_000_ENABLE.BLIF \ +a_c_27__n.BLIF clk_030_h_0_un3_n.BLIF un1_UDS_000_INT.BLIF \ +clk_030_h_0_un1_n.BLIF inst_UDS_000_INT.BLIF a_c_28__n.BLIF \ +clk_030_h_0_un0_n.BLIF rw_000_dma_0_un3_n.BLIF a_c_29__n.BLIF \ +rw_000_dma_0_un1_n.BLIF inst_DS_000_DMA.BLIF rw_000_dma_0_un0_n.BLIF \ +SIZE_DMA_0_.BLIF a_c_30__n.BLIF vma_int_0_un3_n.BLIF SIZE_DMA_1_.BLIF \ +vma_int_0_un1_n.BLIF inst_A0_DMA.BLIF a_c_31__n.BLIF vma_int_0_un0_n.BLIF \ +G_108.BLIF as_000_int_0_un3_n.BLIF G_114.BLIF A0_c.BLIF \ +as_000_int_0_un1_n.BLIF CLK_000_P_SYNC_0_.BLIF as_000_int_0_un0_n.BLIF \ +CLK_000_P_SYNC_1_.BLIF nEXP_SPACE_c.BLIF dsack1_int_0_un3_n.BLIF \ +CLK_000_P_SYNC_2_.BLIF dsack1_int_0_un1_n.BLIF CLK_000_P_SYNC_3_.BLIF \ +BERR_c.BLIF dsack1_int_0_un0_n.BLIF CLK_000_P_SYNC_4_.BLIF \ +rw_000_int_0_un3_n.BLIF CLK_000_P_SYNC_5_.BLIF BG_030_c.BLIF \ +rw_000_int_0_un1_n.BLIF CLK_000_P_SYNC_6_.BLIF rw_000_int_0_un0_n.BLIF \ +CLK_000_P_SYNC_7_.BLIF BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF \ +CLK_000_P_SYNC_8_.BLIF bg_000_0_un1_n.BLIF un19_fpu_cs.BLIF \ +bg_000_0_un0_n.BLIF un5_ciin.BLIF BGACK_000_c.BLIF CLK_030_c.BLIF \ +un16_ciin.BLIF SM_AMIGA_5_.BLIF SM_AMIGA_3_.BLIF CLK_OSZI_c.BLIF \ +SM_AMIGA_2_.BLIF CLK_OUT_INTreg.BLIF IPL_030DFFSH_0_reg.BLIF \ IPL_030DFFSH_1_reg.BLIF IPL_030DFFSH_2_reg.BLIF ipl_c_0__n.BLIF \ -ipl_c_1__n.BLIF CLK_OUT_PRE_25_0.BLIF ipl_c_2__n.BLIF DSACK1_c.BLIF \ -DTACK_c.BLIF VPA_c.BLIF cpu_est_0_.BLIF RST_c.BLIF cpu_est_1_.BLIF \ -cpu_est_2_.BLIF RESETDFFRHreg.BLIF cpu_est_3_reg.BLIF RW_c.BLIF fc_c_0__n.BLIF \ -cpu_est_ns_1__n.BLIF fc_c_1__n.BLIF cpu_est_ns_2__n.BLIF un6_clk_pre_66.BLIF \ -un2_clk_pre_66.BLIF AMIGA_BUS_DATA_DIR_c.BLIF N_222.BLIF N_37.BLIF N_223.BLIF \ -N_224.BLIF SM_AMIGA_0_sqmuxa_i.BLIF N_227.BLIF DS_000_ENABLE_0_sqmuxa_i.BLIF \ -N_219.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF N_228.BLIF \ -state_machine_un10_clk_000_ne_i_n.BLIF N_229.BLIF \ -state_machine_un4_clk_000_ne_i_n.BLIF N_230.BLIF \ -state_machine_un6_clk_000_ne_i_n.BLIF N_28.BLIF \ -state_machine_rw_000_int_3_0_n.BLIF N_29.BLIF N_75_0.BLIF N_30.BLIF \ -sm_amiga_ns_0_0__n.BLIF un28_ciin_0_x2.BLIF N_105_i.BLIF N_31.BLIF \ -N_106_i.BLIF N_220.BLIF sm_amiga_ns_0_1__n.BLIF N_32.BLIF N_107_i.BLIF \ -N_35.BLIF sm_amiga_ns_0_2__n.BLIF state_machine_un5_clk_000_n_sync_n.BLIF \ -N_110_i.BLIF N_178.BLIF sm_amiga_ns_0_4__n.BLIF N_179.BLIF N_114_i.BLIF \ -N_179_1.BLIF N_113_i.BLIF un19_fpu_cs_5.BLIF sm_amiga_ns_0_6__n.BLIF \ -state_machine_un28_as_030_n.BLIF N_91_i.BLIF N_247.BLIF N_92_i.BLIF \ -state_machine_un8_bgack_030_int_n.BLIF sm_amiga_i_5__n.BLIF \ -state_machine_un10_bgack_030_int_n.BLIF N_93_i.BLIF CLK_030_H_1_sqmuxa.BLIF \ -N_95_0.BLIF AS_000_DMA_1_sqmuxa.BLIF N_103_i.BLIF DS_000_DMA_1_sqmuxa.BLIF \ -N_100_i.BLIF DS_000_DMA_1_sqmuxa_1.BLIF N_102_i.BLIF \ -state_machine_un24_bgack_030_int_n.BLIF N_101_i.BLIF \ -state_machine_clk_030_h_2_n.BLIF N_104_i.BLIF \ -state_machine_clk_030_h_2_f1_n.BLIF state_machine_un4_bgack_000_0_n.BLIF \ -state_machine_un31_bgack_030_int_n.BLIF N_33_i.BLIF \ -state_machine_ds_000_dma_3_n.BLIF N_220_0.BLIF un1_bgack_030_int_d.BLIF \ -state_machine_un3_bgack_030_int_d_i_n.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF un1_bgack_030_int_d_0.BLIF \ -state_machine_un10_bg_030_n.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ -state_machine_un3_bgack_030_int_d_n.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF \ -sm_amiga_i_6__n.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_96_i.BLIF \ -AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF sm_amiga_i_2__n.BLIF N_98.BLIF \ -sm_amiga_i_4__n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF N_115_i.BLIF \ -state_machine_un8_bg_030_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF \ -N_111_i.BLIF N_103.BLIF N_112_i.BLIF N_91.BLIF sm_amiga_ns_0_5__n.BLIF \ -N_109.BLIF N_109_i.BLIF N_112.BLIF N_108_i.BLIF N_115.BLIF \ -sm_amiga_ns_0_3__n.BLIF N_95.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF \ -N_108.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF N_111.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF N_96.BLIF BG_030_c_i.BLIF \ -state_machine_un4_bgack_000_n.BLIF state_machine_un8_bg_030_i_n.BLIF N_33.BLIF \ -state_machine_un10_bg_030_0_n.BLIF DS_000_ENABLE_0_sqmuxa.BLIF \ -state_machine_un5_bgack_030_int_d_i_n.BLIF AS_030_000_SYNC_0_sqmuxa.BLIF \ -state_machine_un10_bgack_030_int_0_n.BLIF un2_as_030.BLIF \ -state_machine_ds_000_dma_3_0_n.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF \ -state_machine_size_dma_4_0_0__n.BLIF un1_SM_AMIGA_0_sqmuxa_2.BLIF \ -state_machine_size_dma_4_0_1__n.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF \ -CLK_030_H_i.BLIF N_102.BLIF CLK_030_H_1_sqmuxa_i.BLIF N_92.BLIF \ -state_machine_clk_030_h_2_f1_0_n.BLIF N_101.BLIF un3_dtack_i.BLIF N_93.BLIF \ -cpu_est_ns_0_1__n.BLIF N_100.BLIF N_37_i.BLIF N_110.BLIF N_224_i.BLIF \ -N_107.BLIF N_32_i.BLIF N_114_1.BLIF N_223_i.BLIF N_104.BLIF N_178_i.BLIF \ -N_114.BLIF N_179_i.BLIF state_machine_un10_clk_000_ne_n.BLIF \ -AMIGA_BUS_DATA_DIR_c_0.BLIF state_machine_un6_clk_000_ne_n.BLIF N_219_i.BLIF \ -N_113.BLIF N_30_i.BLIF SM_AMIGA_0_sqmuxa.BLIF N_31_i.BLIF N_99.BLIF \ -un28_ciin_0.BLIF N_105.BLIF N_28_i.BLIF N_106.BLIF N_29_i.BLIF N_75.BLIF \ -cpu_est_ns_e_0_0__n.BLIF state_machine_rw_000_int_3_n.BLIF N_228_i.BLIF \ -state_machine_un4_clk_000_ne_n.BLIF N_229_i.BLIF DSACK1_INT_1_sqmuxa.BLIF \ -N_230_i.BLIF DSACK1_INT_0_sqmuxa.BLIF cpu_est_ns_0_2__n.BLIF \ -AS_000_INT_1_sqmuxa.BLIF N_35_i.BLIF un19_fpu_cs_i.BLIF N_227_i.BLIF \ -un1_AS_030_000_SYNC_0_sqmuxa_1_1_i.BLIF N_164_i.BLIF N_99_i.BLIF N_222_i.BLIF \ -DSACK1_INT_0_sqmuxa_i.BLIF state_machine_un10_clk_000_d0_i_n.BLIF DTACK_i.BLIF \ -state_machine_un12_clk_000_d0_0_n.BLIF BERR_i.BLIF un2_clk_pre_66_i.BLIF \ -CLK_000_NE_i.BLIF un6_clk_pre_66_i.BLIF sm_amiga_i_1__n.BLIF CLK_PRE_66_0.BLIF \ -VPA_i.BLIF LDS_000_INT_i.BLIF VMA_INT_i.BLIF un1_LDS_000_INT_0.BLIF \ -sm_amiga_i_0__n.BLIF UDS_000_INT_i.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF \ -un1_UDS_000_INT_0.BLIF sm_amiga_i_3__n.BLIF state_machine_un7_ds_030_i_n.BLIF \ -avec_exp_i.BLIF A0_c_i.BLIF AS_030_i.BLIF size_c_i_1__n.BLIF \ -AS_030_000_SYNC_0_sqmuxa_i.BLIF un3_dtack_i_1.BLIF AS_030_000_SYNC_i.BLIF \ -N_247_1.BLIF a_i_16__n.BLIF N_247_2.BLIF a_i_19__n.BLIF N_247_3.BLIF \ -a_i_17__n.BLIF N_247_4.BLIF a_i_18__n.BLIF N_247_5.BLIF sm_amiga_i_7__n.BLIF \ -N_247_6.BLIF N_98_i.BLIF state_machine_un7_ds_030_i_1_n.BLIF \ -BGACK_030_INT_i.BLIF N_31_1.BLIF BGACK_030_INT_D_i.BLIF N_31_2.BLIF \ -DS_000_DMA_1_sqmuxa_1_i.BLIF N_179_1_0.BLIF \ -state_machine_un8_bgack_030_int_i_n.BLIF \ -state_machine_clk_000_p_sync_3_1_0__n.BLIF CLK_030_i.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n.BLIF UDS_000_i.BLIF \ -state_machine_un28_as_030_1_n.BLIF LDS_000_i.BLIF \ -state_machine_un28_as_030_2_n.BLIF state_machine_un31_bgack_030_int_i_n.BLIF \ -state_machine_clk_000_n_sync_2_1_0__n.BLIF RW_000_i.BLIF \ -cpu_est_ns_0_1_1__n.BLIF state_machine_un24_bgack_030_int_i_n.BLIF \ -cpu_est_ns_0_2_1__n.BLIF AS_000_DMA_i.BLIF \ -state_machine_un10_clk_000_d0_1_n.BLIF CLK_000_D2_i.BLIF \ -state_machine_un10_clk_000_d0_2_n.BLIF CLK_000_D3_i.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF CLK_000_D1_i.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF state_machine_un28_as_030_i_n.BLIF \ -N_96_i_1.BLIF nEXP_SPACE_i.BLIF state_machine_un8_bg_030_1_n.BLIF \ -AS_000_i.BLIF state_machine_un8_bg_030_2_n.BLIF RW_i.BLIF \ -un1_bgack_030_int_d_0_1.BLIF CLK_000_D0_i.BLIF N_33_1.BLIF cpu_est_i_3__n.BLIF \ -N_33_2.BLIF cpu_est_i_1__n.BLIF un19_fpu_cs_5_1.BLIF cpu_est_i_0__n.BLIF \ -un19_fpu_cs_5_2.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF RW_000.PIN.BLIF \ -DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF SIZE_0_.PIN.BLIF \ -SIZE_1_.PIN.BLIF A0.PIN.BLIF DSACK1.PIN.BLIF DTACK.PIN.BLIF RW.PIN.BLIF +ipl_c_1__n.BLIF ipl_c_2__n.BLIF CLK_OUT_PRE_25_0.BLIF DSACK1_c.BLIF \ +DTACK_c.BLIF VPA_c.BLIF cpu_est_0_.BLIF cpu_est_1_.BLIF RST_c.BLIF \ +cpu_est_2_.BLIF cpu_est_3_reg.BLIF RESETDFFRHreg.BLIF cpu_estse.BLIF RW_c.BLIF \ +fc_c_0__n.BLIF un6_clk_pre_66.BLIF un2_clk_pre_66.BLIF fc_c_1__n.BLIF \ +N_96.BLIF state_machine_un34_clk_000_d0_n.BLIF \ +state_machine_un16_clk_000_d0_n.BLIF AMIGA_BUS_DATA_DIR_c.BLIF N_88.BLIF \ +N_111.BLIF state_machine_un8_bgack_030_int_n.BLIF N_175_1.BLIF \ +UDS_000_INT_i.BLIF state_machine_un10_clk_000_d0_2_n.BLIF \ +un1_UDS_000_INT_0.BLIF state_machine_un38_clk_000_d0_1_n.BLIF \ +SM_AMIGA_0_sqmuxa_i.BLIF N_171.BLIF DS_000_ENABLE_0_sqmuxa_i.BLIF \ +cpu_est_ns_1__n.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF DSACK1_INT_0_sqmuxa.BLIF \ +N_100_i.BLIF state_machine_un1_as_030_n.BLIF sm_amiga_ns_0_0__n.BLIF \ +AS_030_000_SYNC_0_sqmuxa.BLIF N_102_i.BLIF state_machine_un10_bg_030_n.BLIF \ +N_103_i.BLIF un8_ciin.BLIF sm_amiga_ns_0_1__n.BLIF un12_ciin.BLIF N_106_i.BLIF \ +un14_ciin.BLIF N_105_i.BLIF state_machine_un8_bg_030_n.BLIF \ +sm_amiga_ns_0_3__n.BLIF AS_000_INT_1_sqmuxa.BLIF N_107_i.BLIF \ +DSACK1_INT_1_sqmuxa.BLIF sm_amiga_ns_0_4__n.BLIF \ +state_machine_rw_000_int_3_n.BLIF N_108_i.BLIF N_71.BLIF N_109_i.BLIF \ +state_machine_un12_clk_000_d0_n.BLIF sm_amiga_ns_0_5__n.BLIF \ +state_machine_un10_clk_000_d0_n.BLIF N_110_i.BLIF \ +state_machine_un5_clk_000_d0_n.BLIF N_163.BLIF N_88_i.BLIF N_164.BLIF \ +N_90_i.BLIF N_165.BLIF N_93_0.BLIF state_machine_un38_clk_000_d0_n.BLIF \ +N_97_i.BLIF state_machine_un31_bgack_030_int_n.BLIF N_98_i.BLIF N_174.BLIF \ +N_99_i.BLIF N_175.BLIF N_101_i.BLIF N_112.BLIF sm_amiga_i_4__n.BLIF \ +state_machine_un32_clk_000_d0_n.BLIF N_91_i.BLIF \ +state_machine_clk_030_h_2_n.BLIF N_168_i.BLIF DS_000_DMA_1_sqmuxa_1.BLIF \ +cpu_est_ns_0_2__n.BLIF AS_000_DMA_1_sqmuxa.BLIF \ +state_machine_un10_clk_000_d0_2_i_n.BLIF CLK_030_H_1_sqmuxa_1.BLIF \ +N_167_i.BLIF DS_000_DMA_1_sqmuxa.BLIF N_170_i.BLIF \ +state_machine_un24_bgack_030_int_n.BLIF N_169_i.BLIF \ +state_machine_un10_bgack_030_int_n.BLIF N_160_i.BLIF \ +state_machine_clk_030_h_2_f1_n.BLIF N_92_0.BLIF CLK_030_H_1_sqmuxa.BLIF \ +N_104_i.BLIF un1_bgack_030_int_d.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF \ +state_machine_un1_as_030_i_n.BLIF state_machine_un3_bgack_030_int_d_n.BLIF \ +state_machine_un6_clk_000_p_sync_i_n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF \ +state_machine_un6_bgack_000_0_n.BLIF SM_AMIGA_0_sqmuxa_1.BLIF \ +LDS_000_INT_i.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF un1_LDS_000_INT_0.BLIF \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF state_machine_un7_ds_030_i_n.BLIF \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF A0_c_i.BLIF N_95.BLIF size_c_i_1__n.BLIF \ +un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ +un1_SM_AMIGA_0_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF \ +un2_as_030.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF \ +state_machine_un6_bgack_000_n.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF \ +state_machine_un6_clk_000_p_sync_n.BLIF \ +state_machine_un3_bgack_030_int_d_i_n.BLIF N_104.BLIF \ +un1_bgack_030_int_d_0.BLIF N_92.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ +cpu_est_ns_2__n.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF N_167.BLIF \ +un3_dtack_i.BLIF N_168.BLIF CLK_030_H_i.BLIF N_169.BLIF \ +CLK_030_H_1_sqmuxa_i.BLIF N_170.BLIF state_machine_clk_030_h_2_f1_0_n.BLIF \ +N_102.BLIF state_machine_un10_bgack_030_int_0_n.BLIF \ +DS_000_ENABLE_0_sqmuxa.BLIF state_machine_un38_clk_000_d0_i_n.BLIF N_101.BLIF \ +state_machine_un32_clk_000_d0_i_n.BLIF N_90.BLIF \ +state_machine_un34_clk_000_d0_0_n.BLIF N_91.BLIF N_112_i.BLIF N_100.BLIF \ +sm_amiga_ns_0_7__n.BLIF state_machine_un30_clk_000_d0_n.BLIF N_175_i.BLIF \ +N_98.BLIF N_174_i.BLIF N_97.BLIF AMIGA_BUS_DATA_DIR_c_0.BLIF N_107.BLIF \ +state_machine_size_dma_4_0_1__n.BLIF N_99.BLIF \ +state_machine_size_dma_4_0_0__n.BLIF N_93.BLIF N_171_i.BLIF N_110.BLIF \ +N_164_i.BLIF N_108.BLIF N_163_i.BLIF N_109.BLIF cpu_est_ns_0_1__n.BLIF \ +SM_AMIGA_0_sqmuxa.BLIF state_machine_un10_clk_000_d0_i_n.BLIF N_105.BLIF \ +state_machine_un5_clk_000_d0_i_n.BLIF N_106.BLIF \ +state_machine_un12_clk_000_d0_0_n.BLIF N_103.BLIF N_71_0.BLIF \ +un19_fpu_cs_i.BLIF state_machine_rw_000_int_3_0_n.BLIF CLK_000_D1_i.BLIF \ +BG_030_c_i.BLIF sm_amiga_i_1__n.BLIF state_machine_un8_bg_030_i_n.BLIF \ +sm_amiga_i_0__n.BLIF state_machine_un10_bg_030_0_n.BLIF CLK_000_D0_i.BLIF \ +un8_ciin_i.BLIF sm_amiga_i_2__n.BLIF un14_ciin_0.BLIF BERR_i.BLIF \ +un2_clk_pre_66_i.BLIF state_machine_un30_clk_000_d0_i_n.BLIF \ +un6_clk_pre_66_i.BLIF sm_amiga_i_3__n.BLIF CLK_PRE_66_0.BLIF \ +SM_AMIGA_0_sqmuxa_1_i.BLIF cpu_est_ns_0_1_1__n.BLIF CLK_000_D3_i.BLIF \ +cpu_est_ns_0_2_1__n.BLIF CLK_000_D2_i.BLIF N_91_i_1.BLIF cpu_est_i_1__n.BLIF \ +un5_ciin_1.BLIF cpu_est_i_0__n.BLIF un5_ciin_2.BLIF cpu_est_i_3__n.BLIF \ +un5_ciin_3.BLIF state_machine_un38_clk_000_d0_1_i_n.BLIF un5_ciin_4.BLIF \ +AS_030_000_SYNC_i.BLIF un5_ciin_5.BLIF CLK_000_D4_i.BLIF un5_ciin_6.BLIF \ +sm_amiga_i_5__n.BLIF un5_ciin_7.BLIF sm_amiga_i_6__n.BLIF un5_ciin_8.BLIF \ +a_i_19__n.BLIF un5_ciin_9.BLIF a_i_18__n.BLIF un5_ciin_10.BLIF AS_030_i.BLIF \ +un5_ciin_11.BLIF a_i_16__n.BLIF state_machine_un8_bg_030_1_n.BLIF \ +AS_030_000_SYNC_0_sqmuxa_i.BLIF state_machine_un8_bg_030_2_n.BLIF N_95_i.BLIF \ +un12_ciin_1.BLIF BGACK_030_INT_i.BLIF un12_ciin_2.BLIF BGACK_030_INT_D_i.BLIF \ +un12_ciin_3.BLIF AS_000_i.BLIF un12_ciin_4.BLIF CLK_030_i.BLIF \ +un12_ciin_5.BLIF AS_000_DMA_i.BLIF un12_ciin_6.BLIF \ +state_machine_un24_bgack_030_int_i_n.BLIF AS_030_000_SYNC_0_sqmuxa_1.BLIF \ +RW_000_i.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF UDS_000_i.BLIF \ +state_machine_un38_clk_000_d0_1_0_n.BLIF LDS_000_i.BLIF \ +state_machine_un5_clk_000_d0_1_n.BLIF state_machine_un8_bgack_030_int_i_n.BLIF \ +state_machine_un5_clk_000_d0_2_n.BLIF CLK_030_H_1_sqmuxa_1_i.BLIF \ +state_machine_un10_clk_000_d0_1_n.BLIF DS_000_DMA_1_sqmuxa_1_i.BLIF \ +state_machine_un10_clk_000_d0_2_0_n.BLIF DTACK_i.BLIF \ +state_machine_un10_clk_000_d0_3_n.BLIF sm_amiga_i_7__n.BLIF N_175_1_0.BLIF \ +N_111_i.BLIF un3_dtack_i_1.BLIF nEXP_SPACE_i.BLIF un1_bgack_030_int_d_0_1.BLIF \ +RW_i.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF \ +state_machine_un31_bgack_030_int_i_n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF \ +VPA_D_i.BLIF state_machine_un7_ds_030_i_1_n.BLIF VPA_i.BLIF un19_fpu_cs_1.BLIF \ +VMA_INT_i.BLIF un19_fpu_cs_2.BLIF cpu_est_i_2__n.BLIF un19_fpu_cs_3.BLIF \ +N_165_i_0.BLIF un19_fpu_cs_4.BLIF DSACK1_INT_0_sqmuxa_i.BLIF \ +un19_fpu_cs_5.BLIF N_96_i.BLIF un19_fpu_cs_6.BLIF a_i_31__n.BLIF \ +DSACK1_INT_0_sqmuxa_1.BLIF a_i_30__n.BLIF SM_AMIGA_0_sqmuxa_1_1.BLIF \ +a_i_29__n.BLIF cpu_est_ns_0_1_2__n.BLIF a_i_28__n.BLIF \ +state_machine_clk_000_p_sync_3_1_0__n.BLIF a_i_27__n.BLIF \ +DS_000_ENABLE_0_sqmuxa_1.BLIF a_i_26__n.BLIF N_101_1.BLIF a_i_25__n.BLIF \ +N_101_2.BLIF a_i_24__n.BLIF N_101_3.BLIF un5_ciin_i.BLIF N_100_1.BLIF \ +un12_ciin_i.BLIF N_98_1.BLIF clk_cnt_n_i_0__n.BLIF N_97_1.BLIF N_107_1.BLIF \ +RST_i.BLIF sm_amiga_ns_0_1_0__n.BLIF AS_030.PIN.BLIF AS_000.PIN.BLIF \ +RW_000.PIN.BLIF DS_030.PIN.BLIF UDS_000.PIN.BLIF LDS_000.PIN.BLIF \ +SIZE_0_.PIN.BLIF SIZE_1_.PIN.BLIF A0.PIN.BLIF DSACK1.PIN.BLIF DTACK.PIN.BLIF \ +RW.PIN.BLIF .outputs IPL_030_2_ BG_000 BGACK_030 CLK_DIV_OUT CLK_EXP FPU_CS AVEC AVEC_EXP \ E VMA RESET AMIGA_BUS_ENABLE AMIGA_BUS_DATA_DIR AMIGA_BUS_ENABLE_LOW CIIN \ IPL_030_1_ IPL_030_0_ cpu_est_0_.D cpu_est_0_.C cpu_est_0_.AR cpu_est_1_.D \ cpu_est_1_.C cpu_est_1_.AR cpu_est_2_.D cpu_est_2_.C cpu_est_2_.AR \ -cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR SM_AMIGA_7_.D SM_AMIGA_7_.C \ -SM_AMIGA_7_.AP SM_AMIGA_6_.D SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D \ -SM_AMIGA_5_.C SM_AMIGA_5_.AR SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR \ -SM_AMIGA_3_.D SM_AMIGA_3_.C SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C \ -SM_AMIGA_2_.AR SM_AMIGA_1_.D SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D \ -SM_AMIGA_0_.C SM_AMIGA_0_.AR CLK_000_N_SYNC_6_.D CLK_000_N_SYNC_6_.C \ -CLK_000_N_SYNC_6_.AR CLK_000_N_SYNC_7_.D CLK_000_N_SYNC_7_.C \ -CLK_000_N_SYNC_7_.AR CLK_000_N_SYNC_8_.D CLK_000_N_SYNC_8_.C \ -CLK_000_N_SYNC_8_.AR CLK_000_N_SYNC_9_.D CLK_000_N_SYNC_9_.C \ -CLK_000_N_SYNC_9_.AR CLK_000_N_SYNC_10_.D CLK_000_N_SYNC_10_.C \ -CLK_000_N_SYNC_10_.AR CLK_000_N_SYNC_11_.D CLK_000_N_SYNC_11_.C \ -CLK_000_N_SYNC_11_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C CLK_CNT_N_0_.AR \ -CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP CLK_CNT_P_0_.D CLK_CNT_P_0_.C \ -CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C CLK_CNT_P_1_.AR SIZE_DMA_0_.D \ -SIZE_DMA_0_.C SIZE_DMA_0_.AP SIZE_DMA_1_.D SIZE_DMA_1_.C SIZE_DMA_1_.AP \ -IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C IPL_030DFFSH_0_reg.AP \ -IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C IPL_030DFFSH_1_reg.AP \ -IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C IPL_030DFFSH_2_reg.AP \ -CLK_000_P_SYNC_1_.D CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR \ -CLK_000_P_SYNC_2_.D CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR \ -CLK_000_P_SYNC_3_.D CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR \ -CLK_000_P_SYNC_4_.D CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR \ -CLK_000_P_SYNC_5_.D CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR \ -CLK_000_P_SYNC_6_.D CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR \ -CLK_000_P_SYNC_7_.D CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR \ -CLK_000_P_SYNC_8_.D CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR \ -CLK_000_P_SYNC_9_.D CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR \ -CLK_000_N_SYNC_0_.D CLK_000_N_SYNC_0_.C CLK_000_N_SYNC_0_.AR \ -CLK_000_N_SYNC_1_.D CLK_000_N_SYNC_1_.C CLK_000_N_SYNC_1_.AR \ -CLK_000_N_SYNC_2_.D CLK_000_N_SYNC_2_.C CLK_000_N_SYNC_2_.AR \ -CLK_000_N_SYNC_3_.D CLK_000_N_SYNC_3_.C CLK_000_N_SYNC_3_.AR \ -CLK_000_N_SYNC_4_.D CLK_000_N_SYNC_4_.C CLK_000_N_SYNC_4_.AR \ -CLK_000_N_SYNC_5_.D CLK_000_N_SYNC_5_.C CLK_000_N_SYNC_5_.AR \ -inst_CLK_OUT_PRE_25.D inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR \ -inst_BGACK_030_INTreg.D inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP \ -CLK_000_P_SYNC_0_.D CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR inst_AS_000_INT.D \ -inst_AS_000_INT.C inst_AS_000_INT.AP inst_DS_000_ENABLE.D inst_DS_000_ENABLE.C \ -inst_DS_000_ENABLE.AR BG_000DFFSHreg.D BG_000DFFSHreg.C BG_000DFFSHreg.AP \ -inst_DSACK1_INT.D inst_DSACK1_INT.C inst_DSACK1_INT.AP inst_LDS_000_INT.D \ -inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.D inst_UDS_000_INT.C \ -inst_UDS_000_INT.AP inst_RW_000_INT.D inst_RW_000_INT.C inst_RW_000_INT.AP \ -inst_VMA_INTreg.D inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_A0_DMA.D \ -inst_A0_DMA.C inst_A0_DMA.AP inst_CLK_030_H.D inst_CLK_030_H.C \ -inst_RW_000_DMA.D inst_RW_000_DMA.C inst_RW_000_DMA.AP inst_DS_000_DMA.D \ -inst_DS_000_DMA.C inst_DS_000_DMA.AP inst_AS_000_DMA.D inst_AS_000_DMA.C \ -inst_AS_000_DMA.AP inst_AMIGA_BUS_ENABLE_INTreg.D \ +cpu_est_3_reg.D cpu_est_3_reg.C cpu_est_3_reg.AR SM_AMIGA_3_.D SM_AMIGA_3_.C \ +SM_AMIGA_3_.AR SM_AMIGA_2_.D SM_AMIGA_2_.C SM_AMIGA_2_.AR SM_AMIGA_1_.D \ +SM_AMIGA_1_.C SM_AMIGA_1_.AR SM_AMIGA_0_.D SM_AMIGA_0_.C SM_AMIGA_0_.AR \ +CLK_CNT_P_0_.D CLK_CNT_P_0_.C CLK_CNT_P_0_.AR CLK_CNT_P_1_.D CLK_CNT_P_1_.C \ +CLK_CNT_P_1_.AR SIZE_DMA_0_.D SIZE_DMA_0_.C SIZE_DMA_0_.AP SIZE_DMA_1_.D \ +SIZE_DMA_1_.C SIZE_DMA_1_.AP IPL_030DFFSH_0_reg.D IPL_030DFFSH_0_reg.C \ +IPL_030DFFSH_0_reg.AP IPL_030DFFSH_1_reg.D IPL_030DFFSH_1_reg.C \ +IPL_030DFFSH_1_reg.AP IPL_030DFFSH_2_reg.D IPL_030DFFSH_2_reg.C \ +IPL_030DFFSH_2_reg.AP SM_AMIGA_7_.D SM_AMIGA_7_.C SM_AMIGA_7_.AP SM_AMIGA_6_.D \ +SM_AMIGA_6_.C SM_AMIGA_6_.AR SM_AMIGA_5_.D SM_AMIGA_5_.C SM_AMIGA_5_.AR \ +SM_AMIGA_4_.D SM_AMIGA_4_.C SM_AMIGA_4_.AR CLK_000_P_SYNC_0_.D \ +CLK_000_P_SYNC_0_.C CLK_000_P_SYNC_0_.AR CLK_000_P_SYNC_1_.D \ +CLK_000_P_SYNC_1_.C CLK_000_P_SYNC_1_.AR CLK_000_P_SYNC_2_.D \ +CLK_000_P_SYNC_2_.C CLK_000_P_SYNC_2_.AR CLK_000_P_SYNC_3_.D \ +CLK_000_P_SYNC_3_.C CLK_000_P_SYNC_3_.AR CLK_000_P_SYNC_4_.D \ +CLK_000_P_SYNC_4_.C CLK_000_P_SYNC_4_.AR CLK_000_P_SYNC_5_.D \ +CLK_000_P_SYNC_5_.C CLK_000_P_SYNC_5_.AR CLK_000_P_SYNC_6_.D \ +CLK_000_P_SYNC_6_.C CLK_000_P_SYNC_6_.AR CLK_000_P_SYNC_7_.D \ +CLK_000_P_SYNC_7_.C CLK_000_P_SYNC_7_.AR CLK_000_P_SYNC_8_.D \ +CLK_000_P_SYNC_8_.C CLK_000_P_SYNC_8_.AR CLK_000_P_SYNC_9_.D \ +CLK_000_P_SYNC_9_.C CLK_000_P_SYNC_9_.AR CLK_CNT_N_0_.D CLK_CNT_N_0_.C \ +CLK_CNT_N_0_.AR CLK_CNT_N_1_.D CLK_CNT_N_1_.C CLK_CNT_N_1_.AP \ +inst_RW_000_INT.D inst_RW_000_INT.C inst_RW_000_INT.AP inst_VMA_INTreg.D \ +inst_VMA_INTreg.C inst_VMA_INTreg.AP inst_BGACK_030_INTreg.D \ +inst_BGACK_030_INTreg.C inst_BGACK_030_INTreg.AP inst_CLK_OUT_PRE_25.D \ +inst_CLK_OUT_PRE_25.C inst_CLK_OUT_PRE_25.AR inst_AMIGA_BUS_ENABLE_INTreg.D \ inst_AMIGA_BUS_ENABLE_INTreg.C inst_AMIGA_BUS_ENABLE_INTreg.AP \ inst_AS_030_000_SYNC.D inst_AS_030_000_SYNC.C inst_AS_030_000_SYNC.AP \ +inst_AS_000_INT.D inst_AS_000_INT.C inst_AS_000_INT.AP inst_DS_000_ENABLE.D \ +inst_DS_000_ENABLE.C inst_DS_000_ENABLE.AR BG_000DFFSHreg.D BG_000DFFSHreg.C \ +BG_000DFFSHreg.AP inst_DSACK1_INT.D inst_DSACK1_INT.C inst_DSACK1_INT.AP \ +inst_LDS_000_INT.D inst_LDS_000_INT.C inst_LDS_000_INT.AP inst_UDS_000_INT.D \ +inst_UDS_000_INT.C inst_UDS_000_INT.AP inst_A0_DMA.D inst_A0_DMA.C \ +inst_A0_DMA.AP inst_CLK_030_H.D inst_CLK_030_H.C inst_RW_000_DMA.D \ +inst_RW_000_DMA.C inst_RW_000_DMA.AP inst_DS_000_DMA.D inst_DS_000_DMA.C \ +inst_DS_000_DMA.AP inst_AS_000_DMA.D inst_AS_000_DMA.C inst_AS_000_DMA.AP \ +inst_CLK_000_D4.D inst_CLK_000_D4.C inst_CLK_000_D4.AP \ inst_CLK_OUT_PRE_33reg.D inst_CLK_OUT_PRE_33reg.C inst_CLK_OUT_PRE_33reg.AR \ -inst_CLK_OUT_PRE.D inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D \ -inst_CLK_000_D3.C inst_CLK_000_D3.AP inst_CLK_000_D2.D inst_CLK_000_D2.C \ -inst_CLK_000_D2.AP RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR \ +inst_CLK_000_D2.D inst_CLK_000_D2.C inst_CLK_000_D2.AP inst_CLK_OUT_PRE.D \ +inst_CLK_OUT_PRE.C inst_CLK_OUT_PRE.AR inst_CLK_000_D3.D inst_CLK_000_D3.C \ +inst_CLK_000_D3.AP RESETDFFRHreg.D RESETDFFRHreg.C RESETDFFRHreg.AR \ CLK_OUT_INTreg.D CLK_OUT_INTreg.C CLK_OUT_INTreg.AR inst_CLK_000_D1.D \ inst_CLK_000_D1.C inst_CLK_000_D1.AP inst_BGACK_030_INT_D.D \ inst_BGACK_030_INT_D.C inst_BGACK_030_INT_D.AP inst_CLK_OUT_PRE_50_D.D \ inst_CLK_OUT_PRE_50_D.C inst_CLK_OUT_PRE_50_D.AR inst_CLK_OUT_PRE_D.D \ inst_CLK_OUT_PRE_D.C inst_CLK_OUT_PRE_D.AR inst_CLK_000_D0.D inst_CLK_000_D0.C \ inst_CLK_000_D0.AP inst_VPA_D.D inst_VPA_D.C inst_VPA_D.AP inst_avec_expreg.D \ -inst_avec_expreg.C inst_avec_expreg.AR inst_CLK_000_NE.D inst_CLK_000_NE.C \ -inst_CLK_000_NE.AR inst_CLK_OUT_PRE_50.D inst_CLK_OUT_PRE_50.C \ -inst_CLK_OUT_PRE_50.AR SIZE_1_ AS_030 AS_000 RW_000 DS_030 UDS_000 LDS_000 A0 \ -DSACK1 DTACK RW SIZE_0_ a_i_20__n un19_fpu_cs_1 a_i_23__n un19_fpu_cs_2 \ -cpu_est_i_2__n un19_fpu_cs_3 VPA_D_i SM_AMIGA_0_sqmuxa_1_1 clk_cnt_n_i_0__n \ -DS_000_ENABLE_0_sqmuxa_1 a_i_30__n AS_030_000_SYNC_0_sqmuxa_1 a_i_31__n \ -AS_030_000_SYNC_0_sqmuxa_2 vcc_n_n a_i_28__n N_102_1 a_i_29__n N_101_1 \ -a_i_26__n N_100_1 a_i_27__n N_110_1 a_i_24__n N_104_1 a_i_25__n N_104_2 \ -N_104_3 RST_i state_machine_un10_clk_000_ne_1_n sm_amiga_ns_0_1_0__n \ -sm_amiga_ns_0_2_0__n CLK_OSZI_i sm_amiga_ns_0_3_0__n cpu_est_ns_0_1_2__n \ -CLK_OUT_PRE_50_D_i N_30_1 AS_030_c N_222_1 cpu_estse_1_un3_n AS_000_c \ -cpu_estse_1_un1_n cpu_estse_1_un0_n RW_000_c ipl_030_0_2__un3_n \ -ipl_030_0_2__un1_n DS_030_c ipl_030_0_2__un0_n ipl_030_0_1__un3_n UDS_000_c \ -ipl_030_0_1__un1_n state_machine_un10_clk_000_d0_n ipl_030_0_1__un0_n \ -LDS_000_c ipl_030_0_0__un3_n ipl_030_0_0__un1_n size_c_0__n ipl_030_0_0__un0_n \ -rw_000_int_0_un3_n size_c_1__n rw_000_int_0_un1_n rw_000_int_0_un0_n a_c_16__n \ -dsack1_int_0_un3_n dsack1_int_0_un1_n a_c_17__n dsack1_int_0_un0_n \ -ds_000_enable_0_un3_n a_c_18__n ds_000_enable_0_un1_n \ -state_machine_un3_clk_out_pre_50_n ds_000_enable_0_un0_n a_c_19__n \ -as_000_int_0_un3_n as_000_int_0_un1_n a_c_20__n as_000_int_0_un0_n \ -un1_LDS_000_INT as_030_000_sync_0_un3_n a_c_21__n as_030_000_sync_0_un1_n \ -as_030_000_sync_0_un0_n un1_UDS_000_INT a_c_22__n bgack_030_int_0_un3_n \ -bgack_030_int_0_un1_n a_c_23__n bgack_030_int_0_un0_n as_000_dma_0_un3_n \ -state_machine_un12_clk_000_d0_n a_c_24__n as_000_dma_0_un1_n \ -as_000_dma_0_un0_n a_c_25__n ds_000_dma_0_un3_n ds_000_dma_0_un1_n a_c_26__n \ -ds_000_dma_0_un0_n rw_000_dma_0_un3_n a_c_27__n rw_000_dma_0_un1_n \ -rw_000_dma_0_un0_n a_c_28__n clk_030_h_0_un3_n clk_030_h_0_un1_n a_c_29__n \ -clk_030_h_0_un0_n amiga_bus_enable_int_0_un3_n a_c_30__n \ -amiga_bus_enable_int_0_un1_n amiga_bus_enable_int_0_un0_n a_c_31__n \ -bg_000_0_un3_n bg_000_0_un1_n A0_c bg_000_0_un0_n lds_000_int_0_un3_n \ -nEXP_SPACE_c lds_000_int_0_un1_n lds_000_int_0_un0_n BERR_c \ -uds_000_int_0_un3_n uds_000_int_0_un1_n BG_030_c uds_000_int_0_un0_n \ -vma_int_0_un3_n vma_int_0_un1_n vma_int_0_un0_n cpu_estse_0_un3_n un28_ciin \ -BGACK_000_c cpu_estse_0_un1_n un19_fpu_cs cpu_estse_0_un0_n CLK_030_c \ -cpu_estse_2_un3_n cpu_estse_2_un1_n CLK_000_c cpu_estse_2_un0_n CLK_OSZI_c \ +inst_avec_expreg.C inst_avec_expreg.AR inst_CLK_OUT_PRE_50.D \ +inst_CLK_OUT_PRE_50.C inst_CLK_OUT_PRE_50.AR SIZE_1_ AS_030 AS_000 RW_000 \ +DS_030 UDS_000 LDS_000 A0 DSACK1 DTACK RW SIZE_0_ sm_amiga_ns_0_2_0__n \ +CLK_OSZI_i sm_amiga_ns_0_3_0__n uds_000_int_0_un3_n uds_000_int_0_un1_n \ +un16_ciin_i uds_000_int_0_un0_n CLK_OUT_PRE_50_D_i ipl_030_0_0__un3_n AS_030_c \ +ipl_030_0_0__un1_n vcc_n_n ipl_030_0_0__un0_n AS_000_c ipl_030_0_1__un3_n \ +ipl_030_0_1__un1_n RW_000_c ipl_030_0_1__un0_n ipl_030_0_2__un3_n DS_030_c \ +ipl_030_0_2__un1_n ipl_030_0_2__un0_n UDS_000_c cpu_estse_0_un3_n \ +cpu_estse_0_un1_n LDS_000_c cpu_estse_0_un0_n cpu_estse_1_un3_n size_c_0__n \ +cpu_estse_1_un1_n cpu_estse_1_un0_n size_c_1__n cpu_estse_2_un3_n \ +cpu_estse_2_un1_n a_c_16__n cpu_estse_2_un0_n as_030_000_sync_0_un3_n \ +a_c_17__n as_030_000_sync_0_un1_n as_030_000_sync_0_un0_n a_c_18__n \ +ds_000_enable_0_un3_n ds_000_enable_0_un1_n a_c_19__n ds_000_enable_0_un0_n \ +lds_000_int_0_un3_n a_c_20__n lds_000_int_0_un1_n lds_000_int_0_un0_n \ +a_c_21__n bgack_030_int_0_un3_n bgack_030_int_0_un1_n a_c_22__n \ +bgack_030_int_0_un0_n amiga_bus_enable_int_0_un3_n a_c_23__n \ +amiga_bus_enable_int_0_un1_n amiga_bus_enable_int_0_un0_n a_c_24__n \ +ds_000_dma_0_un3_n state_machine_un3_clk_out_pre_50_n ds_000_dma_0_un1_n \ +a_c_25__n ds_000_dma_0_un0_n as_000_dma_0_un3_n un1_LDS_000_INT a_c_26__n \ +as_000_dma_0_un1_n as_000_dma_0_un0_n a_c_27__n clk_030_h_0_un3_n \ +un1_UDS_000_INT clk_030_h_0_un1_n a_c_28__n clk_030_h_0_un0_n \ +rw_000_dma_0_un3_n a_c_29__n rw_000_dma_0_un1_n rw_000_dma_0_un0_n a_c_30__n \ +vma_int_0_un3_n vma_int_0_un1_n a_c_31__n vma_int_0_un0_n as_000_int_0_un3_n \ +A0_c as_000_int_0_un1_n as_000_int_0_un0_n nEXP_SPACE_c dsack1_int_0_un3_n \ +dsack1_int_0_un1_n BERR_c dsack1_int_0_un0_n rw_000_int_0_un3_n BG_030_c \ +rw_000_int_0_un1_n rw_000_int_0_un0_n bg_000_0_un3_n bg_000_0_un1_n \ +un19_fpu_cs bg_000_0_un0_n un5_ciin BGACK_000_c CLK_030_c un16_ciin CLK_OSZI_c \ ipl_c_0__n ipl_c_1__n ipl_c_2__n DSACK1_c DTACK_c VPA_c RST_c RW_c fc_c_0__n \ -cpu_est_ns_1__n fc_c_1__n cpu_est_ns_2__n un6_clk_pre_66 un2_clk_pre_66 \ -AMIGA_BUS_DATA_DIR_c N_222 N_37 N_223 N_224 SM_AMIGA_0_sqmuxa_i N_227 \ -DS_000_ENABLE_0_sqmuxa_i N_219 un1_SM_AMIGA_0_sqmuxa_2_i N_228 \ -state_machine_un10_clk_000_ne_i_n N_229 state_machine_un4_clk_000_ne_i_n N_230 \ -state_machine_un6_clk_000_ne_i_n N_28 state_machine_rw_000_int_3_0_n N_29 \ -N_75_0 N_30 sm_amiga_ns_0_0__n N_105_i N_31 N_106_i N_220 sm_amiga_ns_0_1__n \ -N_32 N_107_i N_35 sm_amiga_ns_0_2__n state_machine_un5_clk_000_n_sync_n \ -N_110_i N_178 sm_amiga_ns_0_4__n N_179 N_114_i N_179_1 N_113_i un19_fpu_cs_5 \ -sm_amiga_ns_0_6__n state_machine_un28_as_030_n N_91_i N_247 N_92_i \ -state_machine_un8_bgack_030_int_n sm_amiga_i_5__n \ -state_machine_un10_bgack_030_int_n N_93_i CLK_030_H_1_sqmuxa N_95_0 \ -AS_000_DMA_1_sqmuxa N_103_i DS_000_DMA_1_sqmuxa N_100_i DS_000_DMA_1_sqmuxa_1 \ -N_102_i state_machine_un24_bgack_030_int_n N_101_i state_machine_clk_030_h_2_n \ -N_104_i state_machine_clk_030_h_2_f1_n state_machine_un4_bgack_000_0_n \ -state_machine_un31_bgack_030_int_n N_33_i state_machine_ds_000_dma_3_n N_220_0 \ -un1_bgack_030_int_d state_machine_un3_bgack_030_int_d_i_n \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa un1_bgack_030_int_d_0 \ -state_machine_un10_bg_030_n AMIGA_BUS_ENABLE_INT_3_sqmuxa_i \ -state_machine_un3_bgack_030_int_d_n AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 sm_amiga_i_6__n SM_AMIGA_0_sqmuxa_1 N_96_i \ -AMIGA_BUS_ENABLE_INT_3_sqmuxa sm_amiga_i_2__n N_98 sm_amiga_i_4__n \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 N_115_i state_machine_un8_bg_030_n \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa N_111_i N_103 N_112_i N_91 sm_amiga_ns_0_5__n \ -N_109 N_109_i N_112 N_108_i N_115 sm_amiga_ns_0_3__n N_95 \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_i N_108 AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i N_111 \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 N_96 BG_030_c_i \ -state_machine_un4_bgack_000_n state_machine_un8_bg_030_i_n N_33 \ -state_machine_un10_bg_030_0_n DS_000_ENABLE_0_sqmuxa \ -state_machine_un5_bgack_030_int_d_i_n AS_030_000_SYNC_0_sqmuxa \ -state_machine_un10_bgack_030_int_0_n un2_as_030 state_machine_ds_000_dma_3_0_n \ -un1_AS_030_000_SYNC_0_sqmuxa_1_1 state_machine_size_dma_4_0_0__n \ -un1_SM_AMIGA_0_sqmuxa_2 state_machine_size_dma_4_0_1__n \ -un1_AS_030_000_SYNC_0_sqmuxa_1 CLK_030_H_i N_102 CLK_030_H_1_sqmuxa_i N_92 \ -state_machine_clk_030_h_2_f1_0_n N_101 un3_dtack_i N_93 cpu_est_ns_0_1__n \ -N_100 N_37_i N_110 N_224_i N_107 N_32_i N_114_1 N_223_i N_104 N_178_i N_114 \ -N_179_i state_machine_un10_clk_000_ne_n AMIGA_BUS_DATA_DIR_c_0 \ -state_machine_un6_clk_000_ne_n N_219_i N_113 N_30_i SM_AMIGA_0_sqmuxa N_31_i \ -N_99 un28_ciin_0 N_105 N_28_i N_106 N_29_i N_75 cpu_est_ns_e_0_0__n \ -state_machine_rw_000_int_3_n N_228_i state_machine_un4_clk_000_ne_n N_229_i \ -DSACK1_INT_1_sqmuxa N_230_i DSACK1_INT_0_sqmuxa cpu_est_ns_0_2__n \ -AS_000_INT_1_sqmuxa N_35_i un19_fpu_cs_i N_227_i \ -un1_AS_030_000_SYNC_0_sqmuxa_1_1_i N_164_i N_99_i N_222_i \ -DSACK1_INT_0_sqmuxa_i state_machine_un10_clk_000_d0_i_n DTACK_i \ -state_machine_un12_clk_000_d0_0_n BERR_i un2_clk_pre_66_i CLK_000_NE_i \ -un6_clk_pre_66_i sm_amiga_i_1__n CLK_PRE_66_0 VPA_i LDS_000_INT_i VMA_INT_i \ -un1_LDS_000_INT_0 sm_amiga_i_0__n UDS_000_INT_i SM_AMIGA_0_sqmuxa_1_i \ -un1_UDS_000_INT_0 sm_amiga_i_3__n state_machine_un7_ds_030_i_n avec_exp_i \ -A0_c_i AS_030_i size_c_i_1__n AS_030_000_SYNC_0_sqmuxa_i un3_dtack_i_1 \ -AS_030_000_SYNC_i N_247_1 a_i_16__n N_247_2 a_i_19__n N_247_3 a_i_17__n \ -N_247_4 a_i_18__n N_247_5 sm_amiga_i_7__n N_247_6 N_98_i \ -state_machine_un7_ds_030_i_1_n BGACK_030_INT_i N_31_1 BGACK_030_INT_D_i N_31_2 \ -DS_000_DMA_1_sqmuxa_1_i N_179_1_0 state_machine_un8_bgack_030_int_i_n \ -state_machine_clk_000_p_sync_3_1_0__n CLK_030_i \ -state_machine_clk_000_p_sync_3_2_0__n UDS_000_i state_machine_un28_as_030_1_n \ -LDS_000_i state_machine_un28_as_030_2_n state_machine_un31_bgack_030_int_i_n \ -state_machine_clk_000_n_sync_2_1_0__n RW_000_i cpu_est_ns_0_1_1__n \ -state_machine_un24_bgack_030_int_i_n cpu_est_ns_0_2_1__n AS_000_DMA_i \ -state_machine_un10_clk_000_d0_1_n CLK_000_D2_i \ -state_machine_un10_clk_000_d0_2_n CLK_000_D3_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 \ -CLK_000_D1_i AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 state_machine_un28_as_030_i_n \ -N_96_i_1 nEXP_SPACE_i state_machine_un8_bg_030_1_n AS_000_i \ -state_machine_un8_bg_030_2_n RW_i un1_bgack_030_int_d_0_1 CLK_000_D0_i N_33_1 \ -cpu_est_i_3__n N_33_2 cpu_est_i_1__n un19_fpu_cs_5_1 cpu_est_i_0__n \ -un19_fpu_cs_5_2 AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE LDS_000.OE \ -SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE G_109 G_115 \ -CLK_OUT_PRE_25_0 un28_ciin_0_x2 -.names cpu_est_ns_e_0_0__n.BLIF cpu_est_0_.D -0 1 +un6_clk_pre_66 un2_clk_pre_66 fc_c_1__n N_96 state_machine_un34_clk_000_d0_n \ +state_machine_un16_clk_000_d0_n AMIGA_BUS_DATA_DIR_c N_88 N_111 \ +state_machine_un8_bgack_030_int_n N_175_1 UDS_000_INT_i \ +state_machine_un10_clk_000_d0_2_n un1_UDS_000_INT_0 \ +state_machine_un38_clk_000_d0_1_n SM_AMIGA_0_sqmuxa_i N_171 \ +DS_000_ENABLE_0_sqmuxa_i cpu_est_ns_1__n un1_SM_AMIGA_0_sqmuxa_2_i \ +DSACK1_INT_0_sqmuxa N_100_i state_machine_un1_as_030_n sm_amiga_ns_0_0__n \ +AS_030_000_SYNC_0_sqmuxa N_102_i state_machine_un10_bg_030_n N_103_i un8_ciin \ +sm_amiga_ns_0_1__n un12_ciin N_106_i un14_ciin N_105_i \ +state_machine_un8_bg_030_n sm_amiga_ns_0_3__n AS_000_INT_1_sqmuxa N_107_i \ +DSACK1_INT_1_sqmuxa sm_amiga_ns_0_4__n state_machine_rw_000_int_3_n N_108_i \ +N_71 N_109_i state_machine_un12_clk_000_d0_n sm_amiga_ns_0_5__n \ +state_machine_un10_clk_000_d0_n N_110_i state_machine_un5_clk_000_d0_n N_163 \ +N_88_i N_164 N_90_i N_165 N_93_0 state_machine_un38_clk_000_d0_n N_97_i \ +state_machine_un31_bgack_030_int_n N_98_i N_174 N_99_i N_175 N_101_i N_112 \ +sm_amiga_i_4__n state_machine_un32_clk_000_d0_n N_91_i \ +state_machine_clk_030_h_2_n N_168_i DS_000_DMA_1_sqmuxa_1 cpu_est_ns_0_2__n \ +AS_000_DMA_1_sqmuxa state_machine_un10_clk_000_d0_2_i_n CLK_030_H_1_sqmuxa_1 \ +N_167_i DS_000_DMA_1_sqmuxa N_170_i state_machine_un24_bgack_030_int_n N_169_i \ +state_machine_un10_bgack_030_int_n N_160_i state_machine_clk_030_h_2_f1_n \ +N_92_0 CLK_030_H_1_sqmuxa N_104_i un1_bgack_030_int_d \ +un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa state_machine_un1_as_030_i_n \ +state_machine_un3_bgack_030_int_d_n state_machine_un6_clk_000_p_sync_i_n \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 state_machine_un6_bgack_000_0_n \ +SM_AMIGA_0_sqmuxa_1 LDS_000_INT_i AMIGA_BUS_ENABLE_INT_3_sqmuxa \ +un1_LDS_000_INT_0 AMIGA_BUS_ENABLE_INT_2_sqmuxa state_machine_un7_ds_030_i_n \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 A0_c_i N_95 size_c_i_1__n \ +un1_AS_030_000_SYNC_0_sqmuxa_1 state_machine_un5_bgack_030_int_d_i_n \ +un1_SM_AMIGA_0_sqmuxa_2 AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i un2_as_030 \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_i state_machine_un6_bgack_000_n \ +un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 state_machine_un6_clk_000_p_sync_n \ +state_machine_un3_bgack_030_int_d_i_n N_104 un1_bgack_030_int_d_0 N_92 \ +AMIGA_BUS_ENABLE_INT_3_sqmuxa_i cpu_est_ns_2__n \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i N_167 un3_dtack_i N_168 CLK_030_H_i N_169 \ +CLK_030_H_1_sqmuxa_i N_170 state_machine_clk_030_h_2_f1_0_n N_102 \ +state_machine_un10_bgack_030_int_0_n DS_000_ENABLE_0_sqmuxa \ +state_machine_un38_clk_000_d0_i_n N_101 state_machine_un32_clk_000_d0_i_n N_90 \ +state_machine_un34_clk_000_d0_0_n N_91 N_112_i N_100 sm_amiga_ns_0_7__n \ +state_machine_un30_clk_000_d0_n N_175_i N_98 N_174_i N_97 \ +AMIGA_BUS_DATA_DIR_c_0 N_107 state_machine_size_dma_4_0_1__n N_99 \ +state_machine_size_dma_4_0_0__n N_93 N_171_i N_110 N_164_i N_108 N_163_i N_109 \ +cpu_est_ns_0_1__n SM_AMIGA_0_sqmuxa state_machine_un10_clk_000_d0_i_n N_105 \ +state_machine_un5_clk_000_d0_i_n N_106 state_machine_un12_clk_000_d0_0_n N_103 \ +N_71_0 un19_fpu_cs_i state_machine_rw_000_int_3_0_n CLK_000_D1_i BG_030_c_i \ +sm_amiga_i_1__n state_machine_un8_bg_030_i_n sm_amiga_i_0__n \ +state_machine_un10_bg_030_0_n CLK_000_D0_i un8_ciin_i sm_amiga_i_2__n \ +un14_ciin_0 BERR_i un2_clk_pre_66_i state_machine_un30_clk_000_d0_i_n \ +un6_clk_pre_66_i sm_amiga_i_3__n CLK_PRE_66_0 SM_AMIGA_0_sqmuxa_1_i \ +cpu_est_ns_0_1_1__n CLK_000_D3_i cpu_est_ns_0_2_1__n CLK_000_D2_i N_91_i_1 \ +cpu_est_i_1__n un5_ciin_1 cpu_est_i_0__n un5_ciin_2 cpu_est_i_3__n un5_ciin_3 \ +state_machine_un38_clk_000_d0_1_i_n un5_ciin_4 AS_030_000_SYNC_i un5_ciin_5 \ +CLK_000_D4_i un5_ciin_6 sm_amiga_i_5__n un5_ciin_7 sm_amiga_i_6__n un5_ciin_8 \ +a_i_19__n un5_ciin_9 a_i_18__n un5_ciin_10 AS_030_i un5_ciin_11 a_i_16__n \ +state_machine_un8_bg_030_1_n AS_030_000_SYNC_0_sqmuxa_i \ +state_machine_un8_bg_030_2_n N_95_i un12_ciin_1 BGACK_030_INT_i un12_ciin_2 \ +BGACK_030_INT_D_i un12_ciin_3 AS_000_i un12_ciin_4 CLK_030_i un12_ciin_5 \ +AS_000_DMA_i un12_ciin_6 state_machine_un24_bgack_030_int_i_n \ +AS_030_000_SYNC_0_sqmuxa_1 RW_000_i AS_030_000_SYNC_0_sqmuxa_2 UDS_000_i \ +state_machine_un38_clk_000_d0_1_0_n LDS_000_i state_machine_un5_clk_000_d0_1_n \ +state_machine_un8_bgack_030_int_i_n state_machine_un5_clk_000_d0_2_n \ +CLK_030_H_1_sqmuxa_1_i state_machine_un10_clk_000_d0_1_n \ +DS_000_DMA_1_sqmuxa_1_i state_machine_un10_clk_000_d0_2_0_n DTACK_i \ +state_machine_un10_clk_000_d0_3_n sm_amiga_i_7__n N_175_1_0 N_111_i \ +un3_dtack_i_1 nEXP_SPACE_i un1_bgack_030_int_d_0_1 RW_i \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 state_machine_un31_bgack_030_int_i_n \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 VPA_D_i state_machine_un7_ds_030_i_1_n VPA_i \ +un19_fpu_cs_1 VMA_INT_i un19_fpu_cs_2 cpu_est_i_2__n un19_fpu_cs_3 N_165_i_0 \ +un19_fpu_cs_4 DSACK1_INT_0_sqmuxa_i un19_fpu_cs_5 N_96_i un19_fpu_cs_6 \ +a_i_31__n DSACK1_INT_0_sqmuxa_1 a_i_30__n SM_AMIGA_0_sqmuxa_1_1 a_i_29__n \ +cpu_est_ns_0_1_2__n a_i_28__n state_machine_clk_000_p_sync_3_1_0__n a_i_27__n \ +DS_000_ENABLE_0_sqmuxa_1 a_i_26__n N_101_1 a_i_25__n N_101_2 a_i_24__n N_101_3 \ +un5_ciin_i N_100_1 un12_ciin_i N_98_1 clk_cnt_n_i_0__n N_97_1 N_107_1 RST_i \ +sm_amiga_ns_0_1_0__n AS_030.OE AS_000.OE RW_000.OE DS_030.OE UDS_000.OE \ +LDS_000.OE SIZE_0_.OE SIZE_1_.OE A0.OE DSACK1.OE DTACK.OE RW.OE CIIN.OE G_108 \ +G_114 CLK_OUT_PRE_25_0 cpu_estse .names cpu_estse_0_un1_n.BLIF cpu_estse_0_un0_n.BLIF cpu_est_1_.D 1- 1 -1 1 @@ -456,25 +443,15 @@ CLK_OUT_PRE_25_0 un28_ciin_0_x2 .names cpu_estse_2_un1_n.BLIF cpu_estse_2_un0_n.BLIF cpu_est_3_reg.D 1- 1 -1 1 -.names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D -0 1 -.names sm_amiga_ns_0_1__n.BLIF SM_AMIGA_6_.D -0 1 -.names sm_amiga_ns_0_2__n.BLIF SM_AMIGA_5_.D -0 1 -.names sm_amiga_ns_0_3__n.BLIF SM_AMIGA_4_.D -0 1 .names sm_amiga_ns_0_4__n.BLIF SM_AMIGA_3_.D 0 1 .names sm_amiga_ns_0_5__n.BLIF SM_AMIGA_2_.D 0 1 -.names sm_amiga_ns_0_6__n.BLIF SM_AMIGA_1_.D -0 1 -.names N_103_i.BLIF N_115_i.BLIF SM_AMIGA_0_.D +.names inst_CLK_000_D0.BLIF N_110_i.BLIF SM_AMIGA_1_.D 11 1 -.names G_109.BLIF CLK_CNT_N_0_.D +.names sm_amiga_ns_0_7__n.BLIF SM_AMIGA_0_.D 0 1 -.names G_115.BLIF CLK_CNT_P_0_.D +.names G_114.BLIF CLK_CNT_P_0_.D 0 1 .names state_machine_size_dma_4_0_0__n.BLIF SIZE_DMA_0_.D 0 1 @@ -489,16 +466,37 @@ CLK_OUT_PRE_25_0 un28_ciin_0_x2 .names ipl_030_0_2__un1_n.BLIF ipl_030_0_2__un0_n.BLIF IPL_030DFFSH_2_reg.D 1- 1 -1 1 -.names state_machine_clk_000_n_sync_2_1_0__n.BLIF \ -state_machine_un5_clk_000_n_sync_n.BLIF CLK_000_N_SYNC_0_.D +.names sm_amiga_ns_0_0__n.BLIF SM_AMIGA_7_.D +0 1 +.names sm_amiga_ns_0_1__n.BLIF SM_AMIGA_6_.D +0 1 +.names inst_CLK_000_D0.BLIF N_104_i.BLIF SM_AMIGA_5_.D 11 1 +.names sm_amiga_ns_0_3__n.BLIF SM_AMIGA_4_.D +0 1 +.names state_machine_clk_000_p_sync_3_1_0__n.BLIF \ +state_machine_un6_clk_000_p_sync_n.BLIF CLK_000_P_SYNC_0_.D +11 1 +.names G_108.BLIF CLK_CNT_N_0_.D +0 1 +.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF inst_RW_000_INT.D +1- 1 +-1 1 +.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D +1- 1 +-1 1 .names bgack_030_int_0_un1_n.BLIF bgack_030_int_0_un0_n.BLIF \ inst_BGACK_030_INTreg.D 1- 1 -1 1 -.names state_machine_clk_000_p_sync_3_1_0__n.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n.BLIF CLK_000_P_SYNC_0_.D -11 1 +.names amiga_bus_enable_int_0_un1_n.BLIF amiga_bus_enable_int_0_un0_n.BLIF \ +inst_AMIGA_BUS_ENABLE_INTreg.D +1- 1 +-1 1 +.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF \ +inst_AS_030_000_SYNC.D +1- 1 +-1 1 .names as_000_int_0_un1_n.BLIF as_000_int_0_un0_n.BLIF inst_AS_000_INT.D 1- 1 -1 1 @@ -518,12 +516,6 @@ inst_DS_000_ENABLE.D .names uds_000_int_0_un1_n.BLIF uds_000_int_0_un0_n.BLIF inst_UDS_000_INT.D 1- 1 -1 1 -.names rw_000_int_0_un1_n.BLIF rw_000_int_0_un0_n.BLIF inst_RW_000_INT.D -1- 1 --1 1 -.names vma_int_0_un1_n.BLIF vma_int_0_un0_n.BLIF inst_VMA_INTreg.D -1- 1 --1 1 .names UDS_000_c.BLIF state_machine_un8_bgack_030_int_n.BLIF inst_A0_DMA.D 11 1 .names clk_030_h_0_un1_n.BLIF clk_030_h_0_un0_n.BLIF inst_CLK_030_H.D @@ -538,201 +530,102 @@ inst_DS_000_ENABLE.D .names as_000_dma_0_un1_n.BLIF as_000_dma_0_un0_n.BLIF inst_AS_000_DMA.D 1- 1 -1 1 -.names amiga_bus_enable_int_0_un1_n.BLIF amiga_bus_enable_int_0_un0_n.BLIF \ -inst_AMIGA_BUS_ENABLE_INTreg.D -1- 1 --1 1 -.names as_030_000_sync_0_un1_n.BLIF as_030_000_sync_0_un0_n.BLIF \ -inst_AS_030_000_SYNC.D -1- 1 --1 1 .names inst_CLK_OUT_PRE_33reg.BLIF inst_CLK_OUT_PRE_33reg.D 0 1 .names CLK_PRE_66_0.BLIF inst_CLK_OUT_PRE_33reg.C 0 1 .names inst_CLK_OUT_PRE_50.BLIF inst_CLK_OUT_PRE_50.D 0 1 -.names a_c_20__n.BLIF a_i_20__n -0 1 -.names AS_030_i.BLIF a_i_16__n.BLIF un19_fpu_cs_1 -11 1 -.names a_c_23__n.BLIF a_i_23__n -0 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF un19_fpu_cs_2 -11 1 -.names cpu_est_2_.BLIF cpu_est_i_2__n -0 1 -.names un19_fpu_cs_1.BLIF un19_fpu_cs_2.BLIF un19_fpu_cs_3 -11 1 -.names inst_VPA_D.BLIF VPA_D_i -0 1 -.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF SM_AMIGA_0_sqmuxa_1_1 -11 1 -.names CLK_CNT_N_0_.BLIF clk_cnt_n_i_0__n -0 1 -.names RW_c.BLIF SM_AMIGA_6_.BLIF DS_000_ENABLE_0_sqmuxa_1 -11 1 -.names a_c_30__n.BLIF a_i_30__n -0 1 -.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_7_.BLIF AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names a_c_31__n.BLIF a_i_31__n -0 1 -.names nEXP_SPACE_c.BLIF state_machine_un28_as_030_i_n.BLIF \ -AS_030_000_SYNC_0_sqmuxa_2 -11 1 -.names vcc_n_n - 1 -.names a_c_28__n.BLIF a_i_28__n -0 1 -.names BERR_i.BLIF N_92.BLIF N_102_1 -11 1 -.names a_c_29__n.BLIF a_i_29__n -0 1 -.names N_93.BLIF BERR_i.BLIF N_101_1 -11 1 -.names a_c_26__n.BLIF a_i_26__n -0 1 -.names BERR_i.BLIF N_96.BLIF N_100_1 -11 1 -.names a_c_27__n.BLIF a_i_27__n -0 1 -.names BERR_c.BLIF N_92.BLIF N_110_1 -11 1 -.names a_c_24__n.BLIF a_i_24__n -0 1 -.names N_93_i.BLIF N_96_i.BLIF N_104_1 -11 1 -.names a_c_25__n.BLIF a_i_25__n -0 1 -.names SM_AMIGA_0_sqmuxa_1_i.BLIF sm_amiga_i_0__n.BLIF N_104_2 -11 1 -.names N_104_1.BLIF N_104_2.BLIF N_104_3 -11 1 -.names RST_c.BLIF RST_i -0 1 -.names VPA_i.BLIF N_219_i.BLIF state_machine_un10_clk_000_ne_1_n -11 1 -.names N_101_i.BLIF N_102_i.BLIF sm_amiga_ns_0_1_0__n -11 1 -.names N_104_i.BLIF N_100_i.BLIF sm_amiga_ns_0_2_0__n +.names N_98_i.BLIF N_100_i.BLIF sm_amiga_ns_0_2_0__n 11 1 .names CLK_OSZI_c.BLIF CLK_OSZI_i 0 1 .names sm_amiga_ns_0_1_0__n.BLIF sm_amiga_ns_0_2_0__n.BLIF \ sm_amiga_ns_0_3_0__n 11 1 -.names N_230_i.BLIF N_228_i.BLIF cpu_est_ns_0_1_2__n +.names DS_030_c.BLIF uds_000_int_0_un3_n +0 1 +.names inst_UDS_000_INT.BLIF DS_030_c.BLIF uds_000_int_0_un1_n +11 1 +.names un16_ciin.BLIF un16_ciin_i +0 1 +.names A0_c.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n 11 1 .names inst_CLK_OUT_PRE_50_D.BLIF CLK_OUT_PRE_50_D_i 0 1 -.names un28_ciin_0_x2.BLIF a_i_20__n.BLIF N_30_1 -11 1 -.names CLK_000_D0_i.BLIF N_37.BLIF N_222_1 -11 1 -.names inst_avec_expreg.BLIF cpu_estse_1_un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_0__un3_n 0 1 -.names cpu_est_ns_2__n.BLIF inst_avec_expreg.BLIF cpu_estse_1_un1_n +.names ipl_c_0__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF \ +ipl_030_0_0__un1_n 11 1 -.names cpu_est_2_.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n +.names vcc_n_n + 1 +.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n 11 1 -.names inst_avec_expreg.BLIF ipl_030_0_2__un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_1__un3_n 0 1 -.names ipl_c_2__n.BLIF inst_avec_expreg.BLIF ipl_030_0_2__un1_n -11 1 -.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n -11 1 -.names inst_avec_expreg.BLIF ipl_030_0_1__un3_n -0 1 -.names ipl_c_1__n.BLIF inst_avec_expreg.BLIF ipl_030_0_1__un1_n -11 1 -.names state_machine_un10_clk_000_d0_1_n.BLIF \ -state_machine_un10_clk_000_d0_2_n.BLIF state_machine_un10_clk_000_d0_n +.names ipl_c_1__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF \ +ipl_030_0_1__un1_n 11 1 .names IPL_030DFFSH_1_reg.BLIF ipl_030_0_1__un3_n.BLIF ipl_030_0_1__un0_n 11 1 -.names inst_avec_expreg.BLIF ipl_030_0_0__un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF ipl_030_0_2__un3_n 0 1 -.names ipl_c_0__n.BLIF inst_avec_expreg.BLIF ipl_030_0_0__un1_n +.names ipl_c_2__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF \ +ipl_030_0_2__un1_n 11 1 -.names IPL_030DFFSH_0_reg.BLIF ipl_030_0_0__un3_n.BLIF ipl_030_0_0__un0_n +.names IPL_030DFFSH_2_reg.BLIF ipl_030_0_2__un3_n.BLIF ipl_030_0_2__un0_n 11 1 -.names N_75.BLIF rw_000_int_0_un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_0_un3_n 0 1 -.names state_machine_rw_000_int_3_n.BLIF N_75.BLIF rw_000_int_0_un1_n +.names cpu_est_ns_1__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF \ +cpu_estse_0_un1_n 11 1 -.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +.names cpu_est_1_.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n 11 1 -.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_1_un3_n 0 1 -.names inst_DSACK1_INT.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n +.names cpu_est_ns_2__n.BLIF state_machine_un6_clk_000_p_sync_n.BLIF \ +cpu_estse_1_un1_n 11 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +.names cpu_est_2_.BLIF cpu_estse_1_un3_n.BLIF cpu_estse_1_un0_n 11 1 -.names un2_as_030.BLIF ds_000_enable_0_un3_n +.names state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_2_un3_n 0 1 -.names inst_DS_000_ENABLE.BLIF un2_as_030.BLIF ds_000_enable_0_un1_n +.names N_160_i.BLIF state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse_2_un1_n 11 1 -.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_50_D_i.BLIF \ -state_machine_un3_clk_out_pre_50_n +.names cpu_est_3_reg.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n 11 1 -.names un1_SM_AMIGA_0_sqmuxa_2.BLIF ds_000_enable_0_un3_n.BLIF \ -ds_000_enable_0_un0_n -11 1 -.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n -0 1 -.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n -11 1 -.names N_99_i.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n -11 1 -.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT -0 1 .names un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF as_030_000_sync_0_un3_n 0 1 .names inst_AS_030_000_SYNC.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1.BLIF \ as_030_000_sync_0_un1_n 11 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1_1_i.BLIF as_030_000_sync_0_un3_n.BLIF \ +.names state_machine_un1_as_030_n.BLIF as_030_000_sync_0_un3_n.BLIF \ as_030_000_sync_0_un0_n 11 1 -.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT +.names un2_as_030.BLIF ds_000_enable_0_un3_n 0 1 -.names state_machine_un4_bgack_000_n.BLIF bgack_030_int_0_un3_n +.names inst_DS_000_ENABLE.BLIF un2_as_030.BLIF ds_000_enable_0_un1_n +11 1 +.names un1_SM_AMIGA_0_sqmuxa_2.BLIF ds_000_enable_0_un3_n.BLIF \ +ds_000_enable_0_un0_n +11 1 +.names DS_030_c.BLIF lds_000_int_0_un3_n 0 1 -.names BGACK_000_c.BLIF state_machine_un4_bgack_000_n.BLIF \ +.names inst_LDS_000_INT.BLIF DS_030_c.BLIF lds_000_int_0_un1_n +11 1 +.names state_machine_un7_ds_030_i_n.BLIF lds_000_int_0_un3_n.BLIF \ +lds_000_int_0_un0_n +11 1 +.names state_machine_un6_bgack_000_n.BLIF bgack_030_int_0_un3_n +0 1 +.names BGACK_000_c.BLIF state_machine_un6_bgack_000_n.BLIF \ bgack_030_int_0_un1_n 11 1 .names inst_BGACK_030_INTreg.BLIF bgack_030_int_0_un3_n.BLIF \ bgack_030_int_0_un0_n 11 1 -.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n -0 1 -.names state_machine_un12_clk_000_d0_0_n.BLIF state_machine_un12_clk_000_d0_n -0 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n -11 1 -.names state_machine_un8_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF \ -as_000_dma_0_un0_n -11 1 -.names DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un3_n -0 1 -.names inst_DS_000_DMA.BLIF DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un1_n -11 1 -.names state_machine_ds_000_dma_3_n.BLIF ds_000_dma_0_un3_n.BLIF \ -ds_000_dma_0_un0_n -11 1 -.names AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un3_n -0 1 -.names inst_RW_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un1_n -11 1 -.names DS_000_DMA_1_sqmuxa_1_i.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n -11 1 -.names RST_c.BLIF clk_030_h_0_un3_n -0 1 -.names state_machine_clk_030_h_2_n.BLIF RST_c.BLIF clk_030_h_0_un1_n -11 1 -.names inst_CLK_030_H.BLIF clk_030_h_0_un3_n.BLIF clk_030_h_0_un0_n -11 1 .names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un3_n 0 1 .names inst_AMIGA_BUS_ENABLE_INTreg.BLIF \ @@ -741,616 +634,705 @@ un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF amiga_bus_enable_int_0_un1_n .names un1_bgack_030_int_d.BLIF amiga_bus_enable_int_0_un3_n.BLIF \ amiga_bus_enable_int_0_un0_n 11 1 +.names DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un3_n +0 1 +.names inst_CLK_OUT_PRE_50.BLIF CLK_OUT_PRE_50_D_i.BLIF \ +state_machine_un3_clk_out_pre_50_n +11 1 +.names inst_DS_000_DMA.BLIF DS_000_DMA_1_sqmuxa.BLIF ds_000_dma_0_un1_n +11 1 +.names CLK_030_H_1_sqmuxa_1_i.BLIF ds_000_dma_0_un3_n.BLIF ds_000_dma_0_un0_n +11 1 +.names AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un3_n +0 1 +.names un1_LDS_000_INT_0.BLIF un1_LDS_000_INT +0 1 +.names inst_AS_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF as_000_dma_0_un1_n +11 1 +.names state_machine_un8_bgack_030_int_i_n.BLIF as_000_dma_0_un3_n.BLIF \ +as_000_dma_0_un0_n +11 1 +.names RST_c.BLIF clk_030_h_0_un3_n +0 1 +.names un1_UDS_000_INT_0.BLIF un1_UDS_000_INT +0 1 +.names state_machine_clk_030_h_2_n.BLIF RST_c.BLIF clk_030_h_0_un1_n +11 1 +.names inst_CLK_030_H.BLIF clk_030_h_0_un3_n.BLIF clk_030_h_0_un0_n +11 1 +.names AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un3_n +0 1 +.names inst_RW_000_DMA.BLIF AS_000_DMA_1_sqmuxa.BLIF rw_000_dma_0_un1_n +11 1 +.names DS_000_DMA_1_sqmuxa_1_i.BLIF rw_000_dma_0_un3_n.BLIF rw_000_dma_0_un0_n +11 1 +.names state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un3_n +0 1 +.names N_165_i_0.BLIF state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un1_n +11 1 +.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n +11 1 +.names AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un3_n +0 1 +.names inst_AS_000_INT.BLIF AS_000_INT_1_sqmuxa.BLIF as_000_int_0_un1_n +11 1 +.names N_96_i.BLIF as_000_int_0_un3_n.BLIF as_000_int_0_un0_n +11 1 +.names DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un3_n +0 1 +.names inst_DSACK1_INT.BLIF DSACK1_INT_1_sqmuxa.BLIF dsack1_int_0_un1_n +11 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF dsack1_int_0_un3_n.BLIF dsack1_int_0_un0_n +11 1 +.names N_71.BLIF rw_000_int_0_un3_n +0 1 +.names state_machine_rw_000_int_3_n.BLIF N_71.BLIF rw_000_int_0_un1_n +11 1 +.names inst_RW_000_INT.BLIF rw_000_int_0_un3_n.BLIF rw_000_int_0_un0_n +11 1 .names state_machine_un10_bg_030_n.BLIF bg_000_0_un3_n 0 1 .names BG_030_c.BLIF state_machine_un10_bg_030_n.BLIF bg_000_0_un1_n 11 1 +.names un19_fpu_cs_5.BLIF un19_fpu_cs_6.BLIF un19_fpu_cs +11 1 .names BG_000DFFSHreg.BLIF bg_000_0_un3_n.BLIF bg_000_0_un0_n 11 1 -.names DS_030_c.BLIF lds_000_int_0_un3_n -0 1 -.names inst_LDS_000_INT.BLIF DS_030_c.BLIF lds_000_int_0_un1_n +.names un5_ciin_10.BLIF un5_ciin_11.BLIF un5_ciin 11 1 -.names state_machine_un7_ds_030_i_n.BLIF lds_000_int_0_un3_n.BLIF \ -lds_000_int_0_un0_n +.names un5_ciin_i.BLIF un14_ciin.BLIF un16_ciin 11 1 -.names DS_030_c.BLIF uds_000_int_0_un3_n -0 1 -.names inst_UDS_000_INT.BLIF DS_030_c.BLIF uds_000_int_0_un1_n -11 1 -.names A0_c.BLIF uds_000_int_0_un3_n.BLIF uds_000_int_0_un0_n -11 1 -.names state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un3_n -0 1 -.names state_machine_un10_clk_000_d0_n.BLIF \ -state_machine_un12_clk_000_d0_n.BLIF vma_int_0_un1_n -11 1 -.names inst_VMA_INTreg.BLIF vma_int_0_un3_n.BLIF vma_int_0_un0_n -11 1 -.names inst_avec_expreg.BLIF cpu_estse_0_un3_n -0 1 -.names un28_ciin_0.BLIF un28_ciin -0 1 -.names cpu_est_ns_1__n.BLIF inst_avec_expreg.BLIF cpu_estse_0_un1_n -11 1 -.names un19_fpu_cs_3.BLIF un19_fpu_cs_5.BLIF un19_fpu_cs -11 1 -.names cpu_est_1_.BLIF cpu_estse_0_un3_n.BLIF cpu_estse_0_un0_n -11 1 -.names inst_avec_expreg.BLIF cpu_estse_2_un3_n -0 1 -.names N_164_i.BLIF inst_avec_expreg.BLIF cpu_estse_2_un1_n -11 1 -.names cpu_est_3_reg.BLIF cpu_estse_2_un3_n.BLIF cpu_estse_2_un0_n -11 1 -.names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n -0 1 -.names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n -0 1 .names CLK_CNT_N_1_.BLIF CLK_CNT_P_1_.BLIF un6_clk_pre_66 11 1 .names clk_cnt_n_i_0__n.BLIF CLK_CNT_P_0_.BLIF un2_clk_pre_66 11 1 +.names inst_CLK_000_D0.BLIF SM_AMIGA_6_.BLIF N_96 +11 1 +.names state_machine_un34_clk_000_d0_0_n.BLIF state_machine_un34_clk_000_d0_n +0 1 +.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF state_machine_un16_clk_000_d0_n +11 1 .names AMIGA_BUS_DATA_DIR_c_0.BLIF AMIGA_BUS_DATA_DIR_c 0 1 -.names N_222_1.BLIF VPA_D_i.BLIF N_222 +.names N_88_i.BLIF N_88 +0 1 +.names CLK_000_D0_i.BLIF SM_AMIGA_1_.BLIF N_111 11 1 -.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_37 +.names N_175_1.BLIF state_machine_un10_bgack_030_int_n.BLIF \ +state_machine_un8_bgack_030_int_n 11 1 -.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_223 +.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_175_1 11 1 -.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_224 +.names inst_UDS_000_INT.BLIF UDS_000_INT_i +0 1 +.names cpu_est_1_.BLIF cpu_est_2_.BLIF state_machine_un10_clk_000_d0_2_n +11 1 +.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +11 1 +.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF \ +state_machine_un38_clk_000_d0_1_n 11 1 .names SM_AMIGA_0_sqmuxa.BLIF SM_AMIGA_0_sqmuxa_i 0 1 -.names N_219.BLIF cpu_est_2_.BLIF N_227 +.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_171 11 1 .names DS_000_ENABLE_0_sqmuxa.BLIF DS_000_ENABLE_0_sqmuxa_i 0 1 -.names N_219_i.BLIF N_219 +.names cpu_est_ns_0_1__n.BLIF cpu_est_ns_1__n 0 1 .names DS_000_ENABLE_0_sqmuxa_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF \ un1_SM_AMIGA_0_sqmuxa_2_i 11 1 -.names cpu_est_1_.BLIF cpu_est_2_.BLIF N_228 -11 1 -.names state_machine_un10_clk_000_ne_n.BLIF state_machine_un10_clk_000_ne_i_n -0 1 -.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_229 -11 1 -.names state_machine_un4_clk_000_ne_n.BLIF state_machine_un4_clk_000_ne_i_n -0 1 -.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_230 -11 1 -.names state_machine_un4_clk_000_ne_i_n.BLIF \ -state_machine_un10_clk_000_ne_i_n.BLIF state_machine_un6_clk_000_ne_i_n -11 1 -.names inst_avec_expreg.BLIF cpu_est_i_0__n.BLIF N_28 -11 1 -.names RW_i.BLIF sm_amiga_i_7__n.BLIF state_machine_rw_000_int_3_0_n -11 1 -.names avec_exp_i.BLIF cpu_est_0_.BLIF N_29 -11 1 -.names N_99_i.BLIF sm_amiga_i_7__n.BLIF N_75_0 -11 1 -.names N_30_1.BLIF a_i_23__n.BLIF N_30 -11 1 -.names sm_amiga_ns_0_3_0__n.BLIF N_103_i.BLIF sm_amiga_ns_0_0__n -11 1 -.names N_105.BLIF N_105_i -0 1 -.names N_31_1.BLIF N_31_2.BLIF N_31 -11 1 -.names N_106.BLIF N_106_i -0 1 -.names N_220_0.BLIF N_220 -0 1 -.names N_105_i.BLIF N_106_i.BLIF sm_amiga_ns_0_1__n -11 1 -.names cpu_est_1_.BLIF cpu_est_i_0__n.BLIF N_32 -11 1 -.names N_107.BLIF N_107_i -0 1 -.names N_32.BLIF cpu_est_i_3__n.BLIF N_35 -11 1 -.names N_99_i.BLIF N_107_i.BLIF sm_amiga_ns_0_2__n -11 1 -.names CLK_000_D0_i.BLIF inst_CLK_000_D1.BLIF \ -state_machine_un5_clk_000_n_sync_n -11 1 -.names N_110.BLIF N_110_i -0 1 -.names inst_BGACK_030_INTreg.BLIF RW_i.BLIF N_178 -11 1 -.names N_110_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF sm_amiga_ns_0_4__n -11 1 -.names N_179_1_0.BLIF nEXP_SPACE_i.BLIF N_179 -11 1 -.names N_114.BLIF N_114_i -0 1 -.names AS_000_i.BLIF BGACK_030_INT_i.BLIF N_179_1 -11 1 -.names N_113.BLIF N_113_i -0 1 -.names un19_fpu_cs_5_1.BLIF un19_fpu_cs_5_2.BLIF un19_fpu_cs_5 -11 1 -.names N_113_i.BLIF N_114_i.BLIF sm_amiga_ns_0_6__n -11 1 -.names state_machine_un28_as_030_1_n.BLIF state_machine_un28_as_030_2_n.BLIF \ -state_machine_un28_as_030_n -11 1 -.names BERR_c.BLIF avec_exp_i.BLIF N_91_i -11 1 -.names N_247_5.BLIF N_247_6.BLIF N_247 -11 1 -.names inst_CLK_000_NE.BLIF state_machine_un6_clk_000_ne_n.BLIF N_92_i -11 1 -.names N_179_1.BLIF state_machine_un10_bgack_030_int_n.BLIF \ -state_machine_un8_bgack_030_int_n -11 1 -.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n -0 1 -.names state_machine_un10_bgack_030_int_0_n.BLIF \ -state_machine_un10_bgack_030_int_n -0 1 -.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_93_i -11 1 -.names AS_000_DMA_i.BLIF CLK_030_c.BLIF CLK_030_H_1_sqmuxa -11 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_1_.BLIF N_95_0 -11 1 -.names CLK_030_c.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -AS_000_DMA_1_sqmuxa -11 1 -.names N_103.BLIF N_103_i -0 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF state_machine_un24_bgack_030_int_i_n.BLIF \ -DS_000_DMA_1_sqmuxa +.names DSACK1_INT_0_sqmuxa_1.BLIF SM_AMIGA_1_.BLIF DSACK1_INT_0_sqmuxa 11 1 .names N_100.BLIF N_100_i 0 1 -.names RW_000_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -DS_000_DMA_1_sqmuxa_1 -11 1 -.names N_102.BLIF N_102_i +.names state_machine_un1_as_030_i_n.BLIF state_machine_un1_as_030_n 0 1 -.names inst_CLK_030_H.BLIF CLK_030_i.BLIF state_machine_un24_bgack_030_int_n -11 1 -.names N_101.BLIF N_101_i -0 1 -.names state_machine_clk_030_h_2_f1_n.BLIF \ -state_machine_un8_bgack_030_int_n.BLIF state_machine_clk_030_h_2_n -11 1 -.names N_104.BLIF N_104_i -0 1 -.names state_machine_clk_030_h_2_f1_0_n.BLIF state_machine_clk_030_h_2_f1_n -0 1 -.names BGACK_000_c.BLIF avec_exp_i.BLIF state_machine_un4_bgack_000_0_n -11 1 -.names LDS_000_i.BLIF UDS_000_i.BLIF state_machine_un31_bgack_030_int_n -11 1 -.names N_33.BLIF N_33_i -0 1 -.names state_machine_ds_000_dma_3_0_n.BLIF state_machine_ds_000_dma_3_n -0 1 -.names a_i_20__n.BLIF N_33_i.BLIF N_220_0 -11 1 -.names un1_bgack_030_int_d_0.BLIF un1_bgack_030_int_d -0 1 -.names state_machine_un3_bgack_030_int_d_n.BLIF \ -state_machine_un3_bgack_030_int_d_i_n -0 1 -.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF \ -un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa -0 1 -.names un1_bgack_030_int_d_0_1.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ -un1_bgack_030_int_d_0 -11 1 -.names state_machine_un10_bg_030_0_n.BLIF state_machine_un10_bg_030_n -0 1 -.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i -0 1 -.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_D_i.BLIF \ -state_machine_un3_bgack_030_int_d_n -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i -0 1 -.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 -11 1 -.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n -0 1 -.names SM_AMIGA_0_sqmuxa_1_1.BLIF state_machine_un5_clk_000_n_sync_n.BLIF \ -SM_AMIGA_0_sqmuxa_1 -11 1 -.names N_96_i_1.BLIF sm_amiga_i_6__n.BLIF N_96_i -11 1 -.names N_98_i.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ -AMIGA_BUS_ENABLE_INT_3_sqmuxa -11 1 -.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n -0 1 -.names sm_amiga_i_0__n.BLIF sm_amiga_i_1__n.BLIF N_98 -11 1 -.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n -0 1 -.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AS_030_i.BLIF \ -AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 -11 1 -.names N_115.BLIF N_115_i -0 1 -.names state_machine_un8_bg_030_1_n.BLIF state_machine_un8_bg_030_2_n.BLIF \ -state_machine_un8_bg_030_n -11 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa -11 1 -.names N_111.BLIF N_111_i -0 1 -.names N_91.BLIF SM_AMIGA_0_.BLIF N_103 -11 1 -.names N_112.BLIF N_112_i -0 1 -.names N_91_i.BLIF N_91 -0 1 -.names N_111_i.BLIF N_112_i.BLIF sm_amiga_ns_0_5__n -11 1 -.names N_91_i.BLIF SM_AMIGA_4_.BLIF N_109 -11 1 -.names N_109.BLIF N_109_i -0 1 -.names N_91_i.BLIF SM_AMIGA_2_.BLIF N_112 -11 1 -.names N_108.BLIF N_108_i -0 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF N_115 -11 1 -.names N_108_i.BLIF N_109_i.BLIF sm_amiga_ns_0_3__n -11 1 -.names N_95_0.BLIF N_95 -0 1 -.names AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i -0 1 -.names inst_CLK_000_NE.BLIF SM_AMIGA_5_.BLIF N_108 -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i -0 1 -.names N_92_i.BLIF SM_AMIGA_3_.BLIF N_111 -11 1 -.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 -11 1 -.names N_96_i.BLIF N_96 -0 1 -.names BG_030_c.BLIF BG_030_c_i -0 1 -.names state_machine_un4_bgack_000_0_n.BLIF state_machine_un4_bgack_000_n -0 1 -.names state_machine_un8_bg_030_n.BLIF state_machine_un8_bg_030_i_n -0 1 -.names N_33_1.BLIF N_33_2.BLIF N_33 -11 1 -.names BG_030_c_i.BLIF state_machine_un8_bg_030_i_n.BLIF \ -state_machine_un10_bg_030_0_n -11 1 -.names DS_000_ENABLE_0_sqmuxa_1.BLIF inst_avec_expreg.BLIF \ -DS_000_ENABLE_0_sqmuxa -11 1 -.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ -state_machine_un5_bgack_030_int_d_i_n +.names sm_amiga_ns_0_3_0__n.BLIF N_101_i.BLIF sm_amiga_ns_0_0__n 11 1 .names AS_030_000_SYNC_0_sqmuxa_1.BLIF AS_030_000_SYNC_0_sqmuxa_2.BLIF \ AS_030_000_SYNC_0_sqmuxa 11 1 -.names LDS_000_c.BLIF UDS_000_c.BLIF state_machine_un10_bgack_030_int_0_n +.names N_102.BLIF N_102_i +0 1 +.names state_machine_un10_bg_030_0_n.BLIF state_machine_un10_bg_030_n +0 1 +.names N_103.BLIF N_103_i +0 1 +.names AS_030_i.BLIF un12_ciin_i.BLIF un8_ciin 11 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF \ +.names N_102_i.BLIF N_103_i.BLIF sm_amiga_ns_0_1__n +11 1 +.names un12_ciin_5.BLIF un12_ciin_6.BLIF un12_ciin +11 1 +.names N_106.BLIF N_106_i +0 1 +.names un14_ciin_0.BLIF un14_ciin +0 1 +.names N_105.BLIF N_105_i +0 1 +.names state_machine_un8_bg_030_1_n.BLIF state_machine_un8_bg_030_2_n.BLIF \ +state_machine_un8_bg_030_n +11 1 +.names N_105_i.BLIF N_106_i.BLIF sm_amiga_ns_0_3__n +11 1 +.names N_96_i.BLIF state_machine_un1_as_030_i_n.BLIF AS_000_INT_1_sqmuxa +11 1 +.names N_107.BLIF N_107_i +0 1 +.names DSACK1_INT_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF \ +DSACK1_INT_1_sqmuxa +11 1 +.names N_107_i.BLIF SM_AMIGA_0_sqmuxa_i.BLIF sm_amiga_ns_0_4__n +11 1 +.names state_machine_rw_000_int_3_0_n.BLIF state_machine_rw_000_int_3_n +0 1 +.names N_108.BLIF N_108_i +0 1 +.names N_71_0.BLIF N_71 +0 1 +.names N_109.BLIF N_109_i +0 1 +.names state_machine_un12_clk_000_d0_0_n.BLIF state_machine_un12_clk_000_d0_n +0 1 +.names N_108_i.BLIF N_109_i.BLIF sm_amiga_ns_0_5__n +11 1 +.names state_machine_un10_clk_000_d0_3_n.BLIF cpu_est_i_3__n.BLIF \ +state_machine_un10_clk_000_d0_n +11 1 +.names N_110.BLIF N_110_i +0 1 +.names state_machine_un5_clk_000_d0_1_n.BLIF \ +state_machine_un5_clk_000_d0_2_n.BLIF state_machine_un5_clk_000_d0_n +11 1 +.names cpu_est_i_2__n.BLIF cpu_est_i_3__n.BLIF N_163 +11 1 +.names BERR_c.BLIF CLK_000_D0_i.BLIF N_88_i +11 1 +.names cpu_est_2_.BLIF cpu_est_3_reg.BLIF N_164 +11 1 +.names sm_amiga_i_1__n.BLIF sm_amiga_i_5__n.BLIF N_90_i +11 1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF N_165 +11 1 +.names BERR_c.BLIF SM_AMIGA_1_.BLIF N_93_0 +11 1 +.names state_machine_un38_clk_000_d0_1_0_n.BLIF VPA_i.BLIF \ +state_machine_un38_clk_000_d0_n +11 1 +.names N_97.BLIF N_97_i +0 1 +.names LDS_000_i.BLIF UDS_000_i.BLIF state_machine_un31_bgack_030_int_n +11 1 +.names N_98.BLIF N_98_i +0 1 +.names inst_BGACK_030_INTreg.BLIF RW_i.BLIF N_174 +11 1 +.names N_99.BLIF N_99_i +0 1 +.names N_175_1_0.BLIF nEXP_SPACE_i.BLIF N_175 +11 1 +.names N_101.BLIF N_101_i +0 1 +.names N_88_i.BLIF SM_AMIGA_0_.BLIF N_112 +11 1 +.names SM_AMIGA_4_.BLIF sm_amiga_i_4__n +0 1 +.names DTACK_i.BLIF VPA_c.BLIF state_machine_un32_clk_000_d0_n +11 1 +.names N_91_i_1.BLIF sm_amiga_i_6__n.BLIF N_91_i +11 1 +.names state_machine_clk_030_h_2_f1_n.BLIF \ +state_machine_un8_bgack_030_int_n.BLIF state_machine_clk_030_h_2_n +11 1 +.names N_168.BLIF N_168_i +0 1 +.names RW_000_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ +DS_000_DMA_1_sqmuxa_1 +11 1 +.names cpu_est_ns_0_1_2__n.BLIF state_machine_un10_clk_000_d0_2_i_n.BLIF \ +cpu_est_ns_0_2__n +11 1 +.names CLK_030_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ +AS_000_DMA_1_sqmuxa +11 1 +.names state_machine_un10_clk_000_d0_2_n.BLIF \ +state_machine_un10_clk_000_d0_2_i_n +0 1 +.names AS_000_DMA_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ +CLK_030_H_1_sqmuxa_1 +11 1 +.names N_167.BLIF N_167_i +0 1 +.names DS_000_DMA_1_sqmuxa_1.BLIF state_machine_un24_bgack_030_int_i_n.BLIF \ +DS_000_DMA_1_sqmuxa +11 1 +.names N_170.BLIF N_170_i +0 1 +.names inst_CLK_030_H.BLIF CLK_030_c.BLIF state_machine_un24_bgack_030_int_n +11 1 +.names N_169.BLIF N_169_i +0 1 +.names state_machine_un10_bgack_030_int_0_n.BLIF \ +state_machine_un10_bgack_030_int_n +0 1 +.names N_169_i.BLIF N_170_i.BLIF N_160_i +11 1 +.names state_machine_clk_030_h_2_f1_0_n.BLIF state_machine_clk_030_h_2_f1_n +0 1 +.names BERR_c.BLIF SM_AMIGA_5_.BLIF N_92_0 +11 1 +.names AS_000_DMA_i.BLIF CLK_030_i.BLIF CLK_030_H_1_sqmuxa +11 1 +.names N_104.BLIF N_104_i +0 1 +.names un1_bgack_030_int_d_0.BLIF un1_bgack_030_int_d +0 1 +.names un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0.BLIF \ +un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa +0 1 +.names AS_030_i.BLIF BERR_c.BLIF state_machine_un1_as_030_i_n +11 1 +.names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_D_i.BLIF \ +state_machine_un3_bgack_030_int_d_n +11 1 +.names state_machine_un6_clk_000_p_sync_n.BLIF \ +state_machine_un6_clk_000_p_sync_i_n +0 1 +.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_0_sqmuxa_1_i.BLIF \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 +11 1 +.names BGACK_000_c.BLIF state_machine_un6_clk_000_p_sync_i_n.BLIF \ +state_machine_un6_bgack_000_0_n +11 1 +.names SM_AMIGA_0_sqmuxa_1_1.BLIF state_machine_un16_clk_000_d0_n.BLIF \ +SM_AMIGA_0_sqmuxa_1 +11 1 +.names inst_LDS_000_INT.BLIF LDS_000_INT_i +0 1 +.names N_95_i.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ +AMIGA_BUS_ENABLE_INT_3_sqmuxa +11 1 +.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 +11 1 +.names AMIGA_BUS_ENABLE_INT_2_sqmuxa_1.BLIF \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa +11 1 +.names state_machine_un7_ds_030_i_1_n.BLIF size_c_0__n.BLIF \ +state_machine_un7_ds_030_i_n +11 1 +.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AS_030_i.BLIF \ +AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 +11 1 +.names A0_c.BLIF A0_c_i +0 1 +.names sm_amiga_i_0__n.BLIF sm_amiga_i_1__n.BLIF N_95 +11 1 +.names size_c_1__n.BLIF size_c_i_1__n +0 1 +.names AS_030_000_SYNC_0_sqmuxa_i.BLIF state_machine_un1_as_030_i_n.BLIF \ +un1_AS_030_000_SYNC_0_sqmuxa_1 +11 1 +.names inst_BGACK_030_INTreg.BLIF inst_BGACK_030_INT_D.BLIF \ +state_machine_un5_bgack_030_int_d_i_n +11 1 +.names un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un1_SM_AMIGA_0_sqmuxa_2 +0 1 +.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i +0 1 +.names state_machine_un1_as_030_i_n.BLIF un1_SM_AMIGA_0_sqmuxa_2_i.BLIF \ un2_as_030 11 1 -.names AS_000_DMA_i.BLIF state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_ds_000_dma_3_0_n +.names AMIGA_BUS_ENABLE_INT_2_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_i +0 1 +.names state_machine_un6_bgack_000_0_n.BLIF state_machine_un6_bgack_000_n +0 1 +.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i.BLIF \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_i.BLIF un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 11 1 -.names AS_030_i.BLIF BERR_c.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1 +.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF \ +state_machine_un6_clk_000_p_sync_n +11 1 +.names state_machine_un3_bgack_030_int_d_n.BLIF \ +state_machine_un3_bgack_030_int_d_i_n +0 1 +.names N_92.BLIF sm_amiga_i_6__n.BLIF N_104 +11 1 +.names un1_bgack_030_int_d_0_1.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i.BLIF \ +un1_bgack_030_int_d_0 +11 1 +.names N_92_0.BLIF N_92 +0 1 +.names AMIGA_BUS_ENABLE_INT_3_sqmuxa.BLIF AMIGA_BUS_ENABLE_INT_3_sqmuxa_i +0 1 +.names cpu_est_ns_0_2__n.BLIF cpu_est_ns_2__n +0 1 +.names AMIGA_BUS_ENABLE_INT_1_sqmuxa_1.BLIF AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i +0 1 +.names cpu_est_0_.BLIF cpu_est_3_reg.BLIF N_167 +11 1 +.names un3_dtack_i_1.BLIF BGACK_030_INT_i.BLIF un3_dtack_i +11 1 +.names cpu_est_i_0__n.BLIF cpu_est_i_1__n.BLIF N_168 +11 1 +.names inst_CLK_030_H.BLIF CLK_030_H_i +0 1 +.names cpu_est_2_.BLIF state_machine_un38_clk_000_d0_1_i_n.BLIF N_169 +11 1 +.names CLK_030_H_1_sqmuxa.BLIF CLK_030_H_1_sqmuxa_i +0 1 +.names N_171.BLIF cpu_est_i_3__n.BLIF N_170 +11 1 +.names CLK_030_H_1_sqmuxa_i.BLIF CLK_030_H_i.BLIF \ +state_machine_clk_030_h_2_f1_0_n +11 1 +.names SM_AMIGA_7_.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_102 +11 1 +.names LDS_000_c.BLIF UDS_000_c.BLIF state_machine_un10_bgack_030_int_0_n +11 1 +.names DS_000_ENABLE_0_sqmuxa_1.BLIF SM_AMIGA_6_.BLIF DS_000_ENABLE_0_sqmuxa +11 1 +.names state_machine_un38_clk_000_d0_n.BLIF state_machine_un38_clk_000_d0_i_n +0 1 +.names N_101_3.BLIF sm_amiga_i_3__n.BLIF N_101 +11 1 +.names state_machine_un32_clk_000_d0_n.BLIF state_machine_un32_clk_000_d0_i_n +0 1 +.names N_90_i.BLIF N_90 +0 1 +.names state_machine_un32_clk_000_d0_i_n.BLIF \ +state_machine_un38_clk_000_d0_i_n.BLIF state_machine_un34_clk_000_d0_0_n +11 1 +.names N_91_i.BLIF N_91 +0 1 +.names N_112.BLIF N_112_i +0 1 +.names N_100_1.BLIF state_machine_un30_clk_000_d0_i_n.BLIF N_100 +11 1 +.names N_111_i.BLIF N_112_i.BLIF sm_amiga_ns_0_7__n +11 1 +.names state_machine_un16_clk_000_d0_n.BLIF \ +state_machine_un34_clk_000_d0_n.BLIF state_machine_un30_clk_000_d0_n +11 1 +.names N_175.BLIF N_175_i +0 1 +.names N_98_1.BLIF inst_CLK_000_D0.BLIF N_98 +11 1 +.names N_174.BLIF N_174_i +0 1 +.names N_97_1.BLIF CLK_000_D0_i.BLIF N_97 +11 1 +.names N_174_i.BLIF N_175_i.BLIF AMIGA_BUS_DATA_DIR_c_0 +11 1 +.names N_107_1.BLIF state_machine_un30_clk_000_d0_i_n.BLIF N_107 +11 1 +.names state_machine_un8_bgack_030_int_n.BLIF \ +state_machine_un31_bgack_030_int_i_n.BLIF state_machine_size_dma_4_0_1__n +11 1 +.names N_88.BLIF SM_AMIGA_0_.BLIF N_99 11 1 .names state_machine_un8_bgack_030_int_n.BLIF \ state_machine_un31_bgack_030_int_n.BLIF state_machine_size_dma_4_0_0__n 11 1 -.names un1_SM_AMIGA_0_sqmuxa_2_i.BLIF un1_SM_AMIGA_0_sqmuxa_2 +.names N_93_0.BLIF N_93 0 1 -.names state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_un31_bgack_030_int_i_n.BLIF state_machine_size_dma_4_0_1__n -11 1 -.names AS_030_000_SYNC_0_sqmuxa_i.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF \ -un1_AS_030_000_SYNC_0_sqmuxa_1 -11 1 -.names inst_CLK_030_H.BLIF CLK_030_H_i +.names N_171.BLIF N_171_i 0 1 -.names N_102_1.BLIF SM_AMIGA_3_.BLIF N_102 +.names N_93.BLIF sm_amiga_i_2__n.BLIF N_110 11 1 -.names CLK_030_H_1_sqmuxa.BLIF CLK_030_H_1_sqmuxa_i +.names N_164.BLIF N_164_i 0 1 -.names N_92_i.BLIF N_92 +.names SM_AMIGA_3_.BLIF state_machine_un30_clk_000_d0_n.BLIF N_108 +11 1 +.names N_163.BLIF N_163_i 0 1 -.names CLK_030_H_1_sqmuxa_i.BLIF CLK_030_H_i.BLIF \ -state_machine_clk_030_h_2_f1_0_n +.names N_88_i.BLIF SM_AMIGA_2_.BLIF N_109 11 1 -.names N_101_1.BLIF CLK_000_NE_i.BLIF N_101 -11 1 -.names un3_dtack_i_1.BLIF BGACK_030_INT_i.BLIF un3_dtack_i -11 1 -.names N_93_i.BLIF N_93 -0 1 .names cpu_est_ns_0_1_1__n.BLIF cpu_est_ns_0_2_1__n.BLIF cpu_est_ns_0_1__n 11 1 -.names N_100_1.BLIF avec_exp_i.BLIF N_100 +.names inst_CLK_000_D0.BLIF SM_AMIGA_4_.BLIF SM_AMIGA_0_sqmuxa 11 1 -.names N_37.BLIF N_37_i -0 1 -.names N_110_1.BLIF SM_AMIGA_3_.BLIF N_110 -11 1 -.names N_224.BLIF N_224_i -0 1 -.names N_114_1.BLIF SM_AMIGA_5_.BLIF N_107 -11 1 -.names N_32.BLIF N_32_i -0 1 -.names BERR_c.BLIF CLK_000_NE_i.BLIF N_114_1 -11 1 -.names N_223.BLIF N_223_i -0 1 -.names N_104_3.BLIF sm_amiga_i_3__n.BLIF N_104 -11 1 -.names N_178.BLIF N_178_i -0 1 -.names N_114_1.BLIF SM_AMIGA_1_.BLIF N_114 -11 1 -.names N_179.BLIF N_179_i -0 1 -.names state_machine_un10_clk_000_ne_1_n.BLIF VMA_INT_i.BLIF \ -state_machine_un10_clk_000_ne_n -11 1 -.names N_178_i.BLIF N_179_i.BLIF AMIGA_BUS_DATA_DIR_c_0 -11 1 -.names state_machine_un6_clk_000_ne_i_n.BLIF state_machine_un6_clk_000_ne_n -0 1 -.names cpu_est_3_reg.BLIF cpu_est_i_1__n.BLIF N_219_i -11 1 -.names SM_AMIGA_2_.BLIF inst_avec_expreg.BLIF N_113 -11 1 -.names N_30.BLIF N_30_i -0 1 -.names SM_AMIGA_4_.BLIF inst_avec_expreg.BLIF SM_AMIGA_0_sqmuxa -11 1 -.names N_31.BLIF N_31_i -0 1 -.names SM_AMIGA_6_.BLIF inst_avec_expreg.BLIF N_99 -11 1 -.names N_30_i.BLIF N_31_i.BLIF un28_ciin_0 -11 1 -.names SM_AMIGA_7_.BLIF SM_AMIGA_0_sqmuxa_1.BLIF N_105 -11 1 -.names N_28.BLIF N_28_i -0 1 -.names N_91_i.BLIF SM_AMIGA_6_.BLIF N_106 -11 1 -.names N_29.BLIF N_29_i -0 1 -.names N_75_0.BLIF N_75 -0 1 -.names N_28_i.BLIF N_29_i.BLIF cpu_est_ns_e_0_0__n -11 1 -.names state_machine_rw_000_int_3_0_n.BLIF state_machine_rw_000_int_3_n -0 1 -.names N_228.BLIF N_228_i -0 1 -.names DTACK_i.BLIF VPA_c.BLIF state_machine_un4_clk_000_ne_n -11 1 -.names N_229.BLIF N_229_i -0 1 -.names DSACK1_INT_0_sqmuxa_i.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF \ -DSACK1_INT_1_sqmuxa -11 1 -.names N_230.BLIF N_230_i -0 1 -.names CLK_000_N_SYNC_6_.BLIF SM_AMIGA_1_.BLIF DSACK1_INT_0_sqmuxa -11 1 -.names cpu_est_ns_0_1_2__n.BLIF N_229_i.BLIF cpu_est_ns_0_2__n -11 1 -.names N_99_i.BLIF un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF AS_000_INT_1_sqmuxa -11 1 -.names N_35.BLIF N_35_i -0 1 -.names un19_fpu_cs.BLIF un19_fpu_cs_i -0 1 -.names N_227.BLIF N_227_i -0 1 -.names un1_AS_030_000_SYNC_0_sqmuxa_1_1.BLIF \ -un1_AS_030_000_SYNC_0_sqmuxa_1_1_i -0 1 -.names N_35_i.BLIF N_227_i.BLIF N_164_i -11 1 -.names N_99.BLIF N_99_i -0 1 -.names N_222.BLIF N_222_i -0 1 -.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i -0 1 .names state_machine_un10_clk_000_d0_n.BLIF state_machine_un10_clk_000_d0_i_n 0 1 -.names DTACK_c.BLIF DTACK_i +.names CLK_000_D0_i.BLIF SM_AMIGA_5_.BLIF N_105 +11 1 +.names state_machine_un5_clk_000_d0_n.BLIF state_machine_un5_clk_000_d0_i_n 0 1 -.names N_222_i.BLIF state_machine_un10_clk_000_d0_i_n.BLIF \ -state_machine_un12_clk_000_d0_0_n +.names N_88_i.BLIF SM_AMIGA_4_.BLIF N_106 +11 1 +.names state_machine_un5_clk_000_d0_i_n.BLIF \ +state_machine_un10_clk_000_d0_i_n.BLIF state_machine_un12_clk_000_d0_0_n +11 1 +.names N_88_i.BLIF SM_AMIGA_6_.BLIF N_103 +11 1 +.names N_96_i.BLIF sm_amiga_i_7__n.BLIF N_71_0 +11 1 +.names un19_fpu_cs.BLIF un19_fpu_cs_i +0 1 +.names RW_i.BLIF sm_amiga_i_7__n.BLIF state_machine_rw_000_int_3_0_n +11 1 +.names inst_CLK_000_D1.BLIF CLK_000_D1_i +0 1 +.names BG_030_c.BLIF BG_030_c_i +0 1 +.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +0 1 +.names state_machine_un8_bg_030_n.BLIF state_machine_un8_bg_030_i_n +0 1 +.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n +0 1 +.names BG_030_c_i.BLIF state_machine_un8_bg_030_i_n.BLIF \ +state_machine_un10_bg_030_0_n +11 1 +.names inst_CLK_000_D0.BLIF CLK_000_D0_i +0 1 +.names un8_ciin.BLIF un8_ciin_i +0 1 +.names SM_AMIGA_2_.BLIF sm_amiga_i_2__n +0 1 +.names nEXP_SPACE_c.BLIF un8_ciin_i.BLIF un14_ciin_0 11 1 .names BERR_c.BLIF BERR_i 0 1 .names un2_clk_pre_66.BLIF un2_clk_pre_66_i 0 1 -.names inst_CLK_000_NE.BLIF CLK_000_NE_i +.names state_machine_un30_clk_000_d0_n.BLIF state_machine_un30_clk_000_d0_i_n 0 1 .names un6_clk_pre_66.BLIF un6_clk_pre_66_i 0 1 -.names SM_AMIGA_1_.BLIF sm_amiga_i_1__n +.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n 0 1 .names un2_clk_pre_66_i.BLIF un6_clk_pre_66_i.BLIF CLK_PRE_66_0 11 1 -.names VPA_c.BLIF VPA_i -0 1 -.names inst_LDS_000_INT.BLIF LDS_000_INT_i -0 1 -.names inst_VMA_INTreg.BLIF VMA_INT_i -0 1 -.names inst_DS_000_ENABLE.BLIF LDS_000_INT_i.BLIF un1_LDS_000_INT_0 -11 1 -.names SM_AMIGA_0_.BLIF sm_amiga_i_0__n -0 1 -.names inst_UDS_000_INT.BLIF UDS_000_INT_i -0 1 .names SM_AMIGA_0_sqmuxa_1.BLIF SM_AMIGA_0_sqmuxa_1_i 0 1 -.names inst_DS_000_ENABLE.BLIF UDS_000_INT_i.BLIF un1_UDS_000_INT_0 +.names N_163_i.BLIF N_164_i.BLIF cpu_est_ns_0_1_1__n 11 1 -.names SM_AMIGA_3_.BLIF sm_amiga_i_3__n +.names inst_CLK_000_D3.BLIF CLK_000_D3_i 0 1 -.names state_machine_un7_ds_030_i_1_n.BLIF size_c_0__n.BLIF \ -state_machine_un7_ds_030_i_n +.names N_165_i_0.BLIF N_171_i.BLIF cpu_est_ns_0_2_1__n 11 1 -.names inst_avec_expreg.BLIF avec_exp_i +.names inst_CLK_000_D2.BLIF CLK_000_D2_i 0 1 -.names A0_c.BLIF A0_c_i +.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_91_i_1 +11 1 +.names cpu_est_1_.BLIF cpu_est_i_1__n 0 1 -.names AS_030_c.BLIF AS_030_i +.names AS_030_i.BLIF a_c_20__n.BLIF un5_ciin_1 +11 1 +.names cpu_est_0_.BLIF cpu_est_i_0__n 0 1 -.names size_c_1__n.BLIF size_c_i_1__n +.names a_c_21__n.BLIF a_c_22__n.BLIF un5_ciin_2 +11 1 +.names cpu_est_3_reg.BLIF cpu_est_i_3__n 0 1 -.names AS_030_000_SYNC_0_sqmuxa.BLIF AS_030_000_SYNC_0_sqmuxa_i +.names a_c_23__n.BLIF a_i_24__n.BLIF un5_ciin_3 +11 1 +.names state_machine_un38_clk_000_d0_1_n.BLIF \ +state_machine_un38_clk_000_d0_1_i_n 0 1 -.names nEXP_SPACE_i.BLIF AS_000_DMA_i.BLIF un3_dtack_i_1 +.names a_i_25__n.BLIF a_i_26__n.BLIF un5_ciin_4 11 1 .names inst_AS_030_000_SYNC.BLIF AS_030_000_SYNC_i 0 1 -.names a_i_24__n.BLIF a_i_25__n.BLIF N_247_1 +.names a_i_31__n.BLIF a_i_27__n.BLIF un5_ciin_5 11 1 -.names a_c_16__n.BLIF a_i_16__n +.names inst_CLK_000_D4.BLIF CLK_000_D4_i 0 1 -.names a_i_26__n.BLIF a_i_27__n.BLIF N_247_2 +.names a_i_28__n.BLIF a_i_29__n.BLIF un5_ciin_6 +11 1 +.names SM_AMIGA_5_.BLIF sm_amiga_i_5__n +0 1 +.names un5_ciin_1.BLIF un5_ciin_2.BLIF un5_ciin_7 +11 1 +.names SM_AMIGA_6_.BLIF sm_amiga_i_6__n +0 1 +.names un5_ciin_3.BLIF un5_ciin_4.BLIF un5_ciin_8 11 1 .names a_c_19__n.BLIF a_i_19__n 0 1 -.names a_i_28__n.BLIF a_i_29__n.BLIF N_247_3 -11 1 -.names a_c_17__n.BLIF a_i_17__n -0 1 -.names a_i_30__n.BLIF a_i_31__n.BLIF N_247_4 +.names un5_ciin_5.BLIF un5_ciin_6.BLIF un5_ciin_9 11 1 .names a_c_18__n.BLIF a_i_18__n 0 1 -.names N_247_1.BLIF N_247_2.BLIF N_247_5 +.names un5_ciin_7.BLIF un5_ciin_8.BLIF un5_ciin_10 11 1 -.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n +.names AS_030_c.BLIF AS_030_i 0 1 -.names N_247_3.BLIF N_247_4.BLIF N_247_6 +.names un5_ciin_9.BLIF a_i_30__n.BLIF un5_ciin_11 11 1 -.names N_98.BLIF N_98_i +.names a_c_16__n.BLIF a_i_16__n 0 1 -.names size_c_i_1__n.BLIF A0_c_i.BLIF state_machine_un7_ds_030_i_1_n +.names AS_030_c.BLIF inst_CLK_000_D0.BLIF state_machine_un8_bg_030_1_n +11 1 +.names AS_030_000_SYNC_0_sqmuxa.BLIF AS_030_000_SYNC_0_sqmuxa_i +0 1 +.names CLK_000_D1_i.BLIF nEXP_SPACE_c.BLIF state_machine_un8_bg_030_2_n +11 1 +.names N_95.BLIF N_95_i +0 1 +.names a_i_24__n.BLIF a_i_25__n.BLIF un12_ciin_1 11 1 .names inst_BGACK_030_INTreg.BLIF BGACK_030_INT_i 0 1 -.names a_c_21__n.BLIF a_c_22__n.BLIF N_31_1 +.names a_i_26__n.BLIF a_i_27__n.BLIF un12_ciin_2 11 1 .names inst_BGACK_030_INT_D.BLIF BGACK_030_INT_D_i 0 1 -.names a_c_23__n.BLIF N_220.BLIF N_31_2 +.names a_i_28__n.BLIF a_i_29__n.BLIF un12_ciin_3 11 1 -.names DS_000_DMA_1_sqmuxa_1.BLIF DS_000_DMA_1_sqmuxa_1_i +.names AS_000_c.BLIF AS_000_i 0 1 -.names N_179_1.BLIF RW_c.BLIF N_179_1_0 -11 1 -.names state_machine_un8_bgack_030_int_n.BLIF \ -state_machine_un8_bgack_030_int_i_n -0 1 -.names inst_CLK_000_D0.BLIF CLK_000_D1_i.BLIF \ -state_machine_clk_000_p_sync_3_1_0__n +.names a_i_30__n.BLIF a_i_31__n.BLIF un12_ciin_4 11 1 .names CLK_030_c.BLIF CLK_030_i 0 1 -.names CLK_000_D2_i.BLIF CLK_000_D3_i.BLIF \ -state_machine_clk_000_p_sync_3_2_0__n +.names un12_ciin_1.BLIF un12_ciin_2.BLIF un12_ciin_5 11 1 -.names UDS_000_c.BLIF UDS_000_i +.names inst_AS_000_DMA.BLIF AS_000_DMA_i 0 1 -.names a_i_16__n.BLIF a_i_18__n.BLIF state_machine_un28_as_030_1_n -11 1 -.names LDS_000_c.BLIF LDS_000_i -0 1 -.names a_i_19__n.BLIF un19_fpu_cs_5.BLIF state_machine_un28_as_030_2_n -11 1 -.names state_machine_un31_bgack_030_int_n.BLIF \ -state_machine_un31_bgack_030_int_i_n -0 1 -.names inst_CLK_000_D2.BLIF inst_CLK_000_D3.BLIF \ -state_machine_clk_000_n_sync_2_1_0__n -11 1 -.names RW_000_c.BLIF RW_000_i -0 1 -.names N_32_i.BLIF N_37_i.BLIF cpu_est_ns_0_1_1__n +.names un12_ciin_3.BLIF un12_ciin_4.BLIF un12_ciin_6 11 1 .names state_machine_un24_bgack_030_int_n.BLIF \ state_machine_un24_bgack_030_int_i_n 0 1 -.names N_223_i.BLIF N_224_i.BLIF cpu_est_ns_0_2_1__n +.names inst_BGACK_030_INTreg.BLIF SM_AMIGA_7_.BLIF AS_030_000_SYNC_0_sqmuxa_1 11 1 -.names inst_AS_000_DMA.BLIF AS_000_DMA_i +.names RW_000_c.BLIF RW_000_i 0 1 -.names inst_AS_000_INT.BLIF inst_CLK_000_D0.BLIF \ +.names nEXP_SPACE_c.BLIF un19_fpu_cs_i.BLIF AS_030_000_SYNC_0_sqmuxa_2 +11 1 +.names UDS_000_c.BLIF UDS_000_i +0 1 +.names state_machine_un38_clk_000_d0_1_n.BLIF VMA_INT_i.BLIF \ +state_machine_un38_clk_000_d0_1_0_n +11 1 +.names LDS_000_c.BLIF LDS_000_i +0 1 +.names CLK_000_D0_i.BLIF VPA_D_i.BLIF state_machine_un5_clk_000_d0_1_n +11 1 +.names state_machine_un8_bgack_030_int_n.BLIF \ +state_machine_un8_bgack_030_int_i_n +0 1 +.names cpu_est_0_.BLIF cpu_est_i_1__n.BLIF state_machine_un5_clk_000_d0_2_n +11 1 +.names CLK_030_H_1_sqmuxa_1.BLIF CLK_030_H_1_sqmuxa_1_i +0 1 +.names state_machine_un10_clk_000_d0_2_n.BLIF inst_AS_000_INT.BLIF \ state_machine_un10_clk_000_d0_1_n 11 1 -.names inst_CLK_000_D2.BLIF CLK_000_D2_i +.names DS_000_DMA_1_sqmuxa_1.BLIF DS_000_DMA_1_sqmuxa_1_i 0 1 -.names N_35.BLIF cpu_est_2_.BLIF state_machine_un10_clk_000_d0_2_n +.names inst_CLK_000_D0.BLIF cpu_est_i_0__n.BLIF \ +state_machine_un10_clk_000_d0_2_0_n 11 1 -.names inst_CLK_000_D3.BLIF CLK_000_D3_i +.names DTACK_c.BLIF DTACK_i 0 1 -.names N_95.BLIF sm_amiga_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 +.names state_machine_un10_clk_000_d0_1_n.BLIF \ +state_machine_un10_clk_000_d0_2_0_n.BLIF state_machine_un10_clk_000_d0_3_n 11 1 -.names inst_CLK_000_D1.BLIF CLK_000_D1_i +.names SM_AMIGA_7_.BLIF sm_amiga_i_7__n 0 1 -.names sm_amiga_i_7__n.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ -AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 +.names N_175_1.BLIF RW_c.BLIF N_175_1_0 11 1 -.names state_machine_un28_as_030_n.BLIF state_machine_un28_as_030_i_n +.names N_111.BLIF N_111_i 0 1 -.names sm_amiga_i_2__n.BLIF sm_amiga_i_4__n.BLIF N_96_i_1 +.names nEXP_SPACE_i.BLIF AS_000_DMA_i.BLIF un3_dtack_i_1 11 1 .names nEXP_SPACE_c.BLIF nEXP_SPACE_i 0 1 -.names AS_030_c.BLIF CLK_000_c.BLIF state_machine_un8_bg_030_1_n -11 1 -.names AS_000_c.BLIF AS_000_i -0 1 -.names SM_AMIGA_7_.BLIF nEXP_SPACE_c.BLIF state_machine_un8_bg_030_2_n -11 1 -.names RW_c.BLIF RW_i -0 1 .names state_machine_un3_bgack_030_int_d_i_n.BLIF \ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 11 1 -.names inst_CLK_000_D0.BLIF CLK_000_D0_i +.names RW_c.BLIF RW_i 0 1 -.names a_i_16__n.BLIF a_i_17__n.BLIF N_33_1 +.names N_111_i.BLIF sm_amiga_i_0__n.BLIF AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 11 1 -.names cpu_est_3_reg.BLIF cpu_est_i_3__n +.names state_machine_un31_bgack_030_int_n.BLIF \ +state_machine_un31_bgack_030_int_i_n 0 1 -.names a_i_18__n.BLIF a_i_19__n.BLIF N_33_2 +.names sm_amiga_i_7__n.BLIF state_machine_un5_bgack_030_int_d_i_n.BLIF \ +AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 11 1 -.names cpu_est_1_.BLIF cpu_est_i_1__n +.names inst_VPA_D.BLIF VPA_D_i 0 1 -.names a_c_17__n.BLIF BGACK_000_c.BLIF un19_fpu_cs_5_1 +.names size_c_i_1__n.BLIF A0_c_i.BLIF state_machine_un7_ds_030_i_1_n 11 1 -.names cpu_est_0_.BLIF cpu_est_i_0__n +.names VPA_c.BLIF VPA_i 0 1 -.names fc_c_0__n.BLIF fc_c_1__n.BLIF un19_fpu_cs_5_2 +.names AS_030_i.BLIF a_c_17__n.BLIF un19_fpu_cs_1 +11 1 +.names inst_VMA_INTreg.BLIF VMA_INT_i +0 1 +.names a_i_16__n.BLIF a_i_18__n.BLIF un19_fpu_cs_2 +11 1 +.names cpu_est_2_.BLIF cpu_est_i_2__n +0 1 +.names a_i_19__n.BLIF BGACK_000_c.BLIF un19_fpu_cs_3 +11 1 +.names N_165.BLIF N_165_i_0 +0 1 +.names fc_c_0__n.BLIF fc_c_1__n.BLIF un19_fpu_cs_4 +11 1 +.names DSACK1_INT_0_sqmuxa.BLIF DSACK1_INT_0_sqmuxa_i +0 1 +.names un19_fpu_cs_1.BLIF un19_fpu_cs_2.BLIF un19_fpu_cs_5 +11 1 +.names N_96.BLIF N_96_i +0 1 +.names un19_fpu_cs_3.BLIF un19_fpu_cs_4.BLIF un19_fpu_cs_6 +11 1 +.names a_c_31__n.BLIF a_i_31__n +0 1 +.names inst_CLK_000_D3.BLIF CLK_000_D4_i.BLIF DSACK1_INT_0_sqmuxa_1 +11 1 +.names a_c_30__n.BLIF a_i_30__n +0 1 +.names AS_030_000_SYNC_i.BLIF nEXP_SPACE_c.BLIF SM_AMIGA_0_sqmuxa_1_1 +11 1 +.names a_c_29__n.BLIF a_i_29__n +0 1 +.names N_167_i.BLIF N_168_i.BLIF cpu_est_ns_0_1_2__n +11 1 +.names a_c_28__n.BLIF a_i_28__n +0 1 +.names CLK_000_D2_i.BLIF CLK_000_D3_i.BLIF \ +state_machine_clk_000_p_sync_3_1_0__n +11 1 +.names a_c_27__n.BLIF a_i_27__n +0 1 +.names inst_CLK_000_D0.BLIF RW_c.BLIF DS_000_ENABLE_0_sqmuxa_1 +11 1 +.names a_c_26__n.BLIF a_i_26__n +0 1 +.names N_90_i.BLIF N_91_i.BLIF N_101_1 +11 1 +.names a_c_25__n.BLIF a_i_25__n +0 1 +.names SM_AMIGA_0_sqmuxa_1_i.BLIF sm_amiga_i_0__n.BLIF N_101_2 +11 1 +.names a_c_24__n.BLIF a_i_24__n +0 1 +.names N_101_1.BLIF N_101_2.BLIF N_101_3 +11 1 +.names un5_ciin.BLIF un5_ciin_i +0 1 +.names BERR_i.BLIF SM_AMIGA_3_.BLIF N_100_1 +11 1 +.names un12_ciin.BLIF un12_ciin_i +0 1 +.names N_90.BLIF BERR_i.BLIF N_98_1 +11 1 +.names CLK_CNT_N_0_.BLIF clk_cnt_n_i_0__n +0 1 +.names N_91.BLIF BERR_i.BLIF N_97_1 +11 1 +.names BERR_c.BLIF SM_AMIGA_3_.BLIF N_107_1 +11 1 +.names RST_c.BLIF RST_i +0 1 +.names N_99_i.BLIF N_97_i.BLIF sm_amiga_ns_0_1_0__n 11 1 .names IPL_030DFFSH_2_reg.BLIF IPL_030_2_ 1 1 @@ -1394,7 +1376,7 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names inst_CLK_OUT_PRE_33reg.BLIF AMIGA_BUS_ENABLE_LOW 1 1 0 0 -.names un28_ciin.BLIF CIIN +.names un5_ciin.BLIF CIIN 1 1 0 0 .names IPL_030DFFSH_1_reg.BLIF IPL_030_1_ @@ -1403,6 +1385,9 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names IPL_030DFFSH_0_reg.BLIF IPL_030_0_ 1 1 0 0 +.names cpu_estse.BLIF cpu_est_0_.D +1 1 +0 0 .names CLK_OSZI_c.BLIF cpu_est_0_.C 1 1 0 0 @@ -1427,30 +1412,6 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names RST_i.BLIF cpu_est_3_reg.AR 1 1 0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_7_.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_6_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_5_.AR -1 1 -0 0 -.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C -1 1 -0 0 -.names RST_i.BLIF SM_AMIGA_4_.AR -1 1 -0 0 .names CLK_OSZI_c.BLIF SM_AMIGA_3_.C 1 1 0 0 @@ -1475,75 +1436,6 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names RST_i.BLIF SM_AMIGA_0_.AR 1 1 0 0 -.names CLK_000_N_SYNC_5_.BLIF CLK_000_N_SYNC_6_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_6_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_6_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_6_.BLIF CLK_000_N_SYNC_7_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_7_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_7_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_7_.BLIF CLK_000_N_SYNC_8_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_8_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_8_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_8_.BLIF CLK_000_N_SYNC_9_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_9_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_9_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_9_.BLIF CLK_000_N_SYNC_10_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_10_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_10_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_10_.BLIF CLK_000_N_SYNC_11_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_11_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_11_.AR -1 1 -0 0 -.names CLK_OSZI_i.BLIF CLK_CNT_N_0_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_N_0_.AR -1 1 -0 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D -1 1 -0 0 -.names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C -1 1 -0 0 -.names RST_i.BLIF CLK_CNT_N_1_.AP -1 1 -0 0 .names CLK_OSZI_c.BLIF CLK_CNT_P_0_.C 1 1 0 0 @@ -1589,6 +1481,36 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names RST_i.BLIF IPL_030DFFSH_2_reg.AP 1 1 0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_7_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_7_.AP +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_6_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_6_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_5_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_5_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF SM_AMIGA_4_.C +1 1 +0 0 +.names RST_i.BLIF SM_AMIGA_4_.AR +1 1 +0 0 +.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C +1 1 +0 0 +.names RST_i.BLIF CLK_000_P_SYNC_0_.AR +1 1 +0 0 .names CLK_000_P_SYNC_0_.BLIF CLK_000_P_SYNC_1_.D 1 1 0 0 @@ -1670,55 +1592,37 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names RST_i.BLIF CLK_000_P_SYNC_9_.AR 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_0_.C +.names CLK_OSZI_i.BLIF CLK_CNT_N_0_.C 1 1 0 0 -.names RST_i.BLIF CLK_000_N_SYNC_0_.AR +.names RST_i.BLIF CLK_CNT_N_0_.AR 1 1 0 0 -.names CLK_000_N_SYNC_0_.BLIF CLK_000_N_SYNC_1_.D +.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.D 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_1_.C +.names CLK_OSZI_i.BLIF CLK_CNT_N_1_.C 1 1 0 0 -.names RST_i.BLIF CLK_000_N_SYNC_1_.AR +.names RST_i.BLIF CLK_CNT_N_1_.AP 1 1 0 0 -.names CLK_000_N_SYNC_1_.BLIF CLK_000_N_SYNC_2_.D +.names CLK_OSZI_c.BLIF inst_RW_000_INT.C 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_2_.C +.names RST_i.BLIF inst_RW_000_INT.AP 1 1 0 0 -.names RST_i.BLIF CLK_000_N_SYNC_2_.AR +.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C 1 1 0 0 -.names CLK_000_N_SYNC_2_.BLIF CLK_000_N_SYNC_3_.D +.names RST_i.BLIF inst_VMA_INTreg.AP 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_3_.C +.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C 1 1 0 0 -.names RST_i.BLIF CLK_000_N_SYNC_3_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_3_.BLIF CLK_000_N_SYNC_4_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_4_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_4_.AR -1 1 -0 0 -.names CLK_000_N_SYNC_4_.BLIF CLK_000_N_SYNC_5_.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF CLK_000_N_SYNC_5_.C -1 1 -0 0 -.names RST_i.BLIF CLK_000_N_SYNC_5_.AR +.names RST_i.BLIF inst_BGACK_030_INTreg.AP 1 1 0 0 .names CLK_OUT_PRE_25_0.BLIF inst_CLK_OUT_PRE_25.D @@ -1730,16 +1634,16 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names RST_i.BLIF inst_CLK_OUT_PRE_25.AR 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_BGACK_030_INTreg.C +.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C 1 1 0 0 -.names RST_i.BLIF inst_BGACK_030_INTreg.AP +.names RST_i.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP 1 1 0 0 -.names CLK_OSZI_c.BLIF CLK_000_P_SYNC_0_.C +.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C 1 1 0 0 -.names RST_i.BLIF CLK_000_P_SYNC_0_.AR +.names RST_i.BLIF inst_AS_030_000_SYNC.AP 1 1 0 0 .names CLK_OSZI_c.BLIF inst_AS_000_INT.C @@ -1778,18 +1682,6 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names RST_i.BLIF inst_UDS_000_INT.AP 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_RW_000_INT.C -1 1 -0 0 -.names RST_i.BLIF inst_RW_000_INT.AP -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_VMA_INTreg.C -1 1 -0 0 -.names RST_i.BLIF inst_VMA_INTreg.AP -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_A0_DMA.C 1 1 0 0 @@ -1817,21 +1709,27 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names RST_i.BLIF inst_AS_000_DMA.AP 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_AMIGA_BUS_ENABLE_INTreg.C +.names inst_CLK_000_D3.BLIF inst_CLK_000_D4.D 1 1 0 0 -.names RST_i.BLIF inst_AMIGA_BUS_ENABLE_INTreg.AP +.names CLK_OSZI_c.BLIF inst_CLK_000_D4.C 1 1 0 0 -.names CLK_OSZI_c.BLIF inst_AS_030_000_SYNC.C -1 1 -0 0 -.names RST_i.BLIF inst_AS_030_000_SYNC.AP +.names RST_i.BLIF inst_CLK_000_D4.AP 1 1 0 0 .names RST_i.BLIF inst_CLK_OUT_PRE_33reg.AR 1 1 0 0 +.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D +1 1 +0 0 +.names CLK_OSZI_c.BLIF inst_CLK_000_D2.C +1 1 +0 0 +.names RST_i.BLIF inst_CLK_000_D2.AP +1 1 +0 0 .names inst_CLK_OUT_PRE_25.BLIF inst_CLK_OUT_PRE.D 1 1 0 0 @@ -1850,15 +1748,6 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names RST_i.BLIF inst_CLK_000_D3.AP 1 1 0 0 -.names inst_CLK_000_D1.BLIF inst_CLK_000_D2.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_D2.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_D2.AP -1 1 -0 0 .names vcc_n_n.BLIF RESETDFFRHreg.D 1 1 0 0 @@ -1913,7 +1802,7 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names RST_i.BLIF inst_CLK_OUT_PRE_D.AR 1 1 0 0 -.names CLK_000_c.BLIF inst_CLK_000_D0.D +.names CLK_000.BLIF inst_CLK_000_D0.D 1 1 0 0 .names CLK_OSZI_c.BLIF inst_CLK_000_D0.C @@ -1940,15 +1829,6 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names RST_i.BLIF inst_avec_expreg.AR 1 1 0 0 -.names CLK_000_N_SYNC_11_.BLIF inst_CLK_000_NE.D -1 1 -0 0 -.names CLK_OSZI_c.BLIF inst_CLK_000_NE.C -1 1 -0 0 -.names RST_i.BLIF inst_CLK_000_NE.AR -1 1 -0 0 .names CLK_OSZI_c.BLIF inst_CLK_OUT_PRE_50.C 1 1 0 0 @@ -2081,9 +1961,6 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names CLK_030.BLIF CLK_030_c 1 1 0 0 -.names CLK_000.BLIF CLK_000_c -1 1 -0 0 .names CLK_OSZI.BLIF CLK_OSZI_c 1 1 0 0 @@ -2153,15 +2030,15 @@ AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i.BLIF un1_bgack_030_int_d_0_1 .names BGACK_030_INT_i.BLIF RW.OE 1 1 0 0 -.names N_247.BLIF CIIN.OE +.names un16_ciin_i.BLIF CIIN.OE 1 1 0 0 -.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF G_109 +.names CLK_CNT_N_0_.BLIF CLK_CNT_N_1_.BLIF G_108 01 1 10 1 11 0 00 0 -.names CLK_CNT_P_1_.BLIF CLK_CNT_P_0_.BLIF G_115 +.names CLK_CNT_P_1_.BLIF CLK_CNT_P_0_.BLIF G_114 01 1 10 1 11 0 @@ -2172,7 +2049,7 @@ CLK_OUT_PRE_25_0 10 1 11 0 00 0 -.names a_c_21__n.BLIF a_c_22__n.BLIF un28_ciin_0_x2 +.names cpu_est_0_.BLIF state_machine_un6_clk_000_p_sync_n.BLIF cpu_estse 01 1 10 1 11 0 diff --git a/Logic/BUS68030.cmd b/Logic/BUS68030.cmd new file mode 100644 index 0000000..e7c37a0 --- /dev/null +++ b/Logic/BUS68030.cmd @@ -0,0 +1,8 @@ +STYFILENAME: 68030_tk.sty +PROJECT: BUS68030 +WORKING_PATH: "c:/users/matze/documents/github/68030tk/logic" +MODULE: BUS68030 +VHDL_FILE_LIST: 68030-68000-bus.vhd +OUTPUT_FILE_NAME: BUS68030 +SUFFIX_NAME: edi +PART: M4A5-128/64-10VC diff --git a/Logic/BUS68030.edi b/Logic/BUS68030.edi index edb56ac..4034437 100644 --- a/Logic/BUS68030.edi +++ b/Logic/BUS68030.edi @@ -4,7 +4,7 @@ (keywordMap (keywordLevel 0)) (status (written - (timeStamp 2014 6 9 20 20 26) + (timeStamp 2014 6 15 16 36 39) (author "Synopsys, Inc.") (program "Synplify Pro" (version "G-2012.09LC-SP1 , mapper maplat, Build 621R")) ) @@ -166,14 +166,6 @@ ) (instance (rename cpu_est_3 "cpu_est[3]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) - (instance (rename SM_AMIGA_7 "SM_AMIGA[7]") (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_6 "SM_AMIGA[6]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_5 "SM_AMIGA[5]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename SM_AMIGA_4 "SM_AMIGA[4]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) (instance (rename SM_AMIGA_3 "SM_AMIGA[3]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) (instance (rename SM_AMIGA_2 "SM_AMIGA[2]") (viewRef prim (cellRef DFFRH (libraryRef mach))) @@ -182,22 +174,6 @@ ) (instance (rename SM_AMIGA_0 "SM_AMIGA[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_6 "CLK_000_N_SYNC[6]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_7 "CLK_000_N_SYNC[7]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_8 "CLK_000_N_SYNC[8]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_10 "CLK_000_N_SYNC[10]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_11 "CLK_000_N_SYNC[11]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_CNT_N_0 "CLK_CNT_N[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_CNT_N_1 "CLK_CNT_N[1]") (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) (instance (rename CLK_CNT_P_0 "CLK_CNT_P[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) (instance (rename CLK_CNT_P_1 "CLK_CNT_P[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) @@ -212,6 +188,16 @@ ) (instance (rename IPL_030DFFSH_2 "IPL_030DFFSH[2]") (viewRef prim (cellRef DFFSH (libraryRef mach))) ) + (instance (rename SM_AMIGA_7 "SM_AMIGA[7]") (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance (rename SM_AMIGA_6 "SM_AMIGA[6]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance (rename SM_AMIGA_5 "SM_AMIGA[5]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance (rename SM_AMIGA_4 "SM_AMIGA[4]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance (rename CLK_000_P_SYNC_0 "CLK_000_P_SYNC[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) (instance (rename CLK_000_P_SYNC_1 "CLK_000_P_SYNC[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) (instance (rename CLK_000_P_SYNC_2 "CLK_000_P_SYNC[2]") (viewRef prim (cellRef DFFRH (libraryRef mach))) @@ -230,23 +216,21 @@ ) (instance (rename CLK_000_P_SYNC_9 "CLK_000_P_SYNC[9]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_0 "CLK_000_N_SYNC[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + (instance (rename CLK_CNT_N_0 "CLK_CNT_N[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_1 "CLK_000_N_SYNC[1]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + (instance (rename CLK_CNT_N_1 "CLK_CNT_N[1]") (viewRef prim (cellRef DFFSH (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_2 "CLK_000_N_SYNC[2]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + (instance RW_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) ) - (instance (rename CLK_000_N_SYNC_3 "CLK_000_N_SYNC[3]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_4 "CLK_000_N_SYNC[4]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance (rename CLK_000_N_SYNC_5 "CLK_000_N_SYNC[5]") (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) - (instance CLK_OUT_PRE_25 (viewRef prim (cellRef DFFRH (libraryRef mach))) + (instance VMA_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) ) (instance BGACK_030_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) ) - (instance (rename CLK_000_P_SYNC_0 "CLK_000_P_SYNC[0]") (viewRef prim (cellRef DFFRH (libraryRef mach))) + (instance CLK_OUT_PRE_25 (viewRef prim (cellRef DFFRH (libraryRef mach))) + ) + (instance AMIGA_BUS_ENABLE_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) + (instance AS_030_000_SYNC (viewRef prim (cellRef DFFSH (libraryRef mach))) ) (instance AS_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) ) @@ -260,10 +244,6 @@ ) (instance UDS_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) ) - (instance RW_000_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance VMA_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) (instance A0_DMA (viewRef prim (cellRef DFFSH (libraryRef mach))) ) (instance CLK_030_H (viewRef prim (cellRef DFF (libraryRef mach))) @@ -274,18 +254,16 @@ ) (instance AS_000_DMA (viewRef prim (cellRef DFFSH (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) - (instance AS_030_000_SYNC (viewRef prim (cellRef DFFSH (libraryRef mach))) + (instance CLK_000_D4 (viewRef prim (cellRef DFFSH (libraryRef mach))) ) (instance CLK_OUT_PRE_33 (viewRef prim (cellRef DFFRH (libraryRef mach))) ) + (instance CLK_000_D2 (viewRef prim (cellRef DFFSH (libraryRef mach))) + ) (instance CLK_OUT_PRE (viewRef prim (cellRef DFFRH (libraryRef mach))) ) (instance CLK_000_D3 (viewRef prim (cellRef DFFSH (libraryRef mach))) ) - (instance CLK_000_D2 (viewRef prim (cellRef DFFSH (libraryRef mach))) - ) (instance RESETDFFRH (viewRef prim (cellRef DFFRH (libraryRef mach))) ) (instance CLK_OUT_INT (viewRef prim (cellRef DFFRH (libraryRef mach))) @@ -304,8 +282,6 @@ ) (instance avec_exp (viewRef prim (cellRef DFFRH (libraryRef mach))) ) - (instance CLK_000_NE (viewRef prim (cellRef DFFRH (libraryRef mach))) - ) (instance CLK_OUT_PRE_50 (viewRef prim (cellRef DFFRH (libraryRef mach))) ) (instance AS_030 (viewRef prim (cellRef BI_DIR (libraryRef mach))) ) @@ -367,425 +343,418 @@ (instance AMIGA_BUS_DATA_DIR (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_LOW (viewRef prim (cellRef OBUF (libraryRef mach))) ) (instance CIIN (viewRef prim (cellRef BUFTH (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_2_0 "SM_AMIGA_ns_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_3_0 "SM_AMIGA_ns_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_0 "SM_AMIGA_ns[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_1_2 "cpu_est_ns_0_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_2 "cpu_est_ns_0_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un28_ciin_0_a3_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un28_ciin_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un12_clk_000_d0_0_a3_1 "state_machine.un12_clk_000_d0_0_a3_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un12_clk_000_d0_0_a3 "state_machine.un12_clk_000_d0_0_a3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_ENABLE_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_ENABLE_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_3_1_0 "SM_AMIGA_ns_a4_3_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_3_2_0 "SM_AMIGA_ns_a4_3_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_3_3_0 "SM_AMIGA_ns_a4_3_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_3_0 "SM_AMIGA_ns_a4_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_2_1_0 "SM_AMIGA_ns_a4_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_2_0 "SM_AMIGA_ns_a4_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_a4_0_1_0 "SM_AMIGA_ns_a4_0_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_a4_0_0 "SM_AMIGA_ns_a4_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_a4_1_0_0 "SM_AMIGA_ns_a4_1_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_a4_0 "SM_AMIGA_ns_a4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_a4_1_4 "SM_AMIGA_ns_a4_1[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_a4_4 "SM_AMIGA_ns_a4[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_3_1_0 "SM_AMIGA_ns_a4_3_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_3_2_0 "SM_AMIGA_ns_a4_3_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_3_3_0 "SM_AMIGA_ns_a4_3_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_3_0 "SM_AMIGA_ns_a4_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un10_clk_000_ne_1 "state_machine.un10_clk_000_ne_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un10_clk_000_ne "state_machine.un10_clk_000_ne") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_1_0 "SM_AMIGA_ns_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_2_0 "SM_AMIGA_ns_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_3_0 "SM_AMIGA_ns_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un19_fpu_cs_5_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un19_fpu_cs_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un19_fpu_cs_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un19_fpu_cs_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un19_fpu_cs_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un19_fpu_cs_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un19_fpu_cs_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un19_fpu_cs_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance un19_fpu_cs (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance SM_AMIGA_0_sqmuxa_1_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance SM_AMIGA_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_ENABLE_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_0_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_1_1_0 "SM_AMIGA_ns_a4_1_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_1_0 "SM_AMIGA_ns_a4_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un12_clk_000_d0_0_a3_0 "state_machine.un12_clk_000_d0_0_a3_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_1_2 "cpu_est_ns_0_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_2 "cpu_est_ns_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_CLK_000_P_SYNC_3_1_0 "state_machine.CLK_000_P_SYNC_3_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_CLK_000_P_SYNC_3_0 "state_machine.CLK_000_P_SYNC_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un10_clk_000_d0_1 "state_machine.un10_clk_000_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un10_clk_000_d0_2 "state_machine.un10_clk_000_d0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un10_clk_000_d0_3 "state_machine.un10_clk_000_d0_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un10_clk_000_d0 "state_machine.un10_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_a3_0_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un3_dtack_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un3_dtack (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_bgack_030_int_d_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_bgack_030_int_d (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_INT_2_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_2_1_0 "SM_AMIGA_ns_o4_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_2_0 "SM_AMIGA_ns_o4_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un7_ds_030_1 "state_machine.un7_ds_030_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un7_ds_030 "state_machine.un7_ds_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un12_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un12_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un12_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un12_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un12_ciin_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un12_ciin_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un12_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_0_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un38_clk_000_d0_1_0 "state_machine.un38_clk_000_d0_1_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un38_clk_000_d0 "state_machine.un38_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un5_clk_000_d0_1 "state_machine.un5_clk_000_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un5_clk_000_d0_2 "state_machine.un5_clk_000_d0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un5_clk_000_d0 "state_machine.un5_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_7 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_8 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_9 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_10 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_11 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename state_machine_un8_bg_030_1 "state_machine.un8_bg_030_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename state_machine_un8_bg_030_2 "state_machine.un8_bg_030_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename state_machine_un8_bg_030 "state_machine.un8_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_bgack_030_int_d_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_bgack_030_int_d (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un28_ciin_0_a2_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un28_ciin_0_a2_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un28_ciin_0_a2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un19_fpu_cs_5_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3_0_1_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_000_P_SYNC_3_1_0 "state_machine.CLK_000_P_SYNC_3_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_000_P_SYNC_3_2_0 "state_machine.CLK_000_P_SYNC_3_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_000_P_SYNC_3_0 "state_machine.CLK_000_P_SYNC_3[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un28_as_030_1 "state_machine.un28_as_030_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un28_as_030_2 "state_machine.un28_as_030_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un28_as_030 "state_machine.un28_as_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_000_N_SYNC_2_1_0 "state_machine.CLK_000_N_SYNC_2_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_000_N_SYNC_2_0 "state_machine.CLK_000_N_SYNC_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_1_1 "cpu_est_ns_0_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_2_1 "cpu_est_ns_0_0_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_1 "cpu_est_ns_0_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un12_clk_000_d0_0_a3_0_1 "state_machine.un12_clk_000_d0_0_a3_0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un12_clk_000_d0_0_a3_0_2 "state_machine.un12_clk_000_d0_0_a3_0_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un3_dtack_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un3_dtack (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un16_ciin_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un16_ciin_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un16_ciin_3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un16_ciin_4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un16_ciin_5 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un16_ciin_6 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un16_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un7_ds_030_1 "state_machine.un7_ds_030_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un7_ds_030 "state_machine.un7_ds_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un28_ciin_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un28_ciin_0_a3_0_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un28_ciin_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_230_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_i_2 "cpu_est_ns_0_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_35_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_227_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_222_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un10_clk_000_d0_i "state_machine.un10_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un12_clk_000_d0_0_i "state_machine.un12_clk_000_d0_0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un2_clk_pre_66_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un6_clk_pre_66_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_PRE_66_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance A0_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_224_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_32_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_223_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_178_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_179_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_i_0_o2_i_3 "cpu_est_ns_i_0_o2_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_30_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_31_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un28_ciin_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_28_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_29_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance cpu_estse_0_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_228_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_229_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_2_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_6_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_RW_000_INT_3_i "state_machine.RW_000_INT_3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance BG_030_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename state_machine_un8_bg_030_i "state_machine.un8_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename state_machine_un10_bg_030_i "state_machine.un10_bg_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un10_bgack_030_int_i "state_machine.un10_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_DS_000_DMA_3_i "state_machine.DS_000_DMA_3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_SIZE_DMA_4_i_0 "state_machine.SIZE_DMA_4_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un8_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un14_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un2_clk_pre_66_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un6_clk_pre_66_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_PRE_66_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_1_1 "cpu_est_ns_0_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_2_1 "cpu_est_ns_0_2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_1 "cpu_est_ns_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_o4_1_1_0 "SM_AMIGA_ns_o4_1_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_o4_1_0 "SM_AMIGA_ns_o4_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un34_clk_000_d0_i "state_machine.un34_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_112_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_7 "SM_AMIGA_ns_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_175_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_174_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename state_machine_SIZE_DMA_4_i_1 "state_machine.SIZE_DMA_4_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_1_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_CLK_030_H_2_f1_i "state_machine.CLK_030_H_2_f1_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_i_1 "cpu_est_ns_0_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_37_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_SIZE_DMA_4_i_0 "state_machine.SIZE_DMA_4_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_171_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_164_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_163_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_i_1 "cpu_est_ns_0_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un10_clk_000_d0_i "state_machine.un10_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un5_clk_000_d0_i "state_machine.un5_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un12_clk_000_d0_i "state_machine.un12_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance A0_c_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SIZE_c_i_1 "SIZE_c_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_INT_2_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename state_machine_un3_bgack_030_int_d_i "state_machine.un3_bgack_030_int_d_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance un1_bgack_030_int_d_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_INT_3_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_2_i_0 "SM_AMIGA_ns_o4_2_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_1_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_CLK_030_H_2_f1_i "state_machine.CLK_030_H_2_f1_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un10_bgack_030_int_i "state_machine.un10_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un38_clk_000_d0_i "state_machine.un38_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un32_clk_000_d0_i "state_machine.un32_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_115_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_111_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_112_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_5 "SM_AMIGA_ns_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_109_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_108_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_o4_1_i_0 "SM_AMIGA_ns_o4_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_168_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_i_2 "cpu_est_ns_0_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un10_clk_000_d0_2_i "state_machine.un10_clk_000_d0_2_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_167_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_170_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_169_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o4_i_2 "SM_AMIGA_ns_i_o4_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_104_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un1_as_030_i_0 "state_machine.un1_as_030_i_0") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un6_clk_000_p_sync_i "state_machine.un6_clk_000_p_sync_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un6_bgack_000_i "state_machine.un6_bgack_000_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_LDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_105_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_i_3 "SM_AMIGA_ns_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_113_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_6 "SM_AMIGA_ns_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_107_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_4 "SM_AMIGA_ns_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_108_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_109_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_5 "SM_AMIGA_ns_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_110_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_o4_i_0 "SM_AMIGA_ns_o4_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename SM_AMIGA_ns_o4_0_i_0 "SM_AMIGA_ns_o4_0_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_1_i_0 "SM_AMIGA_ns_o4_1_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_o4_i_7 "SM_AMIGA_ns_i_o4_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_103_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_100_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_102_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o4_i_6 "SM_AMIGA_ns_i_o4_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_97_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_98_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_99_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance N_101_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_104_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un4_bgack_000_i "state_machine.un4_bgack_000_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_33_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un28_ciin_0_o2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_2_i_0 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un10_clk_000_ne_i "state_machine.un10_clk_000_ne_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un4_clk_000_ne_i "state_machine.un4_clk_000_ne_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un6_clk_000_ne_i_0 "state_machine.un6_clk_000_ne_i_0") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_RW_000_INT_3_i "state_machine.RW_000_INT_3_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_6_i_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_0 "SM_AMIGA_ns_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_105_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_106_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_1 "SM_AMIGA_ns_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_107_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_2 "SM_AMIGA_ns_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_110_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_4 "SM_AMIGA_ns_i[4]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance N_114_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_UDS_000_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance SM_AMIGA_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance DS_000_ENABLE_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DSACK1_INT_0_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_1_r "cpu_estse_1.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_estse_1_m "cpu_estse_1.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_1_n "cpu_estse_1.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_1_p "cpu_estse_1.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__r "IPL_030_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__r "IPL_030_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename IPL_030_0_1__m "IPL_030_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__n "IPL_030_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename IPL_030_0_1__p "IPL_030_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_0_sqmuxa_2_i_0 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_100_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_0 "SM_AMIGA_ns_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_102_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_103_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_1 "SM_AMIGA_ns_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_106_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_000_D1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un6_clk_000_p_sync "state_machine.un6_clk_000_p_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_UDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_r "UDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename UDS_000_INT_0_p "UDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance un19_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a4_6 "SM_AMIGA_ns_i_a4[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_0_5 "SM_AMIGA_ns_a4_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_5 "SM_AMIGA_ns_a4[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_0_3 "SM_AMIGA_ns_a4_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_3 "SM_AMIGA_ns_a4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_0_1 "SM_AMIGA_ns_a4_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_1_0 "SM_AMIGA_ns_a4_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_SM_AMIGA_2_i_a4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance SM_AMIGA_0_sqmuxa_0_a4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un30_clk_000_d0 "state_machine.un30_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_0_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance SM_AMIGA_0_sqmuxa_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_1 "SM_AMIGA_ns_a4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_6_i_a4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un30_clk_000_d0_i "state_machine.un30_clk_000_d0_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o4_6 "SM_AMIGA_ns_i_o4[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_o4_0_0 "SM_AMIGA_ns_o4_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance BERR_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_o4_0 "SM_AMIGA_ns_o4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_6 "SM_AMIGA_ns_i[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_5 "SM_AMIGA_ns[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_4 "SM_AMIGA_ns[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_3 "SM_AMIGA_ns[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_1 "SM_AMIGA_ns[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_7 "SM_AMIGA_ns_a4[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_a3_2 "cpu_est_ns_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_a3_0_2 "cpu_est_ns_0_a3_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_a3_1_2 "cpu_est_ns_0_a3_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un38_clk_000_d0_1_i "state_machine.un38_clk_000_d0_1_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_ns_i_a3_3 "cpu_est_ns_i_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_ns_i_a3_0_3 "cpu_est_ns_i_a3_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_a2_1 "cpu_est_ns_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_i_3 "cpu_est_ns_i[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un38_clk_000_d0_1 "state_machine.un38_clk_000_d0_1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_D2_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_000_D3_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance cpu_estse (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename state_machine_un1_as_030 "state_machine.un1_as_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_a4_2 "SM_AMIGA_ns_i_a4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_2 "SM_AMIGA_ns_i[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_i_o4_2 "SM_AMIGA_ns_i_o4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un2_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_000_D4_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_030_0_0__r "IPL_030_0_0_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename IPL_030_0_0__m "IPL_030_0_0_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_030_0_0__n "IPL_030_0_0_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename IPL_030_0_0__p "IPL_030_0_0_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_r "RW_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance DSACK1_INT_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_r "DSACK1_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_m "DSACK1_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_n "DSACK1_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DSACK1_INT_0_p "DSACK1_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_ENABLE_0_p "DS_000_ENABLE_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance N_99_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_INT_0_r "AS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AS_000_INT_0_m "AS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_INT_0_n "AS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AS_000_INT_0_p "AS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance un1_AS_030_000_SYNC_0_sqmuxa_1_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_1__r "IPL_030_0_1_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_1__m "IPL_030_0_1_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__n "IPL_030_0_1_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_1__p "IPL_030_0_1_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__r "IPL_030_0_2_.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename IPL_030_0_2__m "IPL_030_0_2_.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__n "IPL_030_0_2_.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename IPL_030_0_2__p "IPL_030_0_2_.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename cpu_estse_0_r "cpu_estse_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_estse_0_m "cpu_estse_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_estse_0_n "cpu_estse_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_estse_0_p "cpu_estse_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename cpu_estse_1_r "cpu_estse_1.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_estse_1_m "cpu_estse_1.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_estse_1_n "cpu_estse_1.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_estse_1_p "cpu_estse_1.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename cpu_estse_2_r "cpu_estse_2.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_estse_2_m "cpu_estse_2.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_estse_2_n "cpu_estse_2.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_estse_2_p "cpu_estse_2.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance N_95_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_INT_3_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_r "AS_030_000_SYNC_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_m "AS_030_000_SYNC_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_n "AS_030_000_SYNC_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_030_000_SYNC_0_p "AS_030_000_SYNC_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance un19_fpu_cs_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_SM_AMIGA_6_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_6 "SM_AMIGA_ns_a4[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_5 "SM_AMIGA_ns_a4[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_3 "SM_AMIGA_ns_a4[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_0_1 "SM_AMIGA_ns_a4_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_1 "SM_AMIGA_ns_a4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_6_i_a4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SM_AMIGA_0_sqmuxa_0_a4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_RW_000_INT_3 "state_machine.RW_000_INT_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un6_clk_000_ne "state_machine.un6_clk_000_ne") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DSACK1_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_181 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un4_clk_000_ne "state_machine.un4_clk_000_ne") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_0_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance SM_AMIGA_0_sqmuxa_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_0_6 "SM_AMIGA_ns_a4_0[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance VPA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_o4_7 "SM_AMIGA_ns_i_o4[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_1_0 "SM_AMIGA_ns_o4_1[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_000_NE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_0_0 "SM_AMIGA_ns_o4_0[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BERR_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_o4_0 "SM_AMIGA_ns_o4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_6 "SM_AMIGA_ns[6]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_4 "SM_AMIGA_ns[4]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_2 "SM_AMIGA_ns[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_1 "SM_AMIGA_ns[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un28_ciin_0_o2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un4_bgack_000 "state_machine.un4_bgack_000") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AS_030_000_SYNC_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un2_as_030 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_030_000_SYNC_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_AS_030_000_SYNC_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_182 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un1_AS_030_000_SYNC_0_sqmuxa_1_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance avec_exp_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_2 "SM_AMIGA_ns_a4[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_1_2 "SM_AMIGA_ns_a4_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un10_bg_030 "state_machine.un10_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_SM_AMIGA_2_i_a4 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_2_0 "SM_AMIGA_ns_a4_2[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_0_3 "SM_AMIGA_ns_a4_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_a4_0_5 "SM_AMIGA_ns_a4_0[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_a4_7 "SM_AMIGA_ns_i_a4[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_3 "SM_AMIGA_ns[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_5 "SM_AMIGA_ns[5]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_ns_i_7 "SM_AMIGA_ns_i[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_r "DS_000_ENABLE_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_m "DS_000_ENABLE_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_n "DS_000_ENABLE_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_ENABLE_0_p "DS_000_ENABLE_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_r "LDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_r "BGACK_030_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_m "BGACK_030_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_n "BGACK_030_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename BGACK_030_INT_0_p "BGACK_030_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename A_i_17 "A_i[17]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_LDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_030_000_SYNC_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un1_AS_030_000_SYNC_0_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_180 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_16 "A_i[16]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_19 "A_i[19]") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename A_i_18 "A_i[18]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un6_bgack_000 "state_machine.un6_bgack_000") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DS_000_DMA_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_030_H_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_182 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un8_bgack_030_int "state_machine.un8_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_INT_0_r "AMIGA_BUS_ENABLE_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_INT_0_m "AMIGA_BUS_ENABLE_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_INT_0_n "AMIGA_BUS_ENABLE_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AMIGA_BUS_ENABLE_INT_0_p "AMIGA_BUS_ENABLE_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename state_machine_un3_bgack_030_int_d "state_machine.un3_bgack_030_int_d") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance BGACK_030_INT_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un5_bgack_030_int_d "state_machine.un5_bgack_030_int_d") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_030_H_1_sqmuxa_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance (rename state_machine_un8_bgack_030_int_i "state_machine.un8_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AS_000_DMA_0_r "AS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename AS_000_DMA_0_m "AS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_000_DMA_0_n "AS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename AS_000_DMA_0_p "AS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_r "DS_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_m "DS_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_n "DS_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename DS_000_DMA_0_p "DS_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename state_machine_un24_bgack_030_int "state_machine.un24_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_184 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_185 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un10_bgack_030_int "state_machine.un10_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AS_000_DMA_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_A0_DMA_2 "state_machine.A0_DMA_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_CLK_030_H_2_f1 "state_machine.CLK_030_H_2_f1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_CLK_030_H_2_f0 "state_machine.CLK_030_H_2_f0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_183 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance DS_000_DMA_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un24_bgack_030_int_i "state_machine.un24_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance I_187 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance AMIGA_BUS_DATA_DIR_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance nEXP_SPACE_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un16_clk_000_d0 "state_machine.un16_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance N_111_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_7 "SM_AMIGA_ns[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename SM_AMIGA_ns_a4_0_7 "SM_AMIGA_ns_a4_0[7]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un34_clk_000_d0 "state_machine.un34_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance I_186 (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un32_clk_000_d0 "state_machine.un32_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename CLK_030_H_0_r "CLK_030_H_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename CLK_030_H_0_m "CLK_030_H_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename CLK_030_H_0_n "CLK_030_H_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename CLK_030_H_0_p "CLK_030_H_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) (instance DS_000_DMA_1_sqmuxa_1_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename RW_000_DMA_0_r "RW_000_DMA_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename RW_000_DMA_0_m "RW_000_DMA_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename RW_000_DMA_0_n "RW_000_DMA_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename RW_000_DMA_0_p "RW_000_DMA_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename CLK_030_H_0_r "CLK_030_H_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename CLK_030_H_0_m "CLK_030_H_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename CLK_030_H_0_n "CLK_030_H_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename CLK_030_H_0_p "CLK_030_H_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_INT_0_r "AMIGA_BUS_ENABLE_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_INT_0_m "AMIGA_BUS_ENABLE_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_INT_0_n "AMIGA_BUS_ENABLE_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename AMIGA_BUS_ENABLE_INT_0_p "AMIGA_BUS_ENABLE_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename state_machine_un3_bgack_030_int_d "state_machine.un3_bgack_030_int_d") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance BGACK_030_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance BGACK_030_INT_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un5_bgack_030_int_d "state_machine.un5_bgack_030_int_d") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance N_98_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_ENABLE_INT_3_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance DS_000_DMA_1_sqmuxa_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_030_H_2_f0 "state_machine.CLK_030_H_2_f0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_CLK_030_H_2_f1 "state_machine.CLK_030_H_2_f1") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_SIZE_DMA_4_1 "state_machine.SIZE_DMA_4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un31_bgack_030_int_i "state_machine.un31_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_SIZE_DMA_4_0 "state_machine.SIZE_DMA_4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_DS_000_DMA_3 "state_machine.DS_000_DMA_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_A0_DMA_2 "state_machine.A0_DMA_2") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un31_bgack_030_int "state_machine.un31_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_183 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance I_184 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un10_bgack_030_int "state_machine.un10_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_030_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un24_bgack_030_int "state_machine.un24_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_186 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance AMIGA_BUS_DATA_DIR_0_a3_0_1 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance nEXP_SPACE_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un28_as_030_i "state_machine.un28_as_030_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_D1_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_D2_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_000_D3_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un8_bgack_030_int "state_machine.un8_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance AS_000_DMA_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_030_H_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un24_bgack_030_int_i "state_machine.un24_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance DS_000_DMA_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_185 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_i_0 "cpu_est_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_a2_1 "cpu_est_ns_0_0_a2[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_i_0_a2_3 "cpu_est_ns_i_0_a2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_1 "cpu_est_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_a2_0_1 "cpu_est_ns_0_0_a2_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename state_machine_un12_clk_000_d0_0 "state_machine.un12_clk_000_d0_0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_i_0_3 "cpu_est_ns_i_0[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance cpu_estse_0_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un28_ciin_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_3 "cpu_est_i[3]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_i_0_o2_3 "cpu_est_ns_i_0_o2[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un28_ciin_0_x2 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance CLK_000_D0_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un5_clk_000_n_sync "state_machine.un5_clk_000_n_sync") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance I_187 (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_PRE_66 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance G_109 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance G_115 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_a3_1 "cpu_est_ns_0_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_a3_0_1 "cpu_est_ns_0_0_a3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_i_0_a3_3 "cpu_est_ns_i_0_a3[3]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_a3_2 "cpu_est_ns_0_0_a3[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_a3_0_2 "cpu_est_ns_0_0_a3_0[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_est_ns_0_0_a3_1_2 "cpu_est_ns_0_0_a3_1[2]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance cpu_estse_0_a3 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance cpu_estse_0_a3_0 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename A_i_20 "A_i[20]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_23 "A_i[23]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_24 "A_i[24]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_25 "A_i[25]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_26 "A_i[26]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_27 "A_i[27]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_28 "A_i[28]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_29 "A_i[29]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_30 "A_i[30]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename A_i_31 "A_i[31]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_PRE_25_0 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) - (instance un1_UDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un1_LDS_000_INT (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance un6_clk_pre_66 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename CLK_CNT_N_i_0 "CLK_CNT_N_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance un2_clk_pre_66 (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance CLK_OUT_PRE_50_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance RST_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_PRE_33_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OSZI_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un2_clk_cnt_p_i_1 "un2_clk_cnt_p_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename un4_clk_cnt_n_1_i_1 "un4_clk_cnt_n_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance CLK_OUT_PRE_50_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename state_machine_un3_clk_out_pre_50 "state_machine.un3_clk_out_pre_50") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_r "LDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_m "LDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_n "LDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename LDS_000_INT_0_p "LDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_r "UDS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_m "UDS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_n "UDS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename UDS_000_INT_0_p "UDS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance DSACK1_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_165_i (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename VMA_INT_0_r "VMA_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) (instance (rename VMA_INT_0_m "VMA_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename VMA_INT_0_n "VMA_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) (instance (rename VMA_INT_0_p "VMA_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename cpu_estse_0_r "cpu_estse_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_estse_0_m "cpu_estse_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_0_n "cpu_estse_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_0_p "cpu_estse_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) - (instance (rename cpu_estse_2_r "cpu_estse_2.r") (viewRef prim (cellRef INV (libraryRef mach))) ) - (instance (rename cpu_estse_2_m "cpu_estse_2.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_2_n "cpu_estse_2.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) - (instance (rename cpu_estse_2_p "cpu_estse_2.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename state_machine_un12_clk_000_d0 "state_machine.un12_clk_000_d0") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_i_2 "cpu_est_i[2]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_a3_1 "cpu_est_ns_0_a3[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_a3_0_1 "cpu_est_ns_0_a3_0[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename cpu_est_ns_0_a3_1_1 "cpu_est_ns_0_a3_1[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance VPA_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VMA_INT_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance VPA_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un31_bgack_030_int "state_machine.un31_bgack_030_int") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un31_bgack_030_int_i "state_machine.un31_bgack_030_int_i") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_SIZE_DMA_4_0 "state_machine.SIZE_DMA_4[0]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_SIZE_DMA_4_1 "state_machine.SIZE_DMA_4[1]") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_24 "A_i[24]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_27 "A_i[27]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_26 "A_i[26]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_29 "A_i[29]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_28 "A_i[28]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_31 "A_i[31]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename A_i_30 "A_i[30]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_000_INT_0_r "AS_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename AS_000_INT_0_m "AS_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_INT_0_n "AS_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename AS_000_INT_0_p "AS_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_r "DSACK1_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_m "DSACK1_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_n "DSACK1_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename DSACK1_INT_0_p "DSACK1_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename RW_000_INT_0_r "RW_000_INT_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename RW_000_INT_0_m "RW_000_INT_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_INT_0_n "RW_000_INT_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename RW_000_INT_0_p "RW_000_INT_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance (rename state_machine_RW_000_INT_3 "state_machine.RW_000_INT_3") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un1_SM_AMIGA_6_i (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance N_96_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance AS_000_INT_1_sqmuxa (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance DSACK1_INT_0_sqmuxa_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_OUT_PRE_25_0 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance un6_clk_pre_66 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename CLK_CNT_N_i_0 "CLK_CNT_N_i[0]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un2_clk_pre_66 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance CLK_PRE_66 (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance G_108 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance G_114 (viewRef prim (cellRef XOR2 (libraryRef mach))) ) + (instance (rename BG_000_0_r "BG_000_0.r") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename BG_000_0_m "BG_000_0.m") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_n "BG_000_0.n") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename BG_000_0_p "BG_000_0.p") (viewRef prim (cellRef OR2 (libraryRef mach))) ) + (instance un12_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un8_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un14_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename state_machine_un10_bg_030 "state_machine.un10_bg_030") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un5_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance un16_ciin (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance (rename A_i_25 "A_i[25]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_OUT_PRE_50_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance RST_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_OUT_PRE_33_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un4_clk_cnt_n_1_i_1 "un4_clk_cnt_n_1_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_OSZI_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance CLK_OUT_PRE_50_D_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename state_machine_un3_clk_out_pre_50 "state_machine.un3_clk_out_pre_50") (viewRef prim (cellRef AND2 (libraryRef mach))) ) + (instance un16_ciin_i (viewRef prim (cellRef INV (libraryRef mach))) ) + (instance (rename un2_clk_cnt_p_i_1 "un2_clk_cnt_p_i[1]") (viewRef prim (cellRef INV (libraryRef mach))) ) (net BGACK_030_INT (joined (portRef Q (instanceRef BGACK_030_INT)) (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_1)) (portRef I0 (instanceRef state_machine_un5_bgack_030_int_d)) (portRef I0 (instanceRef BGACK_030_INT_i)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_1)) (portRef I0 (instanceRef state_machine_un3_bgack_030_int_d)) (portRef I0 (instanceRef BGACK_030_INT_0_n)) (portRef I0 (instanceRef AS_030_000_SYNC_0_sqmuxa_1)) @@ -802,30 +771,12 @@ )) (net (rename avec_expZ0 "avec_exp") (joined (portRef Q (instanceRef avec_exp)) - (portRef I1 (instanceRef cpu_estse_2_m)) - (portRef I0 (instanceRef cpu_estse_2_r)) - (portRef I1 (instanceRef cpu_estse_0_m)) - (portRef I0 (instanceRef cpu_estse_0_r)) - (portRef I0 (instanceRef cpu_estse_0_a3)) - (portRef I0 (instanceRef avec_exp_i)) - (portRef I1 (instanceRef SM_AMIGA_0_sqmuxa_0_a4)) - (portRef I1 (instanceRef un1_SM_AMIGA_6_i_a4)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_6)) - (portRef I1 (instanceRef IPL_030_0_0__m)) - (portRef I0 (instanceRef IPL_030_0_0__r)) - (portRef I1 (instanceRef IPL_030_0_1__m)) - (portRef I0 (instanceRef IPL_030_0_1__r)) - (portRef I1 (instanceRef IPL_030_0_2__m)) - (portRef I0 (instanceRef IPL_030_0_2__r)) - (portRef I1 (instanceRef cpu_estse_1_m)) - (portRef I0 (instanceRef cpu_estse_1_r)) - (portRef I1 (instanceRef DS_000_ENABLE_0_sqmuxa)) (portRef I0 (instanceRef AVEC_EXPZ0)) )) (net VMA_INT (joined (portRef Q (instanceRef VMA_INT)) - (portRef I0 (instanceRef VMA_INT_0_n)) (portRef I0 (instanceRef VMA_INT_i)) + (portRef I0 (instanceRef VMA_INT_0_n)) (portRef I0 (instanceRef VMA)) )) (net AMIGA_BUS_ENABLE_INT (joined @@ -840,8 +791,8 @@ )) (net AS_030_000_SYNC (joined (portRef Q (instanceRef AS_030_000_SYNC)) - (portRef I0 (instanceRef AS_030_000_SYNC_i)) (portRef I0 (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef AS_030_000_SYNC_i)) )) (net BGACK_030_INT_D (joined (portRef Q (instanceRef BGACK_030_INT_D)) @@ -850,8 +801,8 @@ )) (net AS_000_DMA (joined (portRef Q (instanceRef AS_000_DMA)) - (portRef I0 (instanceRef AS_000_DMA_i)) (portRef I0 (instanceRef AS_000_DMA_0_m)) + (portRef I0 (instanceRef AS_000_DMA_i)) (portRef I0 (instanceRef AS_030)) )) (net VPA_D (joined @@ -864,15 +815,32 @@ )) (net CLK_000_D0 (joined (portRef Q (instanceRef CLK_000_D0)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_2)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_6)) + (portRef I0 (instanceRef un1_SM_AMIGA_6_i_a4)) + (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_0_a4)) (portRef I0 (instanceRef CLK_000_D0_i)) - (portRef I1 (instanceRef state_machine_un12_clk_000_d0_0_a3_0_1)) - (portRef I0 (instanceRef state_machine_CLK_000_P_SYNC_3_1_0)) + (portRef I0 (instanceRef state_machine_un6_clk_000_p_sync)) + (portRef I1 (instanceRef state_machine_un8_bg_030_1)) + (portRef I0 (instanceRef state_machine_un10_clk_000_d0_2)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_0)) + (portRef I0 (instanceRef DS_000_ENABLE_0_sqmuxa_1)) (portRef D (instanceRef CLK_000_D1)) )) + (net CLK_000_D1 (joined + (portRef Q (instanceRef CLK_000_D1)) + (portRef I1 (instanceRef state_machine_un16_clk_000_d0)) + (portRef I0 (instanceRef CLK_000_D1_i)) + (portRef D (instanceRef CLK_000_D2)) + )) + (net CLK_000_D4 (joined + (portRef Q (instanceRef CLK_000_D4)) + (portRef I0 (instanceRef CLK_000_D4_i)) + )) (net (rename CLK_CNT_N_0 "CLK_CNT_N[0]") (joined (portRef Q (instanceRef CLK_CNT_N_0)) + (portRef I0 (instanceRef G_108)) (portRef I0 (instanceRef CLK_CNT_N_i_0)) - (portRef I0 (instanceRef G_109)) (portRef D (instanceRef CLK_CNT_N_1)) )) (net CLK_OUT_PRE_50 (joined @@ -886,29 +854,16 @@ (portRef I0 (instanceRef CLK_OUT_PRE_25_0)) (portRef D (instanceRef CLK_OUT_PRE)) )) - (net CLK_000_D1 (joined - (portRef Q (instanceRef CLK_000_D1)) - (portRef I1 (instanceRef state_machine_un5_clk_000_n_sync)) - (portRef I0 (instanceRef CLK_000_D1_i)) - (portRef D (instanceRef CLK_000_D2)) - )) (net CLK_000_D2 (joined (portRef Q (instanceRef CLK_000_D2)) (portRef I0 (instanceRef CLK_000_D2_i)) - (portRef I0 (instanceRef state_machine_CLK_000_N_SYNC_2_1_0)) (portRef D (instanceRef CLK_000_D3)) )) (net CLK_000_D3 (joined (portRef Q (instanceRef CLK_000_D3)) (portRef I0 (instanceRef CLK_000_D3_i)) - (portRef I1 (instanceRef state_machine_CLK_000_N_SYNC_2_1_0)) - )) - (net CLK_000_NE (joined - (portRef Q (instanceRef CLK_000_NE)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_0_0)) - (portRef I0 (instanceRef CLK_000_NE_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_o4_7)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_3)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_1)) + (portRef D (instanceRef CLK_000_D4)) )) (net CLK_OUT_PRE_D (joined (portRef Q (instanceRef CLK_OUT_PRE_D)) @@ -922,67 +877,59 @@ (portRef Q (instanceRef CLK_000_P_SYNC_9)) (portRef D (instanceRef avec_exp)) )) - (net (rename CLK_000_N_SYNC_11 "CLK_000_N_SYNC[11]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_11)) - (portRef D (instanceRef CLK_000_NE)) - )) - (net (rename state_machine_un10_clk_000_d0 "state_machine.un10_clk_000_d0") (joined - (portRef O (instanceRef state_machine_un12_clk_000_d0_0_a3_0)) - (portRef I0 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef state_machine_un10_clk_000_d0_i)) - )) (net AS_000_INT (joined (portRef Q (instanceRef AS_000_INT)) (portRef I0 (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef state_machine_un12_clk_000_d0_0_a3_0_1)) + (portRef I1 (instanceRef state_machine_un10_clk_000_d0_1)) (portRef I0 (instanceRef AS_000)) )) (net (rename SM_AMIGA_7 "SM_AMIGA[7]") (joined (portRef Q (instanceRef SM_AMIGA_7)) (portRef I0 (instanceRef SM_AMIGA_i_7)) (portRef I0 (instanceRef SM_AMIGA_ns_a4_1)) - (portRef I0 (instanceRef state_machine_un8_bg_030_2)) (portRef I1 (instanceRef AS_030_000_SYNC_0_sqmuxa_1)) )) + (net (rename SM_AMIGA_6 "SM_AMIGA[6]") (joined + (portRef Q (instanceRef SM_AMIGA_6)) + (portRef I0 (instanceRef SM_AMIGA_i_6)) + (portRef I1 (instanceRef un1_SM_AMIGA_6_i_a4)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_1)) + (portRef I1 (instanceRef DS_000_ENABLE_0_sqmuxa)) + )) (net (rename SM_AMIGA_1 "SM_AMIGA[1]") (joined (portRef Q (instanceRef SM_AMIGA_1)) - (portRef I1 (instanceRef SM_AMIGA_ns_i_o4_7)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_7)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_o4_6)) (portRef I0 (instanceRef SM_AMIGA_i_1)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_6)) (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa)) )) (net (rename SM_AMIGA_0 "SM_AMIGA[0]") (joined (portRef Q (instanceRef SM_AMIGA_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_2_0)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_7)) (portRef I0 (instanceRef SM_AMIGA_i_0)) - )) - (net (rename SM_AMIGA_6 "SM_AMIGA[6]") (joined - (portRef Q (instanceRef SM_AMIGA_6)) - (portRef I0 (instanceRef un1_SM_AMIGA_6_i_a4)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_1)) - (portRef I0 (instanceRef SM_AMIGA_i_6)) - (portRef I1 (instanceRef DS_000_ENABLE_0_sqmuxa_1)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_0)) )) (net (rename SM_AMIGA_4 "SM_AMIGA[4]") (joined (portRef Q (instanceRef SM_AMIGA_4)) + (portRef I1 (instanceRef SM_AMIGA_0_sqmuxa_0_a4)) (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_3)) - (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_0_a4)) (portRef I0 (instanceRef SM_AMIGA_i_4)) )) - (net (rename CLK_000_N_SYNC_6 "CLK_000_N_SYNC[6]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_6)) - (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa)) - (portRef D (instanceRef CLK_000_N_SYNC_7)) + (net CLK_030_H (joined + (portRef Q (instanceRef CLK_030_H)) + (portRef I0 (instanceRef CLK_030_H_0_n)) + (portRef I0 (instanceRef state_machine_un24_bgack_030_int)) + (portRef I0 (instanceRef CLK_030_H_i)) )) (net (rename CLK_CNT_P_1 "CLK_CNT_P[1]") (joined (portRef Q (instanceRef CLK_CNT_P_1)) + (portRef I1 (instanceRef G_114)) (portRef I1 (instanceRef un6_clk_pre_66)) - (portRef I1 (instanceRef G_115)) )) (net (rename CLK_CNT_N_1 "CLK_CNT_N[1]") (joined (portRef Q (instanceRef CLK_CNT_N_1)) + (portRef I1 (instanceRef G_108)) (portRef I0 (instanceRef un6_clk_pre_66)) - (portRef I1 (instanceRef G_109)) )) (net RW_000_INT (joined (portRef Q (instanceRef RW_000_INT)) @@ -998,16 +945,10 @@ (portRef O (instanceRef state_machine_un3_clk_out_pre_50)) (portRef I1 (instanceRef CLK_OUT_PRE_25_0)) )) - (net CLK_030_H (joined - (portRef Q (instanceRef CLK_030_H)) - (portRef I0 (instanceRef state_machine_un24_bgack_030_int)) - (portRef I0 (instanceRef CLK_030_H_0_n)) - (portRef I0 (instanceRef CLK_030_H_i)) - )) (net (rename CLK_CNT_P_0 "CLK_CNT_P[0]") (joined (portRef Q (instanceRef CLK_CNT_P_0)) + (portRef I0 (instanceRef G_114)) (portRef I1 (instanceRef un2_clk_pre_66)) - (portRef I0 (instanceRef G_115)) (portRef D (instanceRef CLK_CNT_P_1)) )) (net RW_000_DMA (joined @@ -1027,8 +968,8 @@ (net DS_000_ENABLE (joined (portRef Q (instanceRef DS_000_ENABLE)) (portRef I0 (instanceRef un1_LDS_000_INT)) - (portRef I0 (instanceRef un1_UDS_000_INT)) (portRef I0 (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef un1_UDS_000_INT)) )) (net un1_UDS_000_INT (joined (portRef O (instanceRef un1_UDS_000_INT_i)) @@ -1043,15 +984,6 @@ (portRef O (instanceRef state_machine_CLK_000_P_SYNC_3_0)) (portRef D (instanceRef CLK_000_P_SYNC_0)) )) - (net (rename state_machine_CLK_000_N_SYNC_2_0 "state_machine.CLK_000_N_SYNC_2[0]") (joined - (portRef O (instanceRef state_machine_CLK_000_N_SYNC_2_0)) - (portRef D (instanceRef CLK_000_N_SYNC_0)) - )) - (net (rename state_machine_un12_clk_000_d0 "state_machine.un12_clk_000_d0") (joined - (portRef O (instanceRef state_machine_un12_clk_000_d0_0_i)) - (portRef I1 (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_r)) - )) (net CLK_PRE_66 (joined (portRef O (instanceRef CLK_PRE_66_i)) (portRef CLK (instanceRef CLK_OUT_PRE_33)) @@ -1074,11 +1006,11 @@ (portRef I0 (instanceRef A0)) )) (net (rename un4_clk_cnt_n_1_1 "un4_clk_cnt_n_1[1]") (joined - (portRef O (instanceRef G_109)) + (portRef O (instanceRef G_108)) (portRef I0 (instanceRef un4_clk_cnt_n_1_i_1)) )) (net (rename un2_clk_cnt_p_1 "un2_clk_cnt_p[1]") (joined - (portRef O (instanceRef G_115)) + (portRef O (instanceRef G_114)) (portRef I0 (instanceRef un2_clk_cnt_p_i_1)) )) (net (rename CLK_000_P_SYNC_0 "CLK_000_P_SYNC[0]") (joined @@ -1117,72 +1049,14 @@ (portRef Q (instanceRef CLK_000_P_SYNC_8)) (portRef D (instanceRef CLK_000_P_SYNC_9)) )) - (net (rename CLK_000_N_SYNC_0 "CLK_000_N_SYNC[0]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_0)) - (portRef D (instanceRef CLK_000_N_SYNC_1)) - )) - (net (rename CLK_000_N_SYNC_1 "CLK_000_N_SYNC[1]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_1)) - (portRef D (instanceRef CLK_000_N_SYNC_2)) - )) - (net (rename CLK_000_N_SYNC_2 "CLK_000_N_SYNC[2]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_2)) - (portRef D (instanceRef CLK_000_N_SYNC_3)) - )) - (net (rename CLK_000_N_SYNC_3 "CLK_000_N_SYNC[3]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_3)) - (portRef D (instanceRef CLK_000_N_SYNC_4)) - )) - (net (rename CLK_000_N_SYNC_4 "CLK_000_N_SYNC[4]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_4)) - (portRef D (instanceRef CLK_000_N_SYNC_5)) - )) - (net (rename CLK_000_N_SYNC_5 "CLK_000_N_SYNC[5]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_5)) - (portRef D (instanceRef CLK_000_N_SYNC_6)) - )) - (net (rename CLK_000_N_SYNC_7 "CLK_000_N_SYNC[7]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_7)) - (portRef D (instanceRef CLK_000_N_SYNC_8)) - )) - (net (rename CLK_000_N_SYNC_8 "CLK_000_N_SYNC[8]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_8)) - (portRef D (instanceRef CLK_000_N_SYNC_9)) - )) - (net (rename CLK_000_N_SYNC_9 "CLK_000_N_SYNC[9]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_9)) - (portRef D (instanceRef CLK_000_N_SYNC_10)) - )) - (net (rename CLK_000_N_SYNC_10 "CLK_000_N_SYNC[10]") (joined - (portRef Q (instanceRef CLK_000_N_SYNC_10)) - (portRef D (instanceRef CLK_000_N_SYNC_11)) - )) - (net un28_ciin (joined - (portRef O (instanceRef un28_ciin_0_i)) - (portRef I0 (instanceRef CIIN)) - )) (net un19_fpu_cs (joined (portRef O (instanceRef un19_fpu_cs)) (portRef I0 (instanceRef un19_fpu_cs_i)) )) - (net (rename SM_AMIGA_5 "SM_AMIGA[5]") (joined - (portRef Q (instanceRef SM_AMIGA_5)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_2)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_3)) - (portRef I0 (instanceRef SM_AMIGA_i_5)) - )) - (net (rename SM_AMIGA_3 "SM_AMIGA[3]") (joined - (portRef Q (instanceRef SM_AMIGA_3)) - (portRef I0 (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_5)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_4)) - )) - (net (rename SM_AMIGA_2 "SM_AMIGA[2]") (joined - (portRef Q (instanceRef SM_AMIGA_2)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_5)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_6)) - (portRef I0 (instanceRef SM_AMIGA_i_2)) + (net un5_ciin (joined + (portRef O (instanceRef un5_ciin)) + (portRef I0 (instanceRef un5_ciin_i)) + (portRef I0 (instanceRef CIIN)) )) (net (rename state_machine_A0_DMA_2 "state_machine.A0_DMA_2") (joined (portRef O (instanceRef state_machine_A0_DMA_2)) @@ -1196,6 +1070,28 @@ (portRef O (instanceRef state_machine_SIZE_DMA_4_i_1)) (portRef D (instanceRef SIZE_DMA_1)) )) + (net un16_ciin (joined + (portRef O (instanceRef un16_ciin)) + (portRef I0 (instanceRef un16_ciin_i)) + )) + (net (rename SM_AMIGA_5 "SM_AMIGA[5]") (joined + (portRef Q (instanceRef SM_AMIGA_5)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_o4_2)) + (portRef I0 (instanceRef SM_AMIGA_i_5)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_3)) + )) + (net (rename SM_AMIGA_3 "SM_AMIGA[3]") (joined + (portRef Q (instanceRef SM_AMIGA_3)) + (portRef I0 (instanceRef SM_AMIGA_i_3)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_5)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_4)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_2_1_0)) + )) + (net (rename SM_AMIGA_2 "SM_AMIGA[2]") (joined + (portRef Q (instanceRef SM_AMIGA_2)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_5)) + (portRef I0 (instanceRef SM_AMIGA_i_2)) + )) (net N_1 (joined (portRef O (instanceRef CLK_030_H_0_p)) (portRef D (instanceRef CLK_030_H)) @@ -1253,13 +1149,13 @@ (portRef D (instanceRef VMA_INT)) )) (net N_15 (joined - (portRef O (instanceRef CLK_OUT_PRE_25_0)) - (portRef D (instanceRef CLK_OUT_PRE_25)) - )) - (net N_16 (joined (portRef O (instanceRef BGACK_030_INT_0_p)) (portRef D (instanceRef BGACK_030_INT)) )) + (net N_16 (joined + (portRef O (instanceRef CLK_OUT_PRE_25_0)) + (portRef D (instanceRef CLK_OUT_PRE_25)) + )) (net N_17 (joined (portRef O (instanceRef IPL_030_0_0__p)) (portRef D (instanceRef IPL_030DFFSH_0)) @@ -1280,10 +1176,6 @@ (portRef O (instanceRef SM_AMIGA_ns_i_1)) (portRef D (instanceRef SM_AMIGA_6)) )) - (net (rename SM_AMIGA_ns_2 "SM_AMIGA_ns[2]") (joined - (portRef O (instanceRef SM_AMIGA_ns_i_2)) - (portRef D (instanceRef SM_AMIGA_5)) - )) (net (rename SM_AMIGA_ns_3 "SM_AMIGA_ns[3]") (joined (portRef O (instanceRef SM_AMIGA_ns_i_3)) (portRef D (instanceRef SM_AMIGA_4)) @@ -1296,44 +1188,44 @@ (portRef O (instanceRef SM_AMIGA_ns_i_5)) (portRef D (instanceRef SM_AMIGA_2)) )) - (net (rename SM_AMIGA_ns_6 "SM_AMIGA_ns[6]") (joined - (portRef O (instanceRef SM_AMIGA_ns_i_6)) - (portRef D (instanceRef SM_AMIGA_1)) + (net (rename SM_AMIGA_ns_7 "SM_AMIGA_ns[7]") (joined + (portRef O (instanceRef SM_AMIGA_ns_i_7)) + (portRef D (instanceRef SM_AMIGA_0)) )) (net (rename cpu_est_0 "cpu_est[0]") (joined (portRef Q (instanceRef cpu_est_0)) - (portRef I1 (instanceRef cpu_estse_0_a3_0)) - (portRef I0 (instanceRef cpu_est_ns_0_0_a3_0_2)) - (portRef I0 (instanceRef cpu_est_ns_0_0_a2_0_1)) + (portRef I0 (instanceRef cpu_est_ns_0_a3_1_1)) + (portRef I0 (instanceRef cpu_estse)) (portRef I0 (instanceRef cpu_est_i_0)) + (portRef I0 (instanceRef cpu_est_ns_0_a3_0_2)) + (portRef I0 (instanceRef state_machine_un5_clk_000_d0_2)) )) (net (rename cpu_est_1 "cpu_est[1]") (joined (portRef Q (instanceRef cpu_est_1)) (portRef I0 (instanceRef cpu_estse_0_n)) - (portRef I0 (instanceRef cpu_est_ns_0_0_a3_2)) (portRef I0 (instanceRef cpu_est_i_1)) - (portRef I0 (instanceRef cpu_est_ns_0_0_a2_1)) + (portRef I0 (instanceRef cpu_est_ns_0_a2_1)) + (portRef I0 (instanceRef cpu_est_ns_0_a3_2)) )) (net (rename cpu_est_2 "cpu_est[2]") (joined (portRef Q (instanceRef cpu_est_2)) - (portRef I1 (instanceRef cpu_est_ns_0_0_a3_2)) - (portRef I1 (instanceRef cpu_est_ns_i_0_a3_3)) + (portRef I0 (instanceRef cpu_est_ns_0_a3_0_1)) (portRef I0 (instanceRef cpu_est_i_2)) - (portRef I0 (instanceRef cpu_est_ns_0_0_a3_1)) (portRef I0 (instanceRef cpu_estse_1_n)) - (portRef I1 (instanceRef state_machine_un12_clk_000_d0_0_a3_0_2)) + (portRef I0 (instanceRef cpu_est_ns_i_a3_3)) + (portRef I1 (instanceRef cpu_est_ns_0_a3_2)) )) (net (rename cpu_est_3 "cpu_est[3]") (joined (portRef Q (instanceRef cpu_est_3)) + (portRef I1 (instanceRef cpu_est_ns_0_a3_0_1)) (portRef I0 (instanceRef cpu_estse_2_n)) - (portRef I1 (instanceRef cpu_est_ns_0_0_a3_0_2)) - (portRef I1 (instanceRef cpu_est_ns_0_0_a3_1)) - (portRef I0 (instanceRef cpu_est_ns_i_0_o2_3)) + (portRef I0 (instanceRef state_machine_un38_clk_000_d0_1)) (portRef I0 (instanceRef cpu_est_i_3)) + (portRef I1 (instanceRef cpu_est_ns_0_a3_0_2)) (portRef I0 (instanceRef E)) )) (net (rename cpu_est_ns_e_0 "cpu_est_ns_e[0]") (joined - (portRef O (instanceRef cpu_estse_0_0_i)) + (portRef O (instanceRef cpu_estse)) (portRef D (instanceRef cpu_est_0)) )) (net (rename cpu_est_ns_e_1 "cpu_est_ns_e[1]") (joined @@ -1348,14 +1240,6 @@ (portRef O (instanceRef cpu_estse_2_p)) (portRef D (instanceRef cpu_est_3)) )) - (net (rename cpu_est_ns_1 "cpu_est_ns[1]") (joined - (portRef O (instanceRef cpu_est_ns_0_0_i_1)) - (portRef I0 (instanceRef cpu_estse_0_m)) - )) - (net (rename cpu_est_ns_2 "cpu_est_ns[2]") (joined - (portRef O (instanceRef cpu_est_ns_0_0_i_2)) - (portRef I0 (instanceRef cpu_estse_1_m)) - )) (net un6_clk_pre_66 (joined (portRef O (instanceRef un6_clk_pre_66)) (portRef I0 (instanceRef un6_clk_pre_66_i)) @@ -1364,126 +1248,172 @@ (portRef O (instanceRef un2_clk_pre_66)) (portRef I0 (instanceRef un2_clk_pre_66_i)) )) - (net N_222 (joined - (portRef O (instanceRef state_machine_un12_clk_000_d0_0_a3)) - (portRef I0 (instanceRef N_222_i)) + (net N_96 (joined + (portRef O (instanceRef un1_SM_AMIGA_6_i_a4)) + (portRef I0 (instanceRef N_96_i)) )) - (net N_37 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a2_0_1)) - (portRef I0 (instanceRef N_37_i)) - (portRef I1 (instanceRef state_machine_un12_clk_000_d0_0_a3_1)) + (net (rename state_machine_un34_clk_000_d0 "state_machine.un34_clk_000_d0") (joined + (portRef O (instanceRef state_machine_un34_clk_000_d0_i)) + (portRef I1 (instanceRef state_machine_un30_clk_000_d0)) )) - (net N_223 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a3_1)) - (portRef I0 (instanceRef N_223_i)) - )) - (net N_224 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a3_0_1)) - (portRef I0 (instanceRef N_224_i)) - )) - (net N_227 (joined - (portRef O (instanceRef cpu_est_ns_i_0_a3_3)) - (portRef I0 (instanceRef N_227_i)) - )) - (net N_219 (joined - (portRef O (instanceRef cpu_est_ns_i_0_o2_i_3)) - (portRef I0 (instanceRef cpu_est_ns_i_0_a3_3)) - )) - (net N_228 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a3_2)) - (portRef I0 (instanceRef N_228_i)) - )) - (net N_229 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a3_0_2)) - (portRef I0 (instanceRef N_229_i)) - )) - (net N_230 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a3_1_2)) - (portRef I0 (instanceRef N_230_i)) - )) - (net N_28 (joined - (portRef O (instanceRef cpu_estse_0_a3)) - (portRef I0 (instanceRef N_28_i)) - )) - (net N_29 (joined - (portRef O (instanceRef cpu_estse_0_a3_0)) - (portRef I0 (instanceRef N_29_i)) - )) - (net N_30 (joined - (portRef O (instanceRef un28_ciin_0_a3)) - (portRef I0 (instanceRef N_30_i)) - )) - (net N_16_i (joined - (portRef O (instanceRef un28_ciin_0_x2)) - (portRef I0 (instanceRef un28_ciin_0_a3_1)) - )) - (net N_31 (joined - (portRef O (instanceRef un28_ciin_0_a3_0)) - (portRef I0 (instanceRef N_31_i)) - )) - (net N_220 (joined - (portRef O (instanceRef un28_ciin_0_o2_i)) - (portRef I1 (instanceRef un28_ciin_0_a3_0_2)) - )) - (net N_32 (joined - (portRef O (instanceRef cpu_est_ns_0_0_a2_1)) - (portRef I0 (instanceRef cpu_est_ns_i_0_a2_3)) - (portRef I0 (instanceRef N_32_i)) - )) - (net N_35 (joined - (portRef O (instanceRef cpu_est_ns_i_0_a2_3)) - (portRef I0 (instanceRef N_35_i)) - (portRef I0 (instanceRef state_machine_un12_clk_000_d0_0_a3_0_2)) - )) - (net (rename state_machine_un5_clk_000_n_sync "state_machine.un5_clk_000_n_sync") (joined - (portRef O (instanceRef state_machine_un5_clk_000_n_sync)) - (portRef I1 (instanceRef state_machine_CLK_000_N_SYNC_2_0)) + (net (rename state_machine_un16_clk_000_d0 "state_machine.un16_clk_000_d0") (joined + (portRef O (instanceRef state_machine_un16_clk_000_d0)) + (portRef I0 (instanceRef state_machine_un30_clk_000_d0)) (portRef I1 (instanceRef SM_AMIGA_0_sqmuxa_1)) )) - (net N_178 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) - (portRef I0 (instanceRef N_178_i)) + (net N_88 (joined + (portRef O (instanceRef SM_AMIGA_ns_o4_i_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_0)) )) - (net N_179 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) - (portRef I0 (instanceRef N_179_i)) + (net N_111 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_7)) + (portRef I0 (instanceRef N_111_i)) )) - (net N_179_1 (joined + (net (rename state_machine_un8_bgack_030_int "state_machine.un8_bgack_030_int") (joined + (portRef O (instanceRef state_machine_un8_bgack_030_int)) + (portRef I0 (instanceRef state_machine_SIZE_DMA_4_1)) + (portRef I0 (instanceRef state_machine_SIZE_DMA_4_0)) + (portRef I1 (instanceRef DS_000_DMA_1_sqmuxa_1)) + (portRef I1 (instanceRef state_machine_CLK_030_H_2_f0)) + (portRef I1 (instanceRef state_machine_A0_DMA_2)) + (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa)) + (portRef I0 (instanceRef state_machine_un8_bgack_030_int_i)) + (portRef I1 (instanceRef CLK_030_H_1_sqmuxa_1)) + )) + (net N_175_1 (joined (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) (portRef I0 (instanceRef state_machine_un8_bgack_030_int)) (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) )) - (net un19_fpu_cs_5 (joined - (portRef O (instanceRef un19_fpu_cs_5)) - (portRef I1 (instanceRef state_machine_un28_as_030_2)) - (portRef I1 (instanceRef un19_fpu_cs)) + (net (rename state_machine_un10_clk_000_d0_2 "state_machine.un10_clk_000_d0_2") (joined + (portRef O (instanceRef cpu_est_ns_0_a3_2)) + (portRef I0 (instanceRef state_machine_un10_clk_000_d0_2_i)) + (portRef I0 (instanceRef state_machine_un10_clk_000_d0_1)) )) - (net (rename state_machine_un28_as_030 "state_machine.un28_as_030") (joined - (portRef O (instanceRef state_machine_un28_as_030)) - (portRef I0 (instanceRef state_machine_un28_as_030_i)) + (net (rename state_machine_un38_clk_000_d0_1 "state_machine.un38_clk_000_d0_1") (joined + (portRef O (instanceRef state_machine_un38_clk_000_d0_1)) + (portRef I0 (instanceRef state_machine_un38_clk_000_d0_1_i)) + (portRef I0 (instanceRef state_machine_un38_clk_000_d0_1_0)) )) - (net N_247 (joined - (portRef O (instanceRef un16_ciin)) - (portRef OE (instanceRef CIIN)) + (net N_171 (joined + (portRef O (instanceRef cpu_est_ns_0_a2_1)) + (portRef I0 (instanceRef cpu_est_ns_i_a3_0_3)) + (portRef I0 (instanceRef N_171_i)) )) - (net (rename state_machine_un8_bgack_030_int "state_machine.un8_bgack_030_int") (joined - (portRef O (instanceRef state_machine_un8_bgack_030_int)) - (portRef I1 (instanceRef AS_000_DMA_1_sqmuxa)) - (portRef I1 (instanceRef state_machine_A0_DMA_2)) - (portRef I1 (instanceRef state_machine_DS_000_DMA_3)) - (portRef I0 (instanceRef state_machine_SIZE_DMA_4_0)) - (portRef I0 (instanceRef state_machine_SIZE_DMA_4_1)) - (portRef I1 (instanceRef state_machine_CLK_030_H_2_f0)) - (portRef I1 (instanceRef DS_000_DMA_1_sqmuxa_1)) - (portRef I0 (instanceRef state_machine_un8_bgack_030_int_i)) + (net (rename cpu_est_ns_1 "cpu_est_ns[1]") (joined + (portRef O (instanceRef cpu_est_ns_0_i_1)) + (portRef I0 (instanceRef cpu_estse_0_m)) )) - (net (rename state_machine_un10_bgack_030_int "state_machine.un10_bgack_030_int") (joined - (portRef O (instanceRef state_machine_un10_bgack_030_int_i)) - (portRef I1 (instanceRef state_machine_un8_bgack_030_int)) + (net DSACK1_INT_0_sqmuxa (joined + (portRef O (instanceRef DSACK1_INT_0_sqmuxa)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i)) )) - (net CLK_030_H_1_sqmuxa (joined - (portRef O (instanceRef CLK_030_H_1_sqmuxa)) - (portRef I0 (instanceRef CLK_030_H_1_sqmuxa_i)) + (net (rename state_machine_un1_as_030 "state_machine.un1_as_030") (joined + (portRef O (instanceRef state_machine_un1_as_030_i_0)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) + )) + (net AS_030_000_SYNC_0_sqmuxa (joined + (portRef O (instanceRef AS_030_000_SYNC_0_sqmuxa)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_sqmuxa_i)) + )) + (net (rename state_machine_un10_bg_030 "state_machine.un10_bg_030") (joined + (portRef O (instanceRef state_machine_un10_bg_030_i)) + (portRef I1 (instanceRef BG_000_0_m)) + (portRef I0 (instanceRef BG_000_0_r)) + )) + (net un8_ciin (joined + (portRef O (instanceRef un8_ciin)) + (portRef I0 (instanceRef un8_ciin_i)) + )) + (net un12_ciin (joined + (portRef O (instanceRef un12_ciin)) + (portRef I0 (instanceRef un12_ciin_i)) + )) + (net un14_ciin (joined + (portRef O (instanceRef un14_ciin_i)) + (portRef I1 (instanceRef un16_ciin)) + )) + (net (rename state_machine_un8_bg_030 "state_machine.un8_bg_030") (joined + (portRef O (instanceRef state_machine_un8_bg_030)) + (portRef I0 (instanceRef state_machine_un8_bg_030_i)) + )) + (net AS_000_INT_1_sqmuxa (joined + (portRef O (instanceRef AS_000_INT_1_sqmuxa)) + (portRef I1 (instanceRef AS_000_INT_0_m)) + (portRef I0 (instanceRef AS_000_INT_0_r)) + )) + (net DSACK1_INT_1_sqmuxa (joined + (portRef O (instanceRef DSACK1_INT_1_sqmuxa)) + (portRef I1 (instanceRef DSACK1_INT_0_m)) + (portRef I0 (instanceRef DSACK1_INT_0_r)) + )) + (net (rename state_machine_RW_000_INT_3 "state_machine.RW_000_INT_3") (joined + (portRef O (instanceRef state_machine_RW_000_INT_3_i)) + (portRef I0 (instanceRef RW_000_INT_0_m)) + )) + (net N_71 (joined + (portRef O (instanceRef un1_SM_AMIGA_6_i_i)) + (portRef I1 (instanceRef RW_000_INT_0_m)) + (portRef I0 (instanceRef RW_000_INT_0_r)) + )) + (net (rename state_machine_un12_clk_000_d0 "state_machine.un12_clk_000_d0") (joined + (portRef O (instanceRef state_machine_un12_clk_000_d0_i)) + (portRef I1 (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_r)) + )) + (net (rename state_machine_un10_clk_000_d0 "state_machine.un10_clk_000_d0") (joined + (portRef O (instanceRef state_machine_un10_clk_000_d0)) + (portRef I0 (instanceRef state_machine_un10_clk_000_d0_i)) + )) + (net (rename state_machine_un5_clk_000_d0 "state_machine.un5_clk_000_d0") (joined + (portRef O (instanceRef state_machine_un5_clk_000_d0)) + (portRef I0 (instanceRef state_machine_un5_clk_000_d0_i)) + )) + (net N_163 (joined + (portRef O (instanceRef cpu_est_ns_0_a3_1)) + (portRef I0 (instanceRef N_163_i)) + )) + (net N_164 (joined + (portRef O (instanceRef cpu_est_ns_0_a3_0_1)) + (portRef I0 (instanceRef N_164_i)) + )) + (net N_165 (joined + (portRef O (instanceRef cpu_est_ns_0_a3_1_1)) + (portRef I0 (instanceRef N_165_i)) + )) + (net (rename state_machine_un38_clk_000_d0 "state_machine.un38_clk_000_d0") (joined + (portRef O (instanceRef state_machine_un38_clk_000_d0)) + (portRef I0 (instanceRef state_machine_un38_clk_000_d0_i)) + )) + (net (rename state_machine_un31_bgack_030_int "state_machine.un31_bgack_030_int") (joined + (portRef O (instanceRef state_machine_un31_bgack_030_int)) + (portRef I1 (instanceRef state_machine_SIZE_DMA_4_0)) + (portRef I0 (instanceRef state_machine_un31_bgack_030_int_i)) + )) + (net N_174 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) + (portRef I0 (instanceRef N_174_i)) + )) + (net N_175 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) + (portRef I0 (instanceRef N_175_i)) + )) + (net N_112 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_0_7)) + (portRef I0 (instanceRef N_112_i)) + )) + (net (rename state_machine_un32_clk_000_d0 "state_machine.un32_clk_000_d0") (joined + (portRef O (instanceRef state_machine_un32_clk_000_d0)) + (portRef I0 (instanceRef state_machine_un32_clk_000_d0_i)) + )) + (net (rename state_machine_CLK_030_H_2 "state_machine.CLK_030_H_2") (joined + (portRef O (instanceRef state_machine_CLK_030_H_2_f0)) + (portRef I0 (instanceRef CLK_030_H_0_m)) + )) + (net DS_000_DMA_1_sqmuxa_1 (joined + (portRef O (instanceRef DS_000_DMA_1_sqmuxa_1)) + (portRef I0 (instanceRef DS_000_DMA_1_sqmuxa_1_i)) + (portRef I0 (instanceRef DS_000_DMA_1_sqmuxa)) )) (net AS_000_DMA_1_sqmuxa (joined (portRef O (instanceRef AS_000_DMA_1_sqmuxa)) @@ -1492,36 +1422,30 @@ (portRef I1 (instanceRef AS_000_DMA_0_m)) (portRef I0 (instanceRef AS_000_DMA_0_r)) )) + (net CLK_030_H_1_sqmuxa_1 (joined + (portRef O (instanceRef CLK_030_H_1_sqmuxa_1)) + (portRef I0 (instanceRef CLK_030_H_1_sqmuxa_1_i)) + )) (net DS_000_DMA_1_sqmuxa (joined (portRef O (instanceRef DS_000_DMA_1_sqmuxa)) (portRef I1 (instanceRef DS_000_DMA_0_m)) (portRef I0 (instanceRef DS_000_DMA_0_r)) )) - (net DS_000_DMA_1_sqmuxa_1 (joined - (portRef O (instanceRef DS_000_DMA_1_sqmuxa_1)) - (portRef I0 (instanceRef DS_000_DMA_1_sqmuxa)) - (portRef I0 (instanceRef DS_000_DMA_1_sqmuxa_1_i)) - )) (net (rename state_machine_un24_bgack_030_int "state_machine.un24_bgack_030_int") (joined (portRef O (instanceRef state_machine_un24_bgack_030_int)) (portRef I0 (instanceRef state_machine_un24_bgack_030_int_i)) )) - (net (rename state_machine_CLK_030_H_2 "state_machine.CLK_030_H_2") (joined - (portRef O (instanceRef state_machine_CLK_030_H_2_f0)) - (portRef I0 (instanceRef CLK_030_H_0_m)) + (net (rename state_machine_un10_bgack_030_int "state_machine.un10_bgack_030_int") (joined + (portRef O (instanceRef state_machine_un10_bgack_030_int_i)) + (portRef I1 (instanceRef state_machine_un8_bgack_030_int)) )) (net (rename state_machine_CLK_030_H_2_f1 "state_machine.CLK_030_H_2_f1") (joined (portRef O (instanceRef state_machine_CLK_030_H_2_f1_i)) (portRef I0 (instanceRef state_machine_CLK_030_H_2_f0)) )) - (net (rename state_machine_un31_bgack_030_int "state_machine.un31_bgack_030_int") (joined - (portRef O (instanceRef state_machine_un31_bgack_030_int)) - (portRef I1 (instanceRef state_machine_SIZE_DMA_4_0)) - (portRef I0 (instanceRef state_machine_un31_bgack_030_int_i)) - )) - (net (rename state_machine_DS_000_DMA_3 "state_machine.DS_000_DMA_3") (joined - (portRef O (instanceRef state_machine_DS_000_DMA_3_i)) - (portRef I0 (instanceRef DS_000_DMA_0_n)) + (net CLK_030_H_1_sqmuxa (joined + (portRef O (instanceRef CLK_030_H_1_sqmuxa)) + (portRef I0 (instanceRef CLK_030_H_1_sqmuxa_i)) )) (net un1_bgack_030_int_d (joined (portRef O (instanceRef un1_bgack_030_int_d_i)) @@ -1532,11 +1456,6 @@ (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_0_m)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_0_r)) )) - (net (rename state_machine_un10_bg_030 "state_machine.un10_bg_030") (joined - (portRef O (instanceRef state_machine_un10_bg_030_i)) - (portRef I1 (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_000_0_r)) - )) (net (rename state_machine_un3_bgack_030_int_d "state_machine.un3_bgack_030_int_d") (joined (portRef O (instanceRef state_machine_un3_bgack_030_int_d)) (portRef I0 (instanceRef state_machine_un3_bgack_030_int_d_i)) @@ -1547,318 +1466,297 @@ )) (net SM_AMIGA_0_sqmuxa_1 (joined (portRef O (instanceRef SM_AMIGA_0_sqmuxa_1)) - (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_1_i)) (portRef I1 (instanceRef SM_AMIGA_ns_a4_1)) + (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_1_i)) )) (net AMIGA_BUS_ENABLE_INT_3_sqmuxa (joined (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_3_sqmuxa)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_3_sqmuxa_i)) )) - (net N_98 (joined - (portRef O (instanceRef un1_SM_AMIGA_2_i_a4)) - (portRef I0 (instanceRef N_98_i)) + (net AMIGA_BUS_ENABLE_INT_2_sqmuxa (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_i)) )) (net AMIGA_BUS_ENABLE_INT_1_sqmuxa_2 (joined (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i)) )) - (net (rename state_machine_un8_bg_030 "state_machine.un8_bg_030") (joined - (portRef O (instanceRef state_machine_un8_bg_030)) - (portRef I0 (instanceRef state_machine_un8_bg_030_i)) - )) - (net AMIGA_BUS_ENABLE_INT_2_sqmuxa (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_i)) - )) - (net N_103 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_2_0)) - (portRef I0 (instanceRef N_103_i)) - )) - (net N_91 (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_i_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_2_0)) - )) - (net N_109 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_3)) - (portRef I0 (instanceRef N_109_i)) - )) - (net N_112 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_5)) - (portRef I0 (instanceRef N_112_i)) - )) - (net N_115 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_a4_7)) - (portRef I0 (instanceRef N_115_i)) - )) (net N_95 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_o4_i_7)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_a4_7)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_1)) - )) - (net N_108 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_3)) - (portRef I0 (instanceRef N_108_i)) - )) - (net N_111 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_5)) - (portRef I0 (instanceRef N_111_i)) - )) - (net N_96 (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_2_i_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_0_0)) - )) - (net (rename state_machine_un4_bgack_000 "state_machine.un4_bgack_000") (joined - (portRef O (instanceRef state_machine_un4_bgack_000_i)) - (portRef I1 (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_r)) - )) - (net N_33 (joined - (portRef O (instanceRef un28_ciin_0_a2)) - (portRef I0 (instanceRef N_33_i)) - )) - (net DS_000_ENABLE_0_sqmuxa (joined - (portRef O (instanceRef DS_000_ENABLE_0_sqmuxa)) - (portRef I0 (instanceRef DS_000_ENABLE_0_sqmuxa_i)) - )) - (net AS_030_000_SYNC_0_sqmuxa (joined - (portRef O (instanceRef AS_030_000_SYNC_0_sqmuxa)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_sqmuxa_i)) - )) - (net un2_as_030 (joined - (portRef O (instanceRef un2_as_030)) - (portRef I1 (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_r)) - )) - (net un1_AS_030_000_SYNC_0_sqmuxa_1_1 (joined - (portRef O (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1_1)) - (portRef I1 (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1)) - (portRef I0 (instanceRef un2_as_030)) - (portRef I1 (instanceRef AS_000_INT_1_sqmuxa)) - (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa)) - (portRef I0 (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1_1_i)) - )) - (net un1_SM_AMIGA_0_sqmuxa_2 (joined - (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_2_i_0)) - (portRef I0 (instanceRef DS_000_ENABLE_0_n)) + (portRef O (instanceRef un1_SM_AMIGA_2_i_a4)) + (portRef I0 (instanceRef N_95_i)) )) (net un1_AS_030_000_SYNC_0_sqmuxa_1 (joined (portRef O (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1)) (portRef I1 (instanceRef AS_030_000_SYNC_0_m)) (portRef I0 (instanceRef AS_030_000_SYNC_0_r)) )) - (net N_102 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1_0)) - (portRef I0 (instanceRef N_102_i)) + (net un1_SM_AMIGA_0_sqmuxa_2 (joined + (portRef O (instanceRef un1_SM_AMIGA_0_sqmuxa_2_i_0)) + (portRef I0 (instanceRef DS_000_ENABLE_0_n)) )) - (net N_92 (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_0_i_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_1_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_4)) + (net un2_as_030 (joined + (portRef O (instanceRef un2_as_030)) + (portRef I1 (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef DS_000_ENABLE_0_r)) )) - (net N_101 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_0)) - (portRef I0 (instanceRef N_101_i)) + (net (rename state_machine_un6_bgack_000 "state_machine.un6_bgack_000") (joined + (portRef O (instanceRef state_machine_un6_bgack_000_i)) + (portRef I1 (instanceRef BGACK_030_INT_0_m)) + (portRef I0 (instanceRef BGACK_030_INT_0_r)) )) - (net N_93 (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_1_i_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_1_0)) - )) - (net N_100 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0)) - (portRef I0 (instanceRef N_100_i)) - )) - (net N_110 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_4)) - (portRef I0 (instanceRef N_110_i)) - )) - (net N_107 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_2)) - (portRef I0 (instanceRef N_107_i)) - )) - (net N_114_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1_2)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_2)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_6)) + (net (rename state_machine_un6_clk_000_p_sync "state_machine.un6_clk_000_p_sync") (joined + (portRef O (instanceRef state_machine_un6_clk_000_p_sync)) + (portRef I1 (instanceRef cpu_estse_2_m)) + (portRef I0 (instanceRef cpu_estse_2_r)) + (portRef I1 (instanceRef cpu_estse_1_m)) + (portRef I0 (instanceRef cpu_estse_1_r)) + (portRef I1 (instanceRef cpu_estse_0_m)) + (portRef I0 (instanceRef cpu_estse_0_r)) + (portRef I1 (instanceRef IPL_030_0_2__m)) + (portRef I0 (instanceRef IPL_030_0_2__r)) + (portRef I1 (instanceRef IPL_030_0_1__m)) + (portRef I0 (instanceRef IPL_030_0_1__r)) + (portRef I1 (instanceRef IPL_030_0_0__m)) + (portRef I0 (instanceRef IPL_030_0_0__r)) + (portRef I1 (instanceRef cpu_estse)) + (portRef I0 (instanceRef state_machine_un6_clk_000_p_sync_i)) + (portRef I1 (instanceRef state_machine_CLK_000_P_SYNC_3_0)) )) (net N_104 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_3_0)) + (portRef O (instanceRef SM_AMIGA_ns_i_a4_2)) (portRef I0 (instanceRef N_104_i)) )) - (net N_114 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_6)) - (portRef I0 (instanceRef N_114_i)) + (net N_92 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o4_i_2)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a4_2)) )) - (net (rename state_machine_un10_clk_000_ne "state_machine.un10_clk_000_ne") (joined - (portRef O (instanceRef state_machine_un10_clk_000_ne)) - (portRef I0 (instanceRef state_machine_un10_clk_000_ne_i)) + (net (rename cpu_est_ns_2 "cpu_est_ns[2]") (joined + (portRef O (instanceRef cpu_est_ns_0_i_2)) + (portRef I0 (instanceRef cpu_estse_1_m)) )) - (net (rename state_machine_un6_clk_000_ne "state_machine.un6_clk_000_ne") (joined - (portRef O (instanceRef state_machine_un6_clk_000_ne_i_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_o4_0_0)) + (net N_167 (joined + (portRef O (instanceRef cpu_est_ns_0_a3_0_2)) + (portRef I0 (instanceRef N_167_i)) )) - (net N_113 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_6)) - (portRef I0 (instanceRef N_113_i)) + (net N_168 (joined + (portRef O (instanceRef cpu_est_ns_0_a3_1_2)) + (portRef I0 (instanceRef N_168_i)) + )) + (net N_169 (joined + (portRef O (instanceRef cpu_est_ns_i_a3_3)) + (portRef I0 (instanceRef N_169_i)) + )) + (net N_170 (joined + (portRef O (instanceRef cpu_est_ns_i_a3_0_3)) + (portRef I0 (instanceRef N_170_i)) + )) + (net N_102 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_1)) + (portRef I0 (instanceRef N_102_i)) + )) + (net DS_000_ENABLE_0_sqmuxa (joined + (portRef O (instanceRef DS_000_ENABLE_0_sqmuxa)) + (portRef I0 (instanceRef DS_000_ENABLE_0_sqmuxa_i)) + )) + (net N_101 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_3_0)) + (portRef I0 (instanceRef N_101_i)) + )) + (net N_90 (joined + (portRef O (instanceRef SM_AMIGA_ns_o4_0_i_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_1_0)) + )) + (net N_91 (joined + (portRef O (instanceRef SM_AMIGA_ns_o4_1_i_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_0_0)) + )) + (net N_100 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_2_0)) + (portRef I0 (instanceRef N_100_i)) + )) + (net (rename state_machine_un30_clk_000_d0 "state_machine.un30_clk_000_d0") (joined + (portRef O (instanceRef state_machine_un30_clk_000_d0)) + (portRef I0 (instanceRef state_machine_un30_clk_000_d0_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_5)) + )) + (net N_98 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_0_0)) + (portRef I0 (instanceRef N_98_i)) + )) + (net N_97 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_0)) + (portRef I0 (instanceRef N_97_i)) + )) + (net N_107 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_4)) + (portRef I0 (instanceRef N_107_i)) + )) + (net N_99 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_1_0)) + (portRef I0 (instanceRef N_99_i)) + )) + (net N_93 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o4_i_6)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_a4_6)) + )) + (net N_110 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_a4_6)) + (portRef I0 (instanceRef N_110_i)) + )) + (net N_108 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_5)) + (portRef I0 (instanceRef N_108_i)) + )) + (net N_109 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_0_5)) + (portRef I0 (instanceRef N_109_i)) )) (net SM_AMIGA_0_sqmuxa (joined (portRef O (instanceRef SM_AMIGA_0_sqmuxa_0_a4)) (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_i)) )) - (net N_99 (joined - (portRef O (instanceRef un1_SM_AMIGA_6_i_a4)) - (portRef I0 (instanceRef N_99_i)) - )) (net N_105 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1)) + (portRef O (instanceRef SM_AMIGA_ns_a4_3)) (portRef I0 (instanceRef N_105_i)) )) (net N_106 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_1)) + (portRef O (instanceRef SM_AMIGA_ns_a4_0_3)) (portRef I0 (instanceRef N_106_i)) )) - (net N_75 (joined - (portRef O (instanceRef un1_SM_AMIGA_6_i_i)) - (portRef I1 (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef RW_000_INT_0_r)) - )) - (net (rename state_machine_RW_000_INT_3 "state_machine.RW_000_INT_3") (joined - (portRef O (instanceRef state_machine_RW_000_INT_3_i)) - (portRef I0 (instanceRef RW_000_INT_0_m)) - )) - (net (rename state_machine_un4_clk_000_ne "state_machine.un4_clk_000_ne") (joined - (portRef O (instanceRef state_machine_un4_clk_000_ne)) - (portRef I0 (instanceRef state_machine_un4_clk_000_ne_i)) - )) - (net DSACK1_INT_1_sqmuxa (joined - (portRef O (instanceRef DSACK1_INT_1_sqmuxa)) - (portRef I1 (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_0_r)) - )) - (net DSACK1_INT_0_sqmuxa (joined - (portRef O (instanceRef DSACK1_INT_0_sqmuxa)) - (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa_i)) - )) - (net AS_000_INT_1_sqmuxa (joined - (portRef O (instanceRef AS_000_INT_1_sqmuxa)) - (portRef I1 (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef AS_000_INT_0_r)) + (net N_103 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_0_1)) + (portRef I0 (instanceRef N_103_i)) )) (net un19_fpu_cs_i (joined (portRef O (instanceRef un19_fpu_cs_i)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_sqmuxa_2)) (portRef I0 (instanceRef FPU_CS)) )) - (net un1_AS_030_000_SYNC_0_sqmuxa_1_1_i (joined - (portRef O (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1_1_i)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_n)) - )) - (net N_99_i (joined - (portRef O (instanceRef N_99_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_2)) - (portRef I0 (instanceRef AS_000_INT_1_sqmuxa)) - (portRef I0 (instanceRef un1_SM_AMIGA_6_i)) - (portRef I0 (instanceRef AS_000_INT_0_n)) - )) - (net DSACK1_INT_0_sqmuxa_i (joined - (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i)) - (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa)) - (portRef I0 (instanceRef DSACK1_INT_0_n)) - )) - (net DTACK_i (joined - (portRef O (instanceRef I_181)) - (portRef I0 (instanceRef state_machine_un4_clk_000_ne)) - )) - (net BERR_i (joined - (portRef O (instanceRef BERR_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_0_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_1_0)) - )) - (net CLK_000_NE_i (joined - (portRef O (instanceRef CLK_000_NE_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_2)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_0)) + (net CLK_000_D1_i (joined + (portRef O (instanceRef CLK_000_D1_i)) + (portRef I1 (instanceRef state_machine_un6_clk_000_p_sync)) + (portRef I0 (instanceRef state_machine_un8_bg_030_2)) )) (net (rename SM_AMIGA_i_1 "SM_AMIGA_i[1]") (joined (portRef O (instanceRef SM_AMIGA_i_1)) + (portRef I0 (instanceRef SM_AMIGA_ns_o4_0_0)) (portRef I1 (instanceRef un1_SM_AMIGA_2_i_a4)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_1_0)) - )) - (net VPA_i (joined - (portRef O (instanceRef VPA_i)) - (portRef I0 (instanceRef state_machine_un10_clk_000_ne_1)) - )) - (net VMA_INT_i (joined - (portRef O (instanceRef VMA_INT_i)) - (portRef I1 (instanceRef state_machine_un10_clk_000_ne)) )) (net (rename SM_AMIGA_i_0 "SM_AMIGA_i[0]") (joined (portRef O (instanceRef SM_AMIGA_i_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_i_a4_7)) (portRef I0 (instanceRef un1_SM_AMIGA_2_i_a4)) (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_1)) (portRef I1 (instanceRef SM_AMIGA_ns_a4_3_2_0)) )) + (net CLK_000_D0_i (joined + (portRef O (instanceRef CLK_000_D0_i)) + (portRef I0 (instanceRef state_machine_un16_clk_000_d0)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_7)) + (portRef I1 (instanceRef SM_AMIGA_ns_o4_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_3)) + (portRef I0 (instanceRef state_machine_un5_clk_000_d0_1)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_0)) + )) + (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined + (portRef O (instanceRef SM_AMIGA_i_2)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a4_6)) + (portRef I0 (instanceRef SM_AMIGA_ns_o4_1_1_0)) + )) + (net BERR_i (joined + (portRef O (instanceRef BERR_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_1_0_0)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_2_1_0)) + )) + (net (rename state_machine_un30_clk_000_d0_i "state_machine.un30_clk_000_d0_i") (joined + (portRef O (instanceRef state_machine_un30_clk_000_d0_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_4)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_2_0)) + )) + (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined + (portRef O (instanceRef SM_AMIGA_i_3)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_3_0)) + )) (net SM_AMIGA_0_sqmuxa_1_i (joined (portRef O (instanceRef SM_AMIGA_0_sqmuxa_1_i)) (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_1)) (portRef I0 (instanceRef SM_AMIGA_ns_a4_3_2_0)) )) - (net (rename SM_AMIGA_i_3 "SM_AMIGA_i[3]") (joined - (portRef O (instanceRef SM_AMIGA_i_3)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_3_0)) + (net CLK_000_D3_i (joined + (portRef O (instanceRef CLK_000_D3_i)) + (portRef I1 (instanceRef state_machine_CLK_000_P_SYNC_3_1_0)) )) - (net avec_exp_i (joined - (portRef O (instanceRef avec_exp_i)) - (portRef I0 (instanceRef cpu_estse_0_a3_0)) - (portRef I1 (instanceRef state_machine_un4_bgack_000)) - (portRef I1 (instanceRef SM_AMIGA_ns_o4_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_0)) + (net CLK_000_D2_i (joined + (portRef O (instanceRef CLK_000_D2_i)) + (portRef I0 (instanceRef state_machine_CLK_000_P_SYNC_3_1_0)) )) - (net AS_030_i (joined - (portRef O (instanceRef I_182)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2)) - (portRef I0 (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1_1)) - (portRef I0 (instanceRef un19_fpu_cs_1)) + (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined + (portRef O (instanceRef cpu_est_i_1)) + (portRef I1 (instanceRef cpu_est_ns_0_a3_1_1)) + (portRef I1 (instanceRef state_machine_un38_clk_000_d0_1)) + (portRef I1 (instanceRef cpu_est_ns_0_a3_1_2)) + (portRef I1 (instanceRef state_machine_un5_clk_000_d0_2)) )) - (net AS_030_000_SYNC_0_sqmuxa_i (joined - (portRef O (instanceRef AS_030_000_SYNC_0_sqmuxa_i)) - (portRef I0 (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1)) + (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined + (portRef O (instanceRef cpu_est_i_0)) + (portRef I1 (instanceRef cpu_est_ns_0_a2_1)) + (portRef I0 (instanceRef cpu_est_ns_0_a3_1_2)) + (portRef I1 (instanceRef state_machine_un10_clk_000_d0_2)) + )) + (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined + (portRef O (instanceRef cpu_est_i_3)) + (portRef I1 (instanceRef cpu_est_ns_0_a3_1)) + (portRef I1 (instanceRef cpu_est_ns_i_a3_0_3)) + (portRef I1 (instanceRef state_machine_un10_clk_000_d0)) + )) + (net (rename state_machine_un38_clk_000_d0_1_i "state_machine.un38_clk_000_d0_1_i") (joined + (portRef O (instanceRef state_machine_un38_clk_000_d0_1_i)) + (portRef I1 (instanceRef cpu_est_ns_i_a3_3)) )) (net AS_030_000_SYNC_i (joined (portRef O (instanceRef AS_030_000_SYNC_i)) (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_1_1)) )) - (net (rename A_i_16 "A_i[16]") (joined - (portRef O (instanceRef A_i_16)) - (portRef I0 (instanceRef state_machine_un28_as_030_1)) - (portRef I0 (instanceRef un28_ciin_0_a2_1)) - (portRef I1 (instanceRef un19_fpu_cs_1)) + (net CLK_000_D4_i (joined + (portRef O (instanceRef CLK_000_D4_i)) + (portRef I1 (instanceRef DSACK1_INT_0_sqmuxa_1)) + )) + (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined + (portRef O (instanceRef SM_AMIGA_i_5)) + (portRef I1 (instanceRef SM_AMIGA_ns_o4_0_0)) + )) + (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined + (portRef O (instanceRef SM_AMIGA_i_6)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_a4_2)) + (portRef I1 (instanceRef SM_AMIGA_ns_o4_1_0)) )) (net (rename A_i_19 "A_i[19]") (joined (portRef O (instanceRef A_i_19)) - (portRef I0 (instanceRef state_machine_un28_as_030_2)) - (portRef I1 (instanceRef un28_ciin_0_a2_2)) - (portRef I1 (instanceRef un19_fpu_cs_2)) - )) - (net (rename A_i_17 "A_i[17]") (joined - (portRef O (instanceRef A_i_17)) - (portRef I1 (instanceRef un28_ciin_0_a2_1)) + (portRef I0 (instanceRef un19_fpu_cs_3)) )) (net (rename A_i_18 "A_i[18]") (joined (portRef O (instanceRef A_i_18)) - (portRef I1 (instanceRef state_machine_un28_as_030_1)) - (portRef I0 (instanceRef un28_ciin_0_a2_2)) + (portRef I1 (instanceRef un19_fpu_cs_2)) + )) + (net AS_030_i (joined + (portRef O (instanceRef I_180)) + (portRef I0 (instanceRef un8_ciin)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2)) + (portRef I0 (instanceRef state_machine_un1_as_030)) + (portRef I0 (instanceRef un5_ciin_1)) + (portRef I0 (instanceRef un19_fpu_cs_1)) + )) + (net (rename A_i_16 "A_i[16]") (joined + (portRef O (instanceRef A_i_16)) (portRef I0 (instanceRef un19_fpu_cs_2)) )) - (net (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (joined - (portRef O (instanceRef SM_AMIGA_i_7)) - (portRef I1 (instanceRef state_machine_RW_000_INT_3)) - (portRef I1 (instanceRef un1_SM_AMIGA_6_i)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_2)) + (net AS_030_000_SYNC_0_sqmuxa_i (joined + (portRef O (instanceRef AS_030_000_SYNC_0_sqmuxa_i)) + (portRef I0 (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1)) )) - (net N_98_i (joined - (portRef O (instanceRef N_98_i)) + (net N_95_i (joined + (portRef O (instanceRef N_95_i)) (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_3_sqmuxa)) )) (net BGACK_030_INT_i (joined @@ -1871,149 +1769,162 @@ (portRef O (instanceRef BGACK_030_INT_D_i)) (portRef I1 (instanceRef state_machine_un3_bgack_030_int_d)) )) - (net DS_000_DMA_1_sqmuxa_1_i (joined - (portRef O (instanceRef DS_000_DMA_1_sqmuxa_1_i)) - (portRef I0 (instanceRef RW_000_DMA_0_n)) - )) - (net (rename state_machine_un8_bgack_030_int_i "state_machine.un8_bgack_030_int_i") (joined - (portRef O (instanceRef state_machine_un8_bgack_030_int_i)) - (portRef I0 (instanceRef AS_000_DMA_0_n)) + (net AS_000_i (joined + (portRef O (instanceRef I_182)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) )) (net CLK_030_i (joined (portRef O (instanceRef CLK_030_i)) - (portRef I1 (instanceRef state_machine_un24_bgack_030_int)) + (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa)) + (portRef I1 (instanceRef CLK_030_H_1_sqmuxa)) )) - (net UDS_000_i (joined - (portRef O (instanceRef I_183)) - (portRef I1 (instanceRef state_machine_un31_bgack_030_int)) - )) - (net LDS_000_i (joined - (portRef O (instanceRef I_184)) - (portRef I0 (instanceRef state_machine_un31_bgack_030_int)) - )) - (net (rename state_machine_un31_bgack_030_int_i "state_machine.un31_bgack_030_int_i") (joined - (portRef O (instanceRef state_machine_un31_bgack_030_int_i)) - (portRef I1 (instanceRef state_machine_SIZE_DMA_4_1)) - )) - (net RW_000_i (joined - (portRef O (instanceRef I_185)) - (portRef I0 (instanceRef DS_000_DMA_1_sqmuxa_1)) + (net AS_000_DMA_i (joined + (portRef O (instanceRef AS_000_DMA_i)) + (portRef I0 (instanceRef CLK_030_H_1_sqmuxa)) + (portRef I0 (instanceRef CLK_030_H_1_sqmuxa_1)) + (portRef I1 (instanceRef un3_dtack_1)) )) (net (rename state_machine_un24_bgack_030_int_i "state_machine.un24_bgack_030_int_i") (joined (portRef O (instanceRef state_machine_un24_bgack_030_int_i)) (portRef I1 (instanceRef DS_000_DMA_1_sqmuxa)) )) - (net AS_000_DMA_i (joined - (portRef O (instanceRef AS_000_DMA_i)) - (portRef I0 (instanceRef CLK_030_H_1_sqmuxa)) - (portRef I0 (instanceRef state_machine_DS_000_DMA_3)) - (portRef I1 (instanceRef un3_dtack_1)) + (net RW_000_i (joined + (portRef O (instanceRef I_183)) + (portRef I0 (instanceRef DS_000_DMA_1_sqmuxa_1)) )) - (net CLK_000_D2_i (joined - (portRef O (instanceRef CLK_000_D2_i)) - (portRef I0 (instanceRef state_machine_CLK_000_P_SYNC_3_2_0)) + (net UDS_000_i (joined + (portRef O (instanceRef I_184)) + (portRef I1 (instanceRef state_machine_un31_bgack_030_int)) )) - (net CLK_000_D3_i (joined - (portRef O (instanceRef CLK_000_D3_i)) - (portRef I1 (instanceRef state_machine_CLK_000_P_SYNC_3_2_0)) + (net LDS_000_i (joined + (portRef O (instanceRef I_185)) + (portRef I0 (instanceRef state_machine_un31_bgack_030_int)) )) - (net CLK_000_D1_i (joined - (portRef O (instanceRef CLK_000_D1_i)) - (portRef I1 (instanceRef state_machine_CLK_000_P_SYNC_3_1_0)) + (net (rename state_machine_un8_bgack_030_int_i "state_machine.un8_bgack_030_int_i") (joined + (portRef O (instanceRef state_machine_un8_bgack_030_int_i)) + (portRef I0 (instanceRef AS_000_DMA_0_n)) )) - (net (rename state_machine_un28_as_030_i "state_machine.un28_as_030_i") (joined - (portRef O (instanceRef state_machine_un28_as_030_i)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_sqmuxa_2)) + (net CLK_030_H_1_sqmuxa_1_i (joined + (portRef O (instanceRef CLK_030_H_1_sqmuxa_1_i)) + (portRef I0 (instanceRef DS_000_DMA_0_n)) + )) + (net DS_000_DMA_1_sqmuxa_1_i (joined + (portRef O (instanceRef DS_000_DMA_1_sqmuxa_1_i)) + (portRef I0 (instanceRef RW_000_DMA_0_n)) + )) + (net DTACK_i (joined + (portRef O (instanceRef I_186)) + (portRef I0 (instanceRef state_machine_un32_clk_000_d0)) + )) + (net (rename SM_AMIGA_i_7 "SM_AMIGA_i[7]") (joined + (portRef O (instanceRef SM_AMIGA_i_7)) + (portRef I1 (instanceRef un1_SM_AMIGA_6_i)) + (portRef I1 (instanceRef state_machine_RW_000_INT_3)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_2)) + )) + (net N_111_i (joined + (portRef O (instanceRef N_111_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_7)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_1)) )) (net nEXP_SPACE_i (joined (portRef O (instanceRef nEXP_SPACE_i)) (portRef I0 (instanceRef un3_dtack_1)) (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) )) - (net AS_000_i (joined - (portRef O (instanceRef I_186)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1)) - )) (net RW_i (joined (portRef O (instanceRef I_187)) - (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) (portRef I0 (instanceRef state_machine_RW_000_INT_3)) + (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3)) )) - (net CLK_000_D0_i (joined - (portRef O (instanceRef CLK_000_D0_i)) - (portRef I0 (instanceRef state_machine_un5_clk_000_n_sync)) - (portRef I0 (instanceRef state_machine_un12_clk_000_d0_0_a3_1)) - )) - (net (rename cpu_est_i_3 "cpu_est_i[3]") (joined - (portRef O (instanceRef cpu_est_i_3)) - (portRef I1 (instanceRef cpu_est_ns_0_0_a3_0_1)) - (portRef I1 (instanceRef cpu_est_ns_i_0_a2_3)) - )) - (net (rename cpu_est_i_1 "cpu_est_i[1]") (joined - (portRef O (instanceRef cpu_est_i_1)) - (portRef I1 (instanceRef cpu_est_ns_0_0_a3_1_2)) - (portRef I1 (instanceRef cpu_est_ns_i_0_o2_3)) - (portRef I1 (instanceRef cpu_est_ns_0_0_a2_0_1)) - )) - (net (rename cpu_est_i_0 "cpu_est_i[0]") (joined - (portRef O (instanceRef cpu_est_i_0)) - (portRef I1 (instanceRef cpu_estse_0_a3)) - (portRef I0 (instanceRef cpu_est_ns_0_0_a3_1_2)) - (portRef I1 (instanceRef cpu_est_ns_0_0_a2_1)) - )) - (net (rename A_i_20 "A_i[20]") (joined - (portRef O (instanceRef A_i_20)) - (portRef I0 (instanceRef un28_ciin_0_o2)) - (portRef I1 (instanceRef un28_ciin_0_a3_1)) - )) - (net (rename A_i_23 "A_i[23]") (joined - (portRef O (instanceRef A_i_23)) - (portRef I1 (instanceRef un28_ciin_0_a3)) - )) - (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined - (portRef O (instanceRef cpu_est_i_2)) - (portRef I0 (instanceRef cpu_est_ns_0_0_a3_0_1)) + (net (rename state_machine_un31_bgack_030_int_i "state_machine.un31_bgack_030_int_i") (joined + (portRef O (instanceRef state_machine_un31_bgack_030_int_i)) + (portRef I1 (instanceRef state_machine_SIZE_DMA_4_1)) )) (net VPA_D_i (joined (portRef O (instanceRef VPA_D_i)) - (portRef I1 (instanceRef state_machine_un12_clk_000_d0_0_a3)) + (portRef I1 (instanceRef state_machine_un5_clk_000_d0_1)) + )) + (net VPA_i (joined + (portRef O (instanceRef VPA_i)) + (portRef I1 (instanceRef state_machine_un38_clk_000_d0)) + )) + (net VMA_INT_i (joined + (portRef O (instanceRef VMA_INT_i)) + (portRef I1 (instanceRef state_machine_un38_clk_000_d0_1_0)) + )) + (net (rename cpu_est_i_2 "cpu_est_i[2]") (joined + (portRef O (instanceRef cpu_est_i_2)) + (portRef I0 (instanceRef cpu_est_ns_0_a3_1)) + )) + (net N_165_i_0 (joined + (portRef O (instanceRef N_165_i)) + (portRef I0 (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef cpu_est_ns_0_2_1)) + )) + (net DSACK1_INT_0_sqmuxa_i (joined + (portRef O (instanceRef DSACK1_INT_0_sqmuxa_i)) + (portRef I0 (instanceRef DSACK1_INT_0_n)) + (portRef I0 (instanceRef DSACK1_INT_1_sqmuxa)) + )) + (net N_96_i (joined + (portRef O (instanceRef N_96_i)) + (portRef I0 (instanceRef AS_000_INT_1_sqmuxa)) + (portRef I0 (instanceRef un1_SM_AMIGA_6_i)) + (portRef I0 (instanceRef AS_000_INT_0_n)) + )) + (net (rename A_i_31 "A_i[31]") (joined + (portRef O (instanceRef A_i_31)) + (portRef I0 (instanceRef un5_ciin_5)) + (portRef I1 (instanceRef un12_ciin_4)) + )) + (net (rename A_i_30 "A_i[30]") (joined + (portRef O (instanceRef A_i_30)) + (portRef I1 (instanceRef un5_ciin_11)) + (portRef I0 (instanceRef un12_ciin_4)) + )) + (net (rename A_i_29 "A_i[29]") (joined + (portRef O (instanceRef A_i_29)) + (portRef I1 (instanceRef un5_ciin_6)) + (portRef I1 (instanceRef un12_ciin_3)) + )) + (net (rename A_i_28 "A_i[28]") (joined + (portRef O (instanceRef A_i_28)) + (portRef I0 (instanceRef un5_ciin_6)) + (portRef I0 (instanceRef un12_ciin_3)) + )) + (net (rename A_i_27 "A_i[27]") (joined + (portRef O (instanceRef A_i_27)) + (portRef I1 (instanceRef un5_ciin_5)) + (portRef I1 (instanceRef un12_ciin_2)) + )) + (net (rename A_i_26 "A_i[26]") (joined + (portRef O (instanceRef A_i_26)) + (portRef I1 (instanceRef un5_ciin_4)) + (portRef I0 (instanceRef un12_ciin_2)) + )) + (net (rename A_i_25 "A_i[25]") (joined + (portRef O (instanceRef A_i_25)) + (portRef I0 (instanceRef un5_ciin_4)) + (portRef I1 (instanceRef un12_ciin_1)) + )) + (net (rename A_i_24 "A_i[24]") (joined + (portRef O (instanceRef A_i_24)) + (portRef I1 (instanceRef un5_ciin_3)) + (portRef I0 (instanceRef un12_ciin_1)) + )) + (net un5_ciin_i (joined + (portRef O (instanceRef un5_ciin_i)) + (portRef I0 (instanceRef un16_ciin)) + )) + (net un12_ciin_i (joined + (portRef O (instanceRef un12_ciin_i)) + (portRef I1 (instanceRef un8_ciin)) )) (net (rename CLK_CNT_N_i_0 "CLK_CNT_N_i[0]") (joined (portRef O (instanceRef CLK_CNT_N_i_0)) (portRef I0 (instanceRef un2_clk_pre_66)) )) - (net (rename A_i_30 "A_i[30]") (joined - (portRef O (instanceRef A_i_30)) - (portRef I0 (instanceRef un16_ciin_4)) - )) - (net (rename A_i_31 "A_i[31]") (joined - (portRef O (instanceRef A_i_31)) - (portRef I1 (instanceRef un16_ciin_4)) - )) - (net (rename A_i_28 "A_i[28]") (joined - (portRef O (instanceRef A_i_28)) - (portRef I0 (instanceRef un16_ciin_3)) - )) - (net (rename A_i_29 "A_i[29]") (joined - (portRef O (instanceRef A_i_29)) - (portRef I1 (instanceRef un16_ciin_3)) - )) - (net (rename A_i_26 "A_i[26]") (joined - (portRef O (instanceRef A_i_26)) - (portRef I0 (instanceRef un16_ciin_2)) - )) - (net (rename A_i_27 "A_i[27]") (joined - (portRef O (instanceRef A_i_27)) - (portRef I1 (instanceRef un16_ciin_2)) - )) - (net (rename A_i_24 "A_i[24]") (joined - (portRef O (instanceRef A_i_24)) - (portRef I0 (instanceRef un16_ciin_1)) - )) - (net (rename A_i_25 "A_i[25]") (joined - (portRef O (instanceRef A_i_25)) - (portRef I1 (instanceRef un16_ciin_1)) - )) (net CLK_OUT_PRE_50_i (joined (portRef O (instanceRef CLK_OUT_PRE_50_i)) (portRef D (instanceRef CLK_OUT_PRE_50)) @@ -2032,19 +1943,7 @@ (portRef S (instanceRef CLK_000_D1)) (portRef S (instanceRef CLK_000_D2)) (portRef S (instanceRef CLK_000_D3)) - (portRef R (instanceRef CLK_000_NE)) - (portRef R (instanceRef CLK_000_N_SYNC_0)) - (portRef R (instanceRef CLK_000_N_SYNC_1)) - (portRef R (instanceRef CLK_000_N_SYNC_2)) - (portRef R (instanceRef CLK_000_N_SYNC_3)) - (portRef R (instanceRef CLK_000_N_SYNC_4)) - (portRef R (instanceRef CLK_000_N_SYNC_5)) - (portRef R (instanceRef CLK_000_N_SYNC_6)) - (portRef R (instanceRef CLK_000_N_SYNC_7)) - (portRef R (instanceRef CLK_000_N_SYNC_8)) - (portRef R (instanceRef CLK_000_N_SYNC_9)) - (portRef R (instanceRef CLK_000_N_SYNC_10)) - (portRef R (instanceRef CLK_000_N_SYNC_11)) + (portRef S (instanceRef CLK_000_D4)) (portRef R (instanceRef CLK_000_P_SYNC_0)) (portRef R (instanceRef CLK_000_P_SYNC_1)) (portRef R (instanceRef CLK_000_P_SYNC_2)) @@ -2099,10 +1998,6 @@ (portRef O (instanceRef CLK_OUT_PRE_33_i)) (portRef D (instanceRef CLK_OUT_PRE_33)) )) - (net (rename un2_clk_cnt_p_i_1 "un2_clk_cnt_p_i[1]") (joined - (portRef O (instanceRef un2_clk_cnt_p_i_1)) - (portRef D (instanceRef CLK_CNT_P_0)) - )) (net CLK_OSZI_i (joined (portRef O (instanceRef CLK_OSZI_i)) (portRef CLK (instanceRef CLK_CNT_N_0)) @@ -2112,13 +2007,21 @@ (portRef O (instanceRef un4_clk_cnt_n_1_i_1)) (portRef D (instanceRef CLK_CNT_N_0)) )) + (net (rename un2_clk_cnt_p_i_1 "un2_clk_cnt_p_i[1]") (joined + (portRef O (instanceRef un2_clk_cnt_p_i_1)) + (portRef D (instanceRef CLK_CNT_P_0)) + )) + (net un16_ciin_i (joined + (portRef O (instanceRef un16_ciin_i)) + (portRef OE (instanceRef CIIN)) + )) (net CLK_OUT_PRE_50_D_i (joined (portRef O (instanceRef CLK_OUT_PRE_50_D_i)) (portRef I1 (instanceRef state_machine_un3_clk_out_pre_50)) )) (net AS_030_c (joined (portRef O (instanceRef AS_030)) - (portRef I0 (instanceRef I_182)) + (portRef I0 (instanceRef I_180)) (portRef I0 (instanceRef state_machine_un8_bg_030_1)) )) (net AS_030 (joined @@ -2127,7 +2030,7 @@ )) (net AS_000_c (joined (portRef O (instanceRef AS_000)) - (portRef I0 (instanceRef I_186)) + (portRef I0 (instanceRef I_182)) )) (net AS_000 (joined (portRef IO (instanceRef AS_000)) @@ -2135,7 +2038,7 @@ )) (net RW_000_c (joined (portRef O (instanceRef RW_000)) - (portRef I0 (instanceRef I_185)) + (portRef I0 (instanceRef I_183)) )) (net RW_000 (joined (portRef IO (instanceRef RW_000)) @@ -2143,10 +2046,10 @@ )) (net DS_030_c (joined (portRef O (instanceRef DS_030)) - (portRef I1 (instanceRef UDS_000_INT_0_m)) - (portRef I0 (instanceRef UDS_000_INT_0_r)) (portRef I1 (instanceRef LDS_000_INT_0_m)) (portRef I0 (instanceRef LDS_000_INT_0_r)) + (portRef I1 (instanceRef UDS_000_INT_0_m)) + (portRef I0 (instanceRef UDS_000_INT_0_r)) )) (net DS_030 (joined (portRef IO (instanceRef DS_030)) @@ -2154,9 +2057,9 @@ )) (net UDS_000_c (joined (portRef O (instanceRef UDS_000)) - (portRef I1 (instanceRef state_machine_un10_bgack_030_int)) - (portRef I0 (instanceRef I_183)) (portRef I0 (instanceRef state_machine_A0_DMA_2)) + (portRef I1 (instanceRef state_machine_un10_bgack_030_int)) + (portRef I0 (instanceRef I_184)) )) (net UDS_000 (joined (portRef IO (instanceRef UDS_000)) @@ -2165,7 +2068,7 @@ (net LDS_000_c (joined (portRef O (instanceRef LDS_000)) (portRef I0 (instanceRef state_machine_un10_bgack_030_int)) - (portRef I0 (instanceRef I_184)) + (portRef I0 (instanceRef I_185)) )) (net LDS_000 (joined (portRef IO (instanceRef LDS_000)) @@ -2197,8 +2100,7 @@ )) (net (rename A_c_17 "A_c[17]") (joined (portRef O (instanceRef A_17)) - (portRef I0 (instanceRef A_i_17)) - (portRef I0 (instanceRef un19_fpu_cs_5_1)) + (portRef I1 (instanceRef un19_fpu_cs_1)) )) (net (rename A_17 "A[17]") (joined (portRef (member a 14)) @@ -2222,7 +2124,7 @@ )) (net (rename A_c_20 "A_c[20]") (joined (portRef O (instanceRef A_20)) - (portRef I0 (instanceRef A_i_20)) + (portRef I1 (instanceRef un5_ciin_1)) )) (net (rename A_20 "A[20]") (joined (portRef (member a 11)) @@ -2230,8 +2132,7 @@ )) (net (rename A_c_21 "A_c[21]") (joined (portRef O (instanceRef A_21)) - (portRef I0 (instanceRef un28_ciin_0_x2)) - (portRef I0 (instanceRef un28_ciin_0_a3_0_1)) + (portRef I0 (instanceRef un5_ciin_2)) )) (net (rename A_21 "A[21]") (joined (portRef (member a 10)) @@ -2239,8 +2140,7 @@ )) (net (rename A_c_22 "A_c[22]") (joined (portRef O (instanceRef A_22)) - (portRef I1 (instanceRef un28_ciin_0_x2)) - (portRef I1 (instanceRef un28_ciin_0_a3_0_1)) + (portRef I1 (instanceRef un5_ciin_2)) )) (net (rename A_22 "A[22]") (joined (portRef (member a 9)) @@ -2248,8 +2148,7 @@ )) (net (rename A_c_23 "A_c[23]") (joined (portRef O (instanceRef A_23)) - (portRef I0 (instanceRef A_i_23)) - (portRef I0 (instanceRef un28_ciin_0_a3_0_2)) + (portRef I0 (instanceRef un5_ciin_3)) )) (net (rename A_23 "A[23]") (joined (portRef (member a 8)) @@ -2330,6 +2229,7 @@ )) (net nEXP_SPACE_c (joined (portRef O (instanceRef nEXP_SPACE)) + (portRef I0 (instanceRef un14_ciin)) (portRef I0 (instanceRef nEXP_SPACE_i)) (portRef I1 (instanceRef state_machine_un8_bg_030_2)) (portRef I0 (instanceRef AS_030_000_SYNC_0_sqmuxa_2)) @@ -2342,10 +2242,11 @@ )) (net BERR_c (joined (portRef O (instanceRef BERR)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_2)) - (portRef I1 (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1_1)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o4_2)) + (portRef I1 (instanceRef state_machine_un1_as_030)) (portRef I0 (instanceRef SM_AMIGA_ns_o4_0)) (portRef I0 (instanceRef BERR_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o4_6)) (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_4)) )) (net BERR (joined @@ -2376,9 +2277,9 @@ )) (net BGACK_000_c (joined (portRef O (instanceRef BGACK_000)) + (portRef I0 (instanceRef state_machine_un6_bgack_000)) (portRef I0 (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef state_machine_un4_bgack_000)) - (portRef I1 (instanceRef un19_fpu_cs_5_1)) + (portRef I1 (instanceRef un19_fpu_cs_3)) )) (net BGACK_000 (joined (portRef BGACK_000) @@ -2386,9 +2287,8 @@ )) (net CLK_030_c (joined (portRef O (instanceRef CLK_030)) - (portRef I1 (instanceRef CLK_030_H_1_sqmuxa)) + (portRef I1 (instanceRef state_machine_un24_bgack_030_int)) (portRef I0 (instanceRef CLK_030_i)) - (portRef I0 (instanceRef AS_000_DMA_1_sqmuxa)) )) (net CLK_030 (joined (portRef CLK_030) @@ -2396,7 +2296,6 @@ )) (net CLK_000_c (joined (portRef O (instanceRef CLK_000)) - (portRef I1 (instanceRef state_machine_un8_bg_030_1)) (portRef D (instanceRef CLK_000_D0)) )) (net CLK_000 (joined @@ -2418,19 +2317,7 @@ (portRef CLK (instanceRef CLK_000_D1)) (portRef CLK (instanceRef CLK_000_D2)) (portRef CLK (instanceRef CLK_000_D3)) - (portRef CLK (instanceRef CLK_000_NE)) - (portRef CLK (instanceRef CLK_000_N_SYNC_0)) - (portRef CLK (instanceRef CLK_000_N_SYNC_1)) - (portRef CLK (instanceRef CLK_000_N_SYNC_2)) - (portRef CLK (instanceRef CLK_000_N_SYNC_3)) - (portRef CLK (instanceRef CLK_000_N_SYNC_4)) - (portRef CLK (instanceRef CLK_000_N_SYNC_5)) - (portRef CLK (instanceRef CLK_000_N_SYNC_6)) - (portRef CLK (instanceRef CLK_000_N_SYNC_7)) - (portRef CLK (instanceRef CLK_000_N_SYNC_8)) - (portRef CLK (instanceRef CLK_000_N_SYNC_9)) - (portRef CLK (instanceRef CLK_000_N_SYNC_10)) - (portRef CLK (instanceRef CLK_000_N_SYNC_11)) + (portRef CLK (instanceRef CLK_000_D4)) (portRef CLK (instanceRef CLK_000_P_SYNC_0)) (portRef CLK (instanceRef CLK_000_P_SYNC_1)) (portRef CLK (instanceRef CLK_000_P_SYNC_2)) @@ -2561,7 +2448,7 @@ )) (net DTACK_c (joined (portRef O (instanceRef DTACK)) - (portRef I0 (instanceRef I_181)) + (portRef I0 (instanceRef I_186)) )) (net DTACK (joined (portRef IO (instanceRef DTACK)) @@ -2582,7 +2469,7 @@ (net VPA_c (joined (portRef O (instanceRef VPA)) (portRef I0 (instanceRef VPA_i)) - (portRef I1 (instanceRef state_machine_un4_clk_000_ne)) + (portRef I1 (instanceRef state_machine_un32_clk_000_d0)) (portRef D (instanceRef VPA_D)) )) (net VPA (joined @@ -2615,7 +2502,7 @@ (portRef O (instanceRef RW)) (portRef I0 (instanceRef I_187)) (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) - (portRef I0 (instanceRef DS_000_ENABLE_0_sqmuxa_1)) + (portRef I1 (instanceRef DS_000_ENABLE_0_sqmuxa_1)) )) (net RW (joined (portRef IO (instanceRef RW)) @@ -2623,7 +2510,7 @@ )) (net (rename FC_c_0 "FC_c[0]") (joined (portRef O (instanceRef FC_0)) - (portRef I0 (instanceRef un19_fpu_cs_5_2)) + (portRef I0 (instanceRef un19_fpu_cs_4)) )) (net (rename FC_0 "FC[0]") (joined (portRef (member fc 1)) @@ -2631,7 +2518,7 @@ )) (net (rename FC_c_1 "FC_c[1]") (joined (portRef O (instanceRef FC_1)) - (portRef I1 (instanceRef un19_fpu_cs_5_2)) + (portRef I1 (instanceRef un19_fpu_cs_4)) )) (net (rename FC_1 "FC[1]") (joined (portRef (member fc 0)) @@ -2657,6 +2544,14 @@ (portRef O (instanceRef CIIN)) (portRef CIIN) )) + (net UDS_000_INT_i (joined + (portRef O (instanceRef UDS_000_INT_i)) + (portRef I1 (instanceRef un1_UDS_000_INT)) + )) + (net un1_UDS_000_INT_0 (joined + (portRef O (instanceRef un1_UDS_000_INT)) + (portRef I0 (instanceRef un1_UDS_000_INT_i)) + )) (net SM_AMIGA_0_sqmuxa_i (joined (portRef O (instanceRef SM_AMIGA_0_sqmuxa_i)) (portRef I1 (instanceRef SM_AMIGA_ns_4)) @@ -2671,127 +2566,200 @@ (portRef I1 (instanceRef un2_as_030)) (portRef I0 (instanceRef un1_SM_AMIGA_0_sqmuxa_2_i_0)) )) - (net (rename state_machine_un10_clk_000_ne_i "state_machine.un10_clk_000_ne_i") (joined - (portRef O (instanceRef state_machine_un10_clk_000_ne_i)) - (portRef I1 (instanceRef state_machine_un6_clk_000_ne)) - )) - (net (rename state_machine_un4_clk_000_ne_i "state_machine.un4_clk_000_ne_i") (joined - (portRef O (instanceRef state_machine_un4_clk_000_ne_i)) - (portRef I0 (instanceRef state_machine_un6_clk_000_ne)) - )) - (net (rename state_machine_un6_clk_000_ne_i "state_machine.un6_clk_000_ne_i") (joined - (portRef O (instanceRef state_machine_un6_clk_000_ne)) - (portRef I0 (instanceRef state_machine_un6_clk_000_ne_i_0)) - )) - (net (rename state_machine_RW_000_INT_3_0 "state_machine.RW_000_INT_3_0") (joined - (portRef O (instanceRef state_machine_RW_000_INT_3)) - (portRef I0 (instanceRef state_machine_RW_000_INT_3_i)) - )) - (net N_75_0 (joined - (portRef O (instanceRef un1_SM_AMIGA_6_i)) - (portRef I0 (instanceRef un1_SM_AMIGA_6_i_i)) + (net N_100_i (joined + (portRef O (instanceRef N_100_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_2_0)) )) (net (rename SM_AMIGA_ns_0_0 "SM_AMIGA_ns_0[0]") (joined (portRef O (instanceRef SM_AMIGA_ns_0)) (portRef I0 (instanceRef SM_AMIGA_ns_i_0)) )) - (net N_105_i (joined - (portRef O (instanceRef N_105_i)) + (net N_102_i (joined + (portRef O (instanceRef N_102_i)) (portRef I0 (instanceRef SM_AMIGA_ns_1)) )) - (net N_106_i (joined - (portRef O (instanceRef N_106_i)) + (net N_103_i (joined + (portRef O (instanceRef N_103_i)) (portRef I1 (instanceRef SM_AMIGA_ns_1)) )) (net (rename SM_AMIGA_ns_0_1 "SM_AMIGA_ns_0[1]") (joined (portRef O (instanceRef SM_AMIGA_ns_1)) (portRef I0 (instanceRef SM_AMIGA_ns_i_1)) )) + (net N_106_i (joined + (portRef O (instanceRef N_106_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_3)) + )) + (net N_105_i (joined + (portRef O (instanceRef N_105_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_3)) + )) + (net (rename SM_AMIGA_ns_0_3 "SM_AMIGA_ns_0[3]") (joined + (portRef O (instanceRef SM_AMIGA_ns_3)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_3)) + )) (net N_107_i (joined (portRef O (instanceRef N_107_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_2)) - )) - (net (rename SM_AMIGA_ns_0_2 "SM_AMIGA_ns_0[2]") (joined - (portRef O (instanceRef SM_AMIGA_ns_2)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_2)) - )) - (net N_110_i (joined - (portRef O (instanceRef N_110_i)) (portRef I0 (instanceRef SM_AMIGA_ns_4)) )) (net (rename SM_AMIGA_ns_0_4 "SM_AMIGA_ns_0[4]") (joined (portRef O (instanceRef SM_AMIGA_ns_4)) (portRef I0 (instanceRef SM_AMIGA_ns_i_4)) )) - (net N_114_i (joined - (portRef O (instanceRef N_114_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_6)) + (net N_108_i (joined + (portRef O (instanceRef N_108_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_5)) )) - (net N_113_i (joined - (portRef O (instanceRef N_113_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_6)) + (net N_109_i (joined + (portRef O (instanceRef N_109_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_5)) )) - (net (rename SM_AMIGA_ns_0_6 "SM_AMIGA_ns_0[6]") (joined - (portRef O (instanceRef SM_AMIGA_ns_6)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_6)) + (net (rename SM_AMIGA_ns_0_5 "SM_AMIGA_ns_0[5]") (joined + (portRef O (instanceRef SM_AMIGA_ns_5)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_5)) )) - (net N_91_i (joined + (net N_110_i (joined + (portRef O (instanceRef N_110_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_6)) + )) + (net N_80_i (joined + (portRef O (instanceRef SM_AMIGA_ns_i_6)) + (portRef D (instanceRef SM_AMIGA_1)) + )) + (net N_88_i (joined (portRef O (instanceRef SM_AMIGA_ns_o4_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_5)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_3)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_7)) (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_1)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_3)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_5)) (portRef I0 (instanceRef SM_AMIGA_ns_o4_i_0)) )) - (net N_92_i (joined + (net N_90_i (joined (portRef O (instanceRef SM_AMIGA_ns_o4_0_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_5)) (portRef I0 (instanceRef SM_AMIGA_ns_o4_0_i_0)) - )) - (net (rename SM_AMIGA_i_5 "SM_AMIGA_i[5]") (joined - (portRef O (instanceRef SM_AMIGA_i_5)) - (portRef I1 (instanceRef SM_AMIGA_ns_o4_1_0)) - )) - (net N_93_i (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_1_i_0)) (portRef I0 (instanceRef SM_AMIGA_ns_a4_3_1_0)) )) - (net N_95_0 (joined - (portRef O (instanceRef SM_AMIGA_ns_i_o4_7)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_o4_i_7)) + (net N_93_0 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o4_6)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o4_i_6)) )) - (net N_103_i (joined - (portRef O (instanceRef N_103_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_7)) - (portRef I1 (instanceRef SM_AMIGA_ns_0)) - )) - (net N_100_i (joined - (portRef O (instanceRef N_100_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_2_0)) - )) - (net N_102_i (joined - (portRef O (instanceRef N_102_i)) + (net N_97_i (joined + (portRef O (instanceRef N_97_i)) (portRef I1 (instanceRef SM_AMIGA_ns_1_0)) )) + (net N_98_i (joined + (portRef O (instanceRef N_98_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_2_0)) + )) + (net N_99_i (joined + (portRef O (instanceRef N_99_i)) + (portRef I0 (instanceRef SM_AMIGA_ns_1_0)) + )) (net N_101_i (joined (portRef O (instanceRef N_101_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_1_0)) + (portRef I1 (instanceRef SM_AMIGA_ns_0)) + )) + (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined + (portRef O (instanceRef SM_AMIGA_i_4)) + (portRef I1 (instanceRef SM_AMIGA_ns_o4_1_1_0)) + )) + (net N_91_i (joined + (portRef O (instanceRef SM_AMIGA_ns_o4_1_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_o4_1_i_0)) + (portRef I1 (instanceRef SM_AMIGA_ns_a4_3_1_0)) + )) + (net N_168_i (joined + (portRef O (instanceRef N_168_i)) + (portRef I1 (instanceRef cpu_est_ns_0_1_2)) + )) + (net (rename cpu_est_ns_0_2 "cpu_est_ns_0[2]") (joined + (portRef O (instanceRef cpu_est_ns_0_2)) + (portRef I0 (instanceRef cpu_est_ns_0_i_2)) + )) + (net (rename state_machine_un10_clk_000_d0_2_i "state_machine.un10_clk_000_d0_2_i") (joined + (portRef O (instanceRef state_machine_un10_clk_000_d0_2_i)) + (portRef I1 (instanceRef cpu_est_ns_0_2)) + )) + (net N_167_i (joined + (portRef O (instanceRef N_167_i)) + (portRef I0 (instanceRef cpu_est_ns_0_1_2)) + )) + (net N_170_i (joined + (portRef O (instanceRef N_170_i)) + (portRef I1 (instanceRef cpu_est_ns_i_3)) + )) + (net N_169_i (joined + (portRef O (instanceRef N_169_i)) + (portRef I0 (instanceRef cpu_est_ns_i_3)) + )) + (net N_160_i (joined + (portRef O (instanceRef cpu_est_ns_i_3)) + (portRef I0 (instanceRef cpu_estse_2_m)) + )) + (net N_92_0 (joined + (portRef O (instanceRef SM_AMIGA_ns_i_o4_2)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_o4_i_2)) )) (net N_104_i (joined (portRef O (instanceRef N_104_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_2_0)) + (portRef I1 (instanceRef SM_AMIGA_ns_i_2)) )) - (net (rename state_machine_un4_bgack_000_0 "state_machine.un4_bgack_000_0") (joined - (portRef O (instanceRef state_machine_un4_bgack_000)) - (portRef I0 (instanceRef state_machine_un4_bgack_000_i)) + (net N_75_i (joined + (portRef O (instanceRef SM_AMIGA_ns_i_2)) + (portRef D (instanceRef SM_AMIGA_5)) )) - (net N_33_i (joined - (portRef O (instanceRef N_33_i)) - (portRef I1 (instanceRef un28_ciin_0_o2)) + (net (rename state_machine_un1_as_030_i "state_machine.un1_as_030_i") (joined + (portRef O (instanceRef state_machine_un1_as_030)) + (portRef I1 (instanceRef AS_000_INT_1_sqmuxa)) + (portRef I1 (instanceRef DSACK1_INT_1_sqmuxa)) + (portRef I1 (instanceRef un1_AS_030_000_SYNC_0_sqmuxa_1)) + (portRef I0 (instanceRef un2_as_030)) + (portRef I0 (instanceRef state_machine_un1_as_030_i_0)) )) - (net N_220_0 (joined - (portRef O (instanceRef un28_ciin_0_o2)) - (portRef I0 (instanceRef un28_ciin_0_o2_i)) + (net (rename state_machine_un6_clk_000_p_sync_i "state_machine.un6_clk_000_p_sync_i") (joined + (portRef O (instanceRef state_machine_un6_clk_000_p_sync_i)) + (portRef I1 (instanceRef state_machine_un6_bgack_000)) + )) + (net (rename state_machine_un6_bgack_000_0 "state_machine.un6_bgack_000_0") (joined + (portRef O (instanceRef state_machine_un6_bgack_000)) + (portRef I0 (instanceRef state_machine_un6_bgack_000_i)) + )) + (net LDS_000_INT_i (joined + (portRef O (instanceRef LDS_000_INT_i)) + (portRef I1 (instanceRef un1_LDS_000_INT)) + )) + (net un1_LDS_000_INT_0 (joined + (portRef O (instanceRef un1_LDS_000_INT)) + (portRef I0 (instanceRef un1_LDS_000_INT_i)) + )) + (net (rename state_machine_un7_ds_030_i "state_machine.un7_ds_030_i") (joined + (portRef O (instanceRef state_machine_un7_ds_030)) + (portRef I0 (instanceRef LDS_000_INT_0_n)) + )) + (net A0_c_i (joined + (portRef O (instanceRef A0_c_i)) + (portRef I1 (instanceRef state_machine_un7_ds_030_1)) + )) + (net (rename SIZE_c_i_1 "SIZE_c_i[1]") (joined + (portRef O (instanceRef SIZE_c_i_1)) + (portRef I0 (instanceRef state_machine_un7_ds_030_1)) + )) + (net (rename state_machine_un5_bgack_030_int_d_i "state_machine.un5_bgack_030_int_d_i") (joined + (portRef O (instanceRef state_machine_un5_bgack_030_int_d)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_3_sqmuxa)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_2)) + )) + (net AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa)) + )) + (net AMIGA_BUS_ENABLE_INT_2_sqmuxa_i (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_i)) + (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa)) + )) + (net un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 (joined + (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa)) + (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_i)) )) (net (rename state_machine_un3_bgack_030_int_d_i "state_machine.un3_bgack_030_int_d_i") (joined (portRef O (instanceRef state_machine_un3_bgack_030_int_d_i)) @@ -2809,99 +2777,14 @@ (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_1_i)) (portRef I1 (instanceRef un1_bgack_030_int_d_1)) )) - (net (rename SM_AMIGA_i_6 "SM_AMIGA_i[6]") (joined - (portRef O (instanceRef SM_AMIGA_i_6)) - (portRef I1 (instanceRef SM_AMIGA_ns_o4_2_0)) - )) - (net N_96_i (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_2_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_2_i_0)) - (portRef I1 (instanceRef SM_AMIGA_ns_a4_3_1_0)) - )) - (net (rename SM_AMIGA_i_2 "SM_AMIGA_i[2]") (joined - (portRef O (instanceRef SM_AMIGA_i_2)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_2_1_0)) - )) - (net (rename SM_AMIGA_i_4 "SM_AMIGA_i[4]") (joined - (portRef O (instanceRef SM_AMIGA_i_4)) - (portRef I1 (instanceRef SM_AMIGA_ns_o4_2_1_0)) - )) - (net N_115_i (joined - (portRef O (instanceRef N_115_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_i_7)) - )) - (net N_84_i (joined - (portRef O (instanceRef SM_AMIGA_ns_i_7)) - (portRef D (instanceRef SM_AMIGA_0)) - )) - (net N_111_i (joined - (portRef O (instanceRef N_111_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_5)) - )) - (net N_112_i (joined - (portRef O (instanceRef N_112_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_5)) - )) - (net (rename SM_AMIGA_ns_0_5 "SM_AMIGA_ns_0[5]") (joined - (portRef O (instanceRef SM_AMIGA_ns_5)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_5)) - )) - (net N_109_i (joined - (portRef O (instanceRef N_109_i)) - (portRef I1 (instanceRef SM_AMIGA_ns_3)) - )) - (net N_108_i (joined - (portRef O (instanceRef N_108_i)) - (portRef I0 (instanceRef SM_AMIGA_ns_3)) - )) - (net (rename SM_AMIGA_ns_0_3 "SM_AMIGA_ns_0[3]") (joined - (portRef O (instanceRef SM_AMIGA_ns_3)) - (portRef I0 (instanceRef SM_AMIGA_ns_i_3)) - )) - (net AMIGA_BUS_ENABLE_INT_2_sqmuxa_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_i)) - (portRef I1 (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa)) - )) - (net AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_1_sqmuxa_2_i)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa)) - )) - (net un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_0 (joined - (portRef O (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa)) - (portRef I0 (instanceRef un1_AMIGA_BUS_ENABLE_INT_2_sqmuxa_i)) - )) - (net BG_030_c_i (joined - (portRef O (instanceRef BG_030_c_i)) - (portRef I0 (instanceRef state_machine_un10_bg_030)) - )) - (net (rename state_machine_un8_bg_030_i "state_machine.un8_bg_030_i") (joined - (portRef O (instanceRef state_machine_un8_bg_030_i)) - (portRef I1 (instanceRef state_machine_un10_bg_030)) - )) - (net (rename state_machine_un10_bg_030_0 "state_machine.un10_bg_030_0") (joined - (portRef O (instanceRef state_machine_un10_bg_030)) - (portRef I0 (instanceRef state_machine_un10_bg_030_i)) - )) - (net (rename state_machine_un5_bgack_030_int_d_i "state_machine.un5_bgack_030_int_d_i") (joined - (portRef O (instanceRef state_machine_un5_bgack_030_int_d)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_3_sqmuxa)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_2)) - )) - (net (rename state_machine_un10_bgack_030_int_0 "state_machine.un10_bgack_030_int_0") (joined - (portRef O (instanceRef state_machine_un10_bgack_030_int)) - (portRef I0 (instanceRef state_machine_un10_bgack_030_int_i)) - )) - (net (rename state_machine_DS_000_DMA_3_0 "state_machine.DS_000_DMA_3_0") (joined - (portRef O (instanceRef state_machine_DS_000_DMA_3)) - (portRef I0 (instanceRef state_machine_DS_000_DMA_3_i)) - )) - (net (rename state_machine_SIZE_DMA_4_0_0 "state_machine.SIZE_DMA_4_0[0]") (joined - (portRef O (instanceRef state_machine_SIZE_DMA_4_0)) - (portRef I0 (instanceRef state_machine_SIZE_DMA_4_i_0)) - )) - (net (rename state_machine_SIZE_DMA_4_0_1 "state_machine.SIZE_DMA_4_0[1]") (joined - (portRef O (instanceRef state_machine_SIZE_DMA_4_1)) - (portRef I0 (instanceRef state_machine_SIZE_DMA_4_i_1)) + (net un3_dtack_i (joined + (portRef O (instanceRef un3_dtack)) + (portRef OE (instanceRef A0)) + (portRef OE (instanceRef AS_030)) + (portRef OE (instanceRef DS_030)) + (portRef OE (instanceRef DTACK)) + (portRef OE (instanceRef SIZE_0)) + (portRef OE (instanceRef SIZE_1)) )) (net CLK_030_H_i (joined (portRef O (instanceRef CLK_030_H_i)) @@ -2915,115 +2798,105 @@ (portRef O (instanceRef state_machine_CLK_030_H_2_f1)) (portRef I0 (instanceRef state_machine_CLK_030_H_2_f1_i)) )) - (net un3_dtack_i (joined - (portRef O (instanceRef un3_dtack)) - (portRef OE (instanceRef A0)) - (portRef OE (instanceRef AS_030)) - (portRef OE (instanceRef DS_030)) - (portRef OE (instanceRef DTACK)) - (portRef OE (instanceRef SIZE_0)) - (portRef OE (instanceRef SIZE_1)) + (net (rename state_machine_un10_bgack_030_int_0 "state_machine.un10_bgack_030_int_0") (joined + (portRef O (instanceRef state_machine_un10_bgack_030_int)) + (portRef I0 (instanceRef state_machine_un10_bgack_030_int_i)) )) - (net (rename cpu_est_ns_0_1 "cpu_est_ns_0[1]") (joined - (portRef O (instanceRef cpu_est_ns_0_0_1)) - (portRef I0 (instanceRef cpu_est_ns_0_0_i_1)) + (net (rename state_machine_un38_clk_000_d0_i "state_machine.un38_clk_000_d0_i") (joined + (portRef O (instanceRef state_machine_un38_clk_000_d0_i)) + (portRef I1 (instanceRef state_machine_un34_clk_000_d0)) )) - (net N_37_i (joined - (portRef O (instanceRef N_37_i)) - (portRef I1 (instanceRef cpu_est_ns_0_0_1_1)) + (net (rename state_machine_un32_clk_000_d0_i "state_machine.un32_clk_000_d0_i") (joined + (portRef O (instanceRef state_machine_un32_clk_000_d0_i)) + (portRef I0 (instanceRef state_machine_un34_clk_000_d0)) )) - (net N_224_i (joined - (portRef O (instanceRef N_224_i)) - (portRef I1 (instanceRef cpu_est_ns_0_0_2_1)) + (net (rename state_machine_un34_clk_000_d0_0 "state_machine.un34_clk_000_d0_0") (joined + (portRef O (instanceRef state_machine_un34_clk_000_d0)) + (portRef I0 (instanceRef state_machine_un34_clk_000_d0_i)) )) - (net N_32_i (joined - (portRef O (instanceRef N_32_i)) - (portRef I0 (instanceRef cpu_est_ns_0_0_1_1)) + (net N_112_i (joined + (portRef O (instanceRef N_112_i)) + (portRef I1 (instanceRef SM_AMIGA_ns_7)) )) - (net N_223_i (joined - (portRef O (instanceRef N_223_i)) - (portRef I0 (instanceRef cpu_est_ns_0_0_2_1)) + (net (rename SM_AMIGA_ns_0_7 "SM_AMIGA_ns_0[7]") (joined + (portRef O (instanceRef SM_AMIGA_ns_7)) + (portRef I0 (instanceRef SM_AMIGA_ns_i_7)) )) - (net N_178_i (joined - (portRef O (instanceRef N_178_i)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0)) - )) - (net N_179_i (joined - (portRef O (instanceRef N_179_i)) + (net N_175_i (joined + (portRef O (instanceRef N_175_i)) (portRef I1 (instanceRef AMIGA_BUS_DATA_DIR_0)) )) + (net N_174_i (joined + (portRef O (instanceRef N_174_i)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0)) + )) (net AMIGA_BUS_DATA_DIR_c_0 (joined (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0)) (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_i)) )) - (net N_219_i (joined - (portRef O (instanceRef cpu_est_ns_i_0_o2_3)) - (portRef I0 (instanceRef cpu_est_ns_i_0_o2_i_3)) - (portRef I1 (instanceRef state_machine_un10_clk_000_ne_1)) + (net (rename state_machine_SIZE_DMA_4_0_1 "state_machine.SIZE_DMA_4_0[1]") (joined + (portRef O (instanceRef state_machine_SIZE_DMA_4_1)) + (portRef I0 (instanceRef state_machine_SIZE_DMA_4_i_1)) )) - (net N_30_i (joined - (portRef O (instanceRef N_30_i)) - (portRef I0 (instanceRef un28_ciin_0)) + (net (rename state_machine_SIZE_DMA_4_0_0 "state_machine.SIZE_DMA_4_0[0]") (joined + (portRef O (instanceRef state_machine_SIZE_DMA_4_0)) + (portRef I0 (instanceRef state_machine_SIZE_DMA_4_i_0)) )) - (net N_31_i (joined - (portRef O (instanceRef N_31_i)) - (portRef I1 (instanceRef un28_ciin_0)) - )) - (net un28_ciin_0 (joined - (portRef O (instanceRef un28_ciin_0)) - (portRef I0 (instanceRef un28_ciin_0_i)) - )) - (net N_28_i (joined - (portRef O (instanceRef N_28_i)) - (portRef I0 (instanceRef cpu_estse_0_0)) - )) - (net N_29_i (joined - (portRef O (instanceRef N_29_i)) - (portRef I1 (instanceRef cpu_estse_0_0)) - )) - (net (rename cpu_est_ns_e_0_0 "cpu_est_ns_e_0[0]") (joined - (portRef O (instanceRef cpu_estse_0_0)) - (portRef I0 (instanceRef cpu_estse_0_0_i)) - )) - (net N_228_i (joined - (portRef O (instanceRef N_228_i)) - (portRef I1 (instanceRef cpu_est_ns_0_0_1_2)) - )) - (net N_229_i (joined - (portRef O (instanceRef N_229_i)) - (portRef I1 (instanceRef cpu_est_ns_0_0_2)) - )) - (net N_230_i (joined - (portRef O (instanceRef N_230_i)) - (portRef I0 (instanceRef cpu_est_ns_0_0_1_2)) - )) - (net (rename cpu_est_ns_0_2 "cpu_est_ns_0[2]") (joined - (portRef O (instanceRef cpu_est_ns_0_0_2)) - (portRef I0 (instanceRef cpu_est_ns_0_0_i_2)) - )) - (net N_35_i (joined - (portRef O (instanceRef N_35_i)) - (portRef I0 (instanceRef cpu_est_ns_i_0_3)) - )) - (net N_227_i (joined - (portRef O (instanceRef N_227_i)) - (portRef I1 (instanceRef cpu_est_ns_i_0_3)) + (net N_171_i (joined + (portRef O (instanceRef N_171_i)) + (portRef I1 (instanceRef cpu_est_ns_0_2_1)) )) (net N_164_i (joined - (portRef O (instanceRef cpu_est_ns_i_0_3)) - (portRef I0 (instanceRef cpu_estse_2_m)) + (portRef O (instanceRef N_164_i)) + (portRef I1 (instanceRef cpu_est_ns_0_1_1)) )) - (net N_222_i (joined - (portRef O (instanceRef N_222_i)) - (portRef I0 (instanceRef state_machine_un12_clk_000_d0_0)) + (net N_163_i (joined + (portRef O (instanceRef N_163_i)) + (portRef I0 (instanceRef cpu_est_ns_0_1_1)) + )) + (net (rename cpu_est_ns_0_1 "cpu_est_ns_0[1]") (joined + (portRef O (instanceRef cpu_est_ns_0_1)) + (portRef I0 (instanceRef cpu_est_ns_0_i_1)) )) (net (rename state_machine_un10_clk_000_d0_i "state_machine.un10_clk_000_d0_i") (joined (portRef O (instanceRef state_machine_un10_clk_000_d0_i)) - (portRef I1 (instanceRef state_machine_un12_clk_000_d0_0)) + (portRef I1 (instanceRef state_machine_un12_clk_000_d0)) + )) + (net (rename state_machine_un5_clk_000_d0_i "state_machine.un5_clk_000_d0_i") (joined + (portRef O (instanceRef state_machine_un5_clk_000_d0_i)) + (portRef I0 (instanceRef state_machine_un12_clk_000_d0)) )) (net (rename state_machine_un12_clk_000_d0_0 "state_machine.un12_clk_000_d0_0") (joined - (portRef O (instanceRef state_machine_un12_clk_000_d0_0)) - (portRef I0 (instanceRef state_machine_un12_clk_000_d0_0_i)) + (portRef O (instanceRef state_machine_un12_clk_000_d0)) + (portRef I0 (instanceRef state_machine_un12_clk_000_d0_i)) + )) + (net N_71_0 (joined + (portRef O (instanceRef un1_SM_AMIGA_6_i)) + (portRef I0 (instanceRef un1_SM_AMIGA_6_i_i)) + )) + (net (rename state_machine_RW_000_INT_3_0 "state_machine.RW_000_INT_3_0") (joined + (portRef O (instanceRef state_machine_RW_000_INT_3)) + (portRef I0 (instanceRef state_machine_RW_000_INT_3_i)) + )) + (net BG_030_c_i (joined + (portRef O (instanceRef BG_030_c_i)) + (portRef I0 (instanceRef state_machine_un10_bg_030)) + )) + (net (rename state_machine_un8_bg_030_i "state_machine.un8_bg_030_i") (joined + (portRef O (instanceRef state_machine_un8_bg_030_i)) + (portRef I1 (instanceRef state_machine_un10_bg_030)) + )) + (net (rename state_machine_un10_bg_030_0 "state_machine.un10_bg_030_0") (joined + (portRef O (instanceRef state_machine_un10_bg_030)) + (portRef I0 (instanceRef state_machine_un10_bg_030_i)) + )) + (net un8_ciin_i (joined + (portRef O (instanceRef un8_ciin_i)) + (portRef I1 (instanceRef un14_ciin)) + )) + (net un14_ciin_0 (joined + (portRef O (instanceRef un14_ciin)) + (portRef I0 (instanceRef un14_ciin_i)) )) (net un2_clk_pre_66_i (joined (portRef O (instanceRef un2_clk_pre_66_i)) @@ -3037,125 +2910,61 @@ (portRef O (instanceRef CLK_PRE_66)) (portRef I0 (instanceRef CLK_PRE_66_i)) )) - (net LDS_000_INT_i (joined - (portRef O (instanceRef LDS_000_INT_i)) - (portRef I1 (instanceRef un1_LDS_000_INT)) - )) - (net un1_LDS_000_INT_0 (joined - (portRef O (instanceRef un1_LDS_000_INT)) - (portRef I0 (instanceRef un1_LDS_000_INT_i)) - )) - (net UDS_000_INT_i (joined - (portRef O (instanceRef UDS_000_INT_i)) - (portRef I1 (instanceRef un1_UDS_000_INT)) - )) - (net un1_UDS_000_INT_0 (joined - (portRef O (instanceRef un1_UDS_000_INT)) - (portRef I0 (instanceRef un1_UDS_000_INT_i)) - )) - (net (rename state_machine_un7_ds_030_i "state_machine.un7_ds_030_i") (joined - (portRef O (instanceRef state_machine_un7_ds_030)) - (portRef I0 (instanceRef LDS_000_INT_0_n)) - )) - (net A0_c_i (joined - (portRef O (instanceRef A0_c_i)) - (portRef I1 (instanceRef state_machine_un7_ds_030_1)) - )) - (net (rename SIZE_c_i_1 "SIZE_c_i[1]") (joined - (portRef O (instanceRef SIZE_c_i_1)) - (portRef I0 (instanceRef state_machine_un7_ds_030_1)) - )) - (net un3_dtack_i_1 (joined - (portRef O (instanceRef un3_dtack_1)) - (portRef I0 (instanceRef un3_dtack)) - )) - (net N_247_1 (joined - (portRef O (instanceRef un16_ciin_1)) - (portRef I0 (instanceRef un16_ciin_5)) - )) - (net N_247_2 (joined - (portRef O (instanceRef un16_ciin_2)) - (portRef I1 (instanceRef un16_ciin_5)) - )) - (net N_247_3 (joined - (portRef O (instanceRef un16_ciin_3)) - (portRef I0 (instanceRef un16_ciin_6)) - )) - (net N_247_4 (joined - (portRef O (instanceRef un16_ciin_4)) - (portRef I1 (instanceRef un16_ciin_6)) - )) - (net N_247_5 (joined - (portRef O (instanceRef un16_ciin_5)) - (portRef I0 (instanceRef un16_ciin)) - )) - (net N_247_6 (joined - (portRef O (instanceRef un16_ciin_6)) - (portRef I1 (instanceRef un16_ciin)) - )) - (net (rename state_machine_un7_ds_030_i_1 "state_machine.un7_ds_030_i_1") (joined - (portRef O (instanceRef state_machine_un7_ds_030_1)) - (portRef I0 (instanceRef state_machine_un7_ds_030)) - )) - (net N_31_1 (joined - (portRef O (instanceRef un28_ciin_0_a3_0_1)) - (portRef I0 (instanceRef un28_ciin_0_a3_0)) - )) - (net N_31_2 (joined - (portRef O (instanceRef un28_ciin_0_a3_0_2)) - (portRef I1 (instanceRef un28_ciin_0_a3_0)) - )) - (net N_179_1_0 (joined - (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) - (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) - )) - (net (rename state_machine_CLK_000_P_SYNC_3_1_0 "state_machine.CLK_000_P_SYNC_3_1[0]") (joined - (portRef O (instanceRef state_machine_CLK_000_P_SYNC_3_1_0)) - (portRef I0 (instanceRef state_machine_CLK_000_P_SYNC_3_0)) - )) - (net (rename state_machine_CLK_000_P_SYNC_3_2_0 "state_machine.CLK_000_P_SYNC_3_2[0]") (joined - (portRef O (instanceRef state_machine_CLK_000_P_SYNC_3_2_0)) - (portRef I1 (instanceRef state_machine_CLK_000_P_SYNC_3_0)) - )) - (net (rename state_machine_un28_as_030_1 "state_machine.un28_as_030_1") (joined - (portRef O (instanceRef state_machine_un28_as_030_1)) - (portRef I0 (instanceRef state_machine_un28_as_030)) - )) - (net (rename state_machine_un28_as_030_2 "state_machine.un28_as_030_2") (joined - (portRef O (instanceRef state_machine_un28_as_030_2)) - (portRef I1 (instanceRef state_machine_un28_as_030)) - )) - (net (rename state_machine_CLK_000_N_SYNC_2_1_0 "state_machine.CLK_000_N_SYNC_2_1[0]") (joined - (portRef O (instanceRef state_machine_CLK_000_N_SYNC_2_1_0)) - (portRef I0 (instanceRef state_machine_CLK_000_N_SYNC_2_0)) - )) (net (rename cpu_est_ns_0_1_1 "cpu_est_ns_0_1[1]") (joined - (portRef O (instanceRef cpu_est_ns_0_0_1_1)) - (portRef I0 (instanceRef cpu_est_ns_0_0_1)) + (portRef O (instanceRef cpu_est_ns_0_1_1)) + (portRef I0 (instanceRef cpu_est_ns_0_1)) )) (net (rename cpu_est_ns_0_2_1 "cpu_est_ns_0_2[1]") (joined - (portRef O (instanceRef cpu_est_ns_0_0_2_1)) - (portRef I1 (instanceRef cpu_est_ns_0_0_1)) + (portRef O (instanceRef cpu_est_ns_0_2_1)) + (portRef I1 (instanceRef cpu_est_ns_0_1)) )) - (net (rename state_machine_un10_clk_000_d0_1 "state_machine.un10_clk_000_d0_1") (joined - (portRef O (instanceRef state_machine_un12_clk_000_d0_0_a3_0_1)) - (portRef I0 (instanceRef state_machine_un12_clk_000_d0_0_a3_0)) + (net N_91_i_1 (joined + (portRef O (instanceRef SM_AMIGA_ns_o4_1_1_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_o4_1_0)) )) - (net (rename state_machine_un10_clk_000_d0_2 "state_machine.un10_clk_000_d0_2") (joined - (portRef O (instanceRef state_machine_un12_clk_000_d0_0_a3_0_2)) - (portRef I1 (instanceRef state_machine_un12_clk_000_d0_0_a3_0)) + (net un5_ciin_1 (joined + (portRef O (instanceRef un5_ciin_1)) + (portRef I0 (instanceRef un5_ciin_7)) )) - (net AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_1)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa)) + (net un5_ciin_2 (joined + (portRef O (instanceRef un5_ciin_2)) + (portRef I1 (instanceRef un5_ciin_7)) )) - (net AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_2)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa)) + (net un5_ciin_3 (joined + (portRef O (instanceRef un5_ciin_3)) + (portRef I0 (instanceRef un5_ciin_8)) )) - (net N_96_i_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_o4_2_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_o4_2_0)) + (net un5_ciin_4 (joined + (portRef O (instanceRef un5_ciin_4)) + (portRef I1 (instanceRef un5_ciin_8)) + )) + (net un5_ciin_5 (joined + (portRef O (instanceRef un5_ciin_5)) + (portRef I0 (instanceRef un5_ciin_9)) + )) + (net un5_ciin_6 (joined + (portRef O (instanceRef un5_ciin_6)) + (portRef I1 (instanceRef un5_ciin_9)) + )) + (net un5_ciin_7 (joined + (portRef O (instanceRef un5_ciin_7)) + (portRef I0 (instanceRef un5_ciin_10)) + )) + (net un5_ciin_8 (joined + (portRef O (instanceRef un5_ciin_8)) + (portRef I1 (instanceRef un5_ciin_10)) + )) + (net un5_ciin_9 (joined + (portRef O (instanceRef un5_ciin_9)) + (portRef I0 (instanceRef un5_ciin_11)) + )) + (net un5_ciin_10 (joined + (portRef O (instanceRef un5_ciin_10)) + (portRef I0 (instanceRef un5_ciin)) + )) + (net un5_ciin_11 (joined + (portRef O (instanceRef un5_ciin_11)) + (portRef I1 (instanceRef un5_ciin)) )) (net (rename state_machine_un8_bg_030_1 "state_machine.un8_bg_030_1") (joined (portRef O (instanceRef state_machine_un8_bg_030_1)) @@ -3165,45 +2974,29 @@ (portRef O (instanceRef state_machine_un8_bg_030_2)) (portRef I1 (instanceRef state_machine_un8_bg_030)) )) - (net un1_bgack_030_int_d_0_1 (joined - (portRef O (instanceRef un1_bgack_030_int_d_1)) - (portRef I0 (instanceRef un1_bgack_030_int_d)) + (net un12_ciin_1 (joined + (portRef O (instanceRef un12_ciin_1)) + (portRef I0 (instanceRef un12_ciin_5)) )) - (net N_33_1 (joined - (portRef O (instanceRef un28_ciin_0_a2_1)) - (portRef I0 (instanceRef un28_ciin_0_a2)) + (net un12_ciin_2 (joined + (portRef O (instanceRef un12_ciin_2)) + (portRef I1 (instanceRef un12_ciin_5)) )) - (net N_33_2 (joined - (portRef O (instanceRef un28_ciin_0_a2_2)) - (portRef I1 (instanceRef un28_ciin_0_a2)) + (net un12_ciin_3 (joined + (portRef O (instanceRef un12_ciin_3)) + (portRef I0 (instanceRef un12_ciin_6)) )) - (net un19_fpu_cs_5_1 (joined - (portRef O (instanceRef un19_fpu_cs_5_1)) - (portRef I0 (instanceRef un19_fpu_cs_5)) + (net un12_ciin_4 (joined + (portRef O (instanceRef un12_ciin_4)) + (portRef I1 (instanceRef un12_ciin_6)) )) - (net un19_fpu_cs_5_2 (joined - (portRef O (instanceRef un19_fpu_cs_5_2)) - (portRef I1 (instanceRef un19_fpu_cs_5)) + (net un12_ciin_5 (joined + (portRef O (instanceRef un12_ciin_5)) + (portRef I0 (instanceRef un12_ciin)) )) - (net un19_fpu_cs_1 (joined - (portRef O (instanceRef un19_fpu_cs_1)) - (portRef I0 (instanceRef un19_fpu_cs_3)) - )) - (net un19_fpu_cs_2 (joined - (portRef O (instanceRef un19_fpu_cs_2)) - (portRef I1 (instanceRef un19_fpu_cs_3)) - )) - (net un19_fpu_cs_3 (joined - (portRef O (instanceRef un19_fpu_cs_3)) - (portRef I0 (instanceRef un19_fpu_cs)) - )) - (net SM_AMIGA_0_sqmuxa_1_1 (joined - (portRef O (instanceRef SM_AMIGA_0_sqmuxa_1_1)) - (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_1)) - )) - (net DS_000_ENABLE_0_sqmuxa_1 (joined - (portRef O (instanceRef DS_000_ENABLE_0_sqmuxa_1)) - (portRef I0 (instanceRef DS_000_ENABLE_0_sqmuxa)) + (net un12_ciin_6 (joined + (portRef O (instanceRef un12_ciin_6)) + (portRef I1 (instanceRef un12_ciin)) )) (net AS_030_000_SYNC_0_sqmuxa_1 (joined (portRef O (instanceRef AS_030_000_SYNC_0_sqmuxa_1)) @@ -3213,37 +3006,125 @@ (portRef O (instanceRef AS_030_000_SYNC_0_sqmuxa_2)) (portRef I1 (instanceRef AS_030_000_SYNC_0_sqmuxa)) )) - (net N_102_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_1_0)) + (net (rename state_machine_un38_clk_000_d0_1_0 "state_machine.un38_clk_000_d0_1_0") (joined + (portRef O (instanceRef state_machine_un38_clk_000_d0_1_0)) + (portRef I0 (instanceRef state_machine_un38_clk_000_d0)) + )) + (net (rename state_machine_un5_clk_000_d0_1 "state_machine.un5_clk_000_d0_1") (joined + (portRef O (instanceRef state_machine_un5_clk_000_d0_1)) + (portRef I0 (instanceRef state_machine_un5_clk_000_d0)) + )) + (net (rename state_machine_un5_clk_000_d0_2 "state_machine.un5_clk_000_d0_2") (joined + (portRef O (instanceRef state_machine_un5_clk_000_d0_2)) + (portRef I1 (instanceRef state_machine_un5_clk_000_d0)) + )) + (net (rename state_machine_un10_clk_000_d0_1 "state_machine.un10_clk_000_d0_1") (joined + (portRef O (instanceRef state_machine_un10_clk_000_d0_1)) + (portRef I0 (instanceRef state_machine_un10_clk_000_d0_3)) + )) + (net (rename state_machine_un10_clk_000_d0_2_0 "state_machine.un10_clk_000_d0_2_0") (joined + (portRef O (instanceRef state_machine_un10_clk_000_d0_2)) + (portRef I1 (instanceRef state_machine_un10_clk_000_d0_3)) + )) + (net (rename state_machine_un10_clk_000_d0_3 "state_machine.un10_clk_000_d0_3") (joined + (portRef O (instanceRef state_machine_un10_clk_000_d0_3)) + (portRef I0 (instanceRef state_machine_un10_clk_000_d0)) + )) + (net N_175_1_0 (joined + (portRef O (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0_1_0)) + (portRef I0 (instanceRef AMIGA_BUS_DATA_DIR_0_a3_0)) + )) + (net un3_dtack_i_1 (joined + (portRef O (instanceRef un3_dtack_1)) + (portRef I0 (instanceRef un3_dtack)) + )) + (net un1_bgack_030_int_d_0_1 (joined + (portRef O (instanceRef un1_bgack_030_int_d_1)) + (portRef I0 (instanceRef un1_bgack_030_int_d)) + )) + (net AMIGA_BUS_ENABLE_INT_2_sqmuxa_1 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_1)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa)) + )) + (net AMIGA_BUS_ENABLE_INT_2_sqmuxa_2 (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa_2)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_2_sqmuxa)) + )) + (net (rename state_machine_un7_ds_030_i_1 "state_machine.un7_ds_030_i_1") (joined + (portRef O (instanceRef state_machine_un7_ds_030_1)) + (portRef I0 (instanceRef state_machine_un7_ds_030)) + )) + (net un19_fpu_cs_1 (joined + (portRef O (instanceRef un19_fpu_cs_1)) + (portRef I0 (instanceRef un19_fpu_cs_5)) + )) + (net un19_fpu_cs_2 (joined + (portRef O (instanceRef un19_fpu_cs_2)) + (portRef I1 (instanceRef un19_fpu_cs_5)) + )) + (net un19_fpu_cs_3 (joined + (portRef O (instanceRef un19_fpu_cs_3)) + (portRef I0 (instanceRef un19_fpu_cs_6)) + )) + (net un19_fpu_cs_4 (joined + (portRef O (instanceRef un19_fpu_cs_4)) + (portRef I1 (instanceRef un19_fpu_cs_6)) + )) + (net un19_fpu_cs_5 (joined + (portRef O (instanceRef un19_fpu_cs_5)) + (portRef I0 (instanceRef un19_fpu_cs)) + )) + (net un19_fpu_cs_6 (joined + (portRef O (instanceRef un19_fpu_cs_6)) + (portRef I1 (instanceRef un19_fpu_cs)) + )) + (net DSACK1_INT_0_sqmuxa_1 (joined + (portRef O (instanceRef DSACK1_INT_0_sqmuxa_1)) + (portRef I0 (instanceRef DSACK1_INT_0_sqmuxa)) + )) + (net SM_AMIGA_0_sqmuxa_1_1 (joined + (portRef O (instanceRef SM_AMIGA_0_sqmuxa_1_1)) + (portRef I0 (instanceRef SM_AMIGA_0_sqmuxa_1)) + )) + (net (rename cpu_est_ns_0_1_2 "cpu_est_ns_0_1[2]") (joined + (portRef O (instanceRef cpu_est_ns_0_1_2)) + (portRef I0 (instanceRef cpu_est_ns_0_2)) + )) + (net (rename state_machine_CLK_000_P_SYNC_3_1_0 "state_machine.CLK_000_P_SYNC_3_1[0]") (joined + (portRef O (instanceRef state_machine_CLK_000_P_SYNC_3_1_0)) + (portRef I0 (instanceRef state_machine_CLK_000_P_SYNC_3_0)) + )) + (net DS_000_ENABLE_0_sqmuxa_1 (joined + (portRef O (instanceRef DS_000_ENABLE_0_sqmuxa_1)) + (portRef I0 (instanceRef DS_000_ENABLE_0_sqmuxa)) )) (net N_101_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_0_1_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_0)) - )) - (net N_100_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1_0_0)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_0)) - )) - (net N_110_1 (joined - (portRef O (instanceRef SM_AMIGA_ns_a4_1_4)) - (portRef I0 (instanceRef SM_AMIGA_ns_a4_4)) - )) - (net N_104_1 (joined (portRef O (instanceRef SM_AMIGA_ns_a4_3_1_0)) (portRef I0 (instanceRef SM_AMIGA_ns_a4_3_3_0)) )) - (net N_104_2 (joined + (net N_101_2 (joined (portRef O (instanceRef SM_AMIGA_ns_a4_3_2_0)) (portRef I1 (instanceRef SM_AMIGA_ns_a4_3_3_0)) )) - (net N_104_3 (joined + (net N_101_3 (joined (portRef O (instanceRef SM_AMIGA_ns_a4_3_3_0)) (portRef I0 (instanceRef SM_AMIGA_ns_a4_3_0)) )) - (net (rename state_machine_un10_clk_000_ne_1 "state_machine.un10_clk_000_ne_1") (joined - (portRef O (instanceRef state_machine_un10_clk_000_ne_1)) - (portRef I0 (instanceRef state_machine_un10_clk_000_ne)) + (net N_100_1 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_2_1_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_2_0)) + )) + (net N_98_1 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_0_1_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_0_0)) + )) + (net N_97_1 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_1_0_0)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_0)) + )) + (net N_107_1 (joined + (portRef O (instanceRef SM_AMIGA_ns_a4_1_4)) + (portRef I0 (instanceRef SM_AMIGA_ns_a4_4)) )) (net (rename SM_AMIGA_ns_0_1_0 "SM_AMIGA_ns_0_1[0]") (joined (portRef O (instanceRef SM_AMIGA_ns_1_0)) @@ -3257,224 +3138,8 @@ (portRef O (instanceRef SM_AMIGA_ns_3_0)) (portRef I0 (instanceRef SM_AMIGA_ns_0)) )) - (net (rename cpu_est_ns_0_1_2 "cpu_est_ns_0_1[2]") (joined - (portRef O (instanceRef cpu_est_ns_0_0_1_2)) - (portRef I0 (instanceRef cpu_est_ns_0_0_2)) - )) - (net N_30_1 (joined - (portRef O (instanceRef un28_ciin_0_a3_1)) - (portRef I0 (instanceRef un28_ciin_0_a3)) - )) - (net N_222_1 (joined - (portRef O (instanceRef state_machine_un12_clk_000_d0_0_a3_1)) - (portRef I0 (instanceRef state_machine_un12_clk_000_d0_0_a3)) - )) (net GND (joined )) - (net (rename cpu_estse_1_un3 "cpu_estse_1.un3") (joined - (portRef O (instanceRef cpu_estse_1_r)) - (portRef I1 (instanceRef cpu_estse_1_n)) - )) - (net (rename cpu_estse_1_un1 "cpu_estse_1.un1") (joined - (portRef O (instanceRef cpu_estse_1_m)) - (portRef I0 (instanceRef cpu_estse_1_p)) - )) - (net (rename cpu_estse_1_un0 "cpu_estse_1.un0") (joined - (portRef O (instanceRef cpu_estse_1_n)) - (portRef I1 (instanceRef cpu_estse_1_p)) - )) - (net (rename IPL_030_0_2__un3 "IPL_030_0_2_.un3") (joined - (portRef O (instanceRef IPL_030_0_2__r)) - (portRef I1 (instanceRef IPL_030_0_2__n)) - )) - (net (rename IPL_030_0_2__un1 "IPL_030_0_2_.un1") (joined - (portRef O (instanceRef IPL_030_0_2__m)) - (portRef I0 (instanceRef IPL_030_0_2__p)) - )) - (net (rename IPL_030_0_2__un0 "IPL_030_0_2_.un0") (joined - (portRef O (instanceRef IPL_030_0_2__n)) - (portRef I1 (instanceRef IPL_030_0_2__p)) - )) - (net (rename IPL_030_0_1__un3 "IPL_030_0_1_.un3") (joined - (portRef O (instanceRef IPL_030_0_1__r)) - (portRef I1 (instanceRef IPL_030_0_1__n)) - )) - (net (rename IPL_030_0_1__un1 "IPL_030_0_1_.un1") (joined - (portRef O (instanceRef IPL_030_0_1__m)) - (portRef I0 (instanceRef IPL_030_0_1__p)) - )) - (net (rename IPL_030_0_1__un0 "IPL_030_0_1_.un0") (joined - (portRef O (instanceRef IPL_030_0_1__n)) - (portRef I1 (instanceRef IPL_030_0_1__p)) - )) - (net (rename IPL_030_0_0__un3 "IPL_030_0_0_.un3") (joined - (portRef O (instanceRef IPL_030_0_0__r)) - (portRef I1 (instanceRef IPL_030_0_0__n)) - )) - (net (rename IPL_030_0_0__un1 "IPL_030_0_0_.un1") (joined - (portRef O (instanceRef IPL_030_0_0__m)) - (portRef I0 (instanceRef IPL_030_0_0__p)) - )) - (net (rename IPL_030_0_0__un0 "IPL_030_0_0_.un0") (joined - (portRef O (instanceRef IPL_030_0_0__n)) - (portRef I1 (instanceRef IPL_030_0_0__p)) - )) - (net (rename RW_000_INT_0_un3 "RW_000_INT_0.un3") (joined - (portRef O (instanceRef RW_000_INT_0_r)) - (portRef I1 (instanceRef RW_000_INT_0_n)) - )) - (net (rename RW_000_INT_0_un1 "RW_000_INT_0.un1") (joined - (portRef O (instanceRef RW_000_INT_0_m)) - (portRef I0 (instanceRef RW_000_INT_0_p)) - )) - (net (rename RW_000_INT_0_un0 "RW_000_INT_0.un0") (joined - (portRef O (instanceRef RW_000_INT_0_n)) - (portRef I1 (instanceRef RW_000_INT_0_p)) - )) - (net (rename DSACK1_INT_0_un3 "DSACK1_INT_0.un3") (joined - (portRef O (instanceRef DSACK1_INT_0_r)) - (portRef I1 (instanceRef DSACK1_INT_0_n)) - )) - (net (rename DSACK1_INT_0_un1 "DSACK1_INT_0.un1") (joined - (portRef O (instanceRef DSACK1_INT_0_m)) - (portRef I0 (instanceRef DSACK1_INT_0_p)) - )) - (net (rename DSACK1_INT_0_un0 "DSACK1_INT_0.un0") (joined - (portRef O (instanceRef DSACK1_INT_0_n)) - (portRef I1 (instanceRef DSACK1_INT_0_p)) - )) - (net (rename DS_000_ENABLE_0_un3 "DS_000_ENABLE_0.un3") (joined - (portRef O (instanceRef DS_000_ENABLE_0_r)) - (portRef I1 (instanceRef DS_000_ENABLE_0_n)) - )) - (net (rename DS_000_ENABLE_0_un1 "DS_000_ENABLE_0.un1") (joined - (portRef O (instanceRef DS_000_ENABLE_0_m)) - (portRef I0 (instanceRef DS_000_ENABLE_0_p)) - )) - (net (rename DS_000_ENABLE_0_un0 "DS_000_ENABLE_0.un0") (joined - (portRef O (instanceRef DS_000_ENABLE_0_n)) - (portRef I1 (instanceRef DS_000_ENABLE_0_p)) - )) - (net (rename AS_000_INT_0_un3 "AS_000_INT_0.un3") (joined - (portRef O (instanceRef AS_000_INT_0_r)) - (portRef I1 (instanceRef AS_000_INT_0_n)) - )) - (net (rename AS_000_INT_0_un1 "AS_000_INT_0.un1") (joined - (portRef O (instanceRef AS_000_INT_0_m)) - (portRef I0 (instanceRef AS_000_INT_0_p)) - )) - (net (rename AS_000_INT_0_un0 "AS_000_INT_0.un0") (joined - (portRef O (instanceRef AS_000_INT_0_n)) - (portRef I1 (instanceRef AS_000_INT_0_p)) - )) - (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_r)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) - )) - (net (rename AS_030_000_SYNC_0_un1 "AS_030_000_SYNC_0.un1") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_m)) - (portRef I0 (instanceRef AS_030_000_SYNC_0_p)) - )) - (net (rename AS_030_000_SYNC_0_un0 "AS_030_000_SYNC_0.un0") (joined - (portRef O (instanceRef AS_030_000_SYNC_0_n)) - (portRef I1 (instanceRef AS_030_000_SYNC_0_p)) - )) - (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined - (portRef O (instanceRef BGACK_030_INT_0_r)) - (portRef I1 (instanceRef BGACK_030_INT_0_n)) - )) - (net (rename BGACK_030_INT_0_un1 "BGACK_030_INT_0.un1") (joined - (portRef O (instanceRef BGACK_030_INT_0_m)) - (portRef I0 (instanceRef BGACK_030_INT_0_p)) - )) - (net (rename BGACK_030_INT_0_un0 "BGACK_030_INT_0.un0") (joined - (portRef O (instanceRef BGACK_030_INT_0_n)) - (portRef I1 (instanceRef BGACK_030_INT_0_p)) - )) - (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined - (portRef O (instanceRef AS_000_DMA_0_r)) - (portRef I1 (instanceRef AS_000_DMA_0_n)) - )) - (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined - (portRef O (instanceRef AS_000_DMA_0_m)) - (portRef I0 (instanceRef AS_000_DMA_0_p)) - )) - (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined - (portRef O (instanceRef AS_000_DMA_0_n)) - (portRef I1 (instanceRef AS_000_DMA_0_p)) - )) - (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined - (portRef O (instanceRef DS_000_DMA_0_r)) - (portRef I1 (instanceRef DS_000_DMA_0_n)) - )) - (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined - (portRef O (instanceRef DS_000_DMA_0_m)) - (portRef I0 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined - (portRef O (instanceRef DS_000_DMA_0_n)) - (portRef I1 (instanceRef DS_000_DMA_0_p)) - )) - (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined - (portRef O (instanceRef RW_000_DMA_0_r)) - (portRef I1 (instanceRef RW_000_DMA_0_n)) - )) - (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined - (portRef O (instanceRef RW_000_DMA_0_m)) - (portRef I0 (instanceRef RW_000_DMA_0_p)) - )) - (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined - (portRef O (instanceRef RW_000_DMA_0_n)) - (portRef I1 (instanceRef RW_000_DMA_0_p)) - )) - (net (rename CLK_030_H_0_un3 "CLK_030_H_0.un3") (joined - (portRef O (instanceRef CLK_030_H_0_r)) - (portRef I1 (instanceRef CLK_030_H_0_n)) - )) - (net (rename CLK_030_H_0_un1 "CLK_030_H_0.un1") (joined - (portRef O (instanceRef CLK_030_H_0_m)) - (portRef I0 (instanceRef CLK_030_H_0_p)) - )) - (net (rename CLK_030_H_0_un0 "CLK_030_H_0.un0") (joined - (portRef O (instanceRef CLK_030_H_0_n)) - (portRef I1 (instanceRef CLK_030_H_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_INT_0_un3 "AMIGA_BUS_ENABLE_INT_0.un3") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_0_r)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_0_n)) - )) - (net (rename AMIGA_BUS_ENABLE_INT_0_un1 "AMIGA_BUS_ENABLE_INT_0.un1") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_0_m)) - (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_0_p)) - )) - (net (rename AMIGA_BUS_ENABLE_INT_0_un0 "AMIGA_BUS_ENABLE_INT_0.un0") (joined - (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_0_n)) - (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_0_p)) - )) - (net (rename BG_000_0_un3 "BG_000_0.un3") (joined - (portRef O (instanceRef BG_000_0_r)) - (portRef I1 (instanceRef BG_000_0_n)) - )) - (net (rename BG_000_0_un1 "BG_000_0.un1") (joined - (portRef O (instanceRef BG_000_0_m)) - (portRef I0 (instanceRef BG_000_0_p)) - )) - (net (rename BG_000_0_un0 "BG_000_0.un0") (joined - (portRef O (instanceRef BG_000_0_n)) - (portRef I1 (instanceRef BG_000_0_p)) - )) - (net (rename LDS_000_INT_0_un3 "LDS_000_INT_0.un3") (joined - (portRef O (instanceRef LDS_000_INT_0_r)) - (portRef I1 (instanceRef LDS_000_INT_0_n)) - )) - (net (rename LDS_000_INT_0_un1 "LDS_000_INT_0.un1") (joined - (portRef O (instanceRef LDS_000_INT_0_m)) - (portRef I0 (instanceRef LDS_000_INT_0_p)) - )) - (net (rename LDS_000_INT_0_un0 "LDS_000_INT_0.un0") (joined - (portRef O (instanceRef LDS_000_INT_0_n)) - (portRef I1 (instanceRef LDS_000_INT_0_p)) - )) (net (rename UDS_000_INT_0_un3 "UDS_000_INT_0.un3") (joined (portRef O (instanceRef UDS_000_INT_0_r)) (portRef I1 (instanceRef UDS_000_INT_0_n)) @@ -3487,17 +3152,41 @@ (portRef O (instanceRef UDS_000_INT_0_n)) (portRef I1 (instanceRef UDS_000_INT_0_p)) )) - (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined - (portRef O (instanceRef VMA_INT_0_r)) - (portRef I1 (instanceRef VMA_INT_0_n)) + (net (rename IPL_030_0_0__un3 "IPL_030_0_0_.un3") (joined + (portRef O (instanceRef IPL_030_0_0__r)) + (portRef I1 (instanceRef IPL_030_0_0__n)) )) - (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined - (portRef O (instanceRef VMA_INT_0_m)) - (portRef I0 (instanceRef VMA_INT_0_p)) + (net (rename IPL_030_0_0__un1 "IPL_030_0_0_.un1") (joined + (portRef O (instanceRef IPL_030_0_0__m)) + (portRef I0 (instanceRef IPL_030_0_0__p)) )) - (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined - (portRef O (instanceRef VMA_INT_0_n)) - (portRef I1 (instanceRef VMA_INT_0_p)) + (net (rename IPL_030_0_0__un0 "IPL_030_0_0_.un0") (joined + (portRef O (instanceRef IPL_030_0_0__n)) + (portRef I1 (instanceRef IPL_030_0_0__p)) + )) + (net (rename IPL_030_0_1__un3 "IPL_030_0_1_.un3") (joined + (portRef O (instanceRef IPL_030_0_1__r)) + (portRef I1 (instanceRef IPL_030_0_1__n)) + )) + (net (rename IPL_030_0_1__un1 "IPL_030_0_1_.un1") (joined + (portRef O (instanceRef IPL_030_0_1__m)) + (portRef I0 (instanceRef IPL_030_0_1__p)) + )) + (net (rename IPL_030_0_1__un0 "IPL_030_0_1_.un0") (joined + (portRef O (instanceRef IPL_030_0_1__n)) + (portRef I1 (instanceRef IPL_030_0_1__p)) + )) + (net (rename IPL_030_0_2__un3 "IPL_030_0_2_.un3") (joined + (portRef O (instanceRef IPL_030_0_2__r)) + (portRef I1 (instanceRef IPL_030_0_2__n)) + )) + (net (rename IPL_030_0_2__un1 "IPL_030_0_2_.un1") (joined + (portRef O (instanceRef IPL_030_0_2__m)) + (portRef I0 (instanceRef IPL_030_0_2__p)) + )) + (net (rename IPL_030_0_2__un0 "IPL_030_0_2_.un0") (joined + (portRef O (instanceRef IPL_030_0_2__n)) + (portRef I1 (instanceRef IPL_030_0_2__p)) )) (net (rename cpu_estse_0_un3 "cpu_estse_0.un3") (joined (portRef O (instanceRef cpu_estse_0_r)) @@ -3511,6 +3200,18 @@ (portRef O (instanceRef cpu_estse_0_n)) (portRef I1 (instanceRef cpu_estse_0_p)) )) + (net (rename cpu_estse_1_un3 "cpu_estse_1.un3") (joined + (portRef O (instanceRef cpu_estse_1_r)) + (portRef I1 (instanceRef cpu_estse_1_n)) + )) + (net (rename cpu_estse_1_un1 "cpu_estse_1.un1") (joined + (portRef O (instanceRef cpu_estse_1_m)) + (portRef I0 (instanceRef cpu_estse_1_p)) + )) + (net (rename cpu_estse_1_un0 "cpu_estse_1.un0") (joined + (portRef O (instanceRef cpu_estse_1_n)) + (portRef I1 (instanceRef cpu_estse_1_p)) + )) (net (rename cpu_estse_2_un3 "cpu_estse_2.un3") (joined (portRef O (instanceRef cpu_estse_2_r)) (portRef I1 (instanceRef cpu_estse_2_n)) @@ -3523,6 +3224,174 @@ (portRef O (instanceRef cpu_estse_2_n)) (portRef I1 (instanceRef cpu_estse_2_p)) )) + (net (rename AS_030_000_SYNC_0_un3 "AS_030_000_SYNC_0.un3") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_r)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_n)) + )) + (net (rename AS_030_000_SYNC_0_un1 "AS_030_000_SYNC_0.un1") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_m)) + (portRef I0 (instanceRef AS_030_000_SYNC_0_p)) + )) + (net (rename AS_030_000_SYNC_0_un0 "AS_030_000_SYNC_0.un0") (joined + (portRef O (instanceRef AS_030_000_SYNC_0_n)) + (portRef I1 (instanceRef AS_030_000_SYNC_0_p)) + )) + (net (rename DS_000_ENABLE_0_un3 "DS_000_ENABLE_0.un3") (joined + (portRef O (instanceRef DS_000_ENABLE_0_r)) + (portRef I1 (instanceRef DS_000_ENABLE_0_n)) + )) + (net (rename DS_000_ENABLE_0_un1 "DS_000_ENABLE_0.un1") (joined + (portRef O (instanceRef DS_000_ENABLE_0_m)) + (portRef I0 (instanceRef DS_000_ENABLE_0_p)) + )) + (net (rename DS_000_ENABLE_0_un0 "DS_000_ENABLE_0.un0") (joined + (portRef O (instanceRef DS_000_ENABLE_0_n)) + (portRef I1 (instanceRef DS_000_ENABLE_0_p)) + )) + (net (rename LDS_000_INT_0_un3 "LDS_000_INT_0.un3") (joined + (portRef O (instanceRef LDS_000_INT_0_r)) + (portRef I1 (instanceRef LDS_000_INT_0_n)) + )) + (net (rename LDS_000_INT_0_un1 "LDS_000_INT_0.un1") (joined + (portRef O (instanceRef LDS_000_INT_0_m)) + (portRef I0 (instanceRef LDS_000_INT_0_p)) + )) + (net (rename LDS_000_INT_0_un0 "LDS_000_INT_0.un0") (joined + (portRef O (instanceRef LDS_000_INT_0_n)) + (portRef I1 (instanceRef LDS_000_INT_0_p)) + )) + (net (rename BGACK_030_INT_0_un3 "BGACK_030_INT_0.un3") (joined + (portRef O (instanceRef BGACK_030_INT_0_r)) + (portRef I1 (instanceRef BGACK_030_INT_0_n)) + )) + (net (rename BGACK_030_INT_0_un1 "BGACK_030_INT_0.un1") (joined + (portRef O (instanceRef BGACK_030_INT_0_m)) + (portRef I0 (instanceRef BGACK_030_INT_0_p)) + )) + (net (rename BGACK_030_INT_0_un0 "BGACK_030_INT_0.un0") (joined + (portRef O (instanceRef BGACK_030_INT_0_n)) + (portRef I1 (instanceRef BGACK_030_INT_0_p)) + )) + (net (rename AMIGA_BUS_ENABLE_INT_0_un3 "AMIGA_BUS_ENABLE_INT_0.un3") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_0_r)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_0_n)) + )) + (net (rename AMIGA_BUS_ENABLE_INT_0_un1 "AMIGA_BUS_ENABLE_INT_0.un1") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_0_m)) + (portRef I0 (instanceRef AMIGA_BUS_ENABLE_INT_0_p)) + )) + (net (rename AMIGA_BUS_ENABLE_INT_0_un0 "AMIGA_BUS_ENABLE_INT_0.un0") (joined + (portRef O (instanceRef AMIGA_BUS_ENABLE_INT_0_n)) + (portRef I1 (instanceRef AMIGA_BUS_ENABLE_INT_0_p)) + )) + (net (rename DS_000_DMA_0_un3 "DS_000_DMA_0.un3") (joined + (portRef O (instanceRef DS_000_DMA_0_r)) + (portRef I1 (instanceRef DS_000_DMA_0_n)) + )) + (net (rename DS_000_DMA_0_un1 "DS_000_DMA_0.un1") (joined + (portRef O (instanceRef DS_000_DMA_0_m)) + (portRef I0 (instanceRef DS_000_DMA_0_p)) + )) + (net (rename DS_000_DMA_0_un0 "DS_000_DMA_0.un0") (joined + (portRef O (instanceRef DS_000_DMA_0_n)) + (portRef I1 (instanceRef DS_000_DMA_0_p)) + )) + (net (rename AS_000_DMA_0_un3 "AS_000_DMA_0.un3") (joined + (portRef O (instanceRef AS_000_DMA_0_r)) + (portRef I1 (instanceRef AS_000_DMA_0_n)) + )) + (net (rename AS_000_DMA_0_un1 "AS_000_DMA_0.un1") (joined + (portRef O (instanceRef AS_000_DMA_0_m)) + (portRef I0 (instanceRef AS_000_DMA_0_p)) + )) + (net (rename AS_000_DMA_0_un0 "AS_000_DMA_0.un0") (joined + (portRef O (instanceRef AS_000_DMA_0_n)) + (portRef I1 (instanceRef AS_000_DMA_0_p)) + )) + (net (rename CLK_030_H_0_un3 "CLK_030_H_0.un3") (joined + (portRef O (instanceRef CLK_030_H_0_r)) + (portRef I1 (instanceRef CLK_030_H_0_n)) + )) + (net (rename CLK_030_H_0_un1 "CLK_030_H_0.un1") (joined + (portRef O (instanceRef CLK_030_H_0_m)) + (portRef I0 (instanceRef CLK_030_H_0_p)) + )) + (net (rename CLK_030_H_0_un0 "CLK_030_H_0.un0") (joined + (portRef O (instanceRef CLK_030_H_0_n)) + (portRef I1 (instanceRef CLK_030_H_0_p)) + )) + (net (rename RW_000_DMA_0_un3 "RW_000_DMA_0.un3") (joined + (portRef O (instanceRef RW_000_DMA_0_r)) + (portRef I1 (instanceRef RW_000_DMA_0_n)) + )) + (net (rename RW_000_DMA_0_un1 "RW_000_DMA_0.un1") (joined + (portRef O (instanceRef RW_000_DMA_0_m)) + (portRef I0 (instanceRef RW_000_DMA_0_p)) + )) + (net (rename RW_000_DMA_0_un0 "RW_000_DMA_0.un0") (joined + (portRef O (instanceRef RW_000_DMA_0_n)) + (portRef I1 (instanceRef RW_000_DMA_0_p)) + )) + (net (rename VMA_INT_0_un3 "VMA_INT_0.un3") (joined + (portRef O (instanceRef VMA_INT_0_r)) + (portRef I1 (instanceRef VMA_INT_0_n)) + )) + (net (rename VMA_INT_0_un1 "VMA_INT_0.un1") (joined + (portRef O (instanceRef VMA_INT_0_m)) + (portRef I0 (instanceRef VMA_INT_0_p)) + )) + (net (rename VMA_INT_0_un0 "VMA_INT_0.un0") (joined + (portRef O (instanceRef VMA_INT_0_n)) + (portRef I1 (instanceRef VMA_INT_0_p)) + )) + (net (rename AS_000_INT_0_un3 "AS_000_INT_0.un3") (joined + (portRef O (instanceRef AS_000_INT_0_r)) + (portRef I1 (instanceRef AS_000_INT_0_n)) + )) + (net (rename AS_000_INT_0_un1 "AS_000_INT_0.un1") (joined + (portRef O (instanceRef AS_000_INT_0_m)) + (portRef I0 (instanceRef AS_000_INT_0_p)) + )) + (net (rename AS_000_INT_0_un0 "AS_000_INT_0.un0") (joined + (portRef O (instanceRef AS_000_INT_0_n)) + (portRef I1 (instanceRef AS_000_INT_0_p)) + )) + (net (rename DSACK1_INT_0_un3 "DSACK1_INT_0.un3") (joined + (portRef O (instanceRef DSACK1_INT_0_r)) + (portRef I1 (instanceRef DSACK1_INT_0_n)) + )) + (net (rename DSACK1_INT_0_un1 "DSACK1_INT_0.un1") (joined + (portRef O (instanceRef DSACK1_INT_0_m)) + (portRef I0 (instanceRef DSACK1_INT_0_p)) + )) + (net (rename DSACK1_INT_0_un0 "DSACK1_INT_0.un0") (joined + (portRef O (instanceRef DSACK1_INT_0_n)) + (portRef I1 (instanceRef DSACK1_INT_0_p)) + )) + (net (rename RW_000_INT_0_un3 "RW_000_INT_0.un3") (joined + (portRef O (instanceRef RW_000_INT_0_r)) + (portRef I1 (instanceRef RW_000_INT_0_n)) + )) + (net (rename RW_000_INT_0_un1 "RW_000_INT_0.un1") (joined + (portRef O (instanceRef RW_000_INT_0_m)) + (portRef I0 (instanceRef RW_000_INT_0_p)) + )) + (net (rename RW_000_INT_0_un0 "RW_000_INT_0.un0") (joined + (portRef O (instanceRef RW_000_INT_0_n)) + (portRef I1 (instanceRef RW_000_INT_0_p)) + )) + (net (rename BG_000_0_un3 "BG_000_0.un3") (joined + (portRef O (instanceRef BG_000_0_r)) + (portRef I1 (instanceRef BG_000_0_n)) + )) + (net (rename BG_000_0_un1 "BG_000_0.un1") (joined + (portRef O (instanceRef BG_000_0_m)) + (portRef I0 (instanceRef BG_000_0_p)) + )) + (net (rename BG_000_0_un0 "BG_000_0.un0") (joined + (portRef O (instanceRef BG_000_0_n)) + (portRef I1 (instanceRef BG_000_0_p)) + )) ) (property orig_inst_of (string "BUS68030")) ) diff --git a/Logic/BUS68030.fse b/Logic/BUS68030.fse index 48057ae..a1c1294 100644 --- a/Logic/BUS68030.fse +++ b/Logic/BUS68030.fse @@ -1,23 +1,23 @@ -fsm_encoding {7137321371} onehot +fsm_encoding {7138321381} onehot -fsm_state_encoding {7137321371} idle_p {00000001} +fsm_state_encoding {7138321381} idle_p {00000001} -fsm_state_encoding {7137321371} idle_n {00000010} +fsm_state_encoding {7138321381} idle_n {00000010} -fsm_state_encoding {7137321371} as_set_p {00000100} +fsm_state_encoding {7138321381} as_set_p {00000100} -fsm_state_encoding {7137321371} as_set_n {00001000} +fsm_state_encoding {7138321381} as_set_n {00001000} -fsm_state_encoding {7137321371} sample_dtack_p {00010000} +fsm_state_encoding {7138321381} sample_dtack_p {00010000} -fsm_state_encoding {7137321371} data_fetch_n {00100000} +fsm_state_encoding {7138321381} data_fetch_n {00100000} -fsm_state_encoding {7137321371} data_fetch_p {01000000} +fsm_state_encoding {7138321381} data_fetch_p {01000000} -fsm_state_encoding {7137321371} end_cycle_n {10000000} +fsm_state_encoding {7138321381} end_cycle_n {10000000} -fsm_registers {7137321371} {SM_AMIGA[0]} {SM_AMIGA[1]} {SM_AMIGA[2]} {SM_AMIGA[3]} {SM_AMIGA[4]} {SM_AMIGA[5]} {SM_AMIGA[6]} {SM_AMIGA[7]} +fsm_registers {7138321381} {SM_AMIGA[0]} {SM_AMIGA[1]} {SM_AMIGA[2]} {SM_AMIGA[3]} {SM_AMIGA[4]} {SM_AMIGA[5]} {SM_AMIGA[6]} {SM_AMIGA[7]} fsm_encoding {7126341262} original diff --git a/Logic/BUS68030.prj b/Logic/BUS68030.prj index 2b9ca4d..5386b24 100644 --- a/Logic/BUS68030.prj +++ b/Logic/BUS68030.prj @@ -1,6 +1,6 @@ #-- Lattice Semiconductor Corporation Ltd. #-- Synplify OEM project file c:/users/matze/documents/github/68030tk/logic\BUS68030.prj -#-- Written on Mon Jun 09 20:20:24 2014 +#-- Written on Sun Jun 15 16:36:37 2014 #device options diff --git a/Logic/BUS68030.srm b/Logic/BUS68030.srm index d33bd6f..bf07c14 100644 --- a/Logic/BUS68030.srm +++ b/Logic/BUS68030.srm @@ -319,171 +319,153 @@ RoMk_McO_D O_M0Mr_44 9;N3MR#CNP_0MC_NVDoR#4.;6n RoMk_M.O_D O_M0b9r4;M NRN3#PMC_CV0_D#No46R.no; -MMRk.OU_H;HM +MMRk4Vg_bOk_#N; +M#R3N_PCM_C0VoDN#.4R6 +n;okMRMO6_H;HM RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4kMgb_Vk#_O;M +oR4kMnH_OH +M;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR.h_;M NRN3#PMC_CV0_D#No46R.no; -M_Rh4N; +M_RhdN; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_ -.;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh;_d +c;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_6 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRch_;M +oRnh_;M NRN3#PMC_CV0_D#No46R.no; -M_Rh6N; +M_Rh(N; M#R3N_PCM_C0VoDN#.4R6 n;ohMR_ -n;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh;_( +U;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh;_g RNM3P#NCC_M0D_VN4o#Rn.6;M -oRUh_;M -NRN3#PMC_CV0_D#No46R.no; -M_RhgN; +oR4h_jN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4j +n;ohMR_;44 RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_4N; +oR4h_.N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4. +n;ohMR_;4d RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_dN; +oR4h_cN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4c +n;ohMR_;46 RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_6N; +oR4h_nN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4n +n;ohMR_;4( RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_(N; +oR4h_UN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;4U +n;ohMR_;4g RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_gN; +oR.h_jN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.j +n;ohMR_;.4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_4N; +oR.h_.N; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.. +n;ohMR_;.d RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_dN; +oR.h_cN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.c +n;ohMR_;.6 RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_6N; +oR.h_nN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_;.n -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR.h_(N; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_n44;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4;4( +n;ohMR_;.( RNM3P#NCC_M0D_VN4o#Rn.6;M oR4h_4 -U;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh4_4gN; +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_4cN; M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_j4.;M +n;ohMR_644;M NRN3#PMC_CV0_D#No46R.no; -M_Rh4;.4 +M_Rh4;4n +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR4h_4 +(;N3MR#CNP_0MC_NVDoR#4.;6n +RoMh4_4UN; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_g44;M +NRN3#PMC_CV0_D#No46R.no; +M_Rh4;.j RNM3P#NCC_M0D_VN4o#Rn.6;M oR4h_. -.;N3MR#CNP_0MC_NVDoR#4.;6n -RoMh._4dN; -M#R3N_PCM_C0VoDN#.4R6 -n;ohMR_c4.;M -NRN3#PMC_CV0_D#No46R.no; -M_Rh4;.6 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR4h_. -n;N3MR#CNP_0MC_NVDoR#4.;6n -RoMhc_.(N; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#C0#_k43M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC##0C3_4k;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C##4C_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j.k_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__.34kM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j.k_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__43dkM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__j4k_3M 4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__43jkM;M +RoMh._4.N; +M#R3N_PCM_C0VoDN#.4R6 +n;ohMR_d4.;M NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__jjk_3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMQ_upj_djj__j34kM;M -NRN3#PMC_CV0_D#No46R.no; -MuRQpd_jj__jjk_3M -j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_jQj_hja_3dkM;M -NRN3#PMC_CV0_D#No46R.no; -MWR)_jjj_aQh_kj3M +M7Rz1j_jjh_Qa3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR1z7_jjj_aQh_kj3M 4;N3MR#CNP_0MC_NVDoR#4.;6n -RoM)jW_jQj_hja_3jkM;M +RoMz_71j_jjQ_hajM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3j_k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_jj__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3j_k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_4j__M3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__34_k;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_4j__M3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3._k;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRpQu_jjd_.j__M3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oQMRujp_djj__3._k;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRkOb_0C##jC_3dkM;M NRN3#PMC_CV0_D#No46R.no; -M1R7q4Bi_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7B1qiQ4_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -M1R7q4Bi_aQh_kj3M +MbROk#_C0_#CjM3k4N; +M#R3N_PCM_C0VoDN#.4R6 +n;oOMRbCk_#C0#_kj3M j;N3MR#CNP_0MC_NVDoR#4.;6n -RoM7j1_j j_hpqA 3_jk;Md +RoMO_bkC##0C3_4k;Md RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj Ahqpj _34kM;M +oRkOb_0C##4C_34kM;M NRN3#PMC_CV0_D#No46R.no; -M1R7_jjj_q hA_p jM3kjN; +MbROk#_C0_#C4M3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjh_Qa3_jk;Md +n;oOMRbCk_#C0#_k.3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoMO_bkC##0C3_.k;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jjQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjh_Qa3_jk;Mj +oRkOb_0C##.C_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjd_jjj_h1YB3_jk;Md RNM3P#NCC_M0D_VN4o#Rn.6;M oR_q1j_djj_jj1BYh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMqj1_djj_j1j_Y_hBjM3k4N; +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_djj_j1j_Y_hBjM3kjN; M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1d_jjj_jjY_1hjB_3jkM;M +n;o7MR1j_jjh_ q Ap_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoM7j1_j j_hpqA 3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_71j_jj Ahqpj _3jkM;M NRN3#PMC_CV0_D#No46R.no; -MtRAq_Bij_djQ_hajM3kdN; +M7Rp1j_jjh_Qa3_jk;Md +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR1p7_jjj_aQh_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMp_71j_jjQ_hajM3kjN; M#R3N_PCM_C0VoDN#.4R6 n;oAMRtiqB_jjd_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMABtqid_jjh_Qa3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oqMR1j_jjv_7q3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_q1j_jj7_vqjM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjv_7q3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_71j_jj7_vqjM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;o7MR1j_jjv_7q3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_)Wj_jj7_vqjM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;o)MRWj_jjv_7q3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR_)Wj_jj7_vqjM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oBMRpji_d]j__kj3M d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMB_pij_dj]3_jk;M4 +RoMABtqid_jjh_Qa3_jk;M4 RNM3P#NCC_M0D_VN4o#Rn.6;M -oRiBp_jjd_j]_3jkM;M +oRqAtBji_dQj_hja_3jkM;M NRN3#PMC_CV0_D#No46R.no; MvRqQ_tqA_z1 AhqpQ _hja_3dkM;M NRN3#PMC_CV0_D#No46R.no; @@ -491,99 +473,72 @@ MvRqQ_tqA_z1 AhqpQ _hja_34kM;M NRN3#PMC_CV0_D#No46R.no; MvRqQ_tqA_z1 AhqpQ _hja_3jkM;M NRN3#PMC_CV0_D#No46R.no; +M1R7_jjj_q7v_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoM7j1_j7j_vjq_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7_jjj_q7v_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_j7j_vjq_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjj_q7v_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_j7j_vjq_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +MpRBid_jj__]jM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;oBMRpji_d]j__kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMB_pij_dj]3_jk;Mj +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_)Wj_jj7_vqjM3kdN; +M#R3N_PCM_C0VoDN#.4R6 +n;o)MRWj_jjv_7q3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oR_)Wj_jj7_vqjM3kjN; +M#R3N_PCM_C0VoDN#.4R6 +n;oeMRvQq_hja_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MvReqh_Qa3_jk;M4 +RNM3P#NCC_M0D_VN4o#Rn.6;M +oRqev_aQh_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_jQj_hja_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +M1Rq_jjj_aQh_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoMqj1_jQj_hja_3jkM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7q4Bi_aQh_kj3M +d;N3MR#CNP_0MC_NVDoR#4.;6n +RoM7B1qiQ4_hja_34kM;M +NRN3#PMC_CV0_D#No46R.no; +M1R7q4Bi_aQh_kj3M +j;N3MR#CNP_0MC_NVDoR#4.;6n +RoM)jW_jQj_hja_3dkM;M +NRN3#PMC_CV0_D#No46R.no; +MWR)_jjj_aQh_kj3M +4;N3MR#CNP_0MC_NVDoR#4.;6n +RoM)jW_jQj_hja_3jkM;M +NRN3#PMC_CV0_D#No46R.no; MtRA_jjj_kj3M d;N3MR#CNP_0MC_NVDoR#4.;6n RoMAjt_jjj_34kM;M NRN3#PMC_CV0_D#No46R.no; MtRA_jjj_kj3M j;N3MR#CNP_0MC_NVDoR#4.;6n -RoMp_71j_jjQ_hajM3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;opMR7j1_jQj_hja_34kM;M -NRN3#PMC_CV0_D#No46R.no; -M7Rp1j_jjh_Qa3_jk;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;M -oR1z7_jjj_aQh_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMz_71j_jjQ_hajM3k4N; -M#R3N_PCM_C0VoDN#.4R6 -n;ozMR7j1_jQj_hja_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MvReqh_Qa3_jk;Md -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRqev_aQh_kj3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMe_vqQ_hajM3kjN; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#C0#_kj3M -d;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC##0C3_jk;M4 -RNM3P#NCC_M0D_VN4o#Rn.6;M -oRkOb_0C##jC_3jkM;M -NRN3#PMC_CV0_D#No46R.no; -MbROk#_C0_#C.M3kdN; -M#R3N_PCM_C0VoDN#.4R6 -n;oOMRbCk_#C0#_k.3M -4;N3MR#CNP_0MC_NVDoR#4.;6n -RoMO_bkC##0C3_.k;Mj -RNM3P#NCC_M0D_VN4o#Rn.6;R -b@:@44::44+:.40.:sRkCfjj:Rk0sCsR0keCRB -B;b@R@Ud:4(.:d:(4d:+dc41.:vv_qQrtqj9:(R:fjjERoFR#01qv_vqQtr(j:9_Rh.hj,_,.4h._.,.h_d_,h.hc,_,.6hn_.,.h_(N; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4(4d.d"(4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq -";N3HRV_#l#00NCosCR -4;N3HR#00NCN_lbMbHoRR"RjRjjjjjj-4R>jRjjjjjjM4\RjRRjjjjjR4j-j>Rjjjjj\4jMRRRjjjjjj4jRR->jjjjjj4j\RMRRjjjjj4jj>R-Rjjjjj4jjR\MRjRjjj4jj-jR>jRjjj4jjMj\RjRRjj4jjRjj-j>Rjj4jj\jjMRRRjj4jjjjjRR->jj4jjjjj\RMRRj4jjjjjj>R-Rj4jjjjjj"\M;R -b@:@U4:.nd4c:.dn:n.+4:kOb_0C#r4j:jf9RjR:jo#EF0bROk#_C0:rj4Rj9h4_4n_,h4,4(h4_4U_,h4,4gh._4j_,h4,.4h._4._,h4,.dh._4c_,h4,.6h._4nN; -HsR30_DC04FR;H -NRM#$_lV#_RH8".(4n4dc."n.;H -NR03sDs_FHNoMl"CRO_bkC"#0;H -NRM#$_OCMFM8HoFR"sHHoM"ND;H -NR#3Vls_VF0l#Rb"Ok#_C0"Rc;H -NR#3VlF_0#"0RO_bkCR#0c -";N3HRV_#l0DNLCR#0"jjjjjRjjjjsjR4jjjj4s4jj4jRj4j4s4Rjjjj4jsjj444Rjjj4s4R4jjj44s4j444Rj444sjR4j4jj4s44j4jR4444s4Rjj4j4js44444R44"4s;H -NR#3Vls_FHNoMl"CRO_bkC"#0;H -NR#3Vl0_#Ns0CC4oR;H -NR03#N_0ClbNbHRMo"RRRjjjjjjjjjRj4-j>Rj\jjMRRRjjjjjjjjjR4j-j>Rj\4jMRRRjjjjjjjj4Rjj-j>Rj\44MRRRjjjjj4jjjRjj-j>R4\jjMRRRjjjjjjj4jRjj-j>R4\j4MRRRjjjjjj4jjRjj-j>R4\4jMRRRjjjj4jjjjRjj-j>R4\44MRRRj4jjjjjjjRjj-4>Rj\4jMRRRjjj4jjjjjRjj-4>Rj\44MRRRjj4jjjjjjRjj-4>R4\jjMRRR4jjjjjjjjRjj-4>R4\44M -";s@R@U.:4nc:d:n4.:+dn4O.:bCk_#j0r:94jR:fjjNRlO7ERw]w)RHbslbROk#_C09rj -=STO_bkCr#0jS9 -7b=Ok#_C0#_M_jCr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(.cnd4..n"N; -HsR30FD_sMHoNRlC"kOb_0C#"N; -H$R#MM_COHF8M"oRFosHHDMN"N; -HVR3#Vl_s#Fl0OR"bCk_#c0R"N; -HVR3#0l_FR#0"kOb_0C#R;c" -RNH3lV#_L0ND0C#Rj"jjjjRjsjjjjj4R4jjjjsj4j4Rjs44jj4jRjj4j4sjjj4R4sj4jj44R4j4j4sj4j4R4s444jj4R44jjjs4444Rjs444j4jRj44j4s4444R4s44"N; -HVR3#Fl_sMHoNRlC"kOb_0C#"N; +@bR@44::44::4.+.s:0kfCRjR:j0CskRk0sCBReBb; +RU@@:U4d::d.4:dUd4c+.v:1_Qqvtjqr:R(9fjj:RFoE#10Rvv_qQrtqj9:(R.h_j_,h.h4,_,..hd_.,.h_c_,h.h6,_,.nh(_.;H +NR03sD0C_F;R4 +RNH#_$MV_#lH"8R(U4ddd.4U;4" +RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H +NR#3Vls_VF0l#Rv"1_QqvtdqR"N; +HVR3#0l_FR#0"_1vqtvQq"RU;H +NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H +NR#3Vls_FHNoMl"CR1qv_vqQt"N; HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'kOb_0C#r4j:j;9' -@sR@4U:.dn:c.:4nn:d+:4.O_bkCr#0jj:49jRf:ljRNROE7)ww]sRbHOlRbCk_#40r9T -S=kOb_0C#r -49SO7=bCk_#M0_#r_C4S9 -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4d.ncn4.. -";N3HRs_0DFosHMCNlRb"Ok#_C0 -";N#HR$CM_M8OFHRMo"HFsoNHMD -";N3HRV_#lVlsF#"0RO_bkCR#0c -";N3HRV_#l00F#Rb"Ok#_C0"Rc;H -NR#3VlN_0L#DC0jR"jRjjjjjjs4jjjjRj4jjsjR44j4j4sjj4j4Rjjjjs4Rj4j44js4j4j4Rj4jjs4R44j444s44jjjR444jsjR4444j4sj44j4R4j4js4R444444s -";N3HRV_#lFosHMCNlRb"Ok#_C0 -";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRb'Ok#_C0:rj4'j9;R -s@:@U4:.nd4c:.dn:n.+4:kOb_0C#r4j:jf9RjR:jlENORw7w)b]RsRHlO_bkCr#0.S9 -Tb=Ok#_C09r. -=S7O_bkC_#0MC#_r -.9SiBp=iBp_Zm1Q -_OS))=1Ha_;H +H#R30CN0_blNboHMRR"RRjjjjjjj4>R-Rjjjjjjj4R\MRjRjjjjj4-jR>jRjjjjj4Mj\RjRRjjjj4Rjj-j>Rjjjj4\jjMRRRjjjj4jjjRR->jjjj4jjj\RMRRjjj4jjjj>R-Rjjj4jjjjR\MRjRj4jjjj-jR>jRj4jjjjMj\RjRR4jjjjRjj-j>R4jjjj\jjMRRR4jjjjjjjRR->4jjjjjjj\;M" +@bR@4U:.dn:c.:4nn:d+:4.O_bkCr#0jj:49jRf:ojRE0F#RkOb_0C#r4j:jh9R_d44,4h_4hc,_644,4h_4hn,_(44,4h_4hU,_g44,4h_.hj,_44.,4h_.h.,_d4.;H NR03sD0C_F;R4 RNH#_$MV_#lH"8R(n4.d.c4n;." RNH3Ds0_HFsolMNCOR"bCk_#;0" @@ -593,10 +548,10 @@ RNH3lV#_#0F0OR"bCk_#c0R"N; HVR3#0l_NCLD#"0RjjjjRjjjjjsj4jjRjs4jj4j4R4jj44sjjjjR4sjjj44jRjj444sj4jjR4s4jj444R4j44js444jRjs4j44j4R44j44s4j4jR4sjj4444R4444;s" RNH3lV#_HFsolMNCOR"bCk_#;0" RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNCOR'bCk_#j0r:94j's; +RNH3N#00lC_NHbbM"oRRjRRjjjjjjjjj-4R>jRjjMj\RjRRjjjjjjjj4-jR>jRj4Mj\RjRRjjjjj4jjj-jR>jRj4M4\RjRRjjjjjjj4j-jR>4RjjMj\RjRRjjjjjj4jj-jR>4RjjM4\RjRRjjjj4jjjj-jR>4Rj4Mj\RjRRj4jjjjjjj-jR>4Rj4M4\RjRRjjj4jjjjj-jR>jR44Mj\RjRRjj4jjjjjj-jR>jR44M4\RjRR4jjjjjjjj-jR>4R4jMj\R4RRjjjjjjjjj-jR>4R44M4\"s; RU@@:n4.::dc4:.nd4n+.b:Ok#_C0:rj4Rj9fjj:ROlNEwR7wR)]blsHRkOb_0C#r -d9SOT=bCk_#d0r97 -S=kOb_0C#__M#C9rd +j9SOT=bCk_#j0r97 +S=kOb_0C#__M#C9rj pSBip=Bi1_mZOQ_ =S))_1aHN; HsR30_DC04FR;H @@ -609,97 +564,58 @@ NR#3VlF_0#"0RO_bkCR#0c NR#3Vls_FHNoMl"CRO_bkC"#0;H NR#3Vl0_#Ns0CC4oR;H NRs3FHMoH#N0Ml'CRO_bkCr#0jj:49 -';s@R@Ug:.U(:.:U.g:+cc41.:vv_qQrtqj9:(R:fjjNRlO7ERw]w1RHbslvR1_Qqvt(qr9T -S=_1vqtvQq9r( -=S71qv_vqQt_rM#jS9 -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4dd(.(4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@U(:d.::cd:(.44g+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9rn -=ST1qv_vqQtr -n9S17=vv_qQ_tqM4#r9B +';s@R@U.:4nc:d:n4.:+dn4O.:bCk_#j0r:94jR:fjjNRlO7ERw]w)RHbslbROk#_C09r4 +=STO_bkCr#04S9 +7b=Ok#_C0#_M_4Cr9B SpBi=pmi_1_ZQO) S=a)1_ H;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(d.(d44d("N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" -RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -RU@@:4dU:dc:U.4:j.+4:_1vqtvQq:rj(f9RjR:jlENORw7w)b]RsRHl1qv_vqQtr -69S1T=vv_qQrtq6S9 -7v=1_QqvtMq_#9r. -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4(4d.d"(4;H -NR03sDs_FHNoMl"CR1qv_vqQt"N; -HVR3#Vl_s#Fl01R"vv_qQRtqd -";N3HRV_#l00F#Rv"1_QqvtUqR"N; -HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; -HVR3#Fl_sMHoNRlC"_1vqtvQq +H$R#M#_Vl8_HR4"(.cnd4..n"N; +HsR30FD_sMHoNRlC"kOb_0C#"N; +H$R#MM_COHF8M"oRFosHHDMN"N; +HVR3#Vl_s#Fl0OR"bCk_#c0R"N; +HVR3#0l_FR#0"kOb_0C#R;c" +RNH3lV#_L0ND0C#Rj"jjjjRjsjjjjj4R4jjjjsj4j4Rjs44jj4jRjj4j4sjjj4R4sj4jj44R4j4j4sj4j4R4s444jj4R44jjjs4444Rjs444j4jRj44j4s4444R4s44"N; +HVR3#Fl_sMHoNRlC"kOb_0C#"N; +HVR3##l_0CN0sRCo4N; +HFR3sHHoMM#0NRlC'kOb_0C#r4j:j;9' +@sR@4U:.dn:c.:4nn:d+:4.O_bkCr#0jj:49jRf:ljRNROE7)ww]sRbHOlRbCk_#.0r9T +S=kOb_0C#r +.9SO7=bCk_#M0_#r_C.S9 +B=piB_pimQ1Z_SO +)1=)a;_H +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"4d.ncn4.. +";N3HRs_0DFosHMCNlRb"Ok#_C0 +";N#HR$CM_M8OFHRMo"HFsoNHMD +";N3HRV_#lVlsF#"0RO_bkCR#0c +";N3HRV_#l00F#Rb"Ok#_C0"Rc;H +NR#3VlN_0L#DC0jR"jRjjjjjjs4jjjjRj4jjsjR44j4j4sjj4j4Rjjjjs4Rj4j44js4j4j4Rj4jjs4R44j444s44jjjR444jsjR4444j4sj44j4R4j4js4R444444s +";N3HRV_#lFosHMCNlRb"Ok#_C0 ";N3HRV_#l#00NCosCR -4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@Ud:U6cU:d6j:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtqcS9 -Tv=1_Qqvtcqr97 -S=_1vqtvQq#_Mr +4;N3HRFosHH0M#MCNlRb'Ok#_C0:rj4'j9;R +s@:@U4:.nd4c:.dn:n.+4:kOb_0C#r4j:jf9RjR:jlENORw7w)b]RsRHlO_bkCr#0dS9 +Tb=Ok#_C09rd +=S7O_bkC_#0MC#_r d9SiBp=iBp_Zm1Q _OS))=1Ha_;H NR03sD0C_F;R4 -RNH#_$MV_#lH"8R((4ddd.4(;4" -RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H -NR#3Vls_VF0l#Rv"1_QqvtdqR"N; -HVR3#0l_FR#0"_1vqtvQq"RU;H -NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H -NR#3Vls_FHNoMl"CR1qv_vqQt"N; -HVR3##l_0CN0sRCo4N; -HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@dU:gc.::.dg:+.c41.:vv_qQrtqj9:(R:fjjNRlO7ERw]w)RHbslvR1_Qqvtdqr9T -S=_1vqtvQq9rd -=S71qv_vqQt_rM#cS9 -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0CF_0R -4;N#HR$VM_#Hl_8(R"4dd(.(4d4 -";N3HRs_0DFosHMCNlRv"1_Qqvt;q" -RNH3lV#_FVslR#0"_1vqtvQq"Rd;H -NR#3VlF_0#"0R1qv_vqQtR;U" -RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" -RNH3lV#_HFsolMNC1R"vv_qQ"tq;H -NR#3Vl0_#Ns0CC4oR;H -NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 -';s@R@Ug:dg::cd:gg.4.+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9r. -=ST1qv_vqQtr -.9S17=vv_qQ_tqM6#r9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRsC0D_R0F4N; -H$R#M#_Vl8_HR4"(d.(d44d("N; -HsR30FD_sMHoNRlC"_1vqtvQq -";N3HRV_#lVlsF#"0R1qv_vqQtR;d" -RNH3lV#_#0F01R"vv_qQRtqU -";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs -";N3HRV_#lFosHMCNlRv"1_Qqvt;q" +RNH#_$MV_#lH"8R(n4.d.c4n;." +RNH3Ds0_HFsolMNCOR"bCk_#;0" +RNH#_$MCFMO8oHMRs"FHMoHN;D" +RNH3lV#_FVslR#0"kOb_0C#R;c" +RNH3lV#_#0F0OR"bCk_#c0R"N; +HVR3#0l_NCLD#"0RjjjjRjjjjjsj4jjRjs4jj4j4R4jj44sjjjjR4sjjj44jRjj444sj4jjR4s4jj444R4j44js444jRjs4j44j4R44j44s4j4jR4sjj4444R4444;s" +RNH3lV#_HFsolMNCOR"bCk_#;0" RNH3lV#_N#00CCso;R4 -RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; -RU@@:dcj:cc:j.d:d.+4:_1vqtvQq:rj(f9RjR:jlENORw7w)b]RsRHl1qv_vqQtr -49S1T=vv_qQrtq4S9 -7v=1_QqvtMq_#9rn +RNH3HFso#HM0lMNCOR'bCk_#j0r:94j's; +RU@@:6cj:cc:j.6:c.+4:_1vqtvQq:rj(f9RjR:jlENORw7w)b]RsRHl1qv_vqQtr +d9S1T=vv_qQrtqdS9 +7v=1_QqvtMq_#9rc pSBip=Bi1_mZOQ_ =S))_1aHN; HsR30_DC04FR;H -NRM#$_lV#_RH8"d(4(4d.d"(4;H +NRM#$_lV#_RH8"d(4U4d.d"U4;H NR03sDs_FHNoMl"CR1qv_vqQt"N; HVR3#Vl_s#Fl01R"vv_qQRtqd ";N3HRV_#l00F#Rv"1_QqvtUqR"N; @@ -707,13 +623,13 @@ HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjj HVR3#Fl_sMHoNRlC"_1vqtvQq ";N3HRV_#l#00NCosCR 4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R -s@:@Uc:4dc4:cd.:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtqjS9 -Tv=1_Qqvtjqr97 -S=Uh_c -_HSiBp=iBp_Zm1Q +s@:@Uc:4dc4:cd.:.+:4.1qv_vqQtr(j:9jRf:ljRNROE7)ww]sRbH1lRvv_qQrtq.S9 +Tv=1_Qqvt.qr97 +S=_1vqtvQq#_Mr +69SiBp=iBp_Zm1Q _OS))=1Ha_;H NR03sD0C_F;R4 -RNH#_$MV_#lH"8R((4ddd.4(;4" +RNH#_$MV_#lH"8R(U4ddd.4U;4" RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H NR#3Vls_VF0l#Rv"1_QqvtdqR"N; HVR3#0l_FR#0"_1vqtvQq"RU;H @@ -721,389 +637,282 @@ NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsj NR#3Vls_FHNoMl"CR1qv_vqQt"N; HVR3##l_0CN0sRCo4N; HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' -@sR@4U:dn.:4d:4.6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -n9SBT=pji_jhj__h1YB9rn -=S7B_pij_jjhY_1h6Br9B +@sR@cU:4cU::Uc4:+.d41.:vv_qQrtqj9:(R:fjjNRlO7ERw]w)RHbslvR1_Qqvt4qr9T +S=_1vqtvQq9r4 +=S7hj_U_SH +B=piB_pimQ1Z_SO +)1=)a;_H +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"4ddU.U4d4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@Ud:c.::cc:d..4.+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9rj +=ST1qv_vqQtr +j9S17=vv_qQ_tqM(#r9B SpBi=pmi_1_ZQO) S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -n;N3HR#_$MH0MHPRND";j" -@sR@4U:dn.:4d:4.6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -(9SBT=pji_jhj__h1YB9r( -=S7B_pij_jjhY_1hnBr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -(;N3HR#_$MH0MHPRND";j" -@sR@4U:dn.:4d:4.6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -U9SBT=pji_jhj__h1YB9rU -=S7B_pij_jjhY_1h(Br9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -U;N3HR#_$MH0MHPRND";j" -@sR@4U:dn.:4d:4.6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -g9SBT=pji_jhj__h1YB9rg -=S7B_pij_jjhY_1hUBr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -g;N3HR#_$MH0MHPRND";j" -@sR@4U:dn.:4d:4.6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr94j -=STB_pij_jjhY_1h4BrjS9 -7p=Bij_jj__h1BYhr -g9SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC4GRjN; +H;N3HRsC0D_R0F4N; +H$R#M#_Vl8_HR4"(d.Ud44dU"N; +HsR30FD_sMHoNRlC"_1vqtvQq +";N3HRV_#lVlsF#"0R1qv_vqQtR;d" +RNH3lV#_#0F01R"vv_qQRtqU +";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs +";N3HRV_#lFosHMCNlRv"1_Qqvt;q" +RNH3lV#_N#00CCso;R4 +RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; +RU@@:U46:4.:6dU:+:4.B_piB_hau:r4jf9RjR:jlENORw7w)b]RsRHlB_piB_hau9rj +=STB_piB_hau9rj +=S7k_M.O_D O_M0br_H4S9 +B=piB_pimQ1Z_SO +)1=)a;_H +RNH3Ds0_HFsolMNCBR"pBi_hua_"N; +HkR3MNVsOM_H8RCGjN; H#R3$HM_MPH0N"DRj -";s@R@Ud:4.4:n:.4d:+(64B.:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1h_YrhB4 -49SBT=pji_jhj__h1YB4r497 -S=iBp_jjj_1h_YrhB4 -j9SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jjhY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC4GR4N; -H#R3$HM_MPH0N"DRj -";s@R@Uc:4c::.4:ccd.+4:iBp_aBh_4hr:Rj9fjj:ROlNEwR7wR)]blsHRiBp_aBh_jhr9T -S=iBp_aBh_jhr97 -S=ckM_ OD_0OM_4M__4Hr9B -SpBi=pmi_1_ZQH) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bih_Ba"_h;H -NRM3kVOsN_8HMCjGR;H -NR$3#MM_HHN0PDjR""s; -RU@@:c4c:4.:cdc:+:4.B_piB_hah:r4jf9RjR:jlENORw7w1b]RsRHlB_piB_hah9r4 -=STB_piB_hah9r4 -=S7B_piB_hah9rj -pSBip=Bi1_mZHQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"iBp_aBh_;h" -RNH3VkMs_NOHCM8G;R4 -RNH3M#$_HHM0DPNR""j;R -s@:@U4:6(.6:4(+:d4B.:pBi_hua_rj4:9jRf:ljRNROE7)ww]sRbHBlRpBi_hua_r -j9SBT=pBi_hua_r -j9Sk7=MO._DO _Mb0__4Hr9B +";s@R@U6:4U::.4:6Ud.+4:iBp_aBh_4ur:Rj9fjj:ROlNEwR7wR)]blsHRiBp_aBh_4ur9T +S=iBp_aBh_4ur97 +S=iBp_aBh_jur9B SpBi=pmi_1_ZQO) S=a)1_ H;N3HRs_0DFosHMCNlRp"Bih_Ba"_u;H -NRM3kVOsN_8HMCjGR;H +NRM3kVOsN_8HMC4GR;H NR$3#MM_HHN0PDjR""s; -RU@@:(46:4.:6d(:+:4.B_piB_hau:r4jf9RjR:jlENORw7w)b]RsRHlB_piB_hau9r4 -=STB_piB_hau9r4 -=S7B_piB_hau9rj -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30FD_sMHoNRlC"iBp_aBh_;u" -RNH3VkMs_NOHCM8G;R4 -RNH3M#$_HHM0DPNR""j;R -s@:@U4:dnd46:ddn:(.+4:Z1Q v_7q:r4jf9RjR:jlENORw7w1b]RsRHl1 QZ_q7vr -j9S1T=Q_Z 7rvqjS9 -70=#N_0ClENOH\MC3Z1Q v_7qr_cjS9 -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNC1R"Q_Z 7"vq;H -NRM3kVOsN_8HMCjGR;H -NR$3#MM_HHN0PD4R""s; -RU@@:n4d::d64:dnd4(+.Q:1Z7 _v4qr:Rj9fjj:ROlNEwR7wR1]blsHRZ1Q v_7q9r4 +RU@@:(4d::d64:d(d4(+.Q:1Z7 _v4qr:Rj9fjj:ROlNEwR7wR1]blsHRZ1Q v_7q9rj =ST1 QZ_q7vr -49S#7=0CN0_OlNECHM\Q31Z7 _vcq_r -49SiBp=iBp_Zm1Q +j9S#7=0CN0_OlNECHM\Q31Z7 _vcq_r +j9SiBp=iBp_Zm1Q _OS)1=1Ha_;H NR03sDs_FHNoMl"CR1 QZ_q7v"N; -HkR3MNVsOM_H8RCG4N; +HkR3MNVsOM_H8RCGjN; H#R3$HM_MPH0N"DR4 -";s@R@Uj:4.n:d:.4j:+dU4Q.:ujp_d.jr:Rj9fjj:ROlNEwR7wR1]blsHRpQu_jjd71ww]9rj -=STQ_upj_djO9rj -=S7h(_4 +";s@R@Ud:4(6:d:(4d:+d(41.:Q_Z 7rvq49:jR:fjjNRlO7ERw]w1RHbslQR1Z7 _v4qr9T +S=Z1Q v_7q9r4 +=S7#00NCN_lOMEHC1\3Q_Z 7_vqc9r4 +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +HsR30FD_sMHoNRlC"Z1Q v_7q +";N3HRksMVNHO_MG8CR +4;N3HR#_$MH0MHPRND";4" +@sR@4U:jd.:nj:4.U:d+:4.Q_upjrdj.9:jR:fjjNRlO7ERw]w1RHbsluRQpd_jjw7w1j]r9T +S=pQu_jjd_jOr97 +S=4h_(B +SpBi=pmi_1_ZQO1 +S=a)1_ +H;N3HRs_0DFosHMCNlRu"Qpd_jj +";N3HRksMVNHO_MG8CR +j;s@R@Uj:4.n:d:.4j:+dU4Q.:ujp_d.jr:Rj9fjj:ROlNEwR7wR1]blsHRpQu_jjd71ww]9r4 +=STQ_upj_djO9r4 +=S7hU_4 pSBip=Bi1_mZOQ_ =S1)_1aHN; HsR30FD_sMHoNRlC"pQu_jjd"N; -HkR3MNVsOM_H8RCGjs; +HkR3MNVsOM_H8RCG4s; RU@@:.4j::dn4:j.d4U+.u:Qpd_jj:r.jf9RjR:jlENORw7w1b]RsRHlQ_upj7djw]w1r -49SQT=ujp_dOj_r -49Sh7=_ -4USiBp=iBp_Zm1Q +.9SQT=ujp_dOj_r +.9Sh7=_ +4gSiBp=iBp_Zm1Q _OS)1=1Ha_;H NR03sDs_FHNoMl"CRQ_upj"dj;H -NRM3kVOsN_8HMC4GR;R -s@:@U4:j.d4n:jd.:U.+4:pQu_jjdrj.:9jRf:ljRNROE71ww]sRbHQlRujp_dwj7wr1].S9 -Tu=Qpd_jjr_O.S9 -7_=h4Sg +NRM3kVOsN_8HMC.GR;R +s@:@Ud:d6nd:d6.:.+:4.1qv_vqQtr(j:9jRf:ljRNROE71ww]sRbH1lRvv_qQrtq(S9 +Tv=1_Qqvt(qr97 +S=_1vqtvQq#_Mr +j9SiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sD0C_F;R4 +RNH#_$MV_#lH"8R(U4ddd.4U;4" +RNH3Ds0_HFsolMNC1R"vv_qQ"tq;H +NR#3Vls_VF0l#Rv"1_QqvtdqR"N; +HVR3#0l_FR#0"_1vqtvQq"RU;H +NR#3VlN_0L#DC0jR"j4jRjjjjjsjjjRj4jj4jjjjjsjj4R4jjjjjjj4sj4jRjjj4jj4jsjjjRj4jjjsjj4Rj4jjjjjj4jsj44Rjjjj4jjj4s44jRjjjjjj"4s;H +NR#3Vls_FHNoMl"CR1qv_vqQt"N; +HVR3##l_0CN0sRCo4N; +HFR3sHHoMM#0NRlC'_1vqtvQq:rj(;9' +@sR@dU:Uc.::.dU:+4g41.:vv_qQrtqj9:(R:fjjNRlO7ERw]w)RHbslvR1_Qqvtnqr9T +S=_1vqtvQq9rn +=S71qv_vqQt_rM#4S9 B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCQR"ujp_d;j" -RNH3VkMs_NOHCM8G;R. -@sR@4U:jd.:nj:4.U:d+:4.B_pij_jjuY_1hgBr:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1u_YrhB4S9 -Tp=Bij_jj__u1BYhr -49SB7=pji_juj__h1YB9rj +)1=)a;_H +RNH3Ds0CF_0R +4;N#HR$VM_#Hl_8(R"4ddU.U4d4 +";N3HRs_0DFosHMCNlRv"1_Qqvt;q" +RNH3lV#_FVslR#0"_1vqtvQq"Rd;H +NR#3VlF_0#"0R1qv_vqQtR;U" +RNH3lV#_L0ND0C#Rj"jjjR4jjjjjjjsjj4R4jjjjsjjjR4jjjj4jjjjs4j4Rjjj4jjjjjs4jjRjjjj4j4jsjj4Rjjjj4sjj4R4jjjjjjjj4s444Rjjjjjjj4;s" +RNH3lV#_HFsolMNC1R"vv_qQ"tq;H +NR#3Vl0_#Ns0CC4oR;H +NRs3FHMoH#N0Ml'CR1qv_vqQtr(j:9 +';s@R@Ug:d.::cd:g..4j+.v:1_Qqvtjqr:R(9fjj:ROlNEwR7wR)]blsHR_1vqtvQq9r6 +=ST1qv_vqQtr +69Sh7=__(6HB +SpBi=pmi_1_ZQO) +S=a)1_ +H;N3HRsC0D_R0F4N; +H$R#M#_Vl8_HR4"(d.Ud44dU"N; +HsR30FD_sMHoNRlC"_1vqtvQq +";N3HRV_#lVlsF#"0R1qv_vqQtR;d" +RNH3lV#_#0F01R"vv_qQRtqU +";N3HRV_#l0DNLCR#0"jjjRj4jjjjjjjsj44Rjjjjjjjjs4jjRjj4jjsjjjR44j4jjjjjjsj4jRjjjjj4jjjs44jRjj4jjj4js4jjRjjjjjs4j4R44jjjjj4jjs +";N3HRV_#lFosHMCNlRv"1_Qqvt;q" +RNH3lV#_N#00CCso;R4 +RNH3HFso#HM0lMNC1R'vv_qQrtqj9:('s; +RU@@:(dg:dc:g.(:j.+4:_1vqtvQq:rj(f9RjR:jlENORw7w)b]RsRHl1qv_vqQtr +c9S1T=vv_qQrtqcS9 +7v=1_QqvtMq_#9rd pSBip=Bi1_mZOQ_ =S))_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG4N; -H#R3$HM_MPH0N"DRj -";s@R@Uj:4.n:d:.4j:+dU4B.:pji_juj__h1YB:rgjf9RjR:jlENORw7w)b]RsRHlB_pij_jjuY_1h.Br9T -S=iBp_jjj_1u_YrhB.S9 -7p=Bij_jj__u1BYhr -49SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMC.GR;H -NR$3#MM_HHN0PDjR""s; -RU@@:.4j::dn4:j.d4U+.p:Bij_jj__u1BYhrjg:9jRf:ljRNROE7)ww]sRbHBlRpji_juj__h1YB9rd -=STB_pij_jjuY_1hdBr97 -S=iBp_jjj_1u_YrhB.S9 +HsR30_DC04FR;H +NRM#$_lV#_RH8"d(4U4d.d"U4;H +NR03sDs_FHNoMl"CR1qv_vqQt"N; +HVR3#Vl_s#Fl01R"vv_qQRtqd +";N3HRV_#l00F#Rv"1_QqvtUqR"N; +HVR3#0l_NCLD#"0RjRjj4jjjjjjjs4jjRjj4jjjjj4sjjjRj4jjjjjjs4j4Rjjj4jsjj4Rjjjjjj4jjjs44jRjjjjjj4j4s4jjRjjjjj44js4j4Rjjjjjsj4"N; +HVR3#Fl_sMHoNRlC"_1vqtvQq +";N3HRV_#l#00NCosCR +4;N3HRFosHH0M#MCNlRv'1_Qqvtjqr:'(9;R +s@:@U4:j.d4n:jd.:U.+4:iBp_jjj_1u_YrhBg9:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__u1BYhr +j9SBT=pji_juj__h1YB9rj +=S7#00NCN_lOMEHCB\3pji_juj__h1YBr_djS9 B=piB_pimQ1Z_SO )1=)a;_H RNH3Ds0_HFsolMNCBR"pji_juj__h1YB ";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;Rd +RNH3VkMs_NOHCM8G;Rj RNH3M#$_HHM0DPNR""j;R s@:@U4:j.d4n:jd.:U.+4:iBp_jjj_1u_YrhBg9:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__u1BYhr -c9SBT=pji_juj__h1YB9rc -=S7B_pij_jjuY_1hdBr9B +49SBT=pji_juj__h1YB9r4 +=S7B_pij_jjuY_1hjBr9B SpBi=pmi_1_ZQO) S=a)1_ H;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; HIR3NCsM8kbsMoHMR 4;N3HRksMVNHO_MG8CR -c;N3HR#_$MH0MHPRND";j" -@sR@4U:jd.:nj:4.U:d+:4.B_pij_jjuY_1hgBr:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1u_YrhB6S9 -Tp=Bij_jj__u1BYhr -69SB7=pji_juj__h1YB9rc -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCG6N; -H#R3$HM_MPH0N"DRj -";s@R@Uj:4.n:d:.4j:+dU4B.:pji_juj__h1YB:rgjf9RjR:jlENORw7w)b]RsRHlB_pij_jjuY_1hnBr9T -S=iBp_jjj_1u_YrhBnS9 -7p=Bij_jj__u1BYhr -69SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCnGR;H -NR$3#MM_HHN0PDjR""s; -RU@@:.4j::dn4:j.d4U+.p:Bij_jj__u1BYhrjg:9jRf:ljRNROE7)ww]sRbHBlRpji_juj__h1YB9r( -=STB_pij_jjuY_1h(Br97 -S=iBp_jjj_1u_YrhBnS9 -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0_HFsolMNCBR"pji_juj__h1YB -";N3HRIMNsCs8bkMMHo;R4 -RNH3VkMs_NOHCM8G;R( -RNH3M#$_HHM0DPNR""j;R -s@:@U4:j.d4n:jd.:U.+4:iBp_jjj_1u_YrhBg9:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__u1BYhr -U9SBT=pji_juj__h1YB9rU -=S7B_pij_jjuY_1h(Br9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -U;N3HR#_$MH0MHPRND";j" -@sR@4U:jd.:nj:4.U:d+:4.B_pij_jjuY_1hgBr:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1u_YrhBgS9 -Tp=Bij_jj__u1BYhr -g9SB7=pji_juj__h1YB9rU -pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H -NRN3Is8MCbMskHRMo4N; -HkR3MNVsOM_H8RCGgN; -H#R3$HM_MPH0N"DRj -";s@R@Ud:4.4:n:.4d:+(64B.:pji_jhj__h1YB4r4:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1h_YrhBjS9 -Tp=Bij_jj__h1BYhr -j9S#7=0CN0_OlNECHM\p3Bij_jj__h1BYh_j.r9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -j;N3HR#_$MH0MHPRND";j" -@sR@4U:dn.:4d:4.6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -49SBT=pji_jhj__h1YB9r4 -=S7B_pij_jjhY_1hjBr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR 4;N3HR#_$MH0MHPRND";j" -@sR@4U:dn.:4d:4.6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -.9SBT=pji_jhj__h1YB9r. -=S7B_pij_jjhY_1h4Br9B +@sR@4U:jd.:nj:4.U:d+:4.B_pij_jjuY_1hgBr:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1u_YrhB.S9 +Tp=Bij_jj__u1BYhr +.9SB7=pji_juj__h1YB9r4 +pSBip=Bi1_mZOQ_ +=S))_1aHN; +HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H +NRN3Is8MCbMskHRMo4N; +HkR3MNVsOM_H8RCG.N; +H#R3$HM_MPH0N"DRj +";s@R@Uj:4.n:d:.4j:+dU4B.:pji_juj__h1YB:rgjf9RjR:jlENORw7w)b]RsRHlB_pij_jjuY_1hdBr9T +S=iBp_jjj_1u_YrhBdS9 +7p=Bij_jj__u1BYhr +.9SiBp=iBp_Zm1Q +_OS))=1Ha_;H +NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" +RNH3sINMbC8sHkMM4oR;H +NRM3kVOsN_8HMCdGR;H +NR$3#MM_HHN0PDjR""s; +RU@@:.4j::dn4:j.d4U+.p:Bij_jj__u1BYhrjg:9jRf:ljRNROE7)ww]sRbHBlRpji_juj__h1YB9rc +=STB_pij_jjuY_1hcBr97 +S=iBp_jjj_1u_YrhBdS9 +B=piB_pimQ1Z_SO +)1=)a;_H +RNH3Ds0_HFsolMNCBR"pji_juj__h1YB +";N3HRIMNsCs8bkMMHo;R4 +RNH3VkMs_NOHCM8G;Rc +RNH3M#$_HHM0DPNR""j;R +s@:@U4:j.d4n:jd.:U.+4:iBp_jjj_1u_YrhBg9:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__u1BYhr +69SBT=pji_juj__h1YB9r6 +=S7B_pij_jjuY_1hcBr9B SpBi=pmi_1_ZQO) S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -.;N3HR#_$MH0MHPRND";j" -@sR@4U:dn.:4d:4.6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -d9SBT=pji_jhj__h1YB9rd -=S7B_pij_jjhY_1h.Br9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -d;N3HR#_$MH0MHPRND";j" -@sR@4U:dn.:4d:4.6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -c9SBT=pji_jhj__h1YB9rc -=S7B_pij_jjhY_1hdBr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; -HIR3NCsM8kbsMoHMR -4;N3HRksMVNHO_MG8CR -c;N3HR#_$MH0MHPRND";j" -@sR@4U:dn.:4d:4.6:(+:4.B_pij_jjhY_1h4Br49:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__h1BYhr -69SBT=pji_jhj__h1YB9r6 -=S7B_pij_jjhY_1hcBr9B -SpBi=pmi_1_ZQO) -S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj__h1BYh"N; +H;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; HIR3NCsM8kbsMoHMR 4;N3HRksMVNHO_MG8CR 6;N3HR#_$MH0MHPRND";j" -@sR@4U:4dg:U4:4gj:c+:4.B_pim_zau_) .f6RjR:jlENORw7w)b]RsRHlB_pim_zau_) .S6 -Tp=Biz_ma)_u 6_. -=S7h6_4 +@sR@4U:jd.:nj:4.U:d+:4.B_pij_jjuY_1hgBr:Rj9fjj:ROlNEwR7wR)]blsHRiBp_jjj_1u_YrhBnS9 +Tp=Bij_jj__u1BYhr +n9SB7=pji_juj__h1YB9r6 pSBip=Bi1_mZOQ_ =S))_1aHN; -HsR30FD_sMHoNRlC"iBp_amz_ u)_".6;H -NR$3#MM_HHN0PD4R""N; -HHR3MF_DFgbR;R +HsR30FD_sMHoNRlC"iBp_jjj_1u_Y"hB;H +NRN3Is8MCbMskHRMo4N; +HkR3MNVsOM_H8RCGnN; +H#R3$HM_MPH0N"DRj +";s@R@Uj:4.n:d:.4j:+dU4B.:pji_juj__h1YB:rgjf9RjR:jlENORw7w)b]RsRHlB_pij_jjuY_1h(Br9T +S=iBp_jjj_1u_YrhB(S9 +7p=Bij_jj__u1BYhr +n9SiBp=iBp_Zm1Q +_OS))=1Ha_;H +NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" +RNH3sINMbC8sHkMM4oR;H +NRM3kVOsN_8HMC(GR;H +NR$3#MM_HHN0PDjR""s; +RU@@:.4j::dn4:j.d4U+.p:Bij_jj__u1BYhrjg:9jRf:ljRNROE7)ww]sRbHBlRpji_juj__h1YB9rU +=STB_pij_jjuY_1hUBr97 +S=iBp_jjj_1u_YrhB(S9 +B=piB_pimQ1Z_SO +)1=)a;_H +RNH3Ds0_HFsolMNCBR"pji_juj__h1YB +";N3HRIMNsCs8bkMMHo;R4 +RNH3VkMs_NOHCM8G;RU +RNH3M#$_HHM0DPNR""j;R +s@:@U4:j.d4n:jd.:U.+4:iBp_jjj_1u_YrhBg9:jR:fjjNRlO7ERw]w)RHbslpRBij_jj__u1BYhr +g9SBT=pji_juj__h1YB9rg +=S7B_pij_jjuY_1hUBr9B +SpBi=pmi_1_ZQO) +S=a)1_ +H;N3HRs_0DFosHMCNlRp"Bij_jj__u1BYh"N; +HIR3NCsM8kbsMoHMR +4;N3HRksMVNHO_MG8CR +g;N3HR#_$MH0MHPRND";j" +@sR@4U:c.6::64c:4d+.p:Bih_Bar_h49:jR:fjjNRlO7ERw]w)RHbslpRBih_Bar_hjS9 +Tp=Bih_Bar_hjS9 +7M=kcD_O M_O0__M4r_H4S9 +B=piB_pimQ1Z_SH +)1=)a;_H +RNH3Ds0_HFsolMNCBR"pBi_hha_"N; +HkR3MNVsOM_H8RCGjN; +H#R3$HM_MPH0N"DRj +";s@R@Uc:46::.4:c6d.+4:iBp_aBh_4hr:Rj9fjj:ROlNEwR7wR1]blsHRiBp_aBh_4hr9T +S=iBp_aBh_4hr97 +S=iBp_aBh_jhr9B +SpBi=pmi_1_ZQH1 +S=a)1_ +H;N3HRs_0DFosHMCNlRp"Bih_Ba"_h;H +NRM3kVOsN_8HMC4GR;H +NR$3#MM_HHN0PDjR""s; +RU@@:U4d::d.4:dUd4c+.W:)_jjj_aQhR:fjjNRlO7ERw]w1RHbslWR)_jjj_aQh +=ST)jW_jQj_hSa +7_=h4Sd +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNC)R"Wj_jjh_Qa +";N3HR#_$MH0MHPRND";4" +RNH3_HMDbFFR +d;s@R@U4:4(d:6:(44:+6n4e.:vQq_hfaRjR:jlENORw7w1b]RsRHle_vqQ +haSeT=vQq_hSa +7_=h4Sc +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNCeR"vQq_h;a" +RNH3M#$_HHM0DPNR""4;H +NRM3H_FDFb4R4;R s@:@U4:4(64d:46(:n.+4:qAtBji_dQj_hfaRjR:jlENORw7w1b]RsRHlABtqid_jjh_QaT S=qAtBji_dQj_hSa -7_=h4Sn +7_=h4S6 B=piB_pimQ1Z_SO 11=)a;_H RNH3Ds0_HFsolMNCAR"tiqB_jjd_aQh"N; H#R3$HM_MPH0N"DR4 ";N3HRHDM_FRFbUs; -RU@@:.4j::dn4:j.d4U+.p:Bij_jj__u1BYhrjg:9jRf:ljRNROE7)ww]sRbHBlRpji_juj__h1YB9rj -=STB_pij_jjuY_1hjBr97 -S=N#00lC_NHOEM3C\B_pij_jjuY_1hdB_r -j9SiBp=iBp_Zm1Q -_OS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jjuY_1h;B" -RNH3sINMbC8sHkMM4oR;H -NRM3kVOsN_8HMCjGR;H -NR$3#MM_HHN0PDjR""s; -RU@@:(4d::d.4:d(d4c+.1:q_jjj_aQhR:fjjNRlO7ERw]w1RHbsl1Rq_jjj_aQh -=STqj1_jQj_hSa -7_=h(B -SpBi=pmi_1_ZQO1 -S=a)1_ -H;N3HRs_0DFosHMCNlR1"q_jjj_aQh"N; -H#R3$HM_MPH0N"DR4 -";N3HRHDM_FRFb4s; -RU@@:(4d::d.4:d(d4c+.1:7_jjj_q hARp fjj:ROlNEwR7wR)]blsHR_71j_jj AhqpS -T1=7_jjj_q hA -p Sh7=_SU +RU@@:g44::dU4:4gc4j+.p:Biz_ma)_u 6_.R:fjjNRlO7ERw]w)RHbslpRBiz_ma)_u 6_. +=STB_pim_zau_) .S6 +7_=h4Sn B=piB_pimQ1Z_SO )1=)a;_H -RNH3Ds0_HFsolMNC7R"1j_jjh_ q Ap"N; -H#R3$HM_MPH0N"DRj -";s@R@U4:4(d:6:(44:+6n4A.:tj_jjjRf:ljRNROE71ww]sRbHAlRtj_jjw7w1S] -Tt=A_jjj_SO -7_=hgB -SpBi=pmi_1_ZQO1 -S=a)1_ -H;N3HRs_0DFosHMCNlRt"A_jjj"N; -HHR3MF_DF(bR;R -s@:@U4:j.d4n:jd.:U.+4:q71B_i4QRhafjj:ROlNEwR7wR1]blsHRq71B_i4Q -haS7T=1iqB4h_Qa7 -S=4h_jB -SpBi=pmi_1_ZQO1 -S=a)1_ -H;N3HRs_0DFosHMCNlR1"7q4Bi_aQh"N; -H#R3$HM_MPH0N"DR4 -";N3HRHDM_FRFb4 -j;s@R@Ud:4(.:d:(4d:+dc4p.:7j1_jQj_hfaRjR:jlENORw7w1b]RsRHlp_71j_jjQ -haSpT=7j1_jQj_hSa -7_=h4S4 -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCpR"7j1_jQj_h;a" -RNH3M#$_HHM0DPNR""4;H -NRM3H_FDFb;Rn -@sR@4U:dd(:.d:4(c:d+:4.z_71j_jjQRhafjj:ROlNEwR7wR1]blsHR1z7_jjj_aQh -=STz_71j_jjQ -haSh7=_ -4.SiBp=iBp_Zm1Q -_OS)1=1Ha_;H -NR03sDs_FHNoMl"CRz_71j_jjQ"ha;H -NR$3#MM_HHN0PD4R""N; -HHR3MF_DF6bR;R -s@:@U4:d(d4.:dd(:c.+4:_)Wj_jjQRhafjj:ROlNEwR7wR1]blsHR_)Wj_jjQ -haS)T=Wj_jjh_Qa7 -S=4h_dB -SpBi=pmi_1_ZQO1 -S=a)1_ -H;N3HRs_0DFosHMCNlRW")_jjj_aQh"N; -H#R3$HM_MPH0N"DR4 -";N3HRHDM_FRFbds; -RU@@:(44::6d4:4(64n+.v:eqh_QajRf:ljRNROE71ww]sRbHelRvQq_hSa -Tv=eqh_Qa7 -S=4h_cB -SpBi=pmi_1_ZQO1 -S=a)1_ -H;N3HRs_0DFosHMCNlRv"eqh_Qa -";N3HR#_$MH0MHPRND";4" -RNH3_HMDbFFR;44 -@sR@4U:ddn:6d:4n(:d+:4.q7j_vfqRjR:jlENORw7w1b]RsRHlq7j_vSq -Tj=q_q7v -=S7#00NCN_lOMEHCq\3jv_7q -_.SiBp=iBp_Zm1Q -_OS)1=1Ha_;H -NR03sDs_FHNoMl"CRq7j_v;q" -RNH3M#$_HHM0DPNR""4;R -s@:@U4:dnd46:ddn:(.+4:iBp_jjd_f]RjR:jlENORw7wRHbslpRBid_jj -_]SBT=pji_d]j_ -=S7h -_4SiBp=iBp_Zm1Q;_O -RNH3Ds0_HFsolMNCBR"pji_d]j_"N; -H#R3$HM_MPH0N"DR4 -";s@R@Ud:4(.:d:(4d:+dc4).:Wj_jjv_7qjRf:ljRNROE71ww]sRbH)lRWj_jjv_7qT -S=_)Wj_jj7 -vqSh7=_S. -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNC)R"Wj_jjv_7q +RNH3Ds0_HFsolMNCBR"pmi_zua_). _6 ";N3HR#_$MH0MHPRND";4" RNH3_HMDbFFR -.;s@R@Ud:4n6:d:n4d:+d(47.:1j_jjv_7qjRf:ljRNROE71ww]sRbH7lR1j_jjv_7qT -S=_71j_jj7 -vqSh7=_Sd -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNC7R"1j_jjv_7q -";N3HR#_$MH0MHPRND";4" -RNH3_HMDbFFR -j;s@R@Ud:4n6:d:n4d:+d(4q.:1j_jjv_7qjRf:ljRNROE71ww]sRbHqlR1j_jjv_7qT -S=_q1j_jj7 -vqSh7=_Sc -B=piB_pimQ1Z_SO -11=)a;_H -RNH3Ds0_HFsolMNCqR"1j_jjv_7q -";N3HR#_$MH0MHPRND";4" -RNH3_HMDbFFR -j;s@R@Ud:4n6:d:n4d:+d(4q.:vqQt_1Az_q hA_p QRhafjj:ROlNEwR7wR1]blsHRQqvtAq_z 1_hpqA h_QaT +g;s@R@Ud:4(6:d:(4d:+d(4q.:vqQt_1Az_q hA_p QRhafjj:ROlNEwR7wR1]blsHRQqvtAq_z 1_hpqA h_QaT S=QqvtAq_z 1_hpqA h_Qa7 S=6h_ pSBip=Bi1_mZOQ_ @@ -1111,431 +920,510 @@ pSBip=Bi1_mZOQ_ HsR30FD_sMHoNRlC"QqvtAq_z 1_hpqA h_Qa ";N3HR#_$MH0MHPRND";4" RNH3_HMDbFFR;4. -@sR@4U:dd(:.d:4(c:d+:4.qj1_djj_j1j_YRhBfjj:ROlNEwR7wR1]blsHR_q1j_djj_jj1BYh +@sR@4U:ddU:.d:4Uc:d+:4.qj1_djj_j1j_YRhBfjj:ROlNEwR7wR1]blsHR_q1j_djj_jj1BYh =STqj1_djj_j1j_Y hBSh7=_Sn B=piB_pimQ1Z_SO 11=)a;_H RNH3Ds0_HFsolMNCqR"1d_jjj_jjY_1h;B" RNH3M#$_HHM0DPNR""4;R -s@:@Uc:(U.(:cU+:d4B.:pmi_zua_)d _djRf:ljRNROE7)ww]sRbHBlRpmi_zua_)d _dT -S=iBp_amz_ u)_ -ddSB7=pmi_zua_)d _d -_HSiBp=iBp_ u)_ -nnS))=1Ha_;H -NR03sDs_FHNoMl"CRB_pim_zau_) d;d" -RNH3M#$_HHM0DPNR""4;R -s@:@U4:4gd4U:4cg:j.+4:iBp_amz_ u)R:fjjNRlO7ERw]w)RHbslpRBiz_ma)_u T -S=iBp_amz_ u) -=S7B_pim_zau_) .S6 -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0_HFsolMNCBR"pmi_zua_); " -RNH3M#$_HHM0DPNR""4;R -s@:@U4:djd4c:ddj:n.+4:iBp_jjj_R7dfjj:ROlNEwR7wR1]blsHRiBp_jjj_ -7dSBT=pji_j7j_d7 -S=iBp_jjj_ -7.SiBp=iBp_Zm1Q -_OS)1=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jj7;d" -RNH3M#$_HHM0DPNR""4;R -s@:@U4:.gd4c:.dg:n.+4:iBp_jjj_R7.fjj:ROlNEwR7wR1]blsHRiBp_jjj_ -7.SBT=pji_j7j_.7 -S=iBp_jjj_ -74SiBp=iBp_Zm1Q -_OS)1=1Ha_;H -NR03sDs_FHNoMl"CRB_pij_jj7;." -RNH3M#$_HHM0DPNR""4;R -s@:@U4:6(.6:4(+:d4).: a1 R:fjjNRlO7ERw]w)RHbsl R)17 aw]w) -=ST) 1a -_OSe7=BSB -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0_HFsolMNC)R" a1 "s; -RU@@:c4.::d64:.cd4(+.p:Biz_mah_QajRf:ljRNROE7)ww]sRbHBlRpmi_zQa_hSa -Tp=BiX_ u -_OSB7=pmi_zua_)7 _ +s@:@U4:dUd4.:ddU:c.+4:_q1j_jjQRhafjj:ROlNEwR7wR1]blsHR_q1j_jjQ +haSqT=1j_jjh_Qa7 +S=(h_ pSBip=Bi1_mZOQ_ -=S))_1aHN; -HsR30FD_sMHoNRlC"iBp_amz_aQh"N; -H#R3$HM_MPH0N"DR4 -";s@R@U.:4Uc:d:U4.:+dn4B.:pji_j7j_4jRf:ljRNROE71ww]sRbHBlRpji_j7j_4T -S=iBp_jjj_ -74SB7=pji_j7j_jB +=S1)_1aHN; +HsR30FD_sMHoNRlC"_q1j_jjQ"ha;H +NR$3#MM_HHN0PD4R""N; +HHR3MF_DF4bR;R +s@:@U4:dUd4.:ddU:c.+4:_71j_jj Ahqpf RjR:jlENORw7w)b]RsRHl7j1_j j_hpqA T +S=_71j_jj AhqpS +7_=hUB +SpBi=pmi_1_ZQO) +S=a)1_ +H;N3HRs_0DFosHMCNlR1"7_jjj_q hA"p ;H +NR$3#MM_HHN0PDjR""s; +RU@@:(44::6d4:4(64n+.t:A_jjjR:fjjNRlO7ERw]w1RHbsltRA_jjj71ww]T +S=_Atj_jjO7 +S=gh_ +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +HsR30FD_sMHoNRlC"_Atj"jj;H +NRM3H_FDFb;R( +@sR@4U:jd.:nj:4.U:d+:4.7B1qiQ4_hfaRjR:jlENORw7w1b]RsRHl7B1qiQ4_hSa +T1=7q4Bi_aQh +=S7hj_4 +pSBip=Bi1_mZOQ_ +=S1)_1aHN; +HsR30FD_sMHoNRlC"q71B_i4Q"ha;H +NR$3#MM_HHN0PD4R""N; +HHR3MF_DF4bRjs; +RU@@:U4d::d.4:dUd4c+.7:p1j_jjh_QajRf:ljRNROE71ww]sRbHplR7j1_jQj_hSa +T7=p1j_jjh_Qa7 +S=4h_4B SpBi=pmi_1_ZQO1 S=a)1_ -H;N3HRs_0DFosHMCNlRp"Bij_jj4_7"N; -H#R3$HM_MPH0N"DR4 -";s@R@U4:4(d:6:(44:+6n4A.:tiqB_jjd_aQh_f7RjR:jlENORw7w1b]RsRHlABtqid_jjh_Qa -_7SAT=tiqB_jjd_aQh_S7 -7t=Aq_Bij_djQ -haSiBp=iBp_Zm1Q +H;N3HRs_0DFosHMCNlR7"p1j_jjh_Qa +";N3HR#_$MH0MHPRND";4" +RNH3_HMDbFFR +n;s@R@Ud:4U.:d:U4d:+dc4z.:7j1_jQj_hfaRjR:jlENORw7w1b]RsRHlz_71j_jjQ +haSzT=7j1_jQj_hSa +7_=h4S. +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNCzR"7j1_jQj_h;a" +RNH3M#$_HHM0DPNR""4;H +NRM3H_FDFb;R6 +@sR@4U:dd(:6d:4((:d+:4.q7j_vfqRjR:jlENORw7w1b]RsRHlq7j_vSq +Tj=q_q7v +=S7#00NCN_lOMEHCq\3jv_7q +_.SiBp=iBp_Zm1Q _OS)1=1Ha_;H -NR03sDs_FHNoMl"CRABtqid_jjh_Qa"_7;H -NR$3#MM_HHN0PD4R""s; -RU@@:U44::dn4:4Ud4U+.p:Biz_ma)_u j_6_f7RjR:jlENORw7w)b]RsRHlB_pim_zau_) 67j_ -=STB_pim_zau_) 67j_ -=S7B_pim_zau_) 6Sj -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0_HFsolMNCBR"pmi_zua_)6 _j"_7;H -NR$3#MM_HHN0PD4R""s; -RU@@:d4.::dc4:.dd4n+.p:Biz_ma)_u R_7fjj:ROlNEwR7wR)]blsHRiBp_amz_ u)_S7 -Tp=Biz_ma)_u -_7SB7=pmi_zua_)S -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0_HFsolMNCBR"pmi_zua_)7 _"N; -H#R3$HM_MPH0N"DR4 -";s@R@U.:4nc:d:n4.:+dn4B.:pji_j7j_jjRf:ljRNROE71ww]sRbHBlRpji_j7j_jT -S=iBp_jjj_ -7jSB7=pji_jOj_ -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"iBp_jjj_"7j;H -NR$3#MM_HHN0PD4R""s; -RU@@:44d::dc4:d4d4n+.u:eqR_7fjj:ROlNEwR7wR1]blsHRqeu_S7 -Tu=eq -_7Se7=uOq_ -pSBip=Bi1_mZOQ_ -=S1)_1aHN; -HsR30FD_sMHoNRlC"qeu_;7" +NR03sDs_FHNoMl"CRq7j_v;q" RNH3M#$_HHM0DPNR""4;R -s@:@U4:d.n44:d(.:6.+4:CNPOG_CbjRf:ljRNROE7)ww]sRbHNlRP_COC -GbSNT=P_COC -GbSB7=pji_juj__h1YB9rg +s@:@U4:d(d46:dd(:(.+4:iBp_jjd_f]RjR:jlENORw7wRHbslpRBid_jj +_]SBT=pji_d]j_ +=S7h +_4SiBp=iBp_Zm1Q;_O +RNH3Ds0_HFsolMNCBR"pji_d]j_"N; +H#R3$HM_MPH0N"DR4 +";s@R@Ud:4U.:d:U4d:+dc4).:Wj_jjv_7qjRf:ljRNROE71ww]sRbH)lRWj_jjv_7qT +S=_)Wj_jj7 +vqSh7=_S. +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNC)R"Wj_jjv_7q +";N3HR#_$MH0MHPRND";4" +RNH3_HMDbFFR +.;s@R@Ud:4(6:d:(4d:+d(47.:1j_jjv_7qjRf:ljRNROE71ww]sRbH7lR1j_jjv_7qT +S=_71j_jj7 +vqSh7=_Sd +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNC7R"1j_jjv_7q +";N3HR#_$MH0MHPRND";4" +RNH3_HMDbFFR +j;s@R@Ud:4(6:d:(4d:+d(4q.:1j_jjv_7qjRf:ljRNROE71ww]sRbHqlR1j_jjv_7qT +S=_q1j_jj7 +vqSh7=_Sc +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNCqR"1j_jjv_7q +";N3HR#_$MH0MHPRND";4" +RNH3_HMDbFFR +j;s@R@Ud:44c:d:44d:+dn4B.:pji_j7j_cjRf:ljRNROE71ww]sRbHBlRpji_j7j_cT +S=iBp_jjj_ +7cSB7=pji_j7j_dB +SpBi=pmi_1_ZQO1 +S=a)1_ +H;N3HRs_0DFosHMCNlRp"Bij_jjc_7"N; +H#R3$HM_MPH0N"DR4 +";s@R@Ug:cU::.c:gUd.+4:iBp_amz_ u)_Rddfjj:ROlNEwR7wR)]blsHRiBp_amz_ u)_ +ddSBT=pmi_zua_)d _d7 +S=iBp_amz_ u)__ddHB +SpBi=pui_)n _n) +S=a)1_ +H;N3HRs_0DFosHMCNlRp"Biz_ma)_u d_d"N; +H#R3$HM_MPH0N"DR4 +";s@R@U.:4gc:d:g4.:+dn4B.:pji_j7j_.jRf:ljRNROE71ww]sRbHBlRpji_j7j_.T +S=iBp_jjj_ +7.SB7=pji_j7j_4B +SpBi=pmi_1_ZQO1 +S=a)1_ +H;N3HRs_0DFosHMCNlRp"Bij_jj._7"N; +H#R3$HM_MPH0N"DR4 +";s@R@U4:4gU:d:g44:+cj4B.:pmi_zua_)f RjR:jlENORw7w)b]RsRHlB_pim_zau +) SBT=pmi_zua_)S +7p=Biz_ma)_u 6_. pSBip=Bi1_mZOQ_ =S))_1aHN; -HsR30FD_sMHoNRlC"CNPOG_Cb -";N3HR#_$MH0MHPRND";j" -@sR@4U:ddc:cd:4cn:d+:4.B_pij_jjhf RjR:jlENORw7w)b]RsRHlB_pij_jjhS -Tp=Bij_jj _h -=S7B_pij_jjhY_1h4Br4S9 +HsR30FD_sMHoNRlC"iBp_amz_ u)"N; +H#R3$HM_MPH0N"DR4 +";s@R@Ud:4jc:d:j4d:+dn4B.:pji_j7j_djRf:ljRNROE71ww]sRbHBlRpji_j7j_dT +S=iBp_jjj_ +7dSB7=pji_j7j_.B +SpBi=pmi_1_ZQO1 +S=a)1_ +H;N3HRs_0DFosHMCNlRp"Bij_jjd_7"N; +H#R3$HM_MPH0N"DR4 +";s@R@U6:4U::.4:6Ud.+4:1) faRjR:jlENORw7w)b]RsRHl) 1aw7w)S] +T =)1_ aO7 +S=BeB +pSBip=Bi1_mZOQ_ +=S))_1aHN; +HsR30FD_sMHoNRlC"1) ;a" +@sR@4U:.dc:6.:4c(:d+:4.B_pim_zaQRhafjj:ROlNEwR7wR)]blsHRiBp_amz_aQh +=STB_pi _XuO7 +S=iBp_amz_ u)_S7 B=piB_pimQ1Z_SO )1=)a;_H -RNH3Ds0_HFsolMNCBR"pji_jhj_ -";N3HR#_$MH0MHPRND";j" -@sR@4U:6.(::(46:4d+.p:Biz_ma)_u j_6R:fjjNRlO7ERw]w)RHbslpRBiz_ma)_u j_6 -=STB_pim_zau_) 6Sj -7p=Biz_ma)_u j_6_SH -B=piB_pimQ1Z_SO -)1=)a;_H -RNH3Ds0_HFsolMNCBR"pmi_zua_)6 _j +RNH3Ds0_HFsolMNCBR"pmi_zQa_h;a" +RNH3M#$_HHM0DPNR""4;R +s@:@U4:.Ud4c:.dU:n.+4:iBp_jjj_R74fjj:ROlNEwR7wR1]blsHRiBp_jjj_ +74SBT=pji_j7j_47 +S=iBp_jjj_ +7jSiBp=iBp_Zm1Q +_OS)1=1Ha_;H +NR03sDs_FHNoMl"CRB_pij_jj7;4" +RNH3M#$_HHM0DPNR""4;R +s@:@U4:4(64d:46(:n.+4:qAtBji_dQj_h7a_R:fjjNRlO7ERw]w1RHbsltRAq_Bij_djQ_ha7T +S=qAtBji_dQj_h7a_ +=S7ABtqid_jjh_QaB +SpBi=pmi_1_ZQO1 +S=a)1_ +H;N3HRs_0DFosHMCNlRt"Aq_Bij_djQ_ha7 ";N3HR#_$MH0MHPRND";4" -fsRjR:jlENOR_AQ7RQ)blsHR_q1j -djSqm=1d_jj -_OS=Qjqj1_j7j_vSq -Qqm=1d_jjm -S M=kd0_8N_O Hs; -R:fjjNRlOAERQQ_7)sRbHqlR1j_jjm -S=_q1j_jjOQ -Sj1=q_jjj_aQh -mSQ=_q1j -jjS=m ABtqid_jjh_Qas; -R:fjjNRlOAERQQ_7)sRbH)lRWj_jjm -S=_)Wj_jjOQ -SjW=)_jjj_aQh -mSQ=_)Wj -jjS=m ABtqid_jjh_Qas; -R:fjjNRlOAERQQ_7)sRbH7lR1d_jjm -S=_71j_djOQ -Sj1=7_jjj_q7v -mSQ=_71j -djS=m k_Md8O0N ;_H -fsRjR:jlENOR_AQ7RQ)blsHR1z7_jjj -=Smz_71j_jjOQ -SjM=k47_z1j_jjh_QaQ -Sm7=z1j_jjm -S t=Aq_Bij_djQ;ha -fsRjR:jlENOR_AQ7RQ)blsHR1p7_jjj -=Smp_71j_jjOQ -SjM=k47_p1j_jjh_QaQ -Sm7=p1j_jjm -S t=Aq_Bij_djQ;ha -fsRjR:jlENOR_AQ7RQ)blsHRZ1Q 9rj -=Sm1 QZ_jOr9Q -SjQ=1Z7 _vjqr9Q -SmQ=1Zj r9m -S M=kd0_8N_O Hs; -R:fjjNRlOAERQQ_7)sRbH1lRQrZ 4S9 -mQ=1ZO _r -49S=Qj1 QZ_q7vr -49S=Qm1 QZr -49S=m k_Md8O0N ;_H -fsRjR:jlENORzQAwsRbHqlRr94n -=Smqr_O4 -n9S=Qjqnr49s; -R:fjjNRlOQERARzwblsHR4qr(S9 -m_=qO(r49Q -Sjr=q4;(9 -fsRjR:jlENORzQAwsRbHqlRr94U -=Smqr_O4 -U9S=QjqUr49s; -R:fjjNRlOQERARzwblsHR4qrgS9 -m_=qOgr49Q -Sjr=q4;g9 -fsRjR:jlENORzQAwsRbHqlRr9.j -=Smqr_O. -j9S=Qjqjr.9s; -R:fjjNRlOQERARzwblsHR.qr4S9 -m_=qO4r.9Q -Sjr=q.;49 -fsRjR:jlENORzQAwsRbHqlRr9.. -=Smqr_O. -.9S=Qjq.r.9s; -R:fjjNRlOQERARzwblsHR.qrdS9 -m_=qOdr.9Q -Sjr=q.;d9 -fsRjR:jlENORzQAwsRbHqlRr9.c -=Smqr_O. -c9S=Qjqcr.9s; -R:fjjNRlOQERARzwblsHR.qr6S9 -m_=qO6r.9Q -Sjr=q.;69 -fsRjR:jlENORzQAwsRbHqlRr9.n -=Smqr_O. -n9S=Qjqnr.9s; -R:fjjNRlOQERARzwblsHR.qr(S9 -m_=qO(r.9Q -Sjr=q.;(9 -fsRjR:jlENORzQAwsRbHqlRr9.U -=Smqr_O. -U9S=QjqUr.9s; -R:fjjNRlOQERARzwblsHR.qrgS9 -m_=qOgr.9Q -Sjr=q.;g9 -fsRjR:jlENORzQAwsRbHqlRr9dj -=Smqr_Od -j9S=Qjqjrd9s; -R:fjjNRlOQERARzwblsHRdqr4S9 -m_=qO4rd9Q -Sjr=qd;49 -fsRjR:jlENOR_AQ7RQ)blsHR -qjSqm=j -_OS=Qjq7j_vSq -Qqm=jm -S M=kd0_8N_O Hs; -R:fjjNRlOQERARzwblsHRXM uu_1q -B SMm= _Xu1Buq -_OS=QjMu X_q1uB - ;sjRf:ljRNROEQwAzRHbsl RA)S) -m =A)O)_ -jSQ=)A )s; -R:fjjNRlOQERARzwblsHR_Atj -djSAm=td_jj -_OS=QjAjt_d -j;sjRf:ljRNROEmwAzRHbsltRA_jjj -=SmAjt_jSj -QAj=tj_jj;_O -fsRjR:jlENORzmAwsRbHAlRtiqB_jjd -=SmABtqid_jjQ -Sjt=Aq_Bij_djQ;ha -fsRjR:jlENORzQAwsRbHAlRtiqB_jjj -=SmABtqij_jj -_OS=QjABtqij_jjs; -R:fjjNRlOQERARzwblsHRiBp_jjd -=SmB_pij_djOQ -Sjp=Bid_jjs; -R:fjjNRlOQERARzwblsHRiBp_jjj -=SmB_pij_jjOQ -Sjp=Bij_jjs; -R:fjjNRlOQERARzwblsHRiBp_Zm1Qm -S=iBp_Zm1Q -_OS=QjB_pimQ1Z;R -sfjj:ROlNEARmzbwRsRHlB_pi7_Qem -zaSBm=p7i_Qme_zSa -QBj=p i_XOu_;R -sfjj:ROlNEARmzbwRsRHlB_pi -XuSBm=p i_XSu -QBj=p i_XOu_;R -sfjj:ROlNEARmzbwRsRHlw_uzBS1 -mu=wz1_B -jSQ=4kMgb_Vk#_O_ -H;sjRf:ljRNROEmwAzRHbsluRQpd_jj9rj -=SmQ_upjrdjjS9 -QQj=ujp_dOj_r;j9 -fsRjR:jlENORzmAwsRbHQlRujp_d4jr9m -S=pQu_jjdr -49S=QjQ_upj_djO9r4;R -sfjj:ROlNEARmzbwRsRHlQ_upjrdj.S9 -mu=Qpd_jj9r. -jSQ=pQu_jjd_.Or9s; -R:fjjNRlOQERARzwblsHRpQur -j9SQm=uOp_r -j9S=QjQrupj -9;sjRf:ljRNROEQwAzRHbsluRQp9r4 -=SmQ_upO9r4 -jSQ=pQur;49 -fsRjR:jlENORzQAwsRbHQlRu.pr9m -S=pQu_.Or9Q -Sju=Qp9r.;R -sfjj:ROlNEQRA_)7QRHbsl1R7q4Bi -=Sm7B1qiO4_ -jSQ=q71B_i4Q -haS=Qm7B1qiS4 -mM = _Xu1Buq ;_O -fsRjR:jlENOR_AQ7RQ)blsHRq7aBSi -ma=7q_BiOQ -Sj1=7q4Bi_SO -Q7m=aiqB - Sm=dkM_N80OH _;R -sfjj:ROlNEARmzbwRsRHlqBe -=SmqBe -jSQ=BeB;R -sfjj:ROlNEARmzbwRsRHlqBe _u X -=SmqBe _u X -jSQ=CNPOG_Cbs; -R:fjjNRlOmERARzwblsHRS -m -= S=QjO_bkCr#0d -9;sjRf:ljRNROEQwAzRHbsluReqm +@sR@4U:4dU:n4:4UU:d+:4.B_pim_zau_) 67j_R:fjjNRlO7ERw]w)RHbslpRBiz_ma)_u j_6_S7 +Tp=Biz_ma)_u j_6_S7 +7p=Biz_ma)_u j_6 +pSBip=Bi1_mZOQ_ +=S))_1aHN; +HsR30FD_sMHoNRlC"iBp_amz_ u)__6j7 +";N3HR#_$MH0MHPRND";4" +@sR@4U:.dd:c.:4dn:d+:4.B_pim_zau_) 7jRf:ljRNROE7)ww]sRbHBlRpmi_zua_)7 _ +=STB_pim_zau_) 77 +S=iBp_amz_ u) +pSBip=Bi1_mZOQ_ +=S))_1aHN; +HsR30FD_sMHoNRlC"iBp_amz_ u)_;7" +RNH3M#$_HHM0DPNR""4;R +s@:@U4:.nd4c:.dn:n.+4:iBp_jjj_R7jfjj:ROlNEwR7wR1]blsHRiBp_jjj_ +7jSBT=pji_j7j_j7 +S=iBp_jjj_SO +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNCBR"pji_j7j_j +";N3HR#_$MH0MHPRND";4" +@sR@4U:dd.:cd:4.n:d+:4.e_uq7jRf:ljRNROE71ww]sRbHelRu7q_ +=STe_uq77 S=qeu_SO -Qej=u -q;sjRf:ljRNROEmwAzRHbslvReqm -S=qev -jSQ=qev_aQh;R -sfjj:ROlNEARQzbwRsRHl) -1aS)m=1Oa_ -jSQ=a)1;R -sfjj:ROlNEARmzbwRsRHl) 1am -S=1) Sa -Q)j= a1 _ -O;sjRf:ljRNROEA7Q_Qb)RsRHl)SW -mW=)_SO -Q)j=Wj_jjv_7qQ -SmW=) - Sm=qAtBji_dQj_hHa_;R -sfjj:ROlNEARQzbwRsRHlwjBr9m -S=_wBO9rj -jSQ=rwBj -9;sjRf:ljRNROEQwAzRHbslBRwr -49Swm=Br_O4S9 -Qwj=B9r4;R -sfjj:ROlNEARmzbwRsRHlqtvQqz_A1h_ q Ap -=SmqtvQqz_A1h_ q Ap -jSQ=QqvtAq_z 1_hpqA h_Qas; -R:fjjNRlOmERARzwblsHRQqvtAq_z71_q_aq7 -Q)Sqm=vqQt_1Az_a7qqQ_7)Q -Sjv=qQ_tqA_z17qqa_)7Q_ -O;sjRf:ljRNROEmwAzRHbslvRqQ_tqA_z1 Ahqpp _mSW -mv=qQ_tqA_z1 Ahqpp _mSW -QBj=pmi_zua_)d _ds; -R:fjjNRlOAERz]waRHbslQRBQSh -mQ=BQSh -Qkj=M_.UOMHH - Sm=.h_c -(;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#9rj +B=piB_pimQ1Z_SO +11=)a;_H +RNH3Ds0_HFsolMNCeR"u7q_"N; +H#R3$HM_MPH0N"DR4 +";s@R@Ud:4d4:n:d4d:+(64N.:P_COCRGbfjj:ROlNEwR7wR)]blsHRCNPOG_CbT +S=CNPOG_Cb7 +S=iBp_jjj_1u_YrhBgS9 +B=piB_pimQ1Z_SO +)1=)a;_H +RNH3Ds0_HFsolMNCNR"P_COC"Gb;H +NR$3#MM_HHN0PDjR""s; +RU@@:U46:4.:6dU:+:4.B_pim_zau_) 6fjRjR:jlENORw7w)b]RsRHlB_pim_zau_) 6Sj +Tp=Biz_ma)_u j_6 +=S7B_pim_zau_) 6Hj_ +pSBip=Bi1_mZOQ_ +=S))_1aHN; +HsR30FD_sMHoNRlC"iBp_amz_ u)_"6j;H +NR$3#MM_HHN0PD4R""s; +R:fjjNRlOAERQQ_7)sRbHqlR1d_jjm +S=_q1j_djOQ +Sj1=q_jjj_q7v +mSQ=_q1j +djS=m k_Md8O0N ;_H +fsRjR:jlENOR_AQ7RQ)blsHR_q1j +jjSqm=1j_jj +_OS=Qjqj1_jQj_hSa +Qqm=1j_jjm +S t=Aq_Bij_djQ;ha +fsRjR:jlENOR_AQ7RQ)blsHR_)Wj +jjS)m=Wj_jj +_OS=Qj)jW_jQj_hSa +Q)m=Wj_jjm +S t=Aq_Bij_djQ;ha +fsRjR:jlENOR_AQ7RQ)blsHR_71j +djS7m=1d_jj +_OS=Qj7j1_j7j_vSq +Q7m=1d_jjm +S M=kd0_8N_O Hs; +R:fjjNRlOAERQQ_7)sRbHzlR7j1_jSj +m7=z1j_jj +_OS=Qjk_M4z_71j_jjQ +haS=Qmz_71j +jjS=m ABtqid_jjh_Qas; +R:fjjNRlOAERQQ_7)sRbHplR7j1_jSj +m7=p1j_jj +_OS=Qjk_M4p_71j_jjQ +haS=Qmp_71j +jjS=m ABtqid_jjh_Qas; +R:fjjNRlOAERQQ_7)sRbH1lRQrZ jS9 +mQ=1ZO _r +j9S=Qj1 QZ_q7vr +j9S=Qm1 QZr +j9S=m k_Md8O0N ;_H +fsRjR:jlENOR_AQ7RQ)blsHRZ1Q 9r4 +=Sm1 QZ_4Or9Q +SjQ=1Z7 _v4qr9Q +SmQ=1Z4 r9m +S M=kd0_8N_O Hs; +R:fjjNRlOQERARzwblsHR4qrnS9 +m_=qOnr49Q +Sjr=q4;n9 +fsRjR:jlENORzQAwsRbHqlRr94( +=Smqr_O4 +(9S=Qjq(r49s; +R:fjjNRlOQERARzwblsHR4qrUS9 +m_=qOUr49Q +Sjr=q4;U9 +fsRjR:jlENORzQAwsRbHqlRr94g +=Smqr_O4 +g9S=Qjqgr49s; +R:fjjNRlOQERARzwblsHR.qrjS9 +m_=qOjr.9Q +Sjr=q.;j9 +fsRjR:jlENORzQAwsRbHqlRr9.4 +=Smqr_O. +49S=Qjq4r.9s; +R:fjjNRlOQERARzwblsHR.qr.S9 +m_=qO.r.9Q +Sjr=q.;.9 +fsRjR:jlENORzQAwsRbHqlRr9.d +=Smqr_O. +d9S=Qjqdr.9s; +R:fjjNRlOQERARzwblsHR.qrcS9 +m_=qOcr.9Q +Sjr=q.;c9 +fsRjR:jlENORzQAwsRbHqlRr9.6 +=Smqr_O. +69S=Qjq6r.9s; +R:fjjNRlOQERARzwblsHR.qrnS9 +m_=qOnr.9Q +Sjr=q.;n9 +fsRjR:jlENORzQAwsRbHqlRr9.( +=Smqr_O. +(9S=Qjq(r.9s; +R:fjjNRlOQERARzwblsHR.qrUS9 +m_=qOUr.9Q +Sjr=q.;U9 +fsRjR:jlENORzQAwsRbHqlRr9.g +=Smqr_O. +g9S=Qjqgr.9s; +R:fjjNRlOQERARzwblsHRdqrjS9 +m_=qOjrd9Q +Sjr=qd;j9 +fsRjR:jlENORzQAwsRbHqlRr9d4 +=Smqr_Od +49S=Qjq4rd9s; +R:fjjNRlOAERQQ_7)sRbHqlRjm +S=_qjOQ +Sjj=q_q7v +mSQ= +qjS=m k_Md8O0N ;_H +fsRjR:jlENORzQAwsRbHMlR _Xu1Buq m +S=XM uu_1q_B OQ +Sj =MX1u_u qB;R +sfjj:ROlNEARQzbwRsRHlA) ) +=SmA) )_SO +QAj= ;)) +fsRjR:jlENORzQAwsRbHAlRtd_jjm +S=_Atj_djOQ +Sjt=A_jjd;R +sfjj:ROlNEARmzbwRsRHlAjt_jSj +mt=A_jjj +jSQ=_Atj_jjOs; +R:fjjNRlOmERARzwblsHRqAtBji_dSj +mt=Aq_Bij +djS=QjABtqid_jjh_Qas; +R:fjjNRlOQERARzwblsHRqAtBji_jSj +mt=Aq_Bij_jjOQ +Sjt=Aq_Bij;jj +fsRjR:jlENORzQAwsRbHBlRpji_dSj +mp=Bid_jj +_OS=QjB_pij;dj +fsRjR:jlENORzQAwsRbHBlRpji_jSj +mp=Bij_jj +_OS=QjB_pij;jj +fsRjR:jlENORzQAwsRbHBlRpmi_1 +ZQSBm=pmi_1_ZQOQ +Sjp=Bi1_mZ +Q;sjRf:ljRNROEmwAzRHbslpRBiQ_7ez_mam +S=iBp_e7Q_amz +jSQ=iBp_u X_ +O;sjRf:ljRNROEmwAzRHbslpRBiX_ um +S=iBp_u X +jSQ=iBp_u X_ +O;sjRf:ljRNROEmwAzRHbsluRwz1_B +=Smw_uzBS1 +Qkj=M_4gV_bkOH#_;R +sfjj:ROlNEARmzbwRsRHlQ_upjrdjjS9 +mu=Qpd_jj9rj +jSQ=pQu_jjd_jOr9s; +R:fjjNRlOmERARzwblsHRpQu_jjdr +49SQm=ujp_d4jr9Q +Sju=Qpd_jjr_O4 +9;sjRf:ljRNROEmwAzRHbsluRQpd_jj9r. +=SmQ_upjrdj.S9 +QQj=ujp_dOj_r;.9 +fsRjR:jlENORzQAwsRbHQlRujpr9m +S=pQu_jOr9Q +Sju=Qp9rj;R +sfjj:ROlNEARQzbwRsRHlQrup4S9 +mu=Qpr_O4S9 +QQj=u4pr9s; +R:fjjNRlOQERARzwblsHRpQur +.9SQm=uOp_r +.9S=QjQrup. +9;sjRf:ljRNROEA7Q_Qb)RsRHl7B1qiS4 +m1=7q4Bi_SO +Q7j=1iqB4h_QaQ +Sm1=7q4Bi + Sm=XM uu_1q_B Os; +R:fjjNRlOAERQQ_7)sRbH7lRaiqB +=Sm7Baqi +_OS=Qj7B1qiO4_ +mSQ=q7aBSi +mk =M8d_0 NO_ +H;sjRf:ljRNROEmwAzRHbsleRq SB +me=q SB +Qej=B +B;sjRf:ljRNROEmwAzRHbsleRq B_XSu +me=q B_XSu +QNj=P_COC;Gb +fsRjR:jlENORzmAwsRbH lR +=Sm Q +Sjb=Ok#_C09rd;R +sfjj:ROlNEARQzbwRsRHle +uqSem=uOq_ +jSQ=qeu;R +sfjj:ROlNEARmzbwRsRHle +vqSem=vSq +Qej=vQq_h +a;sjRf:ljRNROEQwAzRHbsl1R)am +S=a)1_SO +Q)j=1 +a;sjRf:ljRNROEmwAzRHbsl R)1 + aS)m= a1 +jSQ=1) Oa_;R +sfjj:ROlNEQRA_)7QRHbslWR) +=Sm)OW_ +jSQ=_)Wj_jj7 +vqS=Qm)SW +mA =tiqB_jjd_aQh_ +H;sjRf:ljRNROEQwAzRHbslBRwr +j9Swm=Br_OjS9 +Qwj=B9rj;R +sfjj:ROlNEARQzbwRsRHlw4Br9m +S=_wBO9r4 +jSQ=rwB4 +9;sjRf:ljRNROEmwAzRHbslvRqQ_tqA_z1 AhqpS +mv=qQ_tqA_z1 AhqpS +Qqj=vqQt_1Az_q hA_p Q;ha +fsRjR:jlENORzmAwsRbHqlRvqQt_1Az_a7qqQ_7)m +S=QqvtAq_z71_q_aq7 +Q)S=QjqtvQqz_A1q_7a7q_QO)_;R +sfjj:ROlNEARmzbwRsRHlqtvQqz_A1h_ q Ap_Wpm +=SmqtvQqz_A1h_ q Ap_Wpm +jSQ=iBp_amz_ u)_;dd +fsRjR:jlENORwAzab]RsRHlBhQQ +=SmBhQQ +jSQ=6kM_HOHMm +S M=k4On_H_HMHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_j.r9m +S=_1vqtvQq#_M_.j_r +j9S=QjhU_g_SH +Qh4=_j4j_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#r_djS9 +mv=1_QqvtMq_#__jd9rj +jSQ=_1vqtvQq#_M_4j_r +j9S=Q41qv_vqQt__M#jr_.j +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#9rj =Sm1qv_vqQt__M#j9rj jSQ=_1vqtvQq#_M_dj_r -j9S=Q4hj_4d;_H -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jjr_4.S9 -mb=Ok#_C0#_M_4j_r -.9S=Qjhd_.j -_HS=Q4h._.U;_H -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jj9r. -=SmO_bkC_#0Mj#_r -.9S=QjO_bkC_#0Mj#__.4r9Q -S4_=h._.gHs; -R:fjjNRlOqERhR7.blsHR.kMUH_OHjM___Nd4m -S=dh_j -_4S=Qjhn_4_SH -Qq4=_.Hrj -9;sjRf:ljRNROEq.h7RHbslMRk.OU_H_HMjd_N -=Smhj_d -jSQ=dh_j -_4S=Q4qr_H.;d9 -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4O._Dj _j8j_j__jN4d_ -=Smh._.. -_4S=QjB_pij_jj7Hj_ -4SQ=dh_(s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k.M4_ OD_jjj__8jjd_N -=Smh._..Q -Sj_=h._..4Q -S4u=eq__7Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Ncjr_4jS9 -m_=h4_j44Q -Sj_=hgSd -QA4= _))Hs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Ncj9rj -=Smhj_44Q -Sj_=h4_j44Q -S4p=Bij_jj _h_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_j4_r -j9Shm=_j4j_S4 -QAj= _))HQ -S4_=hg -n;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_Nr -j9Shm=_j4j -jSQ=4h_j4j_ -4SQ=CNPOG_Cb;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_cr_4cS9 -m_=h4_4j4Q -Sj =A)O)_ -4SQ=gh_.s; +j9S=Q4hj_44;_H +fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_#j_JGlkN +_4S7m=1j_jjh_ q Ap_#j_JGlkN +_4S=QjB_pij_jj7Sj +Q)4=W;_O +fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_#j_JGlkNm +S=_71j_jj Ahqpj __l#Jk +GNS=Qj7j1_j j_hpqA __j#kJlG4N_ +4SQ=_1vqtvQq9rn;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Ndc__j4r9m +S=4h_j44_ +jSQ=gh_j +_HS=Q4h4_g_ +H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_.d_r +j9Shm=_44j_S. +Q1j=vv_qQ_tqjJ_#lNkG_H4_ +4SQ=_1vqtvQqr_Hj +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_dd_r +j9Shm=_44j_Sd +Qhj=_44j_S4 +Qh4=_44j_ +.;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_jdr9m +S=4h_jS4 +Qhj=_44j_Sd +Q14=vv_qQ_tqH9rd;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#N.c__j4r9m +S=4h_j4j_ +jSQ=)A ) +_HS=Q41qv_vqQtr;d9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_cr_.jS9 +m_=h4 +jjS=Qjhj_4j +_4S=Q4#00NCN_lOMEHCk\3M_djO_D j_jj8Hj_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Njc__j4r9m +S=gh_U +_4S=Qjhj_g +4SQ=)A );_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_cr_jjS9 +m_=hgSU +Qhj=__gU4Q +S4p=Bij_jjj_7;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#N4c__jjr9m +S=gh_( +_4S=Qjh4_g +4SQ=)A );_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_c9rj +=Smh(_g +jSQ=gh_( +_4S=Q4B_pij_jj7Hj_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#N4c_r +c9Shm=_(4j_S4 +QAj= _))OQ +S4v=1_Qqvtdqr9s; R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rNccS9 m_=h4 -4jS=Qjh4_4j -_4S=Q41qv_vqQtr;d9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_c__d49rj -=Smhj_4c -_4S=Qjhd_g_SH -Qh4=__gnHs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Ncdr_.jS9 -m_=h4_jc.Q -Sjv=1_Qqvtjq__l#Jk_GN4 -_HS=Q41qv_vqQt_jHr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Ncdr_djS9 -m_=h4_jcdQ -Sj_=h4_jc4Q -S4_=h4_jc.s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Ncd9rj -=Smhj_4cQ -Sj_=h4_jcdQ -S4v=1_QqvtHq_r;d9 -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4Oj_Dj _jMj_C -_4S#m=0CN0_OlNECHM\M3k4Oj_Dj _jMj_C -_4S=Qje_uqHQ -S4_=h._4gHs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\kjM4_ OD_jjj_ -MCS#m=0CN0_OlNECHM\M3k4Oj_Dj _jMj_CQ -Sj0=#N_0ClENOH\MC34kMjD_O j_jjC_M_S4 -Qe4=vQq_hHa_;R +j(S=Qjhj_4( +_4S=Q4#00NCN_lOMEHCk\3M_djO_D j_jj8Hj_;R sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#49rj =Sm1qv_vqQt__M#jr_4jS9 -Qhj=_44j_SH -Qh4=_.4j_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#r_.jS9 -mv=1_QqvtMq_#__j.9rj -jSQ=4h_jHc_ -4SQ=4h_jHj_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#d9rj -=Sm1qv_vqQt__M#jr_djS9 -Q1j=vv_qQ_tqMj#__j4r9Q -S4v=1_QqvtMq_#__j.9rj;R -sfjj:ROlNEhRq7b.RsRHlkgM4_kVb__O#6 -_.Skm=M_4gV_bkO6#__S. -Qwj=Br_OjS9 -Qw4=Br_O4 -9;sjRf:ljRNROEq.h7RHbslMRk4Vg_bOk_# -_6Skm=M_4gV_bkO6#_ -jSQ=4kMgb_Vk#_O_46_ -4SQ=4kMgb_Vk#_O_.6_;R +Qhj=__ggHQ +S4_=hgH(_;R sfjj:ROlNEhRq7b.RsRHlkgM4_kVb__O#4m S=4kMgb_Vk#_O_S4 Qqj=1d_jj -_HS=Q4qr_H4;n9 +_HS=Q4qr_O4;(9 fsRjR:jlENOR7qh.sRbHklRM_4gV_bkO.#_ =SmkgM4_kVb__O#.Q -Sj_=qHUr49Q -S4_=qHgr49s; +Sj_=qHnr49Q +S4_=qHUr49s; R:fjjNRlOqERhR7.blsHR4kMgb_Vk#_O_Sd mM=k4Vg_bOk_# -_dS=QjkgM4_kVb__O#4Q +_dS=Qjqr_H4 +g9S=Q4ABtqij_jj;_O +fsRjR:jlENOR7qh.sRbHklRM_4gV_bkOc#_ +=SmkgM4_kVb__O#cQ +SjB=w_jOr9Q +S4B=w_4Or9s; +R:fjjNRlOqERhR7.blsHR4kMgb_Vk#_O_S6 +mM=k4Vg_bOk_# +_6S=QjkgM4_kVb__O#4Q S4M=k4Vg_bOk_#;_. -fsRjR:jlENOR7qh.sRbHklRM_4gV_bkOS# -mM=k4Vg_bOk_#Q +fsRjR:jlENOR7qh.sRbHklRM_4gV_bkOn#_ +=SmkgM4_kVb__O#nQ SjM=k4Vg_bOk_# -_dS=Q4kgM4_kVb__O#6s; +_dS=Q4kgM4_kVb__O#cs; +R:fjjNRlOqERhR7.blsHR4kMgb_Vk#_O +=SmkgM4_kVb_ +O#S=QjkgM4_kVb__O#6Q +S4M=k4Vg_bOk_#;_n +fsRjR:jlENOR7qh.sRbH7lR1iqB4h_Qa__j#kJlG4N_ +=Sm7B1qiQ4_hja__l#Jk_GN4Q +Sjp=Bij_jjd_7 +4SQ=iBp_jjj__7cHs; +R:fjjNRlOqERhR7.blsHRq71B_i4Q_hajJ_#lNkG +=Sm7B1qiQ4_hja__l#Jk +GNS=Qj7B1qiQ4_hja__l#Jk_GN4Q +S4v=1_Qqvt4qr9s; R:fjjNRlOqERhR7.blsHR_1vqtvQq__j#kJlG4N__S4 mv=1_Qqvtjq__l#Jk_GN4 _4S=Qjqj1_djj_j1j_Y_hBHQ @@ -1543,349 +1431,313 @@ S4 =MX1u_u qB_ O;sjRf:ljRNROEq.h7RHbslvR1_Qqvtjq__l#Jk_GN4m S=_1vqtvQq__j#kJlG4N_ jSQ=_1vqtvQq__j#kJlG4N__S4 -Q#4=0CN0_OlNECHM\M3k6D_O j_jj__M#O$M;R -sfjj:ROlNEhRq7b.RsRHl7j1_j j_hpqA __j#kJlG4N_ -=Sm7j1_j j_hpqA __j#kJlG4N_ -jSQ=_)WOQ -S4v=1_Qqvtnqr9s; -R:fjjNRlOqERhR7.blsHR_71j_jj Ahqpj __l#Jk -GNS7m=1j_jjh_ q Ap_#j_JGlkNQ -Sj1=7_jjj_q hA_p jJ_#lNkG_S4 -QN4=P_COC;Gb -fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1hjB__l#Jk_GN4m -S=_q1j_djj_jj1BYh_#j_JGlkN -_4S=QjABtqid_jjh_QaQ -S4v=1_Qqvt(qr9s; -R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_#j_JGlkN -_.Sqm=1d_jjj_jjY_1hjB__l#Jk_GN.Q -Sj =MX1u_u qB_SO -Q#4=0CN0_OlNECHM\M3k.NU_#d_jj;_H -fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1hjB__l#Jk -GNSqm=1d_jjj_jjY_1hjB__l#Jk -GNS=Qjqj1_djj_j1j_Y_hBjJ_#lNkG_S4 -Qq4=1d_jjj_jjY_1hjB__l#Jk_GN.s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Nc4r_4jS9 -m_=h4_j.4Q -Sj =A)H)_ -4SQ=gh_.s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Nc49rj -=Smhj_4.Q -Sj_=h4_j.4Q -S4v=1_Qqvtdqr9s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k.M4_ OD_jjj__8jjd_N_Sj -m0=#N_0ClENOH\MC34kMjD_O j_jjj_8 -jSQ=N#00lC_NHOEM3C\kjM4_ OD_jjj__8j4Q -S40=#N_0ClENOH\MC34kMjD_O j_jjj_8_ -.;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 AhqpQ _h.a__l#Jk_GN4m -S=QqvtAq_z 1_hpqA h_Qa__.#kJlG4N_ -jSQ=gh_6Q -S4v=1_QqvtHq_r;j9 -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p Q_ha.J_#lNkG_S. -mv=qQ_tqA_z1 AhqpQ _h.a__l#Jk_GN.Q -Sjv=1_QqvtHq_r -(9S=Q4#00NCN_lOMEHCk\3ML6_o NO_jjd_0HM_H8_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_aQh_#._JGlkNm -S=QqvtAq_z 1_hpqA h_Qa__.#kJlGSN -Qqj=vqQt_1Az_q hA_p Q_ha.J_#lNkG_S4 -Qq4=vqQt_1Az_q hA_p Q_ha.J_#lNkG_ -.;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_F_4._r -j9Shm=__gnH -_4S=Qj1qv_vqQt_.Hr9Q -S4v=1_QqvtHq_r;c9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMF#_cr_.jS9 -m_=hgHn_ -jSQ=gh_n__H4Q -S4v=1_QqvtHq_r;n9 -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kUo_L_jjd_S4 -m0=#N_0ClENOH\MC3UkM__Loj_dj4Q -Sj1=q_jjd_SO -QB4=pji_jOj_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MLU_od_jj -_.S#m=0CN0_OlNECHM\M3kUo_L_jjd_S. -Q1j=vv_qQrtq(S9 -QM4= _Xu1Buq ;_O -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kUo_L_jjd -=Sm#00NCN_lOMEHCk\3MLU_od_jjQ -Sj0=#N_0ClENOH\MC3UkM__Loj_dj4Q -S40=#N_0ClENOH\MC3UkM__Loj_dj.s; -R:fjjNRlOqERhR7.blsHR4kM_NLoOj _dHj_M80__S4 -mM=k4o_LN_O j_djH_M08__j4Q -Sj0=#N_0ClENOH\MC3dkM_NLoOj _dHj_M80__SH -Qq4=vqQt_1Az_q hA_p Q_ha4J_#lNkG_H4_;R -sfjj:ROlNEhRq7b.RsRHlk_M4LOoN d_jjM_H0 -_8Skm=ML4_o NO_jjd_0HM_j8_ -jSQ=4kM_NLoOj _dHj_M80__4j_ -4SQ=QqvtAq_z 1_hpqA h_Qa__d#kJlGHN_;R -sfjj:ROlNEhRq7b.RsRHlkUM._HOHM__jN4._ -=Smhd_d_S4 -Qqj=_4HrnS9 -Qq4=_4Hr( -9;sjRf:ljRNROEq.h7RHbslMRk.OU_H_HMj._N_S. -m_=hd.d_ -jSQ=Hq_r94U -4SQ=Hq_r94g;R -sfjj:ROlNEhRq7b.RsRHlkUM._HOHM__jNS. -m_=hdSd -Qhj=__dd4Q -S4_=hd.d_;R -sfjj:ROlNEhRq7b.RsRHlkgM4_kVb__O#6 -_4Skm=M_4gV_bkO6#__S4 -Qqj=_4Or(S9 -QA4=tiqB_jjj_ -O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_Nj_d__j4 -_jShm=_g4(_j4_ -jSQ=4h_(4g_ -4SQ=_)WOs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)jd_N_Sj -m_=h4 -(gS=Qjh(_4g__4jQ -S4 =MX1u_u qB_ +Q#4=0CN0_OlNECHM\M3k4On_Dj _j8j_js; +R:fjjNRlOqERhR7.blsHRkOb_0C#__M#jr_4.S9 +mb=Ok#_C0#_M_4j_r +.9S=Qjhn_4( +_HS=Q4hn_4U;_H +fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#r_j.S9 +mb=Ok#_C0#_M_.jr9Q +Sjb=Ok#_C0#_M_4j_r +.9S=Q4#00NCN_lOMEHCk\3M_4jO_D j_jj8.j__ H;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3iBp_jjj_1u_Y_hBdr_4jS9 m0=#N_0ClENOH\MC3iBp_jjj_1u_Y_hBdr_4jS9 -QBj=pji_j7j_jQ -S4p=Bij_jj4_7_ -H;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3iBp_jjj_1u_Y_hBdr_.jS9 -m0=#N_0ClENOH\MC3iBp_jjj_1u_Y_hBdr_.jS9 QBj=pji_j7j_. _HS=Q4B_pij_jj7Hd_;R sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCB\3pji_juj__h1YBr_djS9 m0=#N_0ClENOH\MC3iBp_jjj_1u_Y_hBd9rj jSQ=N#00lC_NHOEM3C\B_pij_jjuY_1hdB__j4r9Q -S40=#N_0ClENOH\MC3iBp_jjj_1u_Y_hBdr_.j -9;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3.kMU#_N_jjd_S4 -m0=#N_0ClENOH\MC3.kMU#_N_jjd_S4 -Qqj=_4HrnS9 -Qq4=_4HrU -9;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3.kMU#_N_jjd_S. -m0=#N_0ClENOH\MC3.kMU#_N_jjd_S. -Qqj=_4HrgS9 -Qk4=M_4gV_bkO6#_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_.UNj#_dSj -m0=#N_0ClENOH\MC3.kMU#_N_jjd -jSQ=N#00lC_NHOEM3C\kUM.__N#j_dj4Q -S40=#N_0ClENOH\MC3.kMU#_N_jjd_ -.;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3iBp_jjj_1h_Y_hB.r_4jS9 -m0=#N_0ClENOH\MC3iBp_jjj_1h_Y_hB.r_4jS9 -QBj=pji_j7j_.Q -S4p=Bij_jjd_7;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCB\3pji_jhj__h1YBr_.jS9 -m0=#N_0ClENOH\MC3iBp_jjj_1h_Y_hB.9rj -jSQ=N#00lC_NHOEM3C\B_pij_jjhY_1h.B__j4r9Q -S40=#N_0ClENOH\MC36kM_ OD_jjj_#M_$;MO -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jjr_44S9 -mb=Ok#_C0#_M_4j_r -49S=Qjh._d_SH -Qh4=__d(Hs; -R:fjjNRlOqERhR7.blsHRkOb_0C#__M#j__j.9r4 -=SmO_bkC_#0Mj#__4.r9Q -Sj_=h._.dHQ -S4_=h._.cHs; -R:fjjNRlOqERhR7.blsHRkOb_0C#__M#jr_j4S9 -mb=Ok#_C0#_M_4jr9Q -Sjb=Ok#_C0#_M_4j_r -49S=Q4O_bkC_#0Mj#__4.r9s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k.M4_ OD_jjj__8jjd_N_4j_ -=Sm#00NCN_lOMEHCk\3M_4jO_D j_jj84j_ -jSQ=_q1j_jjQ -haS=Q4B_pij_jj7 -j;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kM.D_O j_jjj_8_Nj_d__j.m -S=N#00lC_NHOEM3C\kjM4_ OD_jjj__8j.Q -Sj_=hdS6 -QO4=bCk_#.0r9s; -R:fjjNRlOQERhbeRsRHl1 QZ_HO_r -49S1m=Q_Z Or_H4S9 -Q1j=Q_Z O9r4;R -sfjj:ROlNEhRq7b.RsRHlk_Md8O0N -_4Skm=M8d_0 NO_4H_ -jSQ=XM uu_1q_B HQ -S41=q_jjj_q7v_ -H;sjRf:ljRNROEq.h7RHbslMRkd0_8N -O Skm=M8d_0 NO_SH -Qkj=M8d_0 NO_4H_ -4SQ=qAtBji_dQj_hHa_;R -sfjj:ROlNEhRq7b.RsRHlknM4_HOHM -_4Shm=_(.c_S4 +S40=#N_0ClENOH\MC3nkM_ OD_jjj_#b_$;MO +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4Oj_Dj _j8j_j +_4S#m=0CN0_OlNECHM\M3k4Oj_Dj _j8j_j +_4S=Qj#00NCN_lOMEHCk\3M_4jO_D j_jj8.j_ +4SQ=_q1j_jjQ;ha +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4Oj_Dj _j8j_j +_.S#m=0CN0_OlNECHM\M3k4Oj_Dj _j8j_j__.jQ +Sjp=Bij_jjj_7 +4SQ=kOb_0C#_jHr9s; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\kjM4_ OD_jjj__8jdm +S=N#00lC_NHOEM3C\kjM4_ OD_jjj__8jdQ +Sj0=#N_0ClENOH\MC34kMjD_O j_jjj_8_S4 +Q#4=0CN0_OlNECHM\M3k4Oj_Dj _j8j_j__.js; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\kjM4_ OD_jjj_ +8jS#m=0CN0_OlNECHM\M3k4Oj_Dj _j8j_jQ +Sj0=#N_0ClENOH\MC34kMjD_O j_jjj_8_Sd +QO4=bCk_#H0_r;d9 +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_a7qqQ_7)__jNjd__j4_ +=Smh(_46__4jQ +Sj_=h4_(64Q +S4W=)_ +O;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_Nj_d +_jShm=_64( +jSQ=4h_(46__Sj +QM4= _Xu1Buq ;_H +fsRjR:jlENOR7qh.sRbHklRM8d_0 NO_S4 +mM=kd0_8N_O H +_4S=QjMu X_q1uBH _ +4SQ=_q1j_jj7_vqHs; +R:fjjNRlOqERhR7.blsHRdkM_N80OS +mM=kd0_8N_O HQ +SjM=kd0_8N_O H +_4S=Q4ABtqid_jjh_Qa;_H +fsRjR:jlENOR7qh.sRbHklRML4_o NO_jjd_0HM_48_ +=Smk_M4LOoN d_jjM_H0__8j +_4S=Qj#00NCN_lOMEHCk\3MLd_o NO_jjd_0HM_H8_ +4SQ=QqvtAq_z 1_hpqA h_Qa__4#kJlG4N__ +H;sjRf:ljRNROEq.h7RHbslMRk4o_LN_O j_djH_M08m +S=4kM_NLoOj _dHj_M80__Sj +Qkj=ML4_o NO_jjd_0HM_j8__S4 +Qq4=vqQt_1Az_q hA_p Q_hadJ_#lNkG_ +H;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 AhqpQ _h.a__l#Jk_GN4m +S=QqvtAq_z 1_hpqA h_Qa__.#kJlG4N_ +jSQ=4h_4H4_ +4SQ=_1vqtvQqr_Hj +9;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 AhqpQ _h.a__l#Jk_GN.m +S=QqvtAq_z 1_hpqA h_Qa__.#kJlG.N_ +jSQ=_1vqtvQqr_H(S9 +Q#4=0CN0_OlNECHM\M3k6o_LN_O j_djH_M08;_H +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p Q_ha.J_#lNkG +=SmqtvQqz_A1h_ q Ap_aQh_#._JGlkNQ +Sjv=qQ_tqA_z1 AhqpQ _h.a__l#Jk_GN4Q +S4v=qQ_tqA_z1 AhqpQ _h.a__l#Jk_GN.s; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_M(8j#_d4j_ +=Sm#00NCN_lOMEHCk\3M8(_#d_jj__H4Q +SjQ=1ZO __4Hr9Q +S4j=q_HO_;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M8(_#d_jjm +S=N#00lC_NHOEM3C\k_M(8j#_dHj_ +jSQ=N#00lC_NHOEM3C\k_M(8j#_dHj__S4 +Q14=Q_Z O9rj;R +sfjj:ROlNEhRq7b.RsRHlk.M4_HOHM +_4Skm=M_4.OMHH_S4 Qqj=_.HrcS9 Qq4=_.Hr6 -9;sjRf:ljRNROEq.h7RHbslMRk4On_H_HM.m -S=.h_c.(_ +9;sjRf:ljRNROEq.h7RHbslMRk4O._H_HM.m +S=4kM.H_OH.M_ jSQ=Hq_r9.n 4SQ=Hq_r9.(;R -sfjj:ROlNEhRq7b.RsRHlknM4_HOHM -_dShm=_(.c_Sd +sfjj:ROlNEhRq7b.RsRHlk.M4_HOHM +_dSkm=M_4.OMHH_Sd Qqj=_.HrUS9 Qq4=_.Hrg -9;sjRf:ljRNROEq.h7RHbslMRk4On_H_HMcm -S=.h_cc(_ +9;sjRf:ljRNROEq.h7RHbslMRk4O._H_HMcm +S=4kM.H_OHcM_ jSQ=Hq_r9dj 4SQ=Hq_r9d4;R -sfjj:ROlNEhRq7b.RsRHlknM4_HOHM -_6Shm=_(.c_S6 -Qhj=_(.c_S4 -Qh4=_(.c_ -.;sjRf:ljRNROEq.h7RHbslMRk4On_H_HMnm -S=.h_cn(_ -jSQ=.h_cd(_ -4SQ=.h_cc(_;R -sfjj:ROlNEhRq7b.RsRHlknM4_HOHMm -S=.h_cS( -Qhj=_(.c_S6 -Qh4=_(.c_ -n;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3(kM__8#j_dj4m -S=N#00lC_NHOEM3C\k_M(8j#_dHj__S4 -Q1j=Q_Z Or_H4S9 -Qq4=j__OHs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_M(8j#_dSj -m0=#N_0ClENOH\MC3(kM__8#j_djHQ -Sj0=#N_0ClENOH\MC3(kM__8#j_djH -_4S=Q41 QZ_jOr9s; -R:fjjNRlOqERhR7.blsHR.kMUH_OHjM___Ndj -_4Shm=__d44Q +sfjj:ROlNEhRq7b.RsRHlk.M4_HOHM +_6Skm=M_4.OMHH_S6 +Qkj=M_4.OMHH_S4 +Qk4=M_4.OMHH_ +.;sjRf:ljRNROEq.h7RHbslMRk4O._H_HMnm +S=4kM.H_OHnM_ +jSQ=4kM.H_OHdM_ +4SQ=4kM.H_OHcM_;R +sfjj:ROlNEhRq7b.RsRHlk.M4_HOHMm +S=4kM.H_OHSM +Qkj=M_4.OMHH_S6 +Qk4=M_4.OMHH_ +n;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB__j#kJlG4N_ +=Smqj1_djj_j1j_Y_hBjJ_#lNkG_S4 +QAj=tiqB_jjd_aQh +4SQ=_1vqtvQq9r(;R +sfjj:ROlNEhRq7b.RsRHlqj1_djj_j1j_Y_hBjJ_#lNkG_S. +m1=q_jjd_jjj_h1YB__j#kJlG.N_ +jSQ=XM uu_1q_B OQ +S4M=k4Vg_bOk_#;_H +fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1hjB__l#Jk +GNSqm=1d_jjj_jjY_1hjB__l#Jk +GNS=Qjqj1_djj_j1j_Y_hBjJ_#lNkG_S4 +Qq4=1d_jjj_jjY_1hjB__l#Jk_GN.s; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\kUMd_ OD_jjj__8j4 +_jS#m=0CN0_OlNECHM\M3kdOU_Dj _j8j_j__4jQ +Sj0=#N_0ClENOH\MC3dkMUD_O j_jjj_8_S4 +Qe4=vQq_hHa_;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_dUO_D j_jj8Sj +m0=#N_0ClENOH\MC3dkMUD_O j_jjj_8 +jSQ=N#00lC_NHOEM3C\kUMd_ OD_jjj__8j4 +_jS=Q4e_uqHs; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_M6O_D j_jj84j_ +=Sm#00NCN_lOMEHCk\3MO6_Dj _j8j_j +_4S=QjB_pij_jj7Hj_ +4SQ=qeu_H7_;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MO6_Dj _j8j_j +_.S#m=0CN0_OlNECHM\M3k6D_O j_jjj_8_S. +QOj=bCk_#j0r9Q +S4b=Ok#_C0r_H4 +9;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC36kM_ OD_jjj_ +8jS#m=0CN0_OlNECHM\M3k6D_O j_jjj_8 +jSQ=N#00lC_NHOEM3C\k_M6O_D j_jj84j_ +4SQ=N#00lC_NHOEM3C\k_M6O_D j_jj8.j_;R +sfjj:ROlNEhRq7b.RsRHlk_M6OMHH_S4 +mM=k6H_OH4M_ +jSQ=_q1j_djHQ +S4_=qOjr.9s; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_.Skm=MO6_H_HM.Q Sj_=qO4r.9Q S4_=qO.r.9s; -R:fjjNRlOqERhR7.blsHR.kMUH_OHjM___Ndj -_.Shm=__d4.Q +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_dSkm=MO6_H_HMdQ Sj_=qOdr.9Q -S4_=h.;.j -fsRjR:jlENOR7qh.sRbHklRM_.UOMHH_Nj_d -_jShm=_ -d4S=Qjh4_d_S4 -Qh4=__d4.s; -R:fjjNRlOQERhbeRsRHlhd_.j -_HShm=_j.d_SH -Qhj=_j.d;R -sfjj:ROlNEhRQesRbHOlRbCk_#M0_#__jjr_H.S9 -mb=Ok#_C0#_Mr -.9S=QjO_bkC_#0Mj#_r;.9 -fsRjR:jlENOReQhRHbsl_RhdH6_ -=Smh6_d_SH -Qhj=_;d6 -fsRjR:jlENOReQhRHbsl_Rh._.(Hm -S=.h_.H(_ -jSQ=.h_. -(;sjRf:ljRNROEQRheblsHR.h_.H._ -=Smh._.. -_HS=Qjh._..s; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_4jO_D j_jj8Hj_ -=Sm#00NCN_lOMEHCk\3M_4jO_D j_jj8Hj_ -jSQ=N#00lC_NHOEM3C\kjM4_ OD_jjj_;8j -fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC34kM.D_O j_jjj_8_Hj_ -=Sm#00NCN_lOMEHCk\3M_4.O_D j_jj8Sj -Q#j=0CN0_OlNECHM\M3k4O._Dj _j8j_j;_j -fsRjR:jlENOReQhRHbslMRk.D_O s_bCn_n_SH -mM=k.D_O s_bCn_n_SH -Qkj=MO._Db _snC_ns; -R:fjjNRlOQERhbeRsRHlk_MnO_D b_sCnHn_ -=Smk_MnO_D b_sCnHn_ -jSQ=nkM_ OD_Cbs_;nn -fsRjR:jlENOReQhRHbslpRBi)_u n_n_SH -mp=Bi)_u n_n -jSQ=iBp_ u)__nnjs; -R:fjjNRlOQERhbeRsRHlp_71j_jjQ_haHm -S=1p7_jjj_aQh_SH -Qpj=7j1_jQj_h -a;sjRf:ljRNROEQRheblsHR4kM_1p7_jjj_aQh_SH -mM=k47_p1j_jjh_QaQ -SjM=k47_p1j_jjh_Qa;_j -fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa -_HSzm=7j1_jQj_hHa_ -jSQ=1z7_jjj_aQh;R -sfjj:ROlNEhRQesRbHklRMz4_7j1_jQj_hHa_ -=Smk_M4z_71j_jjQ -haS=Qjk_M4z_71j_jjQ_hajs; -R:fjjNRlOQERhbeRsRHlqOj__SH -mj=q_HO_ -jSQ=_qjOs; -R:fjjNRlOQERhbeRsRHlh._.c -_HShm=_c.._SH -Qhj=_c..;R -sfjj:ROlNEhRQesRbHhlR__d.Hm -S=dh_. -_HS=Qjh._d;R -sfjj:ROlNEhRQesRbHhlR_d.._SH -m_=h._.dHQ -Sj_=h.;.d -fsRjR:jlENOReQhRHbsl_Rh4_(UHm -S=4h_(HU_ +S4_=qHcr.9s; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_cSkm=MO6_H_HMcQ +Sj_=qH6r.9Q +S4_=qHnr.9s; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_6Skm=MO6_H_HM6Q +Sj_=qH4rd9Q +S4_=qH(r.9s; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_nSkm=MO6_H_HMnQ +Sj_=qHUr.9Q +S4_=qHgr.9s; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_(Skm=MO6_H_HM(Q +SjM=k6H_OH4M_ +4SQ=6kM_HOHM;_. +fsRjR:jlENOR7qh.sRbHklRMO6_H_HMUm +S=6kM_HOHM +_US=Qjk_M6OMHH_Sd +Qk4=MO6_H_HMcs; +R:fjjNRlOqERhR7.blsHR6kM_HOHM +_gSkm=MO6_H_HMgQ +SjM=k6H_OH6M_ +4SQ=6kM_HOHM;_n +fsRjR:jlENOR7qh.sRbHklRMO6_H_HM4Sj +mM=k6H_OH4M_jQ +SjM=k6H_OH(M_ +4SQ=6kM_HOHM;_U +fsRjR:jlENOR7qh.sRbHklRMO6_H_HM4S4 +mM=k6H_OH4M_4Q +SjM=k6H_OHgM_ +4SQ=Hq_r9dj;R +sfjj:ROlNEhRq7b.RsRHlk_M6OMHH +=Smk_M6OMHH +jSQ=6kM_HOHMj_4 +4SQ=6kM_HOHM4_4;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MLU_od_jj +_4S#m=0CN0_OlNECHM\M3kUo_L_jjd_S4 +Qqj=1d_jj +_OS=Q4B_pij_jj7 +j;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3UkM__Loj_dj.m +S=N#00lC_NHOEM3C\k_MULjo_d.j_ +jSQ=iBp_jjj__74HQ +S4 =MX1u_u qB_ +O;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3UkM__Loj +djS#m=0CN0_OlNECHM\M3kUo_L_jjd +jSQ=N#00lC_NHOEM3C\k_MULjo_d4j_ +4SQ=N#00lC_NHOEM3C\k_MULjo_d.j_;R +sfjj:ROlNEhRQesRbHklRM14_vv_qQ_tqn__HHm +S=(h_4Q +Sj_=h(j4_;R +sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\W3)_jjj_aQh_Hd_ +=Sm#00NCN_lOMEHC)\3Wj_jjh_Qa +_dS=Qj#00NCN_lOMEHC)\3Wj_jjh_Qa__djs; +R:fjjNRlOQERhbeRsRHlAjt_dOj__SH +mt=A_jjd_HO_ +jSQ=_Atj_djOs; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MLU_od_jj +_HS#m=0CN0_OlNECHM\M3kUo_L_jjd_SH +Q#j=0CN0_OlNECHM\M3kUo_L_jjd;R +sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3k4Lj_od_jj +_HS#m=0CN0_OlNECHM\M3k4Lj_od_jjQ +Sj0=#N_0ClENOH\MC34kMjo_L_jjd_ +j;sjRf:ljRNROEQRheblsHRUkM_HOHM +_HSkm=MOU_H_HMHQ +SjM=kUH_OH +M;sjRf:ljRNROEQRheblsHR4kMcH_OHHM_ +=SmkcM4_HOHMQ +SjM=k4Oc_H_HMjs; +R:fjjNRlOQERhbeRsRHlk_M.O_D b_sCnHn_ +=Smk_M.O_D b_sCnHn_ +jSQ=.kM_ OD_Cbs_;nn +fsRjR:jlENOReQhRHbslMRknD_O s_bCn_n_SH +mM=knD_O s_bCn_n_SH +Qkj=MOn_Db _snC_ns; +R:fjjNRlOQERhbeRsRHlB_piu_) nHn_ +=SmB_piu_) nSn +QBj=pui_)n _n;_j +fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__j49r4 +=SmO_bkC_#0Mj#__44r9Q +Sj_=h4_ndHQ +S4_=h4_ncHs; +R:fjjNRlOqERhR7.blsHRkOb_0C#__M#jr_.4S9 +mb=Ok#_C0#_M_.j_r +49S=Qjhn_46__HjQ +S4_=h4_(4Hs; +R:fjjNRlOqERhR7.blsHRkOb_0C#__M#j9r4 +=SmO_bkC_#0Mj#_r +49S=QjO_bkC_#0Mj#__44r9Q +S4b=Ok#_C0#_M_.j_r;49 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMF#_c__449rj +=Smh4_g_4H_ +jSQ=_1vqtvQqr_H.S9 +Q14=vv_qQ_tqH9rc;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#F4c_r +j9Shm=__g4HQ +Sj_=hgH4__S4 +Q14=vv_qQ_tqH9rn;R +sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3kdOc_Dj _j8j_j +_HS#m=0CN0_OlNECHM\M3kdOc_Dj _j8j_jQ +Sj0=#N_0ClENOH\MC3dkMcD_O j_jjj_8_ +j;sjRf:ljRNROEQRheblsHR4h_4H._ +=Smh4_4. +_HS=Qjh4_4.s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#H9r( +=Sm1qv_vqQt_rM#(S9 +Q1j=vv_qQ_tqMj#_r;(9 +fsRjR:jlENOReQhRHbsl_Rh4_(6Hm +S=4h_(H6_ jSQ=4h_( -U;sjRf:ljRNROEQRheblsHR4h_(Hg_ -=Smh(_4g -_HS=Qjh(_4gs; +6;sjRf:ljRNROEQRheblsHR4h_(Hc_ +=Smh(_4c +_HS=Qjh(_4cs; R:fjjNRlOQERhbeRsRHlqtvQqz_A1q_7a7q_Qj)__SH mv=qQ_tqA_z17qqa_)7Q_SO Qqj=vqQt_1Az_a7qqQ_7)__Ojs; -R:fjjNRlOQERhbeRsRHlO_bkC_#0MH#__Fj_.r_HdS9 -m_=h. -4gS=Qjh4_.g;_H -fsRjR:jlENOReQhRHbsl_RhdHj_ -=Smhj_d_SH -Qhj=_;dj -fsRjR:jlENOReQhRHbsl_RhdH4_ -=Smh4_d_SH -Qhj=_;d4 -fsRjR:jlENOReQhRHbslMRk.OU_H_HMj -_HSkm=M_.UOMHH -jSQ=.kMUH_OHjM_;R -sfjj:ROlNEhRQesRbHhlR__.UHm -S=.h_U -_HS=QjhU_.;R -sfjj:ROlNEhRQesRbHhlR__.gHm -S=.h_g -_HS=Qjhg_.;R -sfjj:ROlNEhRQesRbHOlRbCk_#C0#_jj__SH -mb=Ok#_C0#_M_jCr9Q -Sjb=Ok#_C0#_M_jC_r;j9 -fsRjR:jlENOReQhRHbsl_Rh._.UHm -S=.h_.HU_ -jSQ=.h_. -U;sjRf:ljRNROEQRheblsHR.h_.Hg_ -=Smh._.g -_HS=Qjh._.gs; -R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_aQh_#._JGlkN -_HSqm=vqQt_1Az_q hA_p Q_ha.J_#lNkG_SH -Qqj=vqQt_1Az_q hA_p Q_ha.J_#lNkG;R -sfjj:ROlNEhRQesRbHqlRvqQt_1Az_q hA_p Q_ha4J_#lNkG_H._ -=SmqtvQqz_A1h_ q Ap_aQh_#4_JGlkN__.HQ -Sjv=qQ_tqA_z1 AhqpQ _h4a__l#Jk_GN.s; -R:fjjNRlOQERhbeRsRHlk_M4qtvQqz_A1h_ q Ap_aQh_#._JGlkN -_HSkm=Mq4_vqQt_1Az_q hA_p Q_ha.J_#lNkG -jSQ=4kM_QqvtAq_z 1_hpqA h_Qa__.#kJlGjN_;R -sfjj:ROlNEhRQesRbHAlRtd_jj__OHm -S=_Atj_djO -_HS=QjAjt_dOj_;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3kUo_L_jjd_SH -m0=#N_0ClENOH\MC3UkM__Loj_djHQ -Sj0=#N_0ClENOH\MC3UkM__Loj;dj -fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC34kMjo_L_jjd_SH -m0=#N_0ClENOH\MC34kMjo_L_jjd -jSQ=N#00lC_NHOEM3C\kjM4__Loj_djjs; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_4jLOoN d_jjM_H0 -_HS#m=0CN0_OlNECHM\M3k4Lj_o NO_jjd_0HM -jSQ=N#00lC_NHOEM3C\kjM4_NLoOj _dHj_Mj0_;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\137_jjj_q7v_Hd_ -=Sm#00NCN_lOMEHC7\31j_jjv_7q -_dS=Qj#00NCN_lOMEHC7\31j_jjv_7q__djs; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHC1\3Q_Z 7_vqcr_HjS9 -m0=#N_0ClENOH\MC3Z1Q v_7qr_cjS9 -Q#j=0CN0_OlNECHM\Q31Z7 _vcq__jjr9s; R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHC1\3Q_Z 7_vqcr_H4S9 m0=#N_0ClENOH\MC3Z1Q v_7qr_c4S9 Q#j=0CN0_OlNECHM\Q31Z7 _vcq__4jr9s; -R:fjjNRlOQERhbeRsRHlB_pij_dj] -_HSBm=pji_d]j__SH -QBj=pji_d]j_;R -sfjj:ROlNEhRQesRbHBlRpji_d]j__#4_JGlkN -_HSBm=pji_d]j__#4_JGlkN -_HS=QjB_pij_dj]__4#kJlG -N;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\B_pij_dj]__.VH4_ -=Sm#00NCN_lOMEHCB\3pji_d]j__V._4Q -Sj0=#N_0ClENOH\MC3iBp_jjd_.]___V4js; -R:fjjNRlOQERhbeRsRHlO_bkC_#0Mj#__Hj_r -49SOm=bCk_#M0_#9r4 -jSQ=kOb_0C#__M#j9r4;R -sfjj:ROlNEhRQesRbHhlR__d(Hm -S=dh_( -_HS=Qjh(_d;R +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHC1\3Q_Z 7_vqcr_HjS9 +m0=#N_0ClENOH\MC3Z1Q v_7qr_cjS9 +Q#j=0CN0_OlNECHM\Q31Z7 _vcq__jjr9s; +R:fjjNRlOQERhbeRsRHlh(_44 +_HShm=_44(_SH +Qhj=_44(;R +sfjj:ROlNEhRQesRbHhlR_c4n_SH +m_=h4_ncHQ +Sj_=h4;nc +fsRjR:jlENOReQhRHbsl_Rh4_ndHm +S=4h_nHd_ +jSQ=4h_n +d;sjRf:ljRNROEQRheblsHRkOb_0C#__M#jr_H4S9 +mb=Ok#_C0#_Mr +49S=QjO_bkC_#0Mj#_r;49 +fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC34kMjD_O j_jjj_8_SH +m0=#N_0ClENOH\MC34kMjD_O j_jjj_8_SH +Q#j=0CN0_OlNECHM\M3k4Oj_Dj _j8j_js; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MO6_Dj _j8j_j +_HS#m=0CN0_OlNECHM\M3k6D_O j_jjj_8_SH +Q#j=0CN0_OlNECHM\M3k6D_O j_jjj_8;R +sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3k4O._Dj _j8j_j +_HS#m=0CN0_OlNECHM\M3k4O._Dj _j8j_jQ +Sj0=#N_0ClENOH\MC34kM.D_O j_jjj_8_ +j;sjRf:ljRNROEQRheblsHR_qjO +_HSqm=j__OHQ +Sjj=q_ +O;sjRf:ljRNROEQRheblsHRZ1Q __OH9r4 +=Sm1 QZ_HO_r +49S=Qj1 QZ_4Or9s; +R:fjjNRlOQERhbeRsRHlqtvQqz_A1h_ q Ap_aQh_#4_JGlkN__.Hm +S=QqvtAq_z 1_hpqA h_Qa__4#kJlG.N__SH +Qqj=vqQt_1Az_q hA_p Q_ha4J_#lNkG_ +.;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA h_Qa__.#kJlGHN_ +=SmqtvQqz_A1h_ q Ap_aQh_#._JGlkN +_HS=QjqtvQqz_A1h_ q Ap_aQh_#._JGlkNs; +R:fjjNRlOQERhbeRsRHlk_M4qtvQqz_A1h_ q Ap_aQh_#._JGlkN +_HSkm=Mq4_vqQt_1Az_q hA_p Q_ha.J_#lNkG +jSQ=4kM_QqvtAq_z 1_hpqA h_Qa__.#kJlGjN_;R sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3kdo_LN_O j_djH_M08 _HS#m=0CN0_OlNECHM\M3kdo_LN_O j_djH_M08 _HS=Qj#00NCN_lOMEHCk\3MLd_o NO_jjd_0HM_ @@ -1898,587 +1750,593 @@ jSQ=QqvtAq_z 1_hpqA h_Qa__d#kJlG N;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA h_Qa__4#kJlG4N__SH mv=qQ_tqA_z1 AhqpQ _h4a__l#Jk_GN4 _HS=QjqtvQqz_A1h_ q Ap_aQh_#4_JGlkN;_4 -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -n9S1m=vv_qQ_tqH9rn -jSQ=_1vqtvQq9rn;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqMF#_c__.H9rj -=Smhn_g -jSQ=gh_n;_H -fsRjR:jlENOReQhRHbslvR1_QqvtHq_r -.9S1m=vv_qQ_tqH9r. -jSQ=_1vqtvQq9r.;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9rc -=Sm1qv_vqQt_cHr9Q -Sjv=1_Qqvtcqr9s; -R:fjjNRlOQERhbeRsRHlh4_46 -_HShm=_644_SH -Qhj=_644;R -sfjj:ROlNEhRQesRbHhlR_444_SH -m_=h4_44HQ -Sj_=h4;44 -fsRjR:jlENOReQhRHbsl_Rh4_4.Hm -S=4h_4H._ -jSQ=4h_4 -.;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M_6Hr9m -S=_1vqtvQq#_Mr -69S=Qj1qv_vqQt__M#j9r6;R -sfjj:ROlNEhRQesRbHhlR_g4j_SH -m_=h4_jgHQ -Sj_=h4;jg -fsRjR:jlENOReQhRHbsl_Rh4_jUHm -S=4h_jHU_ -jSQ=4h_j -U;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M_dHr9m -S=_1vqtvQq#_Mr -d9S=Qj1qv_vqQt__M#j9rd;R -sfjj:ROlNEhRQesRbHhlR_d44_SH -m_=h4_4dHQ -Sj_=h4;4d -fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_HnS9 -mv=1_QqvtMq_#9rn -jSQ=_1vqtvQq#_M_njr9s; -R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#FHc_r +fsRjR:jlENOReQhRHbslpRBid_jj__]Hm +S=iBp_jjd_H]_ +jSQ=iBp_jjd_ +];sjRf:ljRNROEQRheblsHRiBp_jjd_4]__l#Jk_GNHm +S=iBp_jjd_4]__l#Jk_GNHQ +Sjp=Bid_jj__]4J_#lNkG;R +sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\p3Bid_jj__].4_V_SH +m0=#N_0ClENOH\MC3iBp_jjd_.]__ +V4S=Qj#00NCN_lOMEHCB\3pji_d]j__V._4;_j +fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC34kMjo_LN_O j_djH_M0Hm +S=N#00lC_NHOEM3C\kjM4_NLoOj _dHj_MS0 +Q#j=0CN0_OlNECHM\M3k4Lj_o NO_jjd_0HM_ +j;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\kUMd_ OD_jjj__8jHm +S=N#00lC_NHOEM3C\kUMd_ OD_jjj__8jHQ +Sj0=#N_0ClENOH\MC3dkMUD_O j_jjj_8;R +sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3kdO._Dj _j8j_j +_HS#m=0CN0_OlNECHM\M3kdO._Dj _j8j_j +_HS=Qj#00NCN_lOMEHCk\3M_d.O_D j_jj8 +j;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HcS9 +mv=1_QqvtHq_r +c9S=Qj1qv_vqQtr;c9 +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#c_F_H4_r j9Shm=_ g4S=Qjh4_g_ -H;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M__Fcjr_HjS9 -m_=hgS. -Qhj=__g.Hs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_6Hr9m -S=_1vqtvQqr_H6S9 -Q1j=vv_qQrtq6 -9;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M__Fc4r_HjS9 -m_=hgSd -Qhj=__gdHs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#Hc_F_(Hr9m -S=gh_6Q -Sj_=hgj6_;R -sfjj:ROlNEhRQesRbHhlR_d4j_SH -m_=h4_jdHQ -Sj_=h4;jd -fsRjR:jlENOReQhRHbsl_Rh4_jjHm -S=4h_jHj_ -jSQ=4h_j -j;sjRf:ljRNROEQRheblsHR4h_jH._ -=Smhj_4. -_HS=Qjhj_4.s; -R:fjjNRlOQERhbeRsRHlhj_44 -_HShm=_44j_SH -Qhj=_44j;R -sfjj:ROlNEhRQesRbHhlR_c4j_SH -m_=h4_jcHQ -Sj_=h4;jc -fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC3ckM_NLoOj _jHj_ -=Sm#00NCN_lOMEHCk\3MLc_o NO_jjj -jSQ=N#00lC_NHOEM3C\k_McLOoN j_jj;_j -fsRjR:jlENOReQhRHbsl_RhdHd_ -=Smhd_d_SH -Qhj=_;dd -fsRjR:jlENOReQhRHbslMRk.OU_H_HMj._F_SH -m_=h. -.jS=Qjh._.j;_j -fsRjR:jlENOReQhRHbslMRk4v_1_Qqvtjq__l#Jk_GN.__Hjm -S=4kM__1vqtvQq__j#kJlG.N_ -jSQ=4kM__1vqtvQq__j#kJlG.N__ -H;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\kjM4_ OD_jjj__MCHm -S=N#00lC_NHOEM3C\kjM4_ OD_jjj__MCHQ -Sj0=#N_0ClENOH\MC34kMjD_O j_jjC_M;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3kcD_O j_jjC_M_SH -m0=#N_0ClENOH\MC3ckM_ OD_jjj__MCHQ -Sj0=#N_0ClENOH\MC3ckM_ OD_jjj_;MC -fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC3nkM_ OD_jjj__MCH -_jS#m=0CN0_OlNECHM\M3knD_O j_jjC_M -jSQ=N#00lC_NHOEM3C\k_MnO_D j_jjMHC_;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\W3)_jjj_aQh_Hd_ -=Sm#00NCN_lOMEHC)\3Wj_jjh_Qa -_dS=Qj#00NCN_lOMEHC)\3Wj_jjh_Qa__djs; -R:fjjNRlOQERhbeRsRHlk_M41qv_vqQt_Hn__SH -m_=h(S6 -Qhj=__(6js; -R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#H9rj -=Sm1qv_vqQt_rM#jS9 -Q1j=vv_qQ_tqMj#_r;j9 +H;sjRf:ljRNROEQRheblsHR4h_nHU_ +=Smhn_4U +_HS=Qjhn_4Us; +R:fjjNRlOQERhbeRsRHlO_bkC_#0Mj#__.Hr9m +S=kOb_0C#_rM#.S9 +QOj=bCk_#M0_#r_j. +9;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\kjM4_ OD_jjj__8j. +_HS#m=0CN0_OlNECHM\M3k4Oj_Dj _j8j_j__.HQ +Sj0=#N_0ClENOH\MC34kMjD_O j_jjj_8_ +.;sjRf:ljRNROEQRheblsHR4h_nH(_ +=Smhn_4( +_HS=Qjhn_4(s; +R:fjjNRlOQERhbeRsRHlh(_4j +_HShm=_j4(_SH +Qhj=_j4(;R +sfjj:ROlNEhRQesRbHhlR_g4n_SH +m_=h4_ngHQ +Sj_=h4;ng +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#__HFHc_r +.9Shm=_ +g.S=Qjh._g_ +j;sjRf:ljRNROEQRheblsHR4h_jHc_ +=Smhj_4c +_HS=Qjhj_4cs; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MN4_#d_jj__Hjm +S=N#00lC_NHOEM3C\k_M4Nj#_dSj +Q#j=0CN0_OlNECHM\M3k4#_N_jjd_ +H;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\k_MnO_D j_jjb$_#MHO_ +=Sm#00NCN_lOMEHCk\3MOn_Dj _jbj__M#$O +_HS=Qj#00NCN_lOMEHCk\3MOn_Dj _jbj__M#$Os; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MLn_o NO_jjj_SH +m0=#N_0ClENOH\MC3nkM_NLoOj _jSj +Q#j=0CN0_OlNECHM\M3kno_LN_O j_jjjs; +R:fjjNRlOQERhbeRsRHlp_71j_jjQ_haHm +S=1p7_jjj_aQh_SH +Qpj=7j1_jQj_h +a;sjRf:ljRNROEQRheblsHR4kM_1p7_jjj_aQh_SH +mM=k47_p1j_jjh_QaQ +SjM=k47_p1j_jjh_Qa;_j fsRjR:jlENOReQhRHbsl_Rh4_j6Hm S=4h_jH6_ jSQ=4h_j -6;sjRf:ljRNROEQRheblsHR4h_jHn_ -=Smhj_4n -_HS=Qjhj_4ns; -R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#H9r4 -=Sm1qv_vqQt_rM#4S9 -Q1j=vv_qQ_tqMj#_r;49 -fsRjR:jlENOReQhRHbsl_Rh4_j(Hm -S=4h_jH(_ -jSQ=4h_j -(;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M_.Hr9m +6;sjRf:ljRNROEQRheblsHR_1vqtvQq#_M_dHr9m S=_1vqtvQq#_Mr -.9S=Qj1qv_vqQt__M#j9r.;R -sfjj:ROlNEhRQesRbHhlR_j44_SH -m_=h4_4jHQ -Sj_=h4;4j +d9S=Qj1qv_vqQt__M#j9rd;R +sfjj:ROlNEhRQesRbHhlR_(4j_SH +m_=h4_j(HQ +Sj_=h4;j( fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_HcS9 mv=1_QqvtMq_#9rc jSQ=_1vqtvQq#_M_cjr9s; -R:fjjNRlOQERhbeRsRHlh4_4c -_HShm=_c44_SH -Qhj=_c44;R +R:fjjNRlOQERhbeRsRHlhj_4U +_HShm=_U4j_SH +Qhj=_U4j;R +sfjj:ROlNEhRQesRbHhlR_g4j_SH +m_=h4_jgHQ +Sj_=h4;jg +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_H6S9 +mv=1_QqvtMq_#9r6 +jSQ=_1vqtvQq#_M_6jr9s; +R:fjjNRlOQERhbeRsRHlh4_4j +_HShm=_j44_SH +Qhj=_j44;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqMF#_cr_HjS9 +m_=hUSU +Qhj=__UUHs; +R:fjjNRlOQERhbeRsRHl1qv_vqQt__M#Fjc__jHr9m +S=gh_jQ +Sj_=hgHj_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqMH#___FcH9rn +=Smhd_g +jSQ=gh_d;_j +fsRjR:jlENOReQhRHbsl_RhgH(_ +=Smh(_g_SH +Qhj=_;g( +fsRjR:jlENOReQhRHbsl_RhgHU_ +=SmhU_g_SH +Qhj=_;gU +fsRjR:jlENOReQhRHbsl_RhgHg_ +=Smhg_g_SH +Qhj=_;gg +fsRjR:jlENOReQhRHbsl_Rh4_j4Hm +S=4h_jH4_ +jSQ=4h_j +4;sjRf:ljRNROEQRheblsHR1z7_jjj_aQh_SH +m7=z1j_jjh_Qa +_HS=Qjz_71j_jjQ;ha +fsRjR:jlENOReQhRHbslMRk47_z1j_jjh_Qa +_HSkm=Mz4_7j1_jQj_hSa +Qkj=Mz4_7j1_jQj_hja_;R sfjj:ROlNEhRQesRbH1lRvv_qQ_tqjJ_#lNkG_SH mv=1_Qqvtjq__l#Jk_GNHQ Sjv=1_Qqvtjq__l#Jk;GN fsRjR:jlENOReQhRHbsl1R7_jjj_q hA_p jJ_#lNkG_SH m1=7_jjj_q hA_p jJ_#lNkG_SH Q7j=1j_jjh_ q Ap_#j_JGlkNs; -R:fjjNRlOqERhR7.blsHRq71B_i4Q_hajJ_#lNkG -=Sm7B1qiQ4_hja__l#Jk -GNS=QjB_pij_jjhY_1hnBr9Q -S4v=1_Qqvt4qr9s; -R:fjjNRlOQERhbeRsRHlO_bkC##0C3_4sm -S=kOb_0C##4C_3dkM -jSQ=CNPOG_Cbs; -R:fjjNRlOqERhR7.blsHRkOb_0C##4C_3Sl -mb=Ok#_C0_#C4M3k4Q -Sjb=Ok#_C0#_Mr -.9S=Q4NOPC_bCG;R -sfjj:ROlNEhRq7b.RsRHlO_bkC##0C3_4Mm -S=kOb_0C##4C_3jkM -jSQ=kOb_0C#r -.9S=Q4O_bkC##0C3_4k;Md -fsRjR:jlENOR.m)RHbslbROk#_C0_#C4 -3bSOm=bCk_#M0_#r_C.S9 -QOj=bCk_#C0#_k43MS4 -QO4=bCk_#C0#_k43M +R:fjjNRlOQERhbeRsRHlk_M41qv_vqQt_#j_JGlkN__.H +_jSkm=M14_vv_qQ_tqjJ_#lNkG_S. +Qkj=M14_vv_qQ_tqjJ_#lNkG_H._;R +sfjj:ROlNEhRQesRbHhlR_j4j_SH +m_=h4_jjHQ +Sj_=h4;jj +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_HjS9 +mv=1_QqvtMq_#9rj +jSQ=_1vqtvQq#_M_jjr9s; +R:fjjNRlOQERhbeRsRHlhj_4. +_HShm=_.4j_SH +Qhj=_.4j;R +sfjj:ROlNEhRQesRbHhlR_d4j_SH +m_=h4_jdHQ +Sj_=h4;jd +fsRjR:jlENOReQhRHbslvR1_QqvtMq_#r_H4S9 +mv=1_QqvtMq_#9r4 +jSQ=_1vqtvQq#_M_4jr9s; +R:fjjNRlOQERhbeRsRHlhj_4n +_HShm=_n4j_SH +Qhj=_n4j;R +sfjj:ROlNEhRQesRbHBlRpji_j7j_4 +_HSBm=pji_j7j_4 +_HS=QjB_pij_jj7 +4;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3nkM_ OD_jjj_#b_$ +MOS#m=0CN0_OlNECHM\M3knD_O j_jj__b#O$M +jSQ=iBp_jjj_ +7jS=Q4B_pij_jj7H4_;R +sfjj:ROlNEhRq7b.RsRHlk_M4z_71j_jjQ +haSkm=Mz4_7j1_jQj_hja_ +jSQ=_71j_jj AhqpS +Qz4=7j1_jQj_hHa_;R +sfjj:ROlNEhRQesRbHzlR7j1_jQj_hja_3Ss +m7=z1j_jjh_Qa3_jk +MdS=Qj7j1_dOj_;R +sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_haj +3lSzm=7j1_jQj_hja_34kM +jSQ=1z7_jjj_aQh +4SQ=_71j_djOs; +R:fjjNRlOqERhR7.blsHR1z7_jjj_aQh_Mj3 +=Smz_71j_jjQ_hajM3kjQ +Sjj=q_SO +Qz4=7j1_jQj_hja_3dkM;R +sfjj:ROlNE)Rm.sRbHzlR7j1_jQj_hja_3Sb +m_=h4S. +Qzj=7j1_jQj_hja_34kM +4SQ=1z7_jjj_aQh_kj3M j;bjRf:VjRNCD#R7thR7th;R -sfjj:ROlNEhRQesRbHQlRujp_djj__3._sm -S=pQu_jjd_.j__M3kdQ -SjP=NCCO_G -b;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j.l_3 -=SmQ_upj_djj__.34kM -jSQ=pQu_.Or9Q -S4P=NCCO_G -b;sjRf:ljRNROEq.h7RHbsluRQpd_jj__j.M_3 -=SmQ_upj_djj__.3jkM -jSQ=pQu_jjd_.Or9Q -S4u=Qpd_jj__j.k_3M -d;sjRf:ljRNROEmR).blsHRpQu_jjd_.j__ +sfjj:ROlNEhRQesRbHklRM_4gV_bkOH#_ +=SmkgM4_kVb__O#HQ +SjM=k4Vg_bOk_#s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_.Hr9m +S=_1vqtvQqr_H.S9 +Q1j=vv_qQrtq. +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__HNncr9m +S=4h_4Sj +Qhj=_ +gdS=Q41qv_vqQt_.Hr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Ncj9r6 +=Smhj_4gQ +Sj_=hUHU_ +4SQ=_1vqtvQq9r.;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#N6cr9m +S=4h_jSU +Q1j=vv_qQrtqdS9 +Q#4=0CN0_OlNECHM\M3kdOj_Dj _j8j_js; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Ncj9rd +=Smhj_4nQ +Sj_=hUHU_ +4SQ=_1vqtvQq9rc;R +sfjj:ROlNEhRQesRbHBlRpji_j7j_j +_HSBm=pji_j7j_j +_HS=QjB_pij_jj7 +j;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_Nr +d9Shm=_64j +jSQ=iBp_jjj__7jHQ +S4v=1_Qqvt6qr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Ncj9r4 +=Smhj_4dQ +Sj_=hUHU_ +4SQ=_1vqtvQq9rn;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#N4c_r +j9Shm=_ +ggS=QjhU_U +4SQ=_1vqtvQq9rj;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r4 +=Sm1qv_vqQt_4Hr9Q +Sjv=1_Qqvt4qr9s; +R:fjjNRlOQERhbeRsRHl1qv_vqQt_jHr9m +S=_1vqtvQqr_HjS9 +Q1j=vv_qQrtqj +9;sjRf:ljRNROEq.h7RHbslMRk4v_1_Qqvt.q__NH_cm +S=gh_6Q +Sjv=1_QqvtHq_r +j9S=Q41qv_vqQt_4Hr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq__j#kJlGjN__ +NcS1m=vv_qQ_tqjJ_#lNkG +jSQ=iBp_jjj_ +7jS=Q41qv_vqQtr;c9 +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kdOj_Dj _j8j_jm +S=N#00lC_NHOEM3C\kjMd_ OD_jjj_ +8jS=Qj#00NCN_lOMEHCk\3M_4nO_D j_jj8Sj +Q#4=0CN0_OlNECHM\M3kdOc_Dj _j8j_js; +R:fjjNRlOqERhR7.blsHR4kM__1vqtvQq__j#kJlG.N_ +=Smk_M41qv_vqQt_#j_JGlkN__.HQ +Sj1=7_jjj_q hA_p jJ_#lNkG_SH +Q14=vv_qQ_tqjJ_#lNkG_ +H;sjRf:ljRNROEQRheblsHR_1vqtvQq__j#kJlG4N__SH +mv=1_Qqvtjq__l#Jk_GN4 +_HS=Qj1qv_vqQt_#j_JGlkN;_4 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_c9r4 +=Smhj_4.Q +Sjv=1_Qqvt(qr9Q +S4v=1_Qqvtjq__l#Jk_GN4s; +R:fjjNRlOqERhR7.blsHR4kM__1vqtvQq__nHc_N +=Smhn_g +jSQ=iBp_jjj_ +7jS=Q41qv_vqQtr;n9 +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +d9S1m=vv_qQ_tqH9rd +jSQ=_1vqtvQq9rd;R +sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3kdOj_Dj _j8j_j +_HS#m=0CN0_OlNECHM\M3kdOj_Dj _j8j_j +_HS=Qj#00NCN_lOMEHCk\3M_djO_D j_jj8 +j;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__HFncr9m +S=gh_d +_jS=QjA) )_SO +Q14=vv_qQrtq4 +9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_F_jjr9m +S=gh_j +_HS=Qj1qv_vqQt_4Hr9Q +S4v=1_QqvtHq_r;69 +fsRjR:jlENOReQhRHbsl RA)H)_ +=SmA) )_SH +QAj= _))Os; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rFcjS9 +m_=hUHU_ +jSQ=)A ) +_OS=Q4B_pij_jj7Hj_;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#H9rn +=Smhj_U_SH +QBj=pji_j7j_jQ +S4_=h4_4jHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_Mr +69S1m=vv_qQ_tqMj#_r +69S=Qjhj_4U +_HS=Q4hj_4g;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMc#r9m +S=_1vqtvQq#_M_cjr9Q +Sj_=h4_j(HQ +S4v=1_Qqvtjq__l#Jk_GNHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_Mr +d9S1m=vv_qQ_tqMj#_r +d9S=Qjhj_46 +_HS=Q4hj_4n;_H +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM4#r9m +S=_1vqtvQq#_M_4jr9Q +Sj_=h4_j.HQ +S4_=h4_jdHs; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rNc(S9 +m_=h4 +44S=QjB_pij_jj7Hj_ +4SQ=_1vqtvQq9r4;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0Mj#__rNd.S9 +m0=#N_0ClENOH\MC34kMjD_O j_jjj_8_S. +QOj=bCk_#40r9Q +S4b=Ok#_C09r.;R +sfjj:ROlNEhRq7b.RsRHlO_bkC_#0Mj#___Ndj9r. +=Smhn_4(Q +Sjb=Ok#_C09rj +4SQ=kOb_0C#r;d9 +fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jN4d_r +.9Shm=_U4n +jSQ=kOb_0C#_jHr9Q +S4b=Ok#_C0r_H4 +9;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\kUMd_ OD_jjj__8j4 +_HS#m=0CN0_OlNECHM\M3kdOU_Dj _j8j_j__4HQ +Sj0=#N_0ClENOH\MC3dkMUD_O j_jjj_8_ +4;sjRf:ljRNROEq.h7RHbslbROk#_C0#_M_NH_d9rd +=Smhn_4gQ +Sjb=Ok#_C09r. +4SQ=N#00lC_NHOEM3C\kUMd_ OD_jjj__8j4;_H +fsRjR:jlENOReQhRHbslbROk#_C0r_HdS9 +mb=Ok#_C0r_HdS9 +QOj=bCk_#d0r9s; +R:fjjNRlOqERhR7.blsHRkOb_0C#__M#Hd_N_djr9m +S=4h_(Sj +Qhj=_44( +4SQ=kOb_0C#_dHr9s; +R:fjjNRlOQERhbeRsRHlO_bkC_#0H9rj +=SmO_bkC_#0H9rj +jSQ=kOb_0C#r;j9 +fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jN4.r9m +S=4h_(S4 +QOj=bCk_#40r9Q +S4b=Ok#_C0r_Hj +9;sjRf:ljRNROEq.h7RHbslbROk#_C0#_M_dHr9m +S=4h_nHj_ +jSQ=4h_nHg_ +4SQ=4h_(Hj_;R +sfjj:ROlNEhRQesRbHOlRbCk_#H0_r +49SOm=bCk_#H0_r +49S=QjO_bkCr#04 +9;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3dkMUD_O j_jjj_8_S4 +m0=#N_0ClENOH\MC3dkMUD_O j_jjj_8_S4 +QOj=bCk_#d0r9Q +S4b=Ok#_C0r_H4 +9;sjRf:ljRNROEQRheblsHRiBp_jjj__7.Hm +S=iBp_jjj__7.HQ +Sjp=Bij_jj._7;R +sfjj:ROlNEhRQesRbHBlRpji_j7j_d +_HSBm=pji_j7j_d +_HS=QjB_pij_jj7 +d;sjRf:ljRNROEX.m)RHbslbROk#_C0 +#CSOm=bCk_#M0_#r_CjS9 +QOj=bCk_#j0r9Q +S40=#N_0ClENOH\MC3nkM_ OD_jjj_#b_$;MO +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4#_N_jjd +=Sm#00NCN_lOMEHCk\3MN4_#d_jj +_HS=Qjqj1_dHj_ +4SQ=)A );_O +fsRjR:jlENOReQhRHbslvR1_QqvtHq_r +n9S1m=vv_qQ_tqH9rn +jSQ=_1vqtvQq9rn;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Hc_Nr +.9Shm=_c4j +jSQ=gh_.Q +S4v=1_QqvtHq_r;n9 +fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMH#_r +.9Shm=__(6HQ +Sjp=Bij_jjj_7 +4SQ=4h_jHc_;R +sfjj:ROlNEhRQesRbH1lRvv_qQ_tqH9r6 +=Sm1qv_vqQt_6Hr9Q +Sjv=1_Qqvt6qr9s; +R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_FH_c9r. +=Smh._g_Sj +QAj= _))OQ +S4v=1_Qqvt6qr9s; +R:fjjNRlOqERhR7.blsHR.kM__N#j +djSkm=MN._#d_jjQ +Sj0=#N_0ClENOH\MC34kM__N#j_djHQ +S4M=k4v_1_Qqvtjq__l#Jk_GN.;_H +fsRjR:jlENOReQhRHbslpRBij_jjc_7_SH +mp=Bij_jjc_7_SH +QBj=pji_j7j_cs; +R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBHm +S=_q1j_djj_jj1BYh_SH +Qqj=1d_jjj_jjY_1h +B;sjRf:ljRNROEQRheblsHRpQu_jjd_jj__ +3sSQm=ujp_djj__3j_k +MdS=Qj#00NCN_lOMEHCk\3MOn_Dj _jbj__M#$Os; +R:fjjNRlOqERhR7.blsHRpQu_jjd_jj__ +3lSQm=ujp_djj__3j_k +M4S=QjQ_upO9rj +4SQ=N#00lC_NHOEM3C\k_MnO_D j_jjb$_#M +O;sjRf:ljRNROEq.h7RHbsluRQpd_jj__jjM_3 +=SmQ_upj_djj__j3jkM +jSQ=pQu_jjd_jOr9Q +S4u=Qpd_jj__jjk_3M +d;sjRf:ljRNROEmR).blsHRpQu_jjd_jj__ 3bShm=_ -4gS=QjQ_upj_djj__.34kM -4SQ=pQu_jjd_.j__M3kjs; +4(S=QjQ_upj_djj__j34kM +4SQ=pQu_jjd_jj__M3kjs; R:fjjNRlOQERhbeRsRHlQ_upj_djj__43Ss mu=Qpd_jj__j4k_3MSd -QNj=P_COC;Gb -fsRjR:jlENOR7qh.sRbHQlRujp_djj__34_lm -S=pQu_jjd_4j__M3k4Q -Sju=Qpr_O4S9 -QN4=P_COC;Gb -fsRjR:jlENOR7qh.sRbHQlRujp_djj__34_Mm -S=pQu_jjd_4j__M3kjQ -Sju=Qpd_jjr_O4S9 -QQ4=ujp_djj__34_k;Md -fsRjR:jlENOR.m)RHbsluRQpd_jj__j4b_3 -=SmhU_4 -jSQ=pQu_jjd_4j__M3k4Q -S4u=Qpd_jj__j4k_3M -j;sjRf:ljRNROEQRheblsHRpQu_jjd_jj__ -3sSQm=ujp_djj__3j_k -MdS=QjNOPC_bCG;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__j3Sl -mu=Qpd_jj__jjk_3MS4 +Q#j=0CN0_OlNECHM\M3knD_O j_jj__b#O$M;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__43Sl +mu=Qpd_jj__j4k_3MS4 QQj=uOp_r -j9S=Q4NOPC_bCG;R -sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__j3SM -mu=Qpd_jj__jjk_3MSj +49S=Q4#00NCN_lOMEHCk\3MOn_Dj _jbj__M#$Os; +R:fjjNRlOqERhR7.blsHRpQu_jjd_4j__ +3MSQm=ujp_djj__34_k +MjS=QjQ_upj_djO9r4 +4SQ=pQu_jjd_4j__M3kds; +R:fjjNRlOmER)b.RsRHlQ_upj_djj__43Sb +m_=h4SU +QQj=ujp_djj__34_k +M4S=Q4Q_upj_djj__43jkM;R +sfjj:ROlNEhRQesRbHQlRujp_djj__3._sm +S=pQu_jjd_.j__M3kdQ +Sj0=#N_0ClENOH\MC3nkM_ OD_jjj_#b_$;MO +fsRjR:jlENOR7qh.sRbHQlRujp_djj__3._lm +S=pQu_jjd_.j__M3k4Q +Sju=Qpr_O.S9 +Q#4=0CN0_OlNECHM\M3knD_O j_jj__b#O$M;R +sfjj:ROlNEhRq7b.RsRHlQ_upj_djj__.3SM +mu=Qpd_jj__j.k_3MSj QQj=ujp_dOj_r -j9S=Q4Q_upj_djj__j3dkM;R -sfjj:ROlNE)Rm.sRbHQlRujp_djj__3j_bm -S=4h_(Q -Sju=Qpd_jj__jjk_3MS4 -QQ4=ujp_djj__3j_k;Mj -fsRjR:jlENOReQhRHbslWR)_jjj_aQh_sj3 -=Sm)jW_jQj_hja_3dkM -jSQ=(h_6s; -R:fjjNRlOqERhR7.blsHR_)Wj_jjQ_haj -3lS)m=Wj_jjh_Qa3_jk -M4S=Qj#00NCN_lOMEHC)\3Wj_jjh_Qa -_dS=Q4h6_(;R -sfjj:ROlNEhRq7b.RsRHl)jW_jQj_hja_3SM -mW=)_jjj_aQh_kj3MSj -Q)j=Wj_jjh_QaQ -S4W=)_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR_)Wj_jjQ_haj -3bShm=_ -4dS=Qj)jW_jQj_hja_34kM -4SQ=_)Wj_jjQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHl7B1qiQ4_hja__l#Jk_GNHm -S=q71B_i4Q_hajJ_#lNkG_SH -Q7j=1iqB4h_Qa__j#kJlG -N;sjRf:ljRNROEQRheblsHRq71B_i4Q_haj -3sS7m=1iqB4h_Qa3_jk -MdS=Qj7B1qiQ4_h4a__l#Jk;GN -fsRjR:jlENOR7qh.sRbH7lR1iqB4h_Qa3_jlm -S=q71B_i4Q_hajM3k4Q -Sj1=7q4Bi_aQh -4SQ=q71B_i4Q_ha4J_#lNkG;R -sfjj:ROlNEhRq7b.RsRHl7B1qiQ4_hja_3SM -m1=7q4Bi_aQh_kj3MSj -Q7j=1iqB4h_Qa__j#kJlGHN_ -4SQ=q71B_i4Q_hajM3kds; -R:fjjNRlOmER)b.RsRHl7B1qiQ4_hja_3Sb -m_=h4Sj -Q7j=1iqB4h_Qa3_jk -M4S=Q47B1qiQ4_hja_3jkM;R -sfjj:ROlNEhRQesRbH7lR1j_jjh_ q Ap_sj3 -=Sm7j1_j j_hpqA 3_jk -MdS=Qjk_M.Nj#_d -j;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p j -3lS7m=1j_jjh_ q Ap_kj3MS4 -Q7j=1j_jjh_ q Ap -4SQ=.kM__N#j;dj -fsRjR:jlENOR7qh.sRbH7lR1j_jjh_ q Ap_Mj3 -=Sm7j1_j j_hpqA 3_jk -MjS=Qjk_M41qv_vqQt_#j_JGlkN -_.S=Q47j1_j j_hpqA 3_jk;Md -fsRjR:jlENOR.m)RHbsl1R7_jjj_q hA_p j -3bShm=_SU -Q7j=1j_jjh_ q Ap_kj3MS4 -Q74=1j_jjh_ q Ap_kj3M -j;sjRf:ljRNROEQRheblsHRgh_g -_HShm=__ggHQ +.9S=Q4Q_upj_djj__.3dkM;R +sfjj:ROlNE)Rm.sRbHQlRujp_djj__3._bm +S=4h_gQ +Sju=Qpd_jj__j.k_3MS4 +QQ4=ujp_djj__3._k;Mj +fsRjR:jlENOReQhRHbslbROk#_C0_#Cj +3sSOm=bCk_#C0#_kj3MSd +Q#j=0CN0_OlNECHM\M3knD_O j_jj__b#O$M;R +sfjj:ROlNEhRq7b.RsRHlO_bkC##0C3_jlm +S=kOb_0C##jC_34kM +jSQ=kOb_0C#_rM#4S9 +Q#4=0CN0_OlNECHM\M3knD_O j_jj__b#O$M;R +sfjj:ROlNEhRq7b.RsRHlO_bkC##0C3_jMm +S=kOb_0C##jC_3jkM +jSQ=kOb_0C#r +49S=Q4O_bkC##0C3_jk;Md +fsRjR:jlENOR.m)RHbslbROk#_C0_#Cj +3bSOm=bCk_#M0_#r_C4S9 +QOj=bCk_#C0#_kj3MS4 +QO4=bCk_#C0#_kj3M +j;sjRf:ljRNROEQRheblsHRkOb_0C##4C_3Ss +mb=Ok#_C0_#C4M3kdQ +Sj0=#N_0ClENOH\MC3nkM_ OD_jjj_#b_$;MO +fsRjR:jlENOR7qh.sRbHOlRbCk_#C0#_l43 +=SmO_bkC##0C3_4k +M4S=QjO_bkC_#0M.#r9Q +S40=#N_0ClENOH\MC3nkM_ OD_jjj_#b_$;MO +fsRjR:jlENOR7qh.sRbHOlRbCk_#C0#_M43 +=SmO_bkC##0C3_4k +MjS=QjO_bkCr#0.S9 +QO4=bCk_#C0#_k43M +d;sjRf:ljRNROEmR).blsHRkOb_0C##4C_3Sb +mb=Ok#_C0#_M_.Cr9Q +Sjb=Ok#_C0_#C4M3k4Q +S4b=Ok#_C0_#C4M3kjs; +R:fjjNRlOQERhbeRsRHlO_bkC##0C3_.sm +S=kOb_0C##.C_3dkM +jSQ=N#00lC_NHOEM3C\k_MnO_D j_jjb$_#M +O;sjRf:ljRNROEq.h7RHbslbROk#_C0_#C. +3lSOm=bCk_#C0#_k.3MS4 +Qhj=_j4n_SH +Q#4=0CN0_OlNECHM\M3knD_O j_jj__b#O$M;R +sfjj:ROlNEhRq7b.RsRHlO_bkC##0C3_.Mm +S=kOb_0C##.C_3jkM +jSQ=kOb_0C#r +d9S=Q4O_bkC##0C3_.k;Md +fsRjR:jlENOR.m)RHbslbROk#_C0_#C. +3bSOm=bCk_#M0_#r_CdS9 +QOj=bCk_#C0#_k.3MS4 +QO4=bCk_#C0#_k.3M +j;sjRf:ljRNROEQRheblsHRgh_6 +_HShm=__g6HQ Sj_=hg -g;sjRf:ljRNROEQRheblsHR_q1j_jjQ_haj -3sSqm=1j_jjh_Qa3_jk -MdS=Qjqj1_jQj_h4a__l#Jk;GN -fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa3_jlm -S=_q1j_jjQ_hajM3k4Q -Sj1=q_jjj_aQh -4SQ=_q1j_jjQ_ha4J_#lNkG;R -sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja_3SM -m1=q_jjj_aQh_kj3MSj -Qhj=__ggHQ -S41=q_jjj_aQh_kj3M -d;sjRf:ljRNROEmR).blsHR_q1j_jjQ_haj -3bShm=_S( -Qqj=1j_jjh_Qa3_jk -M4S=Q4qj1_jQj_hja_3jkM;R -sfjj:ROlNEhRQesRbHklRMq4_1d_jjj_jjY_1hjB__l#Jk_GN4__4Hm -S=4kM__q1j_djj_jj1BYh_#j_JGlkN__44 -_HS=Qjk_M4qj1_djj_j1j_Y_hBjJ_#lNkG_44_;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1hjB_3Ss +6;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z1 AhqpQ _hda__l#Jk +GNSqm=vqQt_1Az_q hA_p Q_hadJ_#lNkG +jSQ=gh_6 +_HS=Q4#00NCN_lOMEHCk\3ML6_o NO_jjd_0HM_H8_;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1h_ q Ap_aQh_#4_JGlkN +_.Sqm=vqQt_1Az_q hA_p Q_ha4J_#lNkG_S. +Qqj=vqQt_1Az_q hA_p Q_hadJ_#lNkG +4SQ=_q1j_djHs; +R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBj +3sSqm=1d_jjj_jjY_1hjB_3dkM +jSQ=4kM__q1j_djj_jj1BYh_#j_JGlkN;_4 +fsRjR:jlENOR7qh.sRbHqlR1d_jjj_jjY_1hjB_3Sl m1=q_jjd_jjj_h1YB3_jk -MdS=Qjk_M4qj1_djj_j1j_Y_hBjJ_#lNkG_ -4;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB3_jlm -S=_q1j_djj_jj1BYh_kj3MS4 -Qqj=1d_jjj_jjY_1hSB -Qk4=Mq4_1d_jjj_jjY_1hjB__l#Jk_GN4s; -R:fjjNRlOqERhR7.blsHR_q1j_djj_jj1BYh_Mj3 -=Smqj1_djj_j1j_Y_hBjM3kjQ -SjM=k41_q_jjd_jjj_h1YB__j#kJlG4N__H4_ +M4S=Qjqj1_djj_j1j_Y +hBS=Q4k_M4qj1_djj_j1j_Y_hBjJ_#lNkG_ +4;sjRf:ljRNROEq.h7RHbsl1Rq_jjd_jjj_h1YB3_jMm +S=_q1j_djj_jj1BYh_kj3MSj +Q#j=0CN0_OlNECHM\M3k4#_N_jjd 4SQ=_q1j_djj_jj1BYh_kj3M d;sjRf:ljRNROEmR).blsHR_q1j_djj_jj1BYh_bj3 =Smh _nS=Qjqj1_djj_j1j_Y_hBjM3k4Q S41=q_jjd_jjj_h1YB3_jk;Mj -fsRjR:jlENOReQhRHbslMRk4Vg_bOk_# -_HSkm=M_4gV_bkOH#_ -jSQ=4kMgb_Vk#_O;R -sfjj:ROlNEhRq7b.RsRHlk_M41qv_vqQt_Hn_ -=Smh6_(_Sj -Qhj=__ggHQ -S4v=1_QqvtHq_r;(9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_c9rn -=Smh4_4dQ -Sjv=1_Qqvt.qr9Q -S4P=NCCO_G -b;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_Nr -69Shm=_444 -jSQ=gh_. -_HS=Q41qv_vqQtr;d9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_c9rd -=Smhj_4UQ -Sjp=Bij_jj _h -4SQ=_1vqtvQq9r6;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Njc_r -49Shm=_n4j -jSQ=gh_4 -_HS=Q41qv_vqQtr;n9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_c9r4 -=Smhj_46Q -Sjv=1_Qqvt(qr9Q -S4v=1_Qqvtjq__l#Jk_GN4s; -R:fjjNRlOqERhR7.blsHR4kM__1vqtvQq__nHc_N -=Smhg_g -jSQ=_1vqtvQq9rn -4SQ=CNPOG_Cbs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq__j#kJlGjN__ -NcS1m=vv_qQ_tqjJ_#lNkG -jSQ=_1vqtvQq9rc -4SQ=CNPOG_Cbs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\)jW_jQj_hda_ -=Sm#00NCN_lOMEHC)\3Wj_jjh_Qa__djQ -SjW=)_SH -Q14=vv_qQ_tqH9r(;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MOn_Dj _jMj_Cm -S=N#00lC_NHOEM3C\k_MnO_D j_jjMHC_ -jSQ=N#00lC_NHOEM3C\k_McO_D j_jjMHC_ -4SQ=N#00lC_NHOEM3C\kjM4_ OD_jjj__MCHs; -R:fjjNRlOqERhR7.blsHRq71B_i4Q_ha4J_#lNkG -=Sm7B1qiQ4_h4a__l#Jk -GNS=Qj7B1qiQ4_hja__l#Jk_GNHQ -S4M=k41_q_jjd_jjj_h1YB__j#kJlG4N__ -4;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_#4_JGlkNm -S=_q1j_jjQ_ha4J_#lNkG -jSQ=gh_g -_HS=Q4k_M4qj1_djj_j1j_Y_hBjJ_#lNkG_44_;R -sfjj:ROlNEhRQesRbHQlR_44U -=Sm7Baqi -_HS=Qj7Baqi;_O -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kcD_O j_jjC_M -=Sm#00NCN_lOMEHCk\3MOc_Dj _jMj_CQ -Sja=7q_BiHQ -S4u=eq;_O -fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tqjJ_#lNkG_S. -mM=k4v_1_Qqvtjq__l#Jk_GN. -_HS=Qj7j1_j j_hpqA __j#kJlGHN_ -4SQ=_1vqtvQq__j#kJlGHN_;R -sfjj:ROlNEhRQesRbH1lRvv_qQ_tqjJ_#lNkG_H4_ -=Sm1qv_vqQt_#j_JGlkN__4HQ -Sjv=1_Qqvtjq__l#Jk_GN4s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Ncj9rn -=Smh4_4cQ -Sj_=h4_4c4Q -S4v=1_Qqvt4qr9s; -R:fjjNRlOQERhbeRsRHle_uqHm -S=qeu_SH -Qej=uOq_;R -sfjj:ROlNEhRQesRbHelRvQq_hHa_ -=Sme_vqQ_haHQ -Sjv=eqh_Qas; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_4Hr9m -S=_1vqtvQqr_H4S9 -Q1j=vv_qQrtq4 -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#__HF(cr9m -S=gh_6 -_jS=QjB_pij_jjhS -Q14=vv_qQrtq4 -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_F_j4r9m -S=gh_d -_HS=Qj1qv_vqQt_4Hr9Q -S4v=1_QqvtHq_r;69 -fsRjR:jlENOReQhRHbslpRBij_jj _h_SH -mp=Bij_jj _h_SH -QBj=pji_jhj_ s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M__Fcj9rj -=Smh._g_SH -QBj=pji_jhj_ Q -S40=#N_0ClENOH\MC3nkM_ OD_jjj_;MC -fsRjR:jlENOReQhRHbsl RA)H)_ -=SmA) )_SH -QAj= _))Os; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rFcjS9 -m_=hgH4_ -jSQ=)A ) -_OS=Q4NOPC_bCG_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#9rn -=Sm1qv_vqQt__M#j9rn -jSQ=4h_4Hd_ -4SQ=4h_4Hc_;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_rM#cS9 -mv=1_QqvtMq_#r_jcS9 -Qhj=_j44_SH -Q14=vv_qQ_tqjJ_#lNkG_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#9r. -=Sm1qv_vqQt__M#j9r. -jSQ=gh_g -_HS=Q4hj_4(;_H -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqM4#r9m -S=_1vqtvQq#_M_4jr9Q -Sj_=h4_j6HQ -S4_=h4_jnHs; -R:fjjNRlOQERhbeRsRHlqr_H4 -g9Sqm=_4HrgS9 -Qqj=_4Org -9;sjRf:ljRNROEq.h7RHbslMRk.OU_H_HMj._F -=Smh._.j -_jS=Qjqr_H. -j9S=Q4hd_d_ -H;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3ckM_NLoOj _jSj -m0=#N_0ClENOH\MC3ckM_NLoOj _jjj_ -jSQ=qAtBji_jOj_ -4SQ=CNPOG_Cb;_H -fsRjR:jlENOReQhRHbsl_RqHnr49m -S=Hq_r94n -jSQ=Oq_r94n;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1hHB_ -=Smqj1_djj_j1j_Y_hBHQ -Sj1=q_jjd_jjj_h1YBs; -R:fjjNRlOqERhR7.blsHR.kM__N#j -djSkm=MN._#d_jjQ -SjM=k41_q_jjd_jjj_h1YB__j#kJlG4N__S4 -Qk4=M14_vv_qQ_tqjJ_#lNkG_H._;R -sfjj:ROlNEhRQesRbHqlR1d_jjj_jjY_1hjB__l#Jk_GNHm -S=_q1j_djj_jj1BYh_#j_JGlkN -_HS=Qjqj1_djj_j1j_Y_hBjJ_#lNkG;R -sfjj:ROlNEhRq7b.RsRHlk_M4qj1_djj_j1j_Y_hBjJ_#lNkG_S4 -mM=k41_q_jjd_jjj_h1YB__j#kJlG4N_ -jSQ=_q1j_djj_jj1BYh_#j_JGlkN -_HS=Q4k_M4qj1_djj_j1j_Y_hBjJ_#lNkG_44_;R -sfjj:ROlNEhRQesRbHQlR_.4U +fsRjR:jlENOReQhRHbsl1R7_jjj_q hA_p j +3sS7m=1j_jjh_ q Ap_kj3MSd +Qkj=MN._#d_jjs; +R:fjjNRlOqERhR7.blsHR_71j_jj Ahqpj _3Sl +m1=7_jjj_q hA_p jM3k4Q +Sj1=7_jjj_q hA +p S=Q4k_M.Nj#_d +j;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q hA_p j +3MS7m=1j_jjh_ q Ap_kj3MSj +Qkj=M14_vv_qQ_tqjJ_#lNkG_S. +Q74=1j_jjh_ q Ap_kj3M +d;sjRf:ljRNROEmR).blsHR_71j_jj Ahqpj _3Sb +m_=hUQ +Sj1=7_jjj_q hA_p jM3k4Q +S41=7_jjj_q hA_p jM3kjs; +R:fjjNRlOQERhbeRsRHlp_71j_jjQ_haj +3sSpm=7j1_jQj_hja_3dkM +jSQ=_71j_djOs; +R:fjjNRlOqERhR7.blsHR1p7_jjj_aQh_lj3 +=Smp_71j_jjQ_hajM3k4Q +Sj7=p1j_jjh_QaQ +S41=7_jjd_ +O;sjRf:ljRNROEq.h7RHbsl7Rp1j_jjh_Qa3_jMm +S=1p7_jjj_aQh_kj3MSj +Q#j=0CN0_OlNECHM\M3k(#_8_jjd_SH +Qp4=7j1_jQj_hja_3dkM;R +sfjj:ROlNE)Rm.sRbHplR7j1_jQj_hja_3Sb +m_=h4S4 +Qpj=7j1_jQj_hja_34kM +4SQ=1p7_jjj_aQh_kj3M +j;sjRf:ljRNROEQRheblsHRqAtBji_dQj_hja_3Ss +mt=Aq_Bij_djQ_hajM3kdQ +Sj0=#N_0ClENOH\MC3nkM_NLoOj _j +j;sjRf:ljRNROEq.h7RHbsltRAq_Bij_djQ_haj +3lSAm=tiqB_jjd_aQh_kj3MS4 +QAj=tiqB_jjj_SO +Q#4=0CN0_OlNECHM\M3kno_LN_O j;jj +fsRjR:jlENOR7qh.sRbHAlRtiqB_jjd_aQh_Mj3 +=SmABtqid_jjh_Qa3_jk +MjS=QjABtqid_jjh_QaQ +S4t=Aq_Bij_djQ_hajM3kds; +R:fjjNRlOmER)b.RsRHlABtqid_jjh_Qa3_jbm +S=4h_6Q +Sjt=Aq_Bij_djQ_hajM3k4Q +S4t=Aq_Bij_djQ_hajM3kjs; +R:fjjNRlOqERhR7.blsHR4kM_1p7_jjj_aQh +=Smk_M4p_71j_jjQ_hajQ +Sj1=7_jjj_q hA +p S=Q4p_71j_jjQ_haHs; +R:fjjNRlOQERhbeRsRHlqj1_djj_j1j_Y_hBjJ_#lNkG_SH +m1=q_jjd_jjj_h1YB__j#kJlGHN_ +jSQ=_q1j_djj_jj1BYh_#j_JGlkNs; +R:fjjNRlOqERhR7.blsHR4kM__q1j_djj_jj1BYh_#j_JGlkN +_4Skm=Mq4_1d_jjj_jjY_1hjB__l#Jk_GN4Q +Sj1=q_jjd_jjj_h1YB__j#kJlGHN_ +4SQ=N#00lC_NHOEM3C\k_M4Nj#_dHj_;R +sfjj:ROlNEhRQesRbHQlR_j4U =Smqj1_dHj_ jSQ=_q1j_djOs; -R:fjjNRlOqERhR7.blsHR4kM__q1j_djj_jj1BYh_#j_JGlkN__44m -S=4kM__q1j_djj_jj1BYh_#j_JGlkN__44Q -Sj1=q_jjd_SH -QA4= _))Os; -R:fjjNRlOQERhbeRsRHlNOPC_bCG_SH -mP=NCCO_GHb_ -jSQ=CNPOG_Cbs; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_rNc.S9 -m_=h4 -j(S=Qjh4_4c -_4S=Q41qv_vqQtr;69 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_cr_4.S9 -m_=h4_4c4Q -Sj =A)O)_ -4SQ=iBp_jjj__h Hs; -R:fjjNRlOQERhbeRsRHl1qv_vqQt_dHr9m -S=_1vqtvQqr_HdS9 -Q1j=vv_qQrtqd -9;sjRf:ljRNROEQRheblsHR_1vqtvQqr_HjS9 -mv=1_QqvtHq_r -j9S=Qj1qv_vqQtr;j9 -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p Q_ha4J_#lNkG_S. -mv=qQ_tqA_z1 AhqpQ _h4a__l#Jk_GN.Q -Sjv=qQ_tqA_z1 AhqpQ _hda__l#Jk -GNS=Q4qj1_dHj_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_4jLjo_dSj -m0=#N_0ClENOH\MC34kMjo_L_jjd_Sj -QAj=td_jj__OHQ -S40=#N_0ClENOH\MC3UkM__Loj_djHs; -R:fjjNRlOqERhR7.blsHR4kM_QqvtAq_z 1_hpqA h_Qa__.#kJlGSN -mM=k4v_qQ_tqA_z1 AhqpQ _h.a__l#Jk_GNjQ -Sjv=qQ_tqA_z1 AhqpQ _h4a__l#Jk_GN. -_HS=Q4qtvQqz_A1h_ q Ap_aQh_#._JGlkN;_H -fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tq.__HNSc -m_=hgSU -Q1j=vv_qQ_tqH9rj -4SQ=_1vqtvQqr_H4 -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_j.r9m -S=4h_jSd -Qhj=_ -g4S=Q41qv_vqQtr;j9 -fsRjR:jlENOR7qh.sRbH1lRvv_qQ_tqMN#_cr_jdS9 -m_=h4 -jgS=Qjh4_g_SH -Q14=vv_qQrtqc -9;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#c_N_6jr9m -S=4h_4S. -Qhj=__g4HQ -S4v=1_Qqvt.qr9s; -R:fjjNRlOqERhR7.blsHR_1vqtvQq#_M_NH_c9r( -=Smh4_46Q -Sj_=hgS6 -Q14=vv_qQ_tqH9rj;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt_rM#dS9 -mv=1_QqvtMq_#r_jdS9 -Qhj=_U4j_SH -Qh4=_g4j_ -H;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#9r6 -=Sm1qv_vqQt__M#j9r6 -jSQ=4h_4H4_ -4SQ=4h_4H._;R -sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#H9r( -=Smhc_U_SH -Qhj=_d4j_SH -Qh4=_644_ -H;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H(S9 -mv=1_QqvtHq_r -(9S=Qj1qv_vqQtr;(9 -fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haj -3sSAm=tiqB_jjd_aQh_kj3MSd -Q#j=0CN0_OlNECHM\M3kco_LN_O j;jj -fsRjR:jlENOR7qh.sRbHAlRtiqB_jjd_aQh_lj3 -=SmABtqid_jjh_Qa3_jk -M4S=QjABtqij_jj -_OS=Q4#00NCN_lOMEHCk\3MLc_o NO_jjj;R -sfjj:ROlNEhRq7b.RsRHlABtqid_jjh_Qa3_jMm -S=qAtBji_dQj_hja_3jkM -jSQ=qAtBji_dQj_hSa -QA4=tiqB_jjd_aQh_kj3M -d;sjRf:ljRNROEmR).blsHRqAtBji_dQj_hja_3Sb -m_=h4Sn -QAj=tiqB_jjd_aQh_kj3MS4 -QA4=tiqB_jjd_aQh_kj3M -j;sjRf:ljRNROEQRheblsHRHq_r94( +R:fjjNRlOQERhbeRsRHlqr_H4 +n9Sqm=_4HrnS9 +Qqj=_4Orn +9;sjRf:ljRNROEQRheblsHRHq_r94g =Smqr_H4 -(9S=Qjqr_O4;(9 +g9S=Qjqr_O4;g9 fsRjR:jlENOReQhRHbsl_RqHUr49m S=Hq_r94U jSQ=Oq_r94U;R -sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3kUo_LN_O j_djH_M0Hm -S=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0 -_HS=Qj#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM;R -sfjj:ROlNEhRQesRbHqlR1j_jjv_7q3_jsm -S=_q1j_jj7_vqjM3kdQ -Sj1=q_jjj_q7v_#4_JGlkNs; -R:fjjNRlOqERhR7.blsHR_q1j_jj7_vqj -3lSqm=1j_jjv_7q3_jk -M4S=Qjqj1_j7j_vSq -Qq4=1j_jjv_7q__4#kJlG -N;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_Mj3 -=Smqj1_j7j_vjq_3jkM -jSQ=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0 -_HS=Q4qj1_j7j_vjq_3dkM;R -sfjj:ROlNE)Rm.sRbHqlR1j_jjv_7q3_jbm -S=ch_ -jSQ=_q1j_jj7_vqjM3k4Q -S41=q_jjj_q7v_kj3M -j;sjRf:ljRNROEQRheblsHR_71j_jj7_vqj -3sS7m=1j_jjv_7q3_jk -MdS=Qj7j1_j7j_v4q__l#Jk;GN -fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q3_jlm -S=_71j_jj7_vqjM3k4Q -Sj1=7_jjj_q7v -4SQ=_71j_jj7_vq4J_#lNkG;R -sfjj:ROlNEhRq7b.RsRHl7j1_j7j_vjq_3SM -m1=7_jjj_q7v_kj3MSj -Q#j=0CN0_OlNECHM\137_jjj_q7v_Sd -Q74=1j_jjv_7q3_jk;Md -fsRjR:jlENOR.m)RHbsl1R7_jjj_q7v_bj3 -=Smh -_dS=Qj7j1_j7j_vjq_34kM -4SQ=_71j_jj7_vqjM3kjs; -R:fjjNRlOQERhbeRsRHl7j1_j7j_v4q__l#Jk_GN4 -_HS7m=1j_jjv_7q__4#kJlG4N__SH -Q7j=1j_jjv_7q__4#kJlG4N_;R -sfjj:ROlNEhRQesRbH)lRWj_jjv_7q3_jsm -S=_)Wj_jj7_vqjM3kdQ -Sj1=q_jjj_q7v_#4_JGlkNs; -R:fjjNRlOqERhR7.blsHR_)Wj_jj7_vqj -3lS)m=Wj_jjv_7q3_jk -M4S=Qj)jW_j7j_vSq -Qq4=1j_jjv_7q__4#kJlG -N;sjRf:ljRNROEq.h7RHbslWR)_jjj_q7v_Mj3 -=Sm)jW_j7j_vjq_3jkM -jSQ=_71j_jj7_vq4J_#lNkG_H4_ -4SQ=_)Wj_jj7_vqjM3kds; -R:fjjNRlOmER)b.RsRHl)jW_j7j_vjq_3Sb -m_=h.Q -SjW=)_jjj_q7v_kj3MS4 -Q)4=Wj_jjv_7q3_jk;Mj -fsRjR:jlENOReQhRHbslpRBid_jj__]j -3sSBm=pji_d]j__kj3MSd -Q)j=1Oa_;R -sfjj:ROlNEhRq7b.RsRHlB_pij_dj]3_jlm -S=iBp_jjd_j]_34kM -jSQ=N#00lC_NHOEM3C\B_pij_dj] -_.S=Q4)_1aOs; -R:fjjNRlOqERhR7.blsHRiBp_jjd_j]_3SM -mp=Bid_jj__]jM3kjQ -Sjp=Bid_jj -_]S=Q4B_pij_dj]3_jk;Md -fsRjR:jlENOR.m)RHbslpRBid_jj__]j -3bShm=_S4 -QBj=pji_d]j__kj3MS4 -QB4=pji_d]j__kj3M -j;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA h_Qa3_jsm +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MLn_o NO_jjj +=Sm#00NCN_lOMEHCk\3MLn_o NO_jjj_Sj +QAj=tiqB_jjj_SO +Q#4=0CN0_OlNECHM\M3knD_O j_jj__b#O$M_ +H;sjRf:ljRNROEq.h7RHbsl1R7_jjj_q7v_#4_JGlkNm +S=_71j_jj7_vq4J_#lNkG +jSQ=_71j_jj7_vq4J_#lNkG_S4 +Q#4=0CN0_OlNECHM\M3k.Lc_o NO_jjd_0HM_ +H;sjRf:ljRNROEq.h7RHbslpRBid_jj__]4J_#lNkG_S4 +mp=Bid_jj__]4J_#lNkG_S4 +Qqj=1j_jjv_7q +_HS=Q4#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM;R +sfjj:ROlNEhRQesRbHBlRpji_dHj_ +=SmB_pij_djHQ +Sjp=Bid_jj;_O +fsRjR:jlENOReQhRHbsl1Rq_jjj_q7v_SH +m1=q_jjj_q7v_SH +Qqj=1j_jjv_7qs; +R:fjjNRlOqERhR7.blsHRiBp_jjd_4]__l#Jk +GNSBm=pji_d]j__#4_JGlkNQ +Sj1=q_jjj_q7v_SH +QB4=pji_dHj_;R +sfjj:ROlNEhRQesRbHQlR_.4U +=Smqj1_jHj_ +jSQ=_q1j_jjOs; +R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)jd_N_4j_ +=Smh(_46 +_4S=Qjqj1_jHj_ +4SQ=qAtBji_dQj_hHa_;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM +=Sm#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM +jSQ=4h_(46_ +4SQ=N#00lC_NHOEM3C\kjM4_NLoOj _dHj_M +0;sjRf:ljRNROEQRheblsHRQqvtAq_z 1_hpqA h_Qa3_jsm S=QqvtAq_z 1_hpqA h_Qa3_jk MdS=Qjk_M4qtvQqz_A1h_ q Ap_aQh_#._JGlkNs; R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA h_Qa3_jlm @@ -2493,6 +2351,356 @@ fsRjR:jlENOR.m)RHbslvRqQ_tqA_z1 AhqpQ _hja_3Sb m_=h6Q Sjv=qQ_tqA_z1 AhqpQ _hja_34kM 4SQ=QqvtAq_z 1_hpqA h_Qa3_jk;Mj +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kdo_LN_O j_djH_M08m +S=N#00lC_NHOEM3C\k_MdLOoN d_jjM_H0 +_8S=QjABtqid_jjh_QaQ +S4t=Aq_Bij_djQ_ha7;_H +fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p Q_ha4J_#lNkG_S4 +mv=qQ_tqA_z1 AhqpQ _h4a__l#Jk_GN4Q +Sjt=Aq_Bij_djQ +haS=Q41qv_vqQt_#j_JGlkN__4Hs; +R:fjjNRlOqERhR7.blsHR4kM_QqvtAq_z 1_hpqA h_Qa__.#kJlGSN +mM=k4v_qQ_tqA_z1 AhqpQ _h.a__l#Jk_GNjQ +Sjv=qQ_tqA_z1 AhqpQ _h4a__l#Jk_GN. +_HS=Q4qtvQqz_A1h_ q Ap_aQh_#._JGlkN;_H +fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haHm +S=qAtBji_dQj_hHa_ +jSQ=qAtBji_dQj_h +a;sjRf:ljRNROEQRheblsHRqAtBji_dQj_h7a__SH +mt=Aq_Bij_djQ_ha7 +_HS=QjABtqid_jjh_Qa;_7 +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k6o_LN_O j_djH_M08m +S=N#00lC_NHOEM3C\k_M6LOoN d_jjM_H0__8HQ +Sjt=Aq_Bij_djQ +haS=Q4ABtqid_jjh_Qa;_7 +fsRjR:jlENOReQhRHbslpRBid_jj__]4J_#lNkG_H4_ +=SmB_pij_dj]__4#kJlG4N__SH +QBj=pji_d]j__#4_JGlkN;_4 +fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_sj3 +=Sm7j1_j7j_vjq_3dkM +jSQ=_71j_jj7_vq4J_#lNkG;R +sfjj:ROlNEhRq7b.RsRHl7j1_j7j_vjq_3Sl +m1=7_jjj_q7v_kj3MS4 +Q7j=1j_jjv_7qQ +S41=7_jjj_q7v_#4_JGlkNs; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vqj +3MS7m=1j_jjv_7q3_jk +MjS=QjB_pij_dj]__4#kJlG4N__SH +Q74=1j_jjv_7q3_jk;Md +fsRjR:jlENOR.m)RHbsl1R7_jjj_q7v_bj3 +=Smh +_dS=Qj7j1_j7j_vjq_34kM +4SQ=_71j_jj7_vqjM3kjs; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM_SH +m0=#N_0ClENOH\MC3UkM_NLoOj _dHj_MH0_ +jSQ=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0s; +R:fjjNRlOQERhbeRsRHlqj1_j7j_vjq_3Ss +m1=q_jjj_q7v_kj3MSd +Qqj=1j_jjv_7q__4#kJlG +N;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_q7v_lj3 +=Smqj1_j7j_vjq_34kM +jSQ=_q1j_jj7 +vqS=Q4qj1_j7j_v4q__l#Jk;GN +fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q3_jMm +S=_q1j_jj7_vqjM3kjQ +Sj0=#N_0ClENOH\MC3UkM_NLoOj _dHj_MH0_ +4SQ=_q1j_jj7_vqjM3kds; +R:fjjNRlOmER)b.RsRHlqj1_j7j_vjq_3Sb +m_=hcQ +Sj1=q_jjj_q7v_kj3MS4 +Qq4=1j_jjv_7q3_jk;Mj +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k.Lc_o NO_jjd_0HM +=Sm#00NCN_lOMEHCk\3M_.cLOoN d_jjM_H0Q +Sjp=Bid_jj +_]S=Q4B_pij_djOs; +R:fjjNRlOQERhbeRsRHlQU_4cm +S=1z7_jjj_SH +Qzj=7j1_jOj_;R +sfjj:ROlNEhRQesRbHQlR_64U +=Smp_71j_jjHQ +Sj7=p1j_jj;_O +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4Lj_o NO_jjd_0HM +=Sm#00NCN_lOMEHCk\3M_4jLOoN d_jjM_H0 +_jS=Qjp_71j_jjOQ +S47=z1j_jj;_O +fsRjR:jlENOR7qh.sRbHqlR1j_jjv_7q__4#kJlGSN +m1=q_jjj_q7v_#4_JGlkNQ +Sjp=Bid_jj +_HS=Q4#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCq\3jv_7q +_.S#m=0CN0_OlNECHM\j3q_q7v_S. +Qzj=7j1_jOj_ +4SQ=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0s; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\B_pij_dj]__.VS4 +m0=#N_0ClENOH\MC3iBp_jjd_.]___V4jQ +Sjp=Bid_jj__]4J_#lNkG_SH +QB4=pji_d]j__ +H;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3iBp_jjd_.]__ +VjS#m=0CN0_OlNECHM\p3Bid_jj__].Q +Sj0=#N_0ClENOH\MC3iBp_jjd_.]__ +V4S=Q4#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM;R +sfjj:ROlNEhRQesRbHQlR_d4U +=Sm)jW_jHj_ +jSQ=_)Wj_jjOs; +R:fjjNRlOqERhR7.blsHR_71j_jj7_vq4J_#lNkG_S4 +m1=7_jjj_q7v_#4_JGlkN +_4S=Qj)jW_jHj_ +4SQ=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0s; +R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_.cLOoN d_jjM_H0 +_HS#m=0CN0_OlNECHM\M3k.Lc_o NO_jjd_0HM_SH +Q#j=0CN0_OlNECHM\M3k.Lc_o NO_jjd_0HM;R +sfjj:ROlNEhRQesRbHQlR_(4U +=Sm)HW_ +jSQ=_)WOs; +R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)jd_N +=Smh(_4cQ +Sjt=Aq_Bij_djQ +haS=Q4)HW_;R +sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)_ +=SmqtvQqz_A1q_7a7q_QO)__Sj +Qhj=_c4(_SH +Qh4=_64(_ +H;sjRf:ljRNROEQRheblsHRXM uu_1q_B Hm +S=XM uu_1q_B HQ +Sj =MX1u_u qB_ +O;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kMnD_O j_jjj_8 +=Sm#00NCN_lOMEHCk\3M_4nO_D j_jj8Sj +QBj=pji_j7j_j +_HS=Q4B_pij_jj7 +4;sjRf:ljRNROEQRheblsHR_1vqtvQqr_H(S9 +mv=1_QqvtHq_r +(9S=Qj1qv_vqQtr;(9 +fsRjR:jlENOReQhRHbsl_Rh4_44Hm +S=4h_4H4_ +jSQ=4h_4 +4;sjRf:ljRNROEq.h7RHbslvR1_QqvtMq_#9r( +=Sm1qv_vqQt__M#j9r( +jSQ=4h_4H4_ +4SQ=4h_4H._;R +sfjj:ROlNEhRq7b.RsRHl1qv_vqQt__M#Njc_r +(9Shm=_.44 +jSQ=Uh_U +_HS=Q41qv_vqQtr;j9 +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kdOc_Dj _j8j_jm +S=N#00lC_NHOEM3C\kcMd_ OD_jjj__8jjQ +Sj0=#N_0ClENOH\MC3dkM.D_O j_jjj_8_SH +Q#4=0CN0_OlNECHM\M3kdOU_Dj _j8j_j;_H +fsRjR:jlENOReQhRHbsl_RQ4 +UnS7m=aiqB_SH +Q7j=aiqB_ +O;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3dkM.D_O j_jjj_8 +=Sm#00NCN_lOMEHCk\3M_d.O_D j_jj8Sj +Q7j=aiqB_SH +Qe4=uOq_;R +sfjj:ROlNEhRQesRbHBlRpji_d]j__sj3 +=SmB_pij_dj]3_jk +MdS=Qj)_1aOs; +R:fjjNRlOqERhR7.blsHRiBp_jjd_j]_3Sl +mp=Bid_jj__]jM3k4Q +Sj0=#N_0ClENOH\MC3iBp_jjd_.]_ +4SQ=a)1_ +O;sjRf:ljRNROEq.h7RHbslpRBid_jj__]j +3MSBm=pji_d]j__kj3MSj +QBj=pji_d]j_ +4SQ=iBp_jjd_j]_3dkM;R +sfjj:ROlNE)Rm.sRbHBlRpji_d]j__bj3 +=Smh +_4S=QjB_pij_dj]3_jk +M4S=Q4B_pij_dj]3_jk;Mj +fsRjR:jlENOReQhRHbsl1R7_jjj_q7v_#4_JGlkN__4Hm +S=_71j_jj7_vq4J_#lNkG_H4_ +jSQ=_71j_jj7_vq4J_#lNkG_ +4;sjRf:ljRNROEQRheblsHR_)Wj_jj7_vqj +3sS)m=Wj_jjv_7q3_jk +MdS=Qjqj1_j7j_v4q__l#Jk;GN +fsRjR:jlENOR7qh.sRbH)lRWj_jjv_7q3_jlm +S=_)Wj_jj7_vqjM3k4Q +SjW=)_jjj_q7v +4SQ=_q1j_jj7_vq4J_#lNkG;R +sfjj:ROlNEhRq7b.RsRHl)jW_j7j_vjq_3SM +mW=)_jjj_q7v_kj3MSj +Q7j=1j_jjv_7q__4#kJlG4N__SH +Q)4=Wj_jjv_7q3_jk;Md +fsRjR:jlENOR.m)RHbslWR)_jjj_q7v_bj3 +=Smh +_.S=Qj)jW_j7j_vjq_34kM +4SQ=_)Wj_jj7_vqjM3kjs; +R:fjjNRlOqERhR7.blsHRq71B_i4Q_ha4J_#lNkG +=Sm7B1qiQ4_h4a__l#Jk +GNS=Qj7B1qiQ4_hja__l#Jk_GNHQ +S40=#N_0ClENOH\MC34kM__N#j_djHs; +R:fjjNRlOQERhbeRsRHlhn_46 +_HShm=_64n_jH_ +jSQ=4h_n +6;sjRf:ljRNROEQRheblsHRqev_aQh_sj3 +=Sme_vqQ_hajM3kdQ +Sj0=#N_0ClENOH\MC34kM.D_O j_jjj_8;R +sfjj:ROlNEhRq7b.RsRHle_vqQ_haj +3lSem=vQq_hja_34kM +jSQ=4h_nH6__Sj +Q#4=0CN0_OlNECHM\M3k4O._Dj _j8j_js; +R:fjjNRlOqERhR7.blsHRqev_aQh_Mj3 +=Sme_vqQ_hajM3kjQ +Sjv=eqh_QaQ +S4v=eqh_Qa3_jk;Md +fsRjR:jlENOR.m)RHbslvReqh_Qa3_jbm +S=4h_cQ +Sjv=eqh_Qa3_jk +M4S=Q4e_vqQ_hajM3kjs; +R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k.M4_ OD_jjj_ +8jS#m=0CN0_OlNECHM\M3k4O._Dj _j8j_j +_jS=Qj#00NCN_lOMEHCk\3MO6_Dj _j8j_j +_HS=Q4#00NCN_lOMEHCk\3M_4jO_D j_jj8Hj_;R +sfjj:ROlNEhRQesRbHOlRbCk_#H0_r +.9SOm=bCk_#H0_r +.9S=QjO_bkCr#0. +9;sjRf:ljRNROEq.h7RHbslbROk#_C0#_M_Nj_d9r4 +=Smhn_4dQ +Sjb=Ok#_C0r_H.S9 +QO4=bCk_#H0_r;d9 +fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jNjd_r +49Shm=_c4n +jSQ=kOb_0C#r +.9S=Q4O_bkCr#0d +9;sjRf:ljRNROEq.h7RHbslbROk#_C0#_M_Nj_dr_44S9 +m_=h4 +n6S=QjO_bkCr#0jS9 +QO4=bCk_#H0_r;49 +fsRjR:jlENOReQhRHbsluReq +_HSem=uHq_ +jSQ=qeu_ +O;sjRf:ljRNROEQRheblsHRqev_aQh_SH +mv=eqh_Qa +_HS=Qje_vqQ;ha +fsRjR:jlENOReQhRHbsluReq__7Hm +S=qeu_H7_ +jSQ=qeu_ +7;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3dkM4o_LN_O j_djH +M0S#m=0CN0_OlNECHM\M3kdL4_o NO_jjd_0HM +jSQ=1p7_jjj_SH +Qz4=7j1_jHj_;R +sfjj:ROlNEhRQesRbH#lR0CN0_OlNECHM\M3kdL4_o NO_jjd_0HM_SH +m0=#N_0ClENOH\MC3dkM4o_LN_O j_djH_M0HQ +Sj0=#N_0ClENOH\MC3dkM4o_LN_O j_djH;M0 +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\Q31Z7 _vcq_r +j9S#m=0CN0_OlNECHM\Q31Z7 _vcq__jjr9Q +Sj0=#N_0ClENOH\MC3UkM_NLoOj _dHj_MS0 +Q#4=0CN0_OlNECHM\M3kdL4_o NO_jjd_0HM;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHC1\3Q_Z 7_vqc9r4 +=Sm#00NCN_lOMEHC1\3Q_Z 7_vqcr_j4S9 +Q#j=0CN0_OlNECHM\M3kUo_LN_O j_djH +M0S=Q4#00NCN_lOMEHCk\3M_d4LOoN d_jjM_H0;_H +fsRjR:jlENOReQhRHbsl_RqHcr.9m +S=Hq_r9.c +jSQ=Oq_r9.c;R +sfjj:ROlNEhRQesRbHqlR_.Hr(S9 +m_=qH(r.9Q +Sj_=qO(r.9s; +R:fjjNRlOQERhbeRsRHlqr_H. +n9Sqm=_.HrnS9 +Qqj=_.Orn +9;sjRf:ljRNROEQRheblsHRHq_r9.g +=Smqr_H. +g9S=Qjqr_O.;g9 +fsRjR:jlENOReQhRHbsl_RqHUr.9m +S=Hq_r9.U +jSQ=Oq_r9.U;R +sfjj:ROlNEhRQesRbHqlR_dHr4S9 +m_=qH4rd9Q +Sj_=qO4rd9s; +R:fjjNRlOQERhbeRsRHlqr_Hd +j9Sqm=_dHrjS9 +Qqj=_dOrj +9;sjRf:ljRNROEQRheblsHR_q1j_jjQ_haj +3sSqm=1j_jjh_Qa3_jk +MdS=Qjqj1_jQj_h4a__l#Jk;GN +fsRjR:jlENOR7qh.sRbHqlR1j_jjh_Qa3_jlm +S=_q1j_jjQ_hajM3k4Q +Sj1=q_jjj_aQh +4SQ=_q1j_jjQ_ha4J_#lNkG;R +sfjj:ROlNEhRq7b.RsRHlqj1_jQj_hja_3SM +m1=q_jjj_aQh_kj3MSj +Qhj=__gnHQ +S41=q_jjj_aQh_kj3M +d;sjRf:ljRNROEmR).blsHR_q1j_jjQ_haj +3bShm=_S( +Qqj=1j_jjh_Qa3_jk +M4S=Q4qj1_jQj_hja_3jkM;R +sfjj:ROlNEhRQesRbH7lR1iqB4h_Qa3_jsm +S=q71B_i4Q_hajM3kdQ +Sj1=7q4Bi_aQh_#4_JGlkNs; +R:fjjNRlOqERhR7.blsHRq71B_i4Q_haj +3lS7m=1iqB4h_Qa3_jk +M4S=Qj7B1qiQ4_hSa +Q74=1iqB4h_Qa__4#kJlG +N;sjRf:ljRNROEq.h7RHbsl1R7q4Bi_aQh_Mj3 +=Sm7B1qiQ4_hja_3jkM +jSQ=q71B_i4Q_hajJ_#lNkG_SH +Q74=1iqB4h_Qa3_jk;Md +fsRjR:jlENOR.m)RHbsl1R7q4Bi_aQh_bj3 +=Smhj_4 +jSQ=q71B_i4Q_hajM3k4Q +S41=7q4Bi_aQh_kj3M +j;sjRf:ljRNROEQRheblsHR_)Wj_jjQ_haj +3sS)m=Wj_jjh_Qa3_jk +MdS=Qjh4_(;R +sfjj:ROlNEhRq7b.RsRHl)jW_jQj_hja_3Sl +mW=)_jjj_aQh_kj3MS4 +Q#j=0CN0_OlNECHM\W3)_jjj_aQh_Sd +Qh4=_;(4 +fsRjR:jlENOR7qh.sRbH)lRWj_jjh_Qa3_jMm +S=_)Wj_jjQ_hajM3kjQ +SjW=)_jjj_aQh +4SQ=_)Wj_jjQ_hajM3kds; +R:fjjNRlOmER)b.RsRHl)jW_jQj_hja_3Sb +m_=h4Sd +Q)j=Wj_jjh_Qa3_jk +M4S=Q4)jW_jQj_hja_3jkM;R +sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHC)\3Wj_jjh_Qa +_dS#m=0CN0_OlNECHM\W3)_jjj_aQh_jd_ +jSQ=_)WHQ +S4v=1_QqvtHq_r;(9 +fsRjR:jlENOR7qh.sRbHklRM14_vv_qQ_tqn +_HShm=__(4jQ +Sj_=hgHn_ +4SQ=_1vqtvQqr_H( +9;sjRf:ljRNROEQRheblsHRgh_n +_HShm=__gnHQ +Sj_=hg +n;sjRf:ljRNROEq.h7RHbsl1Rq_jjj_aQh_#4_JGlkNm +S=_q1j_jjQ_ha4J_#lNkG +jSQ=gh_n +_HS=Q4#00NCN_lOMEHCk\3MN4_#d_jj;_H +fsRjR:jlENOReQhRHbsl1R7q4Bi_aQh_#j_JGlkN +_HS7m=1iqB4h_Qa__j#kJlGHN_ +jSQ=q71B_i4Q_hajJ_#lNkG;R +sfjj:ROlNEmRX)b.RsRHlB_pim_zau_) .j6_ +=Smhn_4 +jSQ=iBp_amz_ u)_ +.6S=Q4#00NCN_lOMEHCk\3MOd_DF _kb0_s6C_js; +R:fjjNRlOqERhR7.blsHRnkM_ OD_Cbs_ +nnSkm=MOn_Db _snC_nQ +Sjp=Bih_Bar_h4S9 +QB4=pBi_hua_r;49 +fsRjR:jlENOReQhRHbslpRBih_Ba__hH9rj +=SmB_piB_hahr_HjS9 +QBj=pBi_hha_r;j9 +fsRjR:jlENOR7qh.sRbHklRMO._Db _snC_nm +S=.kM_ OD_Cbs_ +nnS=QjB_piB_hahr_HjS9 +QB4=pBi_hua_r;j9 +fsRjR:jlENOR7qh.sRbHBlRpui_)n _nm +S=iBp_ u)__nnjQ +SjM=k.D_O s_bCn_n_SH +Qk4=MOn_Db _snC_n;_H +fsRjR:jlENOR)Xm.sRbHtlR_U4j +=Smk_McO_D O_M0Mr_44S9 +QBj=pBi_hha_r +j9S=Q4B_piB_hah9r4;R +sfjj:ROlNEmRX)b.RsRHlt4_4cm +S=.kM_ OD_0OM_4br9Q +Sjp=Bih_Bar_ujS9 +QB4=pBi_hua_r;49 fsRjR:jlENOReQhRHbsltRA_jjj_sj3 =SmAjt_jjj_3dkM jSQ=N#00lC_NHOEM3C\kjM4__Loj;dj @@ -2508,395 +2716,57 @@ R:fjjNRlOmER)b.RsRHlAjt_jjj_3Sb m_=hgQ Sjt=A_jjj_kj3MS4 QA4=tj_jj3_jk;Mj -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kdo_LN_O j_djH_M08m -S=N#00lC_NHOEM3C\k_MdLOoN d_jjM_H0 -_8S=QjABtqid_jjh_QaQ -S4t=Aq_Bij_djQ_ha7;_H -fsRjR:jlENOReQhRHbsltRAq_Bij_djQ_haHm -S=qAtBji_dQj_hHa_ -jSQ=qAtBji_dQj_h -a;sjRf:ljRNROEQRheblsHRqAtBji_dQj_h7a__SH -mt=Aq_Bij_djQ_ha7 -_HS=QjABtqid_jjh_Qa;_7 -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k6o_LN_O j_djH_M08m -S=N#00lC_NHOEM3C\k_M6LOoN d_jjM_H0__8HQ -Sjt=Aq_Bij_djQ -haS=Q4ABtqid_jjh_Qa;_7 -fsRjR:jlENOR7qh.sRbHqlRvqQt_1Az_q hA_p Q_ha4J_#lNkG_S4 -mv=qQ_tqA_z1 AhqpQ _h4a__l#Jk_GN4Q -Sjt=Aq_Bij_djQ -haS=Q41qv_vqQt_#j_JGlkN__4Hs; -R:fjjNRlOQERhbeRsRHlhU_g_SH -m_=hgHU_ -jSQ=gh_Us; -R:fjjNRlOqERhR7.blsHRQqvtAq_z 1_hpqA h_Qa__d#kJlGSN -mv=qQ_tqA_z1 AhqpQ _hda__l#Jk -GNS=QjhU_g_SH -Q#4=0CN0_OlNECHM\M3k6o_LN_O j_djH_M08;_H -fsRjR:jlENOR7qh.sRbH7lR1j_jjv_7q__4#kJlG4N_ -=Sm7j1_j7j_v4q__l#Jk_GN4Q -SjW=)_jjj_SH -Q#4=0CN0_OlNECHM\M3kUo_LN_O j_djH;M0 -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\p3Bid_jj__].j_V -=Sm#00NCN_lOMEHCB\3pji_d]j__S. -Q#j=0CN0_OlNECHM\p3Bid_jj__].4_V -4SQ=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\B_pij_dj]__.VS4 -m0=#N_0ClENOH\MC3iBp_jjd_.]___V4jQ -Sjp=Bid_jj__]4J_#lNkG_SH -QB4=pji_d]j__ -H;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3Z1Q v_7qr_c4S9 -m0=#N_0ClENOH\MC3Z1Q v_7q__cj9r4 -jSQ=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0Q -S40=#N_0ClENOH\MC3dkM4o_LN_O j_djH_M0Hs; -R:fjjNRlOQERhbeRsRHl#00NCN_lOMEHCk\3M_d4LOoN d_jjM_H0 -_HS#m=0CN0_OlNECHM\M3kdL4_o NO_jjd_0HM_SH -Q#j=0CN0_OlNECHM\M3kdL4_o NO_jjd_0HM;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHC1\3Q_Z 7_vqc9rj -=Sm#00NCN_lOMEHC1\3Q_Z 7_vqcr_jjS9 -Q#j=0CN0_OlNECHM\M3kUo_LN_O j_djH -M0S=Q4#00NCN_lOMEHCk\3M_d4LOoN d_jjM_H0s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\7j1_j7j_vdq_ -=Sm#00NCN_lOMEHC7\31j_jjv_7q__djQ -Sj1=q_jjj_q7v_SH -Q#4=0CN0_OlNECHM\M3kUo_LN_O j_djH;M0 -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\j3q_q7v_S. -m0=#N_0ClENOH\MC3_qj7_vq.Q -Sj7=z1j_jj -_OS=Q4#00NCN_lOMEHCk\3MLU_o NO_jjd_0HM;R -sfjj:ROlNEhRq7b.RsRHlqj1_j7j_v4q__l#Jk -GNSqm=1j_jjv_7q__4#kJlGSN -QBj=pji_dOj_ -4SQ=N#00lC_NHOEM3C\k_MULOoN d_jjM_H0s; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k4Md_NLoOj _dHj_MS0 -m0=#N_0ClENOH\MC3dkM4o_LN_O j_djH -M0S=Qjp_71j_jjHQ -S47=z1j_jj;_H -fsRjR:jlENOReQhRHbsl_RQ4 -UdSzm=7j1_jHj_ -jSQ=1z7_jjj_ -O;sjRf:ljRNROEQRheblsHR4Q_USc -m7=p1j_jj -_HS=Qjp_71j_jjOs; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\kjM4_NLoOj _dHj_MS0 -m0=#N_0ClENOH\MC34kMjo_LN_O j_djH_M0jQ -Sj7=p1j_jj -_OS=Q4z_71j_jjOs; -R:fjjNRlOQERhbeRsRHlB_pij_djHm -S=iBp_jjd_SH -QBj=pji_dOj_;R -sfjj:ROlNEhRq7b.RsRHl#00NCN_lOMEHCk\3M_.cLOoN d_jjM_H0m -S=N#00lC_NHOEM3C\kcM._NLoOj _dHj_MS0 -QBj=pji_d]j_ -4SQ=iBp_jjd_ -H;sjRf:ljRNROEq.h7RHbslvRqQ_tqA_z17qqa_)7Q_Nj_dm -S=4h_(SU -QAj=tiqB_jjd_aQh -4SQ=_)WHs; -R:fjjNRlOqERhR7.blsHRQqvtAq_z71_q_aq7_Q)jm -S=QqvtAq_z71_q_aq7_Q)O -_jS=Qjh(_4U -_HS=Q4h(_4g;_H -fsRjR:jlENOReQhRHbsl_RQ4 -UnSqm=1j_jj -_HS=Qjqj1_jOj_;R -sfjj:ROlNEhRq7b.RsRHlqtvQqz_A1q_7a7q_Qj)___Ndj -_4Shm=_g4(_S4 -Qqj=1j_jj -_HS=Q4ABtqid_jjh_Qa;_H -fsRjR:jlENOReQhRHbsl RMX1u_u qB_SH -m =MX1u_u qB_SH -QMj= _Xu1Buq ;_O -fsRjR:jlENOReQhRHbsl0R#N_0ClENOH\MC3.kMU#_N_jjd_SH -m0=#N_0ClENOH\MC3.kMU#_N_jjd_SH -Q#j=0CN0_OlNECHM\M3k.NU_#d_jjs; -R:fjjNRlOQERhbeRsRHlB_pij_jj7H4_ -=SmB_pij_jj7H4_ -jSQ=iBp_jjj_;74 -fsRjR:jlENOReQhRHbslpRBij_jj._7_SH -mp=Bij_jj._7_SH -QBj=pji_j7j_.s; -R:fjjNRlOQERhbeRsRHlB_pij_jj7Hd_ -=SmB_pij_jj7Hd_ -jSQ=iBp_jjj_;7d -fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kUo_LN_O j_djH -M0S#m=0CN0_OlNECHM\M3kUo_LN_O j_djH -M0S=Qjh(_4g -_4S=Q4#00NCN_lOMEHCk\3M_4jLOoN d_jjM_H0s; -R:fjjNRlOQERhbeRsRHlqj1_j7j_vHq_ -=Smqj1_j7j_vHq_ -jSQ=_q1j_jj7;vq -fsRjR:jlENOR7qh.sRbHBlRpji_d]j__#4_JGlkNm -S=iBp_jjd_4]__l#Jk -GNS=Qjqj1_j7j_vHq_ -4SQ=iBp_jjd_ -O;sjRf:ljRNROEQRheblsHRN#00lC_NHOEM3C\kcM._NLoOj _dHj_MH0_ -=Sm#00NCN_lOMEHCk\3M_.cLOoN d_jjM_H0 -_HS=Qj#00NCN_lOMEHCk\3M_.cLOoN d_jjM_H0s; -R:fjjNRlOqERhR7.blsHR_71j_jj7_vq4J_#lNkG -=Sm7j1_j7j_v4q__l#Jk -GNS=Qj7j1_j7j_v4q__l#Jk_GN4Q -S40=#N_0ClENOH\MC3.kMco_LN_O j_djH_M0Hs; -R:fjjNRlOQERhbeRsRHlQU_46m -S=_)Wj_jjHQ -SjW=)_jjj_ -O;sjRf:ljRNROEQRheblsHRkOb_0C#_jHr9m -S=kOb_0C#_jHr9Q -Sjb=Ok#_C09rj;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0Mj#__Nj_.9r4 -=Smh._d -jSQ=kOb_0C#r -49S=Q4O_bkC_#0H9rj;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0MH#__Nj_.9rd -=Smh6_d -jSQ=dh_.Q -S4b=Ok#_C0r_Hd -9;sjRf:ljRNROEQRheblsHRkOb_0C#_4Hr9m -S=kOb_0C#_4Hr9Q -Sjb=Ok#_C09r4;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0Mj#__Nj_.r_j4S9 -m_=hdS( -QOj=bCk_#j0r9Q -S4b=Ok#_C0r_H4 -9;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC34kM.D_O j_jjj_8_Sj -m0=#N_0ClENOH\MC34kM.D_O j_jjj_8_Sj -Qhj=_..._SH -Q#4=0CN0_OlNECHM\M3k4Oj_Dj _j8j_j;_H -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__Hj9rd -=Smhn_4c -_HS=Qjh6_d_SH -Qh4=_(.._ -H;sjRf:ljRNROEq.h7RHbslbROk#_C0_#Cj -_jSOm=bCk_#M0_#__Cj9rj -jSQ=.h_U -_HS=Q4hg_._ -H;sjRf:ljRNROEq.h7RHbslMRk.OU_H_HMjm -S=.kMUH_OHjM_ -jSQ=dh_j -_HS=Q4h4_d_ -H;sjRf:ljRNROEQRheblsHRkOb_0C#_dHr9m -S=kOb_0C#_dHr9Q -Sjb=Ok#_C09rd;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0MH#__Fj_.9rd -=Smh4_.g -_HS=QjO_bkCr#0dS9 -QO4=bCk_#H0_r;49 -fsRjR:jlENOR)Xm.sRbHklRM_.UOMHH_Gj_.m -S=4h_n -_HS=Qjqr_O. -49S=Q4qr_O.;.9 -fsRjR:jlENOReQhRHbslpRBij_jjj_7_SH -mp=Bij_jjj_7_SH -QBj=pji_j7j_js; -R:fjjNRlOqERhR7.blsHRN#00lC_NHOEM3C\k_M6O_D j_jjM$_#MSO -m0=#N_0ClENOH\MC36kM_ OD_jjj_#M_$ -MOS=QjB_pij_jj7Hj_ -4SQ=iBp_jjj_;74 -fsRjR:jlENOReQhRHbsl_RQ4 -U(S)m=W -_HS=Qj)OW_;R -sfjj:ROlNEhRq7b.RsRHlB_piu_) nSn -mp=Bi)_u n_n_Sj -Qkj=MO._Db _snC_n -_HS=Q4k_MnO_D b_sCnHn_;R -sfjj:ROlNEmRX)b.RsRHltj_4gm -S=ckM_ OD_0OM_4M_r -49S=QjB_piB_hah9rj -4SQ=iBp_aBh_4hr9s; -R:fjjNRlOXERmR).blsHR4t_4S6 -mM=k.D_O M_O0r_b4S9 -QBj=pBi_hua_r -j9S=Q4B_piB_hau9r4;R -sfjj:ROlNEhRQesRbHelRu7q__SH -mu=eq__7HQ -Sju=eq;_7 -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jjd_Nr -49Shm=_d.. -jSQ=kOb_0C#r -.9S=Q4O_bkCr#0d -9;sjRf:ljRNROEQRheblsHRkOb_0C#_.Hr9m -S=kOb_0C#_.Hr9Q -Sjb=Ok#_C09r.;R -sfjj:ROlNEhRq7b.RsRHlO_bkC_#0Mj#__Nj_dr_j4S9 -m_=h. -.cS=QjO_bkC_#0H9r. -4SQ=kOb_0C#_dHr9s; -R:fjjNRlOqERhR7.blsHRkOb_0C#__M#H__jNddr9m -S=.h_.S( -Qhj=_g.4 -4SQ=kOb_0C#r;.9 -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jjd_Nr -.9Shm=_U.. -jSQ=kOb_0C#r -49S=Q4O_bkCr#0. -9;sjRf:ljRNROEq.h7RHbslbROk#_C0#_M_jj___Ndj9r. -=Smh._.gQ -Sjb=Ok#_C09rj -4SQ=kOb_0C#r;d9 -fsRjR:jlENOR7qh.sRbHOlRbCk_#M0_#__jjd_N_.4r9m -S=.h_dSj -QOj=bCk_#H0_r -j9S=Q4O_bkC_#0H9r4;R -sfjj:ROlNEhRq7b.RsRHlO_bkC##0C__jNSd -m_=h.SU -QNj=P_COC -GbS=Q4O_bkC_#0H9rj;R -sfjj:ROlNEhRq7b.RsRHlO_bkC##0C__jNjd_ -=Smhg_. -jSQ=CNPOG_Cb -_HS=Q4O_bkCr#0j -9;sjRf:ljRNROEQRheblsHRHq_r9.j -=Smqr_H. -j9S=Qjqr_O.;j9 -fsRjR:jlENOReQhRHbsl_RqHdr.9m -S=Hq_r9.d -jSQ=Oq_r9.d;R -sfjj:ROlNEhRQesRbHqlR_.HrcS9 -m_=qHcr.9Q -Sj_=qOcr.9s; -R:fjjNRlOQERhbeRsRHlqr_H. -69Sqm=_.Hr6S9 -Qqj=_.Or6 -9;sjRf:ljRNROEQRheblsHRHq_r9.n -=Smqr_H. -n9S=Qjqr_O.;n9 -fsRjR:jlENOReQhRHbsl_RqH(r.9m -S=Hq_r9.( -jSQ=Oq_r9.(;R -sfjj:ROlNEhRQesRbHqlR_.HrUS9 -m_=qHUr.9Q -Sj_=qOUr.9s; -R:fjjNRlOQERhbeRsRHlqr_H. -g9Sqm=_.HrgS9 -Qqj=_.Org -9;sjRf:ljRNROEQRheblsHRHq_r9dj -=Smqr_Hd -j9S=Qjqr_Od;j9 -fsRjR:jlENOReQhRHbsl_RqH4rd9m -S=Hq_r9d4 -jSQ=Oq_r9d4;R -sfjj:ROlNEmRX)b.RsRHlB_pim_zau_) .j6_ -=Smh6_4 -jSQ=iBp_amz_ u)_ -.6S=Q4#00NCN_lOMEHCk\3MOd_DF _kb0_s6C_js; -R:fjjNRlOqERhR7.blsHR4kM_1z7_jjj_aQh -=Smk_M4z_71j_jjQ_hajQ -Sj1=7_jjj_q hA -p S=Q4z_71j_jjQ_haHs; -R:fjjNRlOqERhR7.blsHR4kM_1p7_jjj_aQh -=Smk_M4p_71j_jjQ_hajQ -Sj1=7_jjj_q hA -p S=Q4p_71j_jjQ_haHs; -R:fjjNRlOqERhR7.blsHRnkM_ OD_Cbs_ -nnSkm=MOn_Db _snC_nQ -Sjp=Bih_Bar_h4S9 -QB4=pBi_hua_r;49 -fsRjR:jlENOReQhRHbslpRBih_Ba__hH9rj -=SmB_piB_hahr_HjS9 -QBj=pBi_hha_r;j9 -fsRjR:jlENOR7qh.sRbHklRMO._Db _snC_nm -S=.kM_ OD_Cbs_ -nnS=QjB_piB_hahr_HjS9 -QB4=pBi_hua_r;j9 -fsRjR:jlENOReQhRHbslpRBiz_ma)_u j_6_SH -mp=Biz_ma)_u j_6_SH -QBj=pmi_zua_)6 _js; -R:fjjNRlOQERhbeRsRHl)_1aHm -S=a)1_SH -Q)j=1Oa_;R -sfjj:ROlNEhRQesRbHBlRpmi_zua_)d _d -_HSBm=pmi_zua_)d _d -_HS=QjB_pim_zau_) d -d;sjRf:ljRNROEQRheblsHRiBp_Zm1Q -_HSBm=pmi_1_ZQHQ -Sjp=Bi1_mZOQ_;R -sfjj:ROlNEhRQesRbHklRMO._DO _Mb0__4Hr9m -S=.kM_ OD_0OM_Hb_r -49S=Qjk_M.O_D O_M0b9r4;R -sfjj:ROlNEhRQesRbHklRMOc_DO _MM0__H4_r -49Skm=MOc_DO _MM0__H4_r -49S=Qjk_McO_D O_M0Mr_44 -9;sjRf:ljRNROEQRheblsHRiBp_amz_ u)__6j7 -_HSBm=pmi_zua_)6 _j__7HQ -Sjp=Biz_ma)_u j_6_ -7;sjRf:ljRNROEq.h7RHbsl0R#N_0ClENOH\MC3dkM_ OD_0Fk_Cbs_ -6jS#m=0CN0_OlNECHM\M3kdD_O k_F0s_bCj_6 -jSQ=iBp_amz_ u)_ -6jS=Q4B_pim_zau_) 67j__ -H;sjRf:ljRNROEQRheblsHR1p7_jjj_aQh_sj3 -=Smp_71j_jjQ_hajM3kdQ -Sj1=7_jjd_ -O;sjRf:ljRNROEq.h7RHbsl7Rp1j_jjh_Qa3_jlm -S=1p7_jjj_aQh_kj3MS4 -Qpj=7j1_jQj_hSa -Q74=1d_jj;_O -fsRjR:jlENOR7qh.sRbHplR7j1_jQj_hja_3SM -m7=p1j_jjh_Qa3_jk -MjS=Qj#00NCN_lOMEHCk\3M8(_#d_jj -_HS=Q4p_71j_jjQ_hajM3kds; -R:fjjNRlOmER)b.RsRHlp_71j_jjQ_haj -3bShm=_ -44S=Qjp_71j_jjQ_hajM3k4Q -S47=p1j_jjh_Qa3_jk;Mj -fsRjR:jlENOReQhRHbsl7Rz1j_jjh_Qa3_jsm -S=1z7_jjj_aQh_kj3MSd -Q7j=1d_jj;_O -fsRjR:jlENOR7qh.sRbHzlR7j1_jQj_hja_3Sl -m7=z1j_jjh_Qa3_jk -M4S=Qjz_71j_jjQ -haS=Q47j1_dOj_;R -sfjj:ROlNEhRq7b.RsRHlz_71j_jjQ_haj -3MSzm=7j1_jQj_hja_3jkM -jSQ=_qjOQ -S47=z1j_jjh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbsl7Rz1j_jjh_Qa3_jbm -S=4h_.Q -Sj7=z1j_jjh_Qa3_jk -M4S=Q4z_71j_jjQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHle_vqQ_haj -3sSem=vQq_hja_3dkM -jSQ=N#00lC_NHOEM3C\k.M4_ OD_jjj_;8j -fsRjR:jlENOR7qh.sRbHelRvQq_hja_3Sl -mv=eqh_Qa3_jk -M4S=Qj#00NCN_lOMEHCk\3M_4jO_D j_jj8Sj -Q#4=0CN0_OlNECHM\M3k4O._Dj _j8j_js; -R:fjjNRlOqERhR7.blsHRqev_aQh_Mj3 -=Sme_vqQ_hajM3kjQ -Sjv=eqh_QaQ -S4v=eqh_Qa3_jk;Md -fsRjR:jlENOR.m)RHbslvReqh_Qa3_jbm -S=4h_cQ -Sjv=eqh_Qa3_jk -M4S=Q4e_vqQ_hajM3kjs; -R:fjjNRlOQERhbeRsRHlO_bkC##0C3_jsm -S=kOb_0C##jC_3dkM -jSQ=CNPOG_Cbs; -R:fjjNRlOqERhR7.blsHRkOb_0C##jC_3Sl -mb=Ok#_C0_#CjM3k4Q -Sjb=Ok#_C0#_Mr -49S=Q4NOPC_bCG;R -sfjj:ROlNEhRq7b.RsRHlO_bkC##0C3_jMm -S=kOb_0C##jC_3jkM -jSQ=kOb_0C#r -49S=Q4O_bkC##0C3_jk;Md -fsRjR:jlENOR.m)RHbslbROk#_C0_#Cj -3bSOm=bCk_#M0_#r_C4S9 -QOj=bCk_#C0#_kj3MS4 -QO4=bCk_#C0#_kj3M -j;sjRf:ljRNROEQRheblsHRkOb_0C##.C_3Ss -mb=Ok#_C0_#C.M3kdQ -SjP=NCCO_G -b;sjRf:ljRNROEq.h7RHbslbROk#_C0_#C. -3lSOm=bCk_#C0#_k.3MS4 -Qhj=_c4n_SH -QN4=P_COC;Gb -fsRjR:jlENOR7qh.sRbHOlRbCk_#C0#_M.3 -=SmO_bkC##0C3_.k -MjS=QjO_bkCr#0dS9 -QO4=bCk_#C0#_k.3M -d;sjRf:ljRNROEmR).blsHRkOb_0C##.C_3Sb -mb=Ok#_C0#_M_dCr9Q -Sjb=Ok#_C0_#C.M3k4Q -S4b=Ok#_C0_#C.M3kj -; - +fsRjR:jlENOReQhRHbslMRk4O._H_HMHm +S=4kM.H_OHHM_ +jSQ=4kM.H_OH +M;sjRf:ljRNROEq.h7RHbslMRkUH_OHSM +mM=kUH_OHSM +Qqj=1d_jj +_HS=Q4k.M4_HOHM;_H +fsRjR:jlENOR7qh.sRbHklRM_4cOMHH +=SmkcM4_HOHM +_jS=QjMu X_q1uBO _ +4SQ=UkM_HOHM;_H +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3k4Lj_od_jjm +S=N#00lC_NHOEM3C\kjM4__Loj_djjQ +Sjt=A_jjd_HO_ +4SQ=N#00lC_NHOEM3C\k_MULjo_dHj_;R +sfjj:ROlNEhRQesRbHklRMO6_H_HMHm +S=6kM_HOHM +_HS=Qjk_M6OMHH;R +sfjj:ROlNEhRq7b.RsRHlknM4_HOHMm +S=4kMnH_OHSM +Qkj=MO6_H_HMHQ +S4M=k4Oc_H;HM +fsRjR:jlENOReQhRHbsl_RqH6r.9m +S=Hq_r9.6 +jSQ=Oq_r9.6;R +sfjj:ROlNEhRQesRbHBlRpmi_zua_)6 _j +_HSBm=pmi_zua_)6 _j +_HS=QjB_pim_zau_) 6 +j;sjRf:ljRNROEQRheblsHRa)1_SH +m1=)a +_HS=Qj)_1aOs; +R:fjjNRlOQERhbeRsRHlB_pim_zau_) dHd_ +=SmB_pim_zau_) dHd_ +jSQ=iBp_amz_ u)_;dd +fsRjR:jlENOReQhRHbslMRkcD_O M_O0__M4r_H4S9 +mM=kcD_O M_O0__M4r_H4S9 +Qkj=MOc_DO _MM0__44r9s; +R:fjjNRlOQERhbeRsRHlB_pimQ1Z_SH +mp=Bi1_mZHQ_ +jSQ=iBp_Zm1Q;_O +fsRjR:jlENOReQhRHbslpRBiz_ma)_u j_6_H7_ +=SmB_pim_zau_) 67j__SH +QBj=pmi_zua_)6 _j;_7 +fsRjR:jlENOR7qh.sRbH#lR0CN0_OlNECHM\M3kdD_O k_F0s_bCj_6 +=Sm#00NCN_lOMEHCk\3MOd_DF _kb0_s6C_jQ +Sjp=Biz_ma)_u j_6 +4SQ=iBp_amz_ u)__6j7;_H +fsRjR:jlENOReQhRHbslMRk4On_H_HMHm +S=4kMnH_OHHM_ +jSQ=4kMnH_OH +M;sjRf:ljRNROEQRheblsHR.kM_ OD_0OM_Hb_r +49Skm=MO._DO _Mb0__4Hr9Q +SjM=k.D_O M_O0r_b4 +9; diff --git a/Logic/BUS68030.srr b/Logic/BUS68030.srr index e5b3d38..3741f2b 100644 --- a/Logic/BUS68030.srr +++ b/Logic/BUS68030.srr @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Mon Jun 09 20:20:24 2014 +#Sun Jun 15 16:36:37 2014 Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013 @N|Running in 64-bit mode @@ -19,15 +19,16 @@ VHDL syntax check successful! File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling @N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral Post processing for work.bus68030.behavioral -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:34:135:36|Pruning register CLK_000_NE_D +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:34:136:36|Pruning register CLK_000_NE_D +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:34:135:36|Pruning register CLK_000_NE +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:61:133:75|Pruning register CLK_000_N_SYNC(12 downto 0) @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:53:117:56|Pruning register FPU_CS_INT -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:32:137:34|Pruning register CLK_REF(1 downto 0) +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Pruning register CLK_REF(1 downto 0) @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:29:110:31|Pruning register DTACK_D0 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:35:124:37|Pruning register CLK_OUT_NE -@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:61:132:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ... -@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:35:136:37|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. -@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:32:137:34|Trying to extract state machine for register SM_AMIGA +@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:35:137:37|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. +@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Trying to extract state machine for register SM_AMIGA Extracted state machine for register SM_AMIGA State machine has 8 reachable states with original encodings of: 000 @@ -54,7 +55,7 @@ State machine has 11 reachable states with original encodings of: 1111 @END Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Mon Jun 09 20:20:24 2014 +# Sun Jun 15 16:36:37 2014 ###########################################################] Map & Optimize Report @@ -90,15 +91,15 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFFRH 47 uses -DFFSH 27 uses +DFFRH 34 uses +DFFSH 28 uses DFF 1 use BI_DIR 12 uses IBUF 30 uses OBUF 16 uses BUFTH 1 use AND2 209 uses -INV 179 uses +INV 172 uses OR2 21 uses XOR2 4 uses @@ -110,6 +111,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 96MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Mon Jun 09 20:20:26 2014 +# Sun Jun 15 16:36:39 2014 ###########################################################] diff --git a/Logic/BUS68030.srs b/Logic/BUS68030.srs index 668b8cc..f647d55 100644 Binary files a/Logic/BUS68030.srs and b/Logic/BUS68030.srs differ diff --git a/Logic/bus68030.exf b/Logic/bus68030.exf index 1fb3799..a8d0df0 100644 --- a/Logic/bus68030.exf +++ b/Logic/bus68030.exf @@ -36,497 +36,451 @@ Section Member Rename Array-Notation Array Number Port FC_0_ FC[0] 3 1 End Section Cross Reference File -Design 'BUS68030' created Mon Jun 09 20:20:30 2014 +Design 'BUS68030' created Sun Jun 15 16:36:43 2014 Type New Name Original Name // ---------------------------------------------------------------------- - Inst i_z3N3N AS_030 - Inst i_z3O3O AS_000 - Inst i_z3P3P RW_000 - Inst i_z3Q3Q DS_030 - Inst i_z3R3R UDS_000 - Inst i_z3S3S LDS_000 - Inst i_z4F4F A0 - Inst i_z5252 DSACK1 - Inst i_z5353 DTACK - Inst i_z5B5B RW - Inst i_z5H5H CIIN - Inst state_machine_un7_ds_030 state_machine.un7_ds_030 - Inst state_machine_un8_bgack_030_int state_machine.un8_bgack_030_int - Inst state_machine_un24_bgack_030_int_i state_machine.un24_bgack_030_int_i - Inst cpu_est_ns_0_0_i_2_ cpu_est_ns_0_0_i[2] - Inst cpu_est_i_0_ cpu_est_i[0] - Inst cpu_est_ns_0_0_a2_1_ cpu_est_ns_0_0_a2[1] - Inst state_machine_un10_clk_000_d0_i state_machine.un10_clk_000_d0_i - Inst cpu_est_ns_i_0_a2_3_ cpu_est_ns_i_0_a2[3] - Inst state_machine_un12_clk_000_d0_0_i state_machine.un12_clk_000_d0_0_i - Inst cpu_est_i_1_ cpu_est_i[1] - Inst cpu_est_ns_0_0_a2_0_1_ cpu_est_ns_0_0_a2_0[1] - Inst state_machine_un12_clk_000_d0_0 state_machine.un12_clk_000_d0_0 - Inst cpu_est_ns_i_0_3_ cpu_est_ns_i_0[3] - Inst cpu_est_i_3_ cpu_est_i[3] - Inst cpu_est_ns_i_0_o2_3_ cpu_est_ns_i_0_o2[3] - Inst state_machine_un5_clk_000_n_sync state_machine.un5_clk_000_n_sync - Inst cpu_est_ns_i_0_o2_i_3_ cpu_est_ns_i_0_o2_i[3] - Inst cpu_est_ns_0_0_a3_1_ cpu_est_ns_0_0_a3[1] - Inst cpu_est_i_2_ cpu_est_i[2] - Inst cpu_est_ns_0_0_a3_0_1_ cpu_est_ns_0_0_a3_0[1] - Inst cpu_est_ns_i_0_a3_3_ cpu_est_ns_i_0_a3[3] - Inst cpu_est_ns_0_0_a3_2_ cpu_est_ns_0_0_a3[2] - Inst cpu_est_ns_0_0_a3_0_2_ cpu_est_ns_0_0_a3_0[2] - Inst cpu_est_ns_0_0_a3_1_2_ cpu_est_ns_0_0_a3_1[2] - Inst A_i_20_ A_i[20] - Inst A_i_23_ A_i[23] - Inst A_i_24_ A_i[24] - Inst state_machine_un8_bg_030_i state_machine.un8_bg_030_i - Inst A_i_25_ A_i[25] - Inst state_machine_un10_bg_030_i state_machine.un10_bg_030_i - Inst A_i_26_ A_i[26] - Inst state_machine_un10_bgack_030_int_i state_machine.un10_bgack_030_int_i - Inst A_i_27_ A_i[27] - Inst state_machine_DS_000_DMA_3_i state_machine.DS_000_DMA_3_i - Inst A_i_28_ A_i[28] - Inst state_machine_SIZE_DMA_4_i_0_ state_machine.SIZE_DMA_4_i[0] - Inst A_i_29_ A_i[29] + Inst i_z3B3B AS_030 + Inst i_z3C3C AS_000 + Inst i_z3D3D RW_000 + Inst i_z3E3E DS_030 + Inst i_z3F3F UDS_000 + Inst i_z3G3G LDS_000 + Inst i_z4343 A0 + Inst i_z4M4M DSACK1 + Inst i_z4N4N DTACK + Inst i_z4V4V RW + Inst i_z5555 CIIN + Inst cpu_est_ns_0_1_ cpu_est_ns_0[1] + Inst cpu_est_ns_0_a3_0_1_ cpu_est_ns_0_a3_0[1] + Inst SM_AMIGA_ns_o4_1_1_0_ SM_AMIGA_ns_o4_1_1[0] + Inst cpu_est_ns_0_a3_1_1_ cpu_est_ns_0_a3_1[1] + Inst SM_AMIGA_ns_o4_1_0_ SM_AMIGA_ns_o4_1[0] + Inst state_machine_un34_clk_000_d0_i state_machine.un34_clk_000_d0_i + Inst SM_AMIGA_ns_i_7_ SM_AMIGA_ns_i[7] + Inst state_machine_un31_bgack_030_int state_machine.un31_bgack_030_int + Inst state_machine_un31_bgack_030_int_i state_machine.un31_bgack_030_int_i + Inst state_machine_SIZE_DMA_4_0_ state_machine.SIZE_DMA_4[0] + Inst state_machine_SIZE_DMA_4_1_ state_machine.SIZE_DMA_4[1] Inst state_machine_SIZE_DMA_4_i_1_ state_machine.SIZE_DMA_4_i[1] - Inst A_i_30_ A_i[30] - Inst cpu_est_0_ cpu_est[0] + Inst A_i_24_ A_i[24] + Inst state_machine_SIZE_DMA_4_i_0_ state_machine.SIZE_DMA_4_i[0] + Inst A_i_27_ A_i[27] + Inst A_i_26_ A_i[26] + Inst A_i_29_ A_i[29] + Inst A_i_28_ A_i[28] + Inst cpu_est_ns_0_i_1_ cpu_est_ns_0_i[1] Inst A_i_31_ A_i[31] - Inst cpu_est_1_ cpu_est[1] - Inst cpu_est_2_ cpu_est[2] - Inst state_machine_CLK_030_H_2_f1_i state_machine.CLK_030_H_2_f1_i - Inst cpu_est_3_ cpu_est[3] - Inst cpu_est_ns_0_0_i_1_ cpu_est_ns_0_0_i[1] - Inst SM_AMIGA_7_ SM_AMIGA[7] - Inst SM_AMIGA_6_ SM_AMIGA[6] + Inst state_machine_un10_clk_000_d0_i state_machine.un10_clk_000_d0_i + Inst A_i_30_ A_i[30] + Inst state_machine_un5_clk_000_d0_i state_machine.un5_clk_000_d0_i + Inst AS_000_INT_0_r AS_000_INT_0.r + Inst state_machine_un12_clk_000_d0_i state_machine.un12_clk_000_d0_i + Inst AS_000_INT_0_m AS_000_INT_0.m + Inst AS_000_INT_0_n AS_000_INT_0.n + Inst SIZE_c_i_1_ SIZE_c_i[1] + Inst AS_000_INT_0_p AS_000_INT_0.p + Inst DSACK1_INT_0_r DSACK1_INT_0.r + Inst DSACK1_INT_0_m DSACK1_INT_0.m + Inst DSACK1_INT_0_n DSACK1_INT_0.n Inst state_machine_un3_bgack_030_int_d_i state_machine.un3_bgack_030_int_d_i - Inst CLK_CNT_N_i_0_ CLK_CNT_N_i[0] - Inst SM_AMIGA_5_ SM_AMIGA[5] - Inst SM_AMIGA_4_ SM_AMIGA[4] - Inst SM_AMIGA_3_ SM_AMIGA[3] - Inst SM_AMIGA_2_ SM_AMIGA[2] - Inst SM_AMIGA_i_6_ SM_AMIGA_i[6] - Inst SM_AMIGA_1_ SM_AMIGA[1] - Inst SM_AMIGA_ns_o4_2_i_0_ SM_AMIGA_ns_o4_2_i[0] - Inst SM_AMIGA_0_ SM_AMIGA[0] - Inst SM_AMIGA_i_2_ SM_AMIGA_i[2] - Inst un2_clk_cnt_p_i_1_ un2_clk_cnt_p_i[1] - Inst CLK_000_N_SYNC_6_ CLK_000_N_SYNC[6] - Inst SM_AMIGA_i_4_ SM_AMIGA_i[4] - Inst un4_clk_cnt_n_1_i_1_ un4_clk_cnt_n_1_i[1] - Inst CLK_000_N_SYNC_7_ CLK_000_N_SYNC[7] - Inst CLK_000_N_SYNC_8_ CLK_000_N_SYNC[8] - Inst state_machine_un3_clk_out_pre_50 state_machine.un3_clk_out_pre_50 - Inst CLK_000_N_SYNC_9_ CLK_000_N_SYNC[9] - Inst LDS_000_INT_0_r LDS_000_INT_0.r - Inst CLK_000_N_SYNC_10_ CLK_000_N_SYNC[10] - Inst SM_AMIGA_ns_i_5_ SM_AMIGA_ns_i[5] - Inst LDS_000_INT_0_m LDS_000_INT_0.m - Inst CLK_000_N_SYNC_11_ CLK_000_N_SYNC[11] - Inst LDS_000_INT_0_n LDS_000_INT_0.n - Inst CLK_CNT_N_0_ CLK_CNT_N[0] - Inst LDS_000_INT_0_p LDS_000_INT_0.p - Inst CLK_CNT_N_1_ CLK_CNT_N[1] - Inst SM_AMIGA_ns_i_3_ SM_AMIGA_ns_i[3] - Inst UDS_000_INT_0_r UDS_000_INT_0.r - Inst CLK_CNT_P_0_ CLK_CNT_P[0] - Inst UDS_000_INT_0_m UDS_000_INT_0.m - Inst CLK_CNT_P_1_ CLK_CNT_P[1] - Inst SM_AMIGA_ns_i_6_ SM_AMIGA_ns_i[6] - Inst UDS_000_INT_0_n UDS_000_INT_0.n - Inst SIZE_DMA_0_ SIZE_DMA[0] - Inst SM_AMIGA_ns_o4_i_0_ SM_AMIGA_ns_o4_i[0] - Inst UDS_000_INT_0_p UDS_000_INT_0.p - Inst SIZE_DMA_1_ SIZE_DMA[1] - Inst SM_AMIGA_ns_o4_0_i_0_ SM_AMIGA_ns_o4_0_i[0] - Inst VMA_INT_0_r VMA_INT_0.r - Inst IPL_030DFFSH_0_ IPL_030DFFSH[0] - Inst SM_AMIGA_i_5_ SM_AMIGA_i[5] - Inst VMA_INT_0_m VMA_INT_0.m - Inst IPL_030DFFSH_1_ IPL_030DFFSH[1] - Inst SM_AMIGA_ns_o4_1_i_0_ SM_AMIGA_ns_o4_1_i[0] - Inst VMA_INT_0_n VMA_INT_0.n - Inst IPL_030DFFSH_2_ IPL_030DFFSH[2] - Inst SM_AMIGA_ns_i_o4_i_7_ SM_AMIGA_ns_i_o4_i[7] - Inst VMA_INT_0_p VMA_INT_0.p - Inst CLK_000_P_SYNC_1_ CLK_000_P_SYNC[1] - Inst cpu_estse_0_r cpu_estse_0.r - Inst CLK_000_P_SYNC_2_ CLK_000_P_SYNC[2] - Inst cpu_estse_0_m cpu_estse_0.m - Inst CLK_000_P_SYNC_3_ CLK_000_P_SYNC[3] - Inst cpu_estse_0_n cpu_estse_0.n - Inst CLK_000_P_SYNC_4_ CLK_000_P_SYNC[4] - Inst cpu_estse_0_p cpu_estse_0.p - Inst CLK_000_P_SYNC_5_ CLK_000_P_SYNC[5] - Inst cpu_estse_2_r cpu_estse_2.r - Inst CLK_000_P_SYNC_6_ CLK_000_P_SYNC[6] - Inst state_machine_un4_bgack_000_i state_machine.un4_bgack_000_i - Inst cpu_estse_2_m cpu_estse_2.m - Inst CLK_000_P_SYNC_7_ CLK_000_P_SYNC[7] - Inst cpu_estse_2_n cpu_estse_2.n - Inst CLK_000_P_SYNC_8_ CLK_000_P_SYNC[8] - Inst cpu_estse_2_p cpu_estse_2.p - Inst CLK_000_P_SYNC_9_ CLK_000_P_SYNC[9] - Inst CLK_000_N_SYNC_0_ CLK_000_N_SYNC[0] - Inst state_machine_un10_clk_000_ne_i state_machine.un10_clk_000_ne_i - Inst CLK_000_N_SYNC_1_ CLK_000_N_SYNC[1] - Inst state_machine_un4_clk_000_ne_i state_machine.un4_clk_000_ne_i - Inst CLK_000_N_SYNC_2_ CLK_000_N_SYNC[2] - Inst state_machine_un6_clk_000_ne_i_0 state_machine.un6_clk_000_ne_i_0 - Inst CLK_000_N_SYNC_3_ CLK_000_N_SYNC[3] - Inst state_machine_RW_000_INT_3_i state_machine.RW_000_INT_3_i - Inst CLK_000_N_SYNC_4_ CLK_000_N_SYNC[4] - Inst CLK_000_N_SYNC_5_ CLK_000_N_SYNC[5] - Inst SM_AMIGA_ns_i_0_ SM_AMIGA_ns_i[0] - Inst CLK_000_P_SYNC_0_ CLK_000_P_SYNC[0] - Inst SM_AMIGA_ns_i_1_ SM_AMIGA_ns_i[1] - Inst SM_AMIGA_ns_i_2_ SM_AMIGA_ns_i[2] - Inst SM_AMIGA_ns_i_4_ SM_AMIGA_ns_i[4] - Inst cpu_estse_1_r cpu_estse_1.r - Inst cpu_estse_1_m cpu_estse_1.m - Inst cpu_estse_1_n cpu_estse_1.n - Inst cpu_estse_1_p cpu_estse_1.p - Inst IPL_030_0_2__r IPL_030_0_2_.r - Inst IPL_030_0_2__m IPL_030_0_2_.m - Inst IPL_030_0_2__n IPL_030_0_2_.n - Inst IPL_030_0_2__p IPL_030_0_2_.p - Inst IPL_030_0_1__r IPL_030_0_1_.r - Inst IPL_030_0_1__m IPL_030_0_1_.m - Inst IPL_030_0_1__n IPL_030_0_1_.n - Inst IPL_030_0_1__p IPL_030_0_1_.p - Inst IPL_030_0_0__r IPL_030_0_0_.r - Inst IPL_030_0_0__m IPL_030_0_0_.m - Inst IPL_030_0_0__n IPL_030_0_0_.n - Inst IPL_030_0_0__p IPL_030_0_0_.p + Inst DSACK1_INT_0_p DSACK1_INT_0.p Inst RW_000_INT_0_r RW_000_INT_0.r Inst RW_000_INT_0_m RW_000_INT_0.m Inst RW_000_INT_0_n RW_000_INT_0.n Inst RW_000_INT_0_p RW_000_INT_0.p - Inst DSACK1_INT_0_r DSACK1_INT_0.r - Inst DSACK1_INT_0_m DSACK1_INT_0.m - Inst DSACK1_INT_0_n DSACK1_INT_0.n - Inst DSACK1_INT_0_p DSACK1_INT_0.p - Inst DS_000_ENABLE_0_r DS_000_ENABLE_0.r - Inst DS_000_ENABLE_0_m DS_000_ENABLE_0.m - Inst DS_000_ENABLE_0_n DS_000_ENABLE_0.n + Inst state_machine_RW_000_INT_3 state_machine.RW_000_INT_3 + Inst state_machine_CLK_030_H_2_f1_i state_machine.CLK_030_H_2_f1_i + Inst state_machine_un10_bgack_030_int_i state_machine.un10_bgack_030_int_i + Inst state_machine_un38_clk_000_d0_i state_machine.un38_clk_000_d0_i + Inst state_machine_un32_clk_000_d0_i state_machine.un32_clk_000_d0_i + Inst SM_AMIGA_i_4_ SM_AMIGA_i[4] + Inst SM_AMIGA_ns_o4_1_i_0_ SM_AMIGA_ns_o4_1_i[0] + Inst CLK_CNT_N_i_0_ CLK_CNT_N_i[0] + Inst cpu_est_ns_0_i_2_ cpu_est_ns_0_i[2] + Inst state_machine_un10_clk_000_d0_2_i state_machine.un10_clk_000_d0_2_i + Inst BG_000_0_r BG_000_0.r + Inst SM_AMIGA_ns_i_o4_i_2_ SM_AMIGA_ns_i_o4_i[2] + Inst BG_000_0_m BG_000_0.m + Inst BG_000_0_n BG_000_0.n + Inst state_machine_un1_as_030_i_0 state_machine.un1_as_030_i_0 + Inst BG_000_0_p BG_000_0.p + Inst cpu_est_0_ cpu_est[0] + Inst state_machine_un6_clk_000_p_sync_i state_machine.un6_clk_000_p_sync_i + Inst cpu_est_1_ cpu_est[1] + Inst state_machine_un6_bgack_000_i state_machine.un6_bgack_000_i + Inst cpu_est_2_ cpu_est[2] + Inst cpu_est_3_ cpu_est[3] + Inst state_machine_un10_bg_030 state_machine.un10_bg_030 + Inst SM_AMIGA_3_ SM_AMIGA[3] + Inst SM_AMIGA_2_ SM_AMIGA[2] + Inst SM_AMIGA_ns_i_3_ SM_AMIGA_ns_i[3] + Inst SM_AMIGA_1_ SM_AMIGA[1] + Inst A_i_25_ A_i[25] + Inst SM_AMIGA_0_ SM_AMIGA[0] + Inst SM_AMIGA_ns_i_4_ SM_AMIGA_ns_i[4] + Inst CLK_CNT_P_0_ CLK_CNT_P[0] + Inst CLK_CNT_P_1_ CLK_CNT_P[1] + Inst SIZE_DMA_0_ SIZE_DMA[0] + Inst SM_AMIGA_ns_i_5_ SM_AMIGA_ns_i[5] + Inst un4_clk_cnt_n_1_i_1_ un4_clk_cnt_n_1_i[1] + Inst SIZE_DMA_1_ SIZE_DMA[1] + Inst IPL_030DFFSH_0_ IPL_030DFFSH[0] + Inst SM_AMIGA_ns_o4_i_0_ SM_AMIGA_ns_o4_i[0] + Inst IPL_030DFFSH_1_ IPL_030DFFSH[1] + Inst SM_AMIGA_ns_o4_0_i_0_ SM_AMIGA_ns_o4_0_i[0] + Inst state_machine_un3_clk_out_pre_50 state_machine.un3_clk_out_pre_50 + Inst IPL_030DFFSH_2_ IPL_030DFFSH[2] + Inst SM_AMIGA_ns_i_o4_i_6_ SM_AMIGA_ns_i_o4_i[6] + Inst SM_AMIGA_7_ SM_AMIGA[7] + Inst un2_clk_cnt_p_i_1_ un2_clk_cnt_p_i[1] + Inst SM_AMIGA_6_ SM_AMIGA[6] + Inst SM_AMIGA_5_ SM_AMIGA[5] + Inst SM_AMIGA_4_ SM_AMIGA[4] + Inst CLK_000_P_SYNC_0_ CLK_000_P_SYNC[0] + Inst CLK_000_P_SYNC_1_ CLK_000_P_SYNC[1] + Inst CLK_000_P_SYNC_2_ CLK_000_P_SYNC[2] + Inst CLK_000_P_SYNC_3_ CLK_000_P_SYNC[3] + Inst CLK_000_P_SYNC_4_ CLK_000_P_SYNC[4] + Inst CLK_000_P_SYNC_5_ CLK_000_P_SYNC[5] + Inst CLK_000_P_SYNC_6_ CLK_000_P_SYNC[6] + Inst SM_AMIGA_ns_i_0_ SM_AMIGA_ns_i[0] + Inst CLK_000_P_SYNC_7_ CLK_000_P_SYNC[7] + Inst CLK_000_P_SYNC_8_ CLK_000_P_SYNC[8] + Inst CLK_000_P_SYNC_9_ CLK_000_P_SYNC[9] + Inst SM_AMIGA_ns_i_1_ SM_AMIGA_ns_i[1] + Inst CLK_CNT_N_0_ CLK_CNT_N[0] + Inst CLK_CNT_N_1_ CLK_CNT_N[1] + Inst state_machine_un6_clk_000_p_sync state_machine.un6_clk_000_p_sync + Inst UDS_000_INT_0_r UDS_000_INT_0.r + Inst UDS_000_INT_0_m UDS_000_INT_0.m + Inst UDS_000_INT_0_n UDS_000_INT_0.n + Inst UDS_000_INT_0_p UDS_000_INT_0.p + Inst SM_AMIGA_i_2_ SM_AMIGA_i[2] + Inst SM_AMIGA_ns_i_a4_6_ SM_AMIGA_ns_i_a4[6] + Inst SM_AMIGA_ns_a4_0_5_ SM_AMIGA_ns_a4_0[5] + Inst SM_AMIGA_ns_a4_5_ SM_AMIGA_ns_a4[5] + Inst SM_AMIGA_ns_a4_0_3_ SM_AMIGA_ns_a4_0[3] + Inst SM_AMIGA_ns_a4_3_ SM_AMIGA_ns_a4[3] + Inst SM_AMIGA_ns_a4_0_1_ SM_AMIGA_ns_a4_0[1] + Inst SM_AMIGA_ns_a4_1_0_ SM_AMIGA_ns_a4_1[0] + Inst SM_AMIGA_i_1_ SM_AMIGA_i[1] + Inst SM_AMIGA_i_0_ SM_AMIGA_i[0] + Inst state_machine_un30_clk_000_d0 state_machine.un30_clk_000_d0 + Inst SM_AMIGA_ns_a4_1_ SM_AMIGA_ns_a4[1] + Inst SM_AMIGA_i_3_ SM_AMIGA_i[3] + Inst state_machine_un30_clk_000_d0_i state_machine.un30_clk_000_d0_i + Inst SM_AMIGA_ns_i_o4_6_ SM_AMIGA_ns_i_o4[6] + Inst SM_AMIGA_ns_o4_0_0_ SM_AMIGA_ns_o4_0[0] + Inst SM_AMIGA_ns_o4_0_ SM_AMIGA_ns_o4[0] + Inst SM_AMIGA_ns_i_6_ SM_AMIGA_ns_i[6] + Inst SM_AMIGA_ns_5_ SM_AMIGA_ns[5] + Inst SM_AMIGA_ns_4_ SM_AMIGA_ns[4] + Inst SM_AMIGA_ns_3_ SM_AMIGA_ns[3] + Inst SM_AMIGA_ns_1_ SM_AMIGA_ns[1] + Inst SM_AMIGA_ns_a4_7_ SM_AMIGA_ns_a4[7] + Inst cpu_est_ns_0_a3_2_ cpu_est_ns_0_a3[2] Inst SIZE_0_ SIZE[0] - Inst DS_000_ENABLE_0_p DS_000_ENABLE_0.p + Inst cpu_est_ns_0_a3_0_2_ cpu_est_ns_0_a3_0[2] Inst SIZE_1_ SIZE[1] + Inst cpu_est_ns_0_a3_1_2_ cpu_est_ns_0_a3_1[2] Inst A_16_ A[16] - Inst AS_000_INT_0_r AS_000_INT_0.r + Inst state_machine_un38_clk_000_d0_1_i state_machine.un38_clk_000_d0_1_i Inst A_17_ A[17] - Inst AS_000_INT_0_m AS_000_INT_0.m + Inst cpu_est_ns_i_a3_3_ cpu_est_ns_i_a3[3] Inst A_18_ A[18] - Inst AS_000_INT_0_n AS_000_INT_0.n + Inst cpu_est_i_3_ cpu_est_i[3] Inst A_19_ A[19] - Inst AS_000_INT_0_p AS_000_INT_0.p + Inst cpu_est_ns_i_a3_0_3_ cpu_est_ns_i_a3_0[3] Inst A_20_ A[20] + Inst cpu_est_i_0_ cpu_est_i[0] Inst A_21_ A[21] - Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r + Inst cpu_est_ns_0_a2_1_ cpu_est_ns_0_a2[1] Inst A_22_ A[22] - Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m + Inst cpu_est_ns_i_3_ cpu_est_ns_i[3] Inst A_23_ A[23] - Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n + Inst cpu_est_i_1_ cpu_est_i[1] Inst A_24_ A[24] - Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p + Inst state_machine_un38_clk_000_d0_1 state_machine.un38_clk_000_d0_1 Inst A_25_ A[25] Inst A_26_ A[26] Inst A_27_ A[27] - Inst SM_AMIGA_ns_a4_6_ SM_AMIGA_ns_a4[6] Inst A_28_ A[28] - Inst SM_AMIGA_ns_a4_5_ SM_AMIGA_ns_a4[5] + Inst state_machine_un1_as_030 state_machine.un1_as_030 Inst A_29_ A[29] - Inst SM_AMIGA_ns_a4_3_ SM_AMIGA_ns_a4[3] + Inst SM_AMIGA_i_6_ SM_AMIGA_i[6] Inst A_30_ A[30] - Inst SM_AMIGA_ns_a4_0_1_ SM_AMIGA_ns_a4_0[1] + Inst SM_AMIGA_ns_i_a4_2_ SM_AMIGA_ns_i_a4[2] Inst A_31_ A[31] - Inst SM_AMIGA_ns_a4_1_ SM_AMIGA_ns_a4[1] - Inst state_machine_RW_000_INT_3 state_machine.RW_000_INT_3 - Inst state_machine_un6_clk_000_ne state_machine.un6_clk_000_ne - Inst state_machine_un4_clk_000_ne state_machine.un4_clk_000_ne - Inst SM_AMIGA_ns_a4_0_6_ SM_AMIGA_ns_a4_0[6] + Inst SM_AMIGA_ns_i_2_ SM_AMIGA_ns_i[2] + Inst SM_AMIGA_i_5_ SM_AMIGA_i[5] + Inst SM_AMIGA_ns_i_o4_2_ SM_AMIGA_ns_i_o4[2] + Inst IPL_030_0_0__r IPL_030_0_0_.r + Inst IPL_030_0_0__m IPL_030_0_0_.m + Inst IPL_030_0_0__n IPL_030_0_0_.n + Inst IPL_030_0_0__p IPL_030_0_0_.p + Inst IPL_030_0_1__r IPL_030_0_1_.r + Inst IPL_030_0_1__m IPL_030_0_1_.m + Inst IPL_030_0_1__n IPL_030_0_1_.n + Inst IPL_030_0_1__p IPL_030_0_1_.p Inst IPL_030_0_ IPL_030[0] - Inst SM_AMIGA_i_1_ SM_AMIGA_i[1] + Inst IPL_030_0_2__r IPL_030_0_2_.r Inst IPL_030_1_ IPL_030[1] - Inst SM_AMIGA_ns_i_o4_7_ SM_AMIGA_ns_i_o4[7] + Inst IPL_030_0_2__m IPL_030_0_2_.m Inst IPL_030_2_ IPL_030[2] - Inst SM_AMIGA_ns_o4_1_0_ SM_AMIGA_ns_o4_1[0] + Inst IPL_030_0_2__n IPL_030_0_2_.n Inst IPL_0_ IPL[0] + Inst IPL_030_0_2__p IPL_030_0_2_.p Inst IPL_1_ IPL[1] - Inst SM_AMIGA_ns_o4_0_0_ SM_AMIGA_ns_o4_0[0] + Inst cpu_estse_0_r cpu_estse_0.r Inst IPL_2_ IPL[2] - Inst SM_AMIGA_ns_o4_0_ SM_AMIGA_ns_o4[0] - Inst SM_AMIGA_ns_6_ SM_AMIGA_ns[6] - Inst SM_AMIGA_ns_4_ SM_AMIGA_ns[4] - Inst SM_AMIGA_ns_2_ SM_AMIGA_ns[2] - Inst SM_AMIGA_ns_1_ SM_AMIGA_ns[1] - Inst A_i_19_ A_i[19] - Inst state_machine_un4_bgack_000 state_machine.un4_bgack_000 - Inst A_i_16_ A_i[16] + Inst cpu_estse_0_m cpu_estse_0.m + Inst cpu_estse_0_n cpu_estse_0.n + Inst cpu_estse_0_p cpu_estse_0.p + Inst cpu_estse_1_r cpu_estse_1.r + Inst cpu_estse_1_m cpu_estse_1.m + Inst cpu_estse_1_n cpu_estse_1.n + Inst cpu_estse_1_p cpu_estse_1.p + Inst cpu_estse_2_r cpu_estse_2.r + Inst cpu_estse_2_m cpu_estse_2.m + Inst cpu_estse_2_n cpu_estse_2.n + Inst cpu_estse_2_p cpu_estse_2.p Inst FC_0_ FC[0] Inst FC_1_ FC[1] - Inst SM_AMIGA_ns_0_ SM_AMIGA_ns[0] - Inst SM_AMIGA_ns_a4_2_ SM_AMIGA_ns_a4[2] - Inst cpu_est_ns_0_0_1_2_ cpu_est_ns_0_0_1[2] - Inst SM_AMIGA_ns_a4_1_2_ SM_AMIGA_ns_a4_1[2] - Inst cpu_est_ns_0_0_2_ cpu_est_ns_0_0[2] - Inst SM_AMIGA_i_3_ SM_AMIGA_i[3] - Inst SM_AMIGA_i_0_ SM_AMIGA_i[0] - Inst state_machine_un12_clk_000_d0_0_a3_1 state_machine.un12_clk_000_d0_0_a3_1 - Inst state_machine_un10_bg_030 state_machine.un10_bg_030 - Inst state_machine_un12_clk_000_d0_0_a3 state_machine.un12_clk_000_d0_0_a3 - Inst SM_AMIGA_ns_a4_0_1_0_ SM_AMIGA_ns_a4_0_1[0] - Inst SM_AMIGA_ns_a4_0_0_ SM_AMIGA_ns_a4_0[0] - Inst SM_AMIGA_ns_a4_2_0_ SM_AMIGA_ns_a4_2[0] - Inst SM_AMIGA_ns_a4_1_0_0_ SM_AMIGA_ns_a4_1_0[0] - Inst SM_AMIGA_ns_a4_0_3_ SM_AMIGA_ns_a4_0[3] - Inst SM_AMIGA_ns_a4_0_ SM_AMIGA_ns_a4[0] - Inst SM_AMIGA_ns_a4_0_5_ SM_AMIGA_ns_a4_0[5] - Inst SM_AMIGA_ns_a4_1_4_ SM_AMIGA_ns_a4_1[4] - Inst SM_AMIGA_ns_i_a4_7_ SM_AMIGA_ns_i_a4[7] - Inst SM_AMIGA_ns_a4_4_ SM_AMIGA_ns_a4[4] - Inst SM_AMIGA_ns_3_ SM_AMIGA_ns[3] - Inst SM_AMIGA_ns_a4_3_1_0_ SM_AMIGA_ns_a4_3_1[0] - Inst SM_AMIGA_ns_5_ SM_AMIGA_ns[5] - Inst SM_AMIGA_ns_a4_3_2_0_ SM_AMIGA_ns_a4_3_2[0] - Inst SM_AMIGA_ns_i_7_ SM_AMIGA_ns_i[7] - Inst SM_AMIGA_ns_a4_3_3_0_ SM_AMIGA_ns_a4_3_3[0] - Inst SM_AMIGA_i_7_ SM_AMIGA_i[7] - Inst SM_AMIGA_ns_a4_3_0_ SM_AMIGA_ns_a4_3[0] - Inst BGACK_030_INT_0_r BGACK_030_INT_0.r - Inst state_machine_un10_clk_000_ne_1 state_machine.un10_clk_000_ne_1 - Inst BGACK_030_INT_0_m BGACK_030_INT_0.m - Inst state_machine_un10_clk_000_ne state_machine.un10_clk_000_ne - Inst BGACK_030_INT_0_n BGACK_030_INT_0.n - Inst SM_AMIGA_ns_1_0_ SM_AMIGA_ns_1[0] - Inst BGACK_030_INT_0_p BGACK_030_INT_0.p + Inst AS_030_000_SYNC_0_r AS_030_000_SYNC_0.r + Inst AS_030_000_SYNC_0_m AS_030_000_SYNC_0.m + Inst AS_030_000_SYNC_0_n AS_030_000_SYNC_0.n Inst SM_AMIGA_ns_2_0_ SM_AMIGA_ns_2[0] - Inst A_i_17_ A_i[17] + Inst AS_030_000_SYNC_0_p AS_030_000_SYNC_0.p Inst SM_AMIGA_ns_3_0_ SM_AMIGA_ns_3[0] + Inst DS_000_ENABLE_0_r DS_000_ENABLE_0.r + Inst SM_AMIGA_ns_0_ SM_AMIGA_ns[0] + Inst DS_000_ENABLE_0_m DS_000_ENABLE_0.m + Inst DS_000_ENABLE_0_n DS_000_ENABLE_0.n + Inst DS_000_ENABLE_0_p DS_000_ENABLE_0.p + Inst SM_AMIGA_ns_a4_3_1_0_ SM_AMIGA_ns_a4_3_1[0] + Inst LDS_000_INT_0_r LDS_000_INT_0.r + Inst SM_AMIGA_ns_a4_3_2_0_ SM_AMIGA_ns_a4_3_2[0] + Inst LDS_000_INT_0_m LDS_000_INT_0.m + Inst SM_AMIGA_ns_a4_3_3_0_ SM_AMIGA_ns_a4_3_3[0] + Inst LDS_000_INT_0_n LDS_000_INT_0.n + Inst SM_AMIGA_ns_a4_3_0_ SM_AMIGA_ns_a4_3[0] + Inst LDS_000_INT_0_p LDS_000_INT_0.p + Inst SM_AMIGA_ns_a4_2_1_0_ SM_AMIGA_ns_a4_2_1[0] + Inst BGACK_030_INT_0_r BGACK_030_INT_0.r + Inst SM_AMIGA_ns_a4_2_0_ SM_AMIGA_ns_a4_2[0] + Inst BGACK_030_INT_0_m BGACK_030_INT_0.m + Inst SM_AMIGA_ns_a4_0_1_0_ SM_AMIGA_ns_a4_0_1[0] + Inst BGACK_030_INT_0_n BGACK_030_INT_0.n + Inst SM_AMIGA_ns_a4_0_0_ SM_AMIGA_ns_a4_0[0] + Inst BGACK_030_INT_0_p BGACK_030_INT_0.p + Inst SM_AMIGA_ns_a4_1_0_0_ SM_AMIGA_ns_a4_1_0[0] + Inst SM_AMIGA_ns_a4_0_ SM_AMIGA_ns_a4[0] + Inst SM_AMIGA_ns_a4_1_4_ SM_AMIGA_ns_a4_1[4] + Inst SM_AMIGA_ns_a4_4_ SM_AMIGA_ns_a4[4] + Inst SM_AMIGA_ns_1_0_ SM_AMIGA_ns_1[0] + Inst A_i_16_ A_i[16] + Inst A_i_19_ A_i[19] Inst A_i_18_ A_i[18] - Inst state_machine_un8_bgack_030_int_i state_machine.un8_bgack_030_int_i - Inst AS_000_DMA_0_r AS_000_DMA_0.r - Inst AS_000_DMA_0_m AS_000_DMA_0.m - Inst AS_000_DMA_0_n AS_000_DMA_0.n - Inst AS_000_DMA_0_p AS_000_DMA_0.p + Inst state_machine_un6_bgack_000 state_machine.un6_bgack_000 + Inst state_machine_un8_bgack_030_int state_machine.un8_bgack_030_int + Inst cpu_est_ns_0_1_2_ cpu_est_ns_0_1[2] + Inst AMIGA_BUS_ENABLE_INT_0_r AMIGA_BUS_ENABLE_INT_0.r + Inst cpu_est_ns_0_2_ cpu_est_ns_0[2] + Inst AMIGA_BUS_ENABLE_INT_0_m AMIGA_BUS_ENABLE_INT_0.m + Inst state_machine_CLK_000_P_SYNC_3_1_0_ state_machine.CLK_000_P_SYNC_3_1[0] + Inst AMIGA_BUS_ENABLE_INT_0_n AMIGA_BUS_ENABLE_INT_0.n + Inst state_machine_CLK_000_P_SYNC_3_0_ state_machine.CLK_000_P_SYNC_3[0] + Inst AMIGA_BUS_ENABLE_INT_0_p AMIGA_BUS_ENABLE_INT_0.p + Inst state_machine_un10_clk_000_d0_1 state_machine.un10_clk_000_d0_1 + Inst state_machine_un3_bgack_030_int_d state_machine.un3_bgack_030_int_d + Inst state_machine_un10_clk_000_d0_2 state_machine.un10_clk_000_d0_2 + Inst state_machine_un10_clk_000_d0_3 state_machine.un10_clk_000_d0_3 + Inst state_machine_un10_clk_000_d0 state_machine.un10_clk_000_d0 + Inst state_machine_un5_bgack_030_int_d state_machine.un5_bgack_030_int_d Inst DS_000_DMA_0_r DS_000_DMA_0.r Inst DS_000_DMA_0_m DS_000_DMA_0.m Inst DS_000_DMA_0_n DS_000_DMA_0.n Inst DS_000_DMA_0_p DS_000_DMA_0.p - Inst RW_000_DMA_0_r RW_000_DMA_0.r - Inst RW_000_DMA_0_m RW_000_DMA_0.m - Inst RW_000_DMA_0_n RW_000_DMA_0.n - Inst SM_AMIGA_ns_a4_1_1_0_ SM_AMIGA_ns_a4_1_1[0] - Inst RW_000_DMA_0_p RW_000_DMA_0.p - Inst SM_AMIGA_ns_a4_1_0_ SM_AMIGA_ns_a4_1[0] + Inst state_machine_un8_bgack_030_int_i state_machine.un8_bgack_030_int_i + Inst AS_000_DMA_0_r AS_000_DMA_0.r + Inst state_machine_un7_ds_030_1 state_machine.un7_ds_030_1 + Inst AS_000_DMA_0_m AS_000_DMA_0.m + Inst state_machine_un7_ds_030 state_machine.un7_ds_030 + Inst AS_000_DMA_0_n AS_000_DMA_0.n + Inst AS_000_DMA_0_p AS_000_DMA_0.p + Inst state_machine_un24_bgack_030_int state_machine.un24_bgack_030_int + Inst state_machine_un10_bgack_030_int state_machine.un10_bgack_030_int + Inst state_machine_A0_DMA_2 state_machine.A0_DMA_2 + Inst state_machine_CLK_030_H_2_f1 state_machine.CLK_030_H_2_f1 + Inst state_machine_CLK_030_H_2_f0 state_machine.CLK_030_H_2_f0 + Inst state_machine_un38_clk_000_d0_1_0 state_machine.un38_clk_000_d0_1_0 + Inst state_machine_un38_clk_000_d0 state_machine.un38_clk_000_d0 + Inst state_machine_un24_bgack_030_int_i state_machine.un24_bgack_030_int_i + Inst state_machine_un5_clk_000_d0_1 state_machine.un5_clk_000_d0_1 + Inst state_machine_un5_clk_000_d0_2 state_machine.un5_clk_000_d0_2 + Inst state_machine_un5_clk_000_d0 state_machine.un5_clk_000_d0 + Inst state_machine_un16_clk_000_d0 state_machine.un16_clk_000_d0 + Inst SM_AMIGA_i_7_ SM_AMIGA_i[7] + Inst SM_AMIGA_ns_7_ SM_AMIGA_ns[7] + Inst SM_AMIGA_ns_a4_0_7_ SM_AMIGA_ns_a4_0[7] + Inst state_machine_un34_clk_000_d0 state_machine.un34_clk_000_d0 + Inst state_machine_un32_clk_000_d0 state_machine.un32_clk_000_d0 Inst CLK_030_H_0_r CLK_030_H_0.r - Inst state_machine_un12_clk_000_d0_0_a3_0 state_machine.un12_clk_000_d0_0_a3_0 Inst CLK_030_H_0_m CLK_030_H_0.m Inst CLK_030_H_0_n CLK_030_H_0.n - Inst CLK_030_H_0_p CLK_030_H_0.p - Inst AMIGA_BUS_ENABLE_INT_0_r AMIGA_BUS_ENABLE_INT_0.r - Inst SM_AMIGA_ns_o4_2_1_0_ SM_AMIGA_ns_o4_2_1[0] - Inst AMIGA_BUS_ENABLE_INT_0_m AMIGA_BUS_ENABLE_INT_0.m - Inst SM_AMIGA_ns_o4_2_0_ SM_AMIGA_ns_o4_2[0] - Inst AMIGA_BUS_ENABLE_INT_0_n AMIGA_BUS_ENABLE_INT_0.n Inst state_machine_un8_bg_030_1 state_machine.un8_bg_030_1 - Inst AMIGA_BUS_ENABLE_INT_0_p AMIGA_BUS_ENABLE_INT_0.p + Inst CLK_030_H_0_p CLK_030_H_0.p Inst state_machine_un8_bg_030_2 state_machine.un8_bg_030_2 - Inst BG_000_0_r BG_000_0.r Inst state_machine_un8_bg_030 state_machine.un8_bg_030 - Inst BG_000_0_m BG_000_0.m - Inst BG_000_0_n BG_000_0.n - Inst BG_000_0_p BG_000_0.p - Inst state_machine_un3_bgack_030_int_d state_machine.un3_bgack_030_int_d - Inst state_machine_un5_bgack_030_int_d state_machine.un5_bgack_030_int_d - Inst state_machine_CLK_000_P_SYNC_3_1_0_ state_machine.CLK_000_P_SYNC_3_1[0] - Inst state_machine_CLK_000_P_SYNC_3_2_0_ state_machine.CLK_000_P_SYNC_3_2[0] - Inst state_machine_CLK_000_P_SYNC_3_0_ state_machine.CLK_000_P_SYNC_3[0] - Inst state_machine_CLK_030_H_2_f0 state_machine.CLK_030_H_2_f0 - Inst state_machine_un28_as_030_1 state_machine.un28_as_030_1 - Inst state_machine_CLK_030_H_2_f1 state_machine.CLK_030_H_2_f1 - Inst state_machine_un28_as_030_2 state_machine.un28_as_030_2 - Inst state_machine_SIZE_DMA_4_1_ state_machine.SIZE_DMA_4[1] - Inst state_machine_un28_as_030 state_machine.un28_as_030 - Inst state_machine_un31_bgack_030_int_i state_machine.un31_bgack_030_int_i - Inst state_machine_CLK_000_N_SYNC_2_1_0_ state_machine.CLK_000_N_SYNC_2_1[0] - Inst state_machine_SIZE_DMA_4_0_ state_machine.SIZE_DMA_4[0] - Inst state_machine_CLK_000_N_SYNC_2_0_ state_machine.CLK_000_N_SYNC_2[0] - Inst state_machine_DS_000_DMA_3 state_machine.DS_000_DMA_3 - Inst cpu_est_ns_0_0_1_1_ cpu_est_ns_0_0_1[1] - Inst state_machine_A0_DMA_2 state_machine.A0_DMA_2 - Inst cpu_est_ns_0_0_2_1_ cpu_est_ns_0_0_2[1] - Inst cpu_est_ns_0_0_1_ cpu_est_ns_0_0[1] - Inst state_machine_un31_bgack_030_int state_machine.un31_bgack_030_int - Inst state_machine_un12_clk_000_d0_0_a3_0_1 state_machine.un12_clk_000_d0_0_a3_0_1 - Inst state_machine_un12_clk_000_d0_0_a3_0_2 state_machine.un12_clk_000_d0_0_a3_0_2 - Inst SIZE_c_i_1_ SIZE_c_i[1] - Inst state_machine_un10_bgack_030_int state_machine.un10_bgack_030_int - Inst state_machine_un24_bgack_030_int state_machine.un24_bgack_030_int - Inst state_machine_un28_as_030_i state_machine.un28_as_030_i - Inst state_machine_un7_ds_030_1 state_machine.un7_ds_030_1 - Net a_i_20__n A_i[20] - Net a_i_23__n A_i[23] - Net cpu_est_i_2__n cpu_est_i[2] - Net clk_cnt_n_i_0__n CLK_CNT_N_i[0] - Net a_i_30__n A_i[30] - Net a_i_31__n A_i[31] - Net vcc_n_n VCC - Net a_i_28__n A_i[28] - Net a_i_29__n A_i[29] - Net a_i_26__n A_i[26] - Net a_i_27__n A_i[27] - Net a_i_24__n A_i[24] - Net a_i_25__n A_i[25] - Net state_machine_un10_clk_000_ne_1_n state_machine.un10_clk_000_ne_1 - Net sm_amiga_ns_0_1_0__n SM_AMIGA_ns_0_1[0] - Net un2_clk_cnt_p_i_1__n un2_clk_cnt_p_i[1] + Inst RW_000_DMA_0_r RW_000_DMA_0.r + Inst RW_000_DMA_0_m RW_000_DMA_0.m + Inst state_machine_RW_000_INT_3_i state_machine.RW_000_INT_3_i + Inst RW_000_DMA_0_n RW_000_DMA_0.n + Inst RW_000_DMA_0_p RW_000_DMA_0.p + Inst state_machine_un8_bg_030_i state_machine.un8_bg_030_i + Inst state_machine_un10_bg_030_i state_machine.un10_bg_030_i + Inst VMA_INT_0_r VMA_INT_0.r + Inst VMA_INT_0_m VMA_INT_0.m + Inst VMA_INT_0_n VMA_INT_0.n + Inst VMA_INT_0_p VMA_INT_0.p + Inst state_machine_un12_clk_000_d0 state_machine.un12_clk_000_d0 + Inst cpu_est_ns_0_1_1_ cpu_est_ns_0_1[1] + Inst cpu_est_i_2_ cpu_est_i[2] + Inst cpu_est_ns_0_2_1_ cpu_est_ns_0_2[1] + Inst cpu_est_ns_0_a3_1_ cpu_est_ns_0_a3[1] Net sm_amiga_ns_0_2_0__n SM_AMIGA_ns_0_2[0] Net sm_amiga_ns_0_3_0__n SM_AMIGA_ns_0_3[0] - Net clk_cnt_n_0__n CLK_CNT_N[0] Net un4_clk_cnt_n_1_i_1__n un4_clk_cnt_n_1_i[1] - Net cpu_est_ns_0_1_2__n cpu_est_ns_0_1[2] - Net cpu_estse_1_un3_n cpu_estse_1.un3 - Net cpu_estse_1_un1_n cpu_estse_1.un1 - Net cpu_estse_1_un0_n cpu_estse_1.un0 + Net uds_000_int_0_un3_n UDS_000_INT_0.un3 + Net un2_clk_cnt_p_i_1__n un2_clk_cnt_p_i[1] + Net uds_000_int_0_un1_n UDS_000_INT_0.un1 + Net uds_000_int_0_un0_n UDS_000_INT_0.un0 + Net ipl_030_0_0__un3_n IPL_030_0_0_.un3 + Net ipl_030_0_0__un1_n IPL_030_0_0_.un1 + Net vcc_n_n VCC + Net ipl_030_0_0__un0_n IPL_030_0_0_.un0 + Net ipl_030_0_1__un3_n IPL_030_0_1_.un3 + Net ipl_030_0_1__un1_n IPL_030_0_1_.un1 + Net ipl_030_0_1__un0_n IPL_030_0_1_.un0 Net ipl_030_0_2__un3_n IPL_030_0_2_.un3 Net ipl_030_0_2__un1_n IPL_030_0_2_.un1 Net ipl_030_0_2__un0_n IPL_030_0_2_.un0 - Net clk_000_p_sync_9__n CLK_000_P_SYNC[9] - Net ipl_030_0_1__un3_n IPL_030_0_1_.un3 - Net clk_000_n_sync_11__n CLK_000_N_SYNC[11] - Net ipl_030_0_1__un1_n IPL_030_0_1_.un1 - Net state_machine_un10_clk_000_d0_n state_machine.un10_clk_000_d0 - Net ipl_030_0_1__un0_n IPL_030_0_1_.un0 - Net ipl_030_0_0__un3_n IPL_030_0_0_.un3 - Net sm_amiga_7__n SM_AMIGA[7] - Net ipl_030_0_0__un1_n IPL_030_0_0_.un1 - Net sm_amiga_1__n SM_AMIGA[1] - Net size_c_0__n SIZE_c[0] - Net ipl_030_0_0__un0_n IPL_030_0_0_.un0 - Net sm_amiga_0__n SM_AMIGA[0] - Net size_0__n SIZE[0] - Net rw_000_int_0_un3_n RW_000_INT_0.un3 - Net sm_amiga_6__n SM_AMIGA[6] - Net size_c_1__n SIZE_c[1] - Net rw_000_int_0_un1_n RW_000_INT_0.un1 - Net sm_amiga_4__n SM_AMIGA[4] - Net rw_000_int_0_un0_n RW_000_INT_0.un0 - Net clk_000_n_sync_6__n CLK_000_N_SYNC[6] - Net a_c_16__n A_c[16] - Net dsack1_int_0_un3_n DSACK1_INT_0.un3 - Net clk_cnt_p_1__n CLK_CNT_P[1] - Net a_16__n A[16] - Net dsack1_int_0_un1_n DSACK1_INT_0.un1 - Net clk_cnt_n_1__n CLK_CNT_N[1] - Net a_c_17__n A_c[17] - Net dsack1_int_0_un0_n DSACK1_INT_0.un0 - Net a_17__n A[17] - Net ds_000_enable_0_un3_n DS_000_ENABLE_0.un3 - Net a_c_18__n A_c[18] - Net ds_000_enable_0_un1_n DS_000_ENABLE_0.un1 - Net state_machine_un3_clk_out_pre_50_n state_machine.un3_clk_out_pre_50 - Net a_18__n A[18] - Net ds_000_enable_0_un0_n DS_000_ENABLE_0.un0 - Net a_c_19__n A_c[19] - Net as_000_int_0_un3_n AS_000_INT_0.un3 - Net clk_cnt_p_0__n CLK_CNT_P[0] - Net a_19__n A[19] - Net as_000_int_0_un1_n AS_000_INT_0.un1 - Net a_c_20__n A_c[20] - Net as_000_int_0_un0_n AS_000_INT_0.un0 - Net a_20__n A[20] - Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3 - Net a_c_21__n A_c[21] - Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1 - Net a_21__n A[21] - Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0 - Net a_c_22__n A_c[22] - Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3 - Net a_22__n A[22] - Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1 - Net state_machine_clk_000_p_sync_3_0__n state_machine.CLK_000_P_SYNC_3[0] - Net a_c_23__n A_c[23] - Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0 - Net state_machine_clk_000_n_sync_2_0__n state_machine.CLK_000_N_SYNC_2[0] - Net a_23__n A[23] - Net as_000_dma_0_un3_n AS_000_DMA_0.un3 - Net state_machine_un12_clk_000_d0_n state_machine.un12_clk_000_d0 - Net a_c_24__n A_c[24] - Net as_000_dma_0_un1_n AS_000_DMA_0.un1 - Net a_24__n A[24] - Net as_000_dma_0_un0_n AS_000_DMA_0.un0 - Net a_c_25__n A_c[25] - Net ds_000_dma_0_un3_n DS_000_DMA_0.un3 - Net size_dma_0__n SIZE_DMA[0] - Net a_25__n A[25] - Net ds_000_dma_0_un1_n DS_000_DMA_0.un1 - Net size_dma_1__n SIZE_DMA[1] - Net a_c_26__n A_c[26] - Net ds_000_dma_0_un0_n DS_000_DMA_0.un0 - Net a_26__n A[26] - Net rw_000_dma_0_un3_n RW_000_DMA_0.un3 - Net un4_clk_cnt_n_1_1__n un4_clk_cnt_n_1[1] - Net a_c_27__n A_c[27] - Net rw_000_dma_0_un1_n RW_000_DMA_0.un1 - Net un2_clk_cnt_p_1__n un2_clk_cnt_p[1] - Net a_27__n A[27] - Net rw_000_dma_0_un0_n RW_000_DMA_0.un0 - Net clk_000_p_sync_0__n CLK_000_P_SYNC[0] - Net a_c_28__n A_c[28] - Net clk_030_h_0_un3_n CLK_030_H_0.un3 - Net clk_000_p_sync_1__n CLK_000_P_SYNC[1] - Net a_28__n A[28] - Net clk_030_h_0_un1_n CLK_030_H_0.un1 - Net clk_000_p_sync_2__n CLK_000_P_SYNC[2] - Net a_c_29__n A_c[29] - Net clk_030_h_0_un0_n CLK_030_H_0.un0 - Net clk_000_p_sync_3__n CLK_000_P_SYNC[3] - Net a_29__n A[29] - Net amiga_bus_enable_int_0_un3_n AMIGA_BUS_ENABLE_INT_0.un3 - Net clk_000_p_sync_4__n CLK_000_P_SYNC[4] - Net a_c_30__n A_c[30] - Net amiga_bus_enable_int_0_un1_n AMIGA_BUS_ENABLE_INT_0.un1 - Net clk_000_p_sync_5__n CLK_000_P_SYNC[5] - Net a_30__n A[30] - Net amiga_bus_enable_int_0_un0_n AMIGA_BUS_ENABLE_INT_0.un0 - Net clk_000_p_sync_6__n CLK_000_P_SYNC[6] - Net a_c_31__n A_c[31] - Net bg_000_0_un3_n BG_000_0.un3 - Net clk_000_p_sync_7__n CLK_000_P_SYNC[7] - Net bg_000_0_un1_n BG_000_0.un1 - Net clk_000_p_sync_8__n CLK_000_P_SYNC[8] - Net bg_000_0_un0_n BG_000_0.un0 - Net clk_000_n_sync_0__n CLK_000_N_SYNC[0] - Net lds_000_int_0_un3_n LDS_000_INT_0.un3 - Net clk_000_n_sync_1__n CLK_000_N_SYNC[1] - Net lds_000_int_0_un1_n LDS_000_INT_0.un1 - Net clk_000_n_sync_2__n CLK_000_N_SYNC[2] - Net lds_000_int_0_un0_n LDS_000_INT_0.un0 - Net clk_000_n_sync_3__n CLK_000_N_SYNC[3] - Net uds_000_int_0_un3_n UDS_000_INT_0.un3 - Net clk_000_n_sync_4__n CLK_000_N_SYNC[4] - Net uds_000_int_0_un1_n UDS_000_INT_0.un1 - Net clk_000_n_sync_5__n CLK_000_N_SYNC[5] - Net uds_000_int_0_un0_n UDS_000_INT_0.un0 - Net clk_000_n_sync_7__n CLK_000_N_SYNC[7] - Net vma_int_0_un3_n VMA_INT_0.un3 - Net clk_000_n_sync_8__n CLK_000_N_SYNC[8] - Net vma_int_0_un1_n VMA_INT_0.un1 - Net clk_000_n_sync_9__n CLK_000_N_SYNC[9] - Net vma_int_0_un0_n VMA_INT_0.un0 - Net clk_000_n_sync_10__n CLK_000_N_SYNC[10] Net cpu_estse_0_un3_n cpu_estse_0.un3 Net cpu_estse_0_un1_n cpu_estse_0.un1 Net cpu_estse_0_un0_n cpu_estse_0.un0 - Net sm_amiga_5__n SM_AMIGA[5] + Net cpu_estse_1_un3_n cpu_estse_1.un3 + Net size_c_0__n SIZE_c[0] + Net cpu_estse_1_un1_n cpu_estse_1.un1 + Net size_0__n SIZE[0] + Net cpu_estse_1_un0_n cpu_estse_1.un0 + Net clk_cnt_n_0__n CLK_CNT_N[0] + Net size_c_1__n SIZE_c[1] Net cpu_estse_2_un3_n cpu_estse_2.un3 - Net sm_amiga_3__n SM_AMIGA[3] Net cpu_estse_2_un1_n cpu_estse_2.un1 - Net sm_amiga_2__n SM_AMIGA[2] + Net a_c_16__n A_c[16] Net cpu_estse_2_un0_n cpu_estse_2.un0 + Net a_16__n A[16] + Net as_030_000_sync_0_un3_n AS_030_000_SYNC_0.un3 + Net a_c_17__n A_c[17] + Net as_030_000_sync_0_un1_n AS_030_000_SYNC_0.un1 + Net a_17__n A[17] + Net as_030_000_sync_0_un0_n AS_030_000_SYNC_0.un0 + Net a_c_18__n A_c[18] + Net ds_000_enable_0_un3_n DS_000_ENABLE_0.un3 + Net clk_000_p_sync_9__n CLK_000_P_SYNC[9] + Net a_18__n A[18] + Net ds_000_enable_0_un1_n DS_000_ENABLE_0.un1 + Net a_c_19__n A_c[19] + Net ds_000_enable_0_un0_n DS_000_ENABLE_0.un0 + Net sm_amiga_7__n SM_AMIGA[7] + Net a_19__n A[19] + Net lds_000_int_0_un3_n LDS_000_INT_0.un3 + Net sm_amiga_6__n SM_AMIGA[6] + Net a_c_20__n A_c[20] + Net lds_000_int_0_un1_n LDS_000_INT_0.un1 + Net sm_amiga_1__n SM_AMIGA[1] + Net a_20__n A[20] + Net lds_000_int_0_un0_n LDS_000_INT_0.un0 + Net sm_amiga_0__n SM_AMIGA[0] + Net a_c_21__n A_c[21] + Net bgack_030_int_0_un3_n BGACK_030_INT_0.un3 + Net sm_amiga_4__n SM_AMIGA[4] + Net a_21__n A[21] + Net bgack_030_int_0_un1_n BGACK_030_INT_0.un1 + Net a_c_22__n A_c[22] + Net bgack_030_int_0_un0_n BGACK_030_INT_0.un0 + Net clk_cnt_p_1__n CLK_CNT_P[1] + Net a_22__n A[22] + Net amiga_bus_enable_int_0_un3_n AMIGA_BUS_ENABLE_INT_0.un3 + Net clk_cnt_n_1__n CLK_CNT_N[1] + Net a_c_23__n A_c[23] + Net amiga_bus_enable_int_0_un1_n AMIGA_BUS_ENABLE_INT_0.un1 + Net a_23__n A[23] + Net amiga_bus_enable_int_0_un0_n AMIGA_BUS_ENABLE_INT_0.un0 + Net a_c_24__n A_c[24] + Net ds_000_dma_0_un3_n DS_000_DMA_0.un3 + Net state_machine_un3_clk_out_pre_50_n state_machine.un3_clk_out_pre_50 + Net a_24__n A[24] + Net ds_000_dma_0_un1_n DS_000_DMA_0.un1 + Net clk_cnt_p_0__n CLK_CNT_P[0] + Net a_c_25__n A_c[25] + Net ds_000_dma_0_un0_n DS_000_DMA_0.un0 + Net a_25__n A[25] + Net as_000_dma_0_un3_n AS_000_DMA_0.un3 + Net a_c_26__n A_c[26] + Net as_000_dma_0_un1_n AS_000_DMA_0.un1 + Net a_26__n A[26] + Net as_000_dma_0_un0_n AS_000_DMA_0.un0 + Net a_c_27__n A_c[27] + Net clk_030_h_0_un3_n CLK_030_H_0.un3 + Net a_27__n A[27] + Net clk_030_h_0_un1_n CLK_030_H_0.un1 + Net a_c_28__n A_c[28] + Net clk_030_h_0_un0_n CLK_030_H_0.un0 + Net state_machine_clk_000_p_sync_3_0__n state_machine.CLK_000_P_SYNC_3[0] + Net a_28__n A[28] + Net rw_000_dma_0_un3_n RW_000_DMA_0.un3 + Net a_c_29__n A_c[29] + Net rw_000_dma_0_un1_n RW_000_DMA_0.un1 + Net a_29__n A[29] + Net rw_000_dma_0_un0_n RW_000_DMA_0.un0 + Net size_dma_0__n SIZE_DMA[0] + Net a_c_30__n A_c[30] + Net vma_int_0_un3_n VMA_INT_0.un3 + Net size_dma_1__n SIZE_DMA[1] + Net a_30__n A[30] + Net vma_int_0_un1_n VMA_INT_0.un1 + Net a_c_31__n A_c[31] + Net vma_int_0_un0_n VMA_INT_0.un0 + Net un4_clk_cnt_n_1_1__n un4_clk_cnt_n_1[1] + Net as_000_int_0_un3_n AS_000_INT_0.un3 + Net un2_clk_cnt_p_1__n un2_clk_cnt_p[1] + Net as_000_int_0_un1_n AS_000_INT_0.un1 + Net clk_000_p_sync_0__n CLK_000_P_SYNC[0] + Net as_000_int_0_un0_n AS_000_INT_0.un0 + Net clk_000_p_sync_1__n CLK_000_P_SYNC[1] + Net dsack1_int_0_un3_n DSACK1_INT_0.un3 + Net clk_000_p_sync_2__n CLK_000_P_SYNC[2] + Net dsack1_int_0_un1_n DSACK1_INT_0.un1 + Net clk_000_p_sync_3__n CLK_000_P_SYNC[3] + Net dsack1_int_0_un0_n DSACK1_INT_0.un0 + Net clk_000_p_sync_4__n CLK_000_P_SYNC[4] + Net rw_000_int_0_un3_n RW_000_INT_0.un3 + Net clk_000_p_sync_5__n CLK_000_P_SYNC[5] + Net rw_000_int_0_un1_n RW_000_INT_0.un1 + Net clk_000_p_sync_6__n CLK_000_P_SYNC[6] + Net rw_000_int_0_un0_n RW_000_INT_0.un0 + Net clk_000_p_sync_7__n CLK_000_P_SYNC[7] + Net bg_000_0_un3_n BG_000_0.un3 + Net clk_000_p_sync_8__n CLK_000_P_SYNC[8] + Net bg_000_0_un1_n BG_000_0.un1 + Net bg_000_0_un0_n BG_000_0.un0 Net state_machine_a0_dma_2_n state_machine.A0_DMA_2 Net state_machine_size_dma_4_0__n state_machine.SIZE_DMA_4[0] Net state_machine_size_dma_4_1__n state_machine.SIZE_DMA_4[1] + Net sm_amiga_5__n SM_AMIGA[5] + Net sm_amiga_3__n SM_AMIGA[3] + Net sm_amiga_2__n SM_AMIGA[2] Net ipl_030_c_0__n IPL_030_c[0] Net ipl_030_0__n IPL_030[0] Net ipl_030_c_1__n IPL_030_c[1] @@ -539,11 +493,10 @@ Design 'BUS68030' created Mon Jun 09 20:20:30 2014 Net ipl_c_2__n IPL_c[2] Net sm_amiga_ns_0__n SM_AMIGA_ns[0] Net sm_amiga_ns_1__n SM_AMIGA_ns[1] - Net sm_amiga_ns_2__n SM_AMIGA_ns[2] Net sm_amiga_ns_3__n SM_AMIGA_ns[3] Net sm_amiga_ns_4__n SM_AMIGA_ns[4] Net sm_amiga_ns_5__n SM_AMIGA_ns[5] - Net sm_amiga_ns_6__n SM_AMIGA_ns[6] + Net sm_amiga_ns_7__n SM_AMIGA_ns[7] Net cpu_est_0__n cpu_est[0] Net cpu_est_1__n cpu_est[1] Net cpu_est_2__n cpu_est[2] @@ -551,88 +504,107 @@ Design 'BUS68030' created Mon Jun 09 20:20:30 2014 Net cpu_est_ns_e_0__n cpu_est_ns_e[0] Net cpu_est_ns_e_1__n cpu_est_ns_e[1] Net cpu_est_ns_e_2__n cpu_est_ns_e[2] - Net fc_c_0__n FC_c[0] Net cpu_est_ns_e_3__n cpu_est_ns_e[3] + Net fc_c_0__n FC_c[0] Net fc_0__n FC[0] - Net cpu_est_ns_1__n cpu_est_ns[1] Net fc_c_1__n FC_c[1] - Net cpu_est_ns_2__n cpu_est_ns[2] - Net state_machine_un10_clk_000_ne_i_n state_machine.un10_clk_000_ne_i - Net state_machine_un4_clk_000_ne_i_n state_machine.un4_clk_000_ne_i - Net state_machine_un6_clk_000_ne_i_n state_machine.un6_clk_000_ne_i - Net state_machine_rw_000_int_3_0_n state_machine.RW_000_INT_3_0 - Net sm_amiga_ns_0_0__n SM_AMIGA_ns_0[0] - Net sm_amiga_ns_0_1__n SM_AMIGA_ns_0[1] - Net sm_amiga_ns_0_2__n SM_AMIGA_ns_0[2] - Net state_machine_un5_clk_000_n_sync_n state_machine.un5_clk_000_n_sync - Net sm_amiga_ns_0_4__n SM_AMIGA_ns_0[4] - Net sm_amiga_ns_0_6__n SM_AMIGA_ns_0[6] - Net state_machine_un28_as_030_n state_machine.un28_as_030 + Net state_machine_un34_clk_000_d0_n state_machine.un34_clk_000_d0 + Net state_machine_un16_clk_000_d0_n state_machine.un16_clk_000_d0 Net state_machine_un8_bgack_030_int_n state_machine.un8_bgack_030_int - Net sm_amiga_i_5__n SM_AMIGA_i[5] - Net state_machine_un10_bgack_030_int_n state_machine.un10_bgack_030_int - Net state_machine_un24_bgack_030_int_n state_machine.un24_bgack_030_int - Net state_machine_clk_030_h_2_n state_machine.CLK_030_H_2 - Net state_machine_clk_030_h_2_f1_n state_machine.CLK_030_H_2_f1 - Net state_machine_un4_bgack_000_0_n state_machine.un4_bgack_000_0 - Net state_machine_un31_bgack_030_int_n state_machine.un31_bgack_030_int - Net state_machine_ds_000_dma_3_n state_machine.DS_000_DMA_3 - Net state_machine_un3_bgack_030_int_d_i_n state_machine.un3_bgack_030_int_d_i + Net state_machine_un10_clk_000_d0_2_n state_machine.un10_clk_000_d0_2 + Net state_machine_un38_clk_000_d0_1_n state_machine.un38_clk_000_d0_1 + Net cpu_est_ns_1__n cpu_est_ns[1] + Net state_machine_un1_as_030_n state_machine.un1_as_030 + Net sm_amiga_ns_0_0__n SM_AMIGA_ns_0[0] Net state_machine_un10_bg_030_n state_machine.un10_bg_030 - Net state_machine_un3_bgack_030_int_d_n state_machine.un3_bgack_030_int_d - Net sm_amiga_i_6__n SM_AMIGA_i[6] - Net sm_amiga_i_2__n SM_AMIGA_i[2] - Net sm_amiga_i_4__n SM_AMIGA_i[4] + Net sm_amiga_ns_0_1__n SM_AMIGA_ns_0[1] Net state_machine_un8_bg_030_n state_machine.un8_bg_030 - Net sm_amiga_ns_0_5__n SM_AMIGA_ns_0[5] Net sm_amiga_ns_0_3__n SM_AMIGA_ns_0[3] - Net state_machine_un4_bgack_000_n state_machine.un4_bgack_000 - Net state_machine_un8_bg_030_i_n state_machine.un8_bg_030_i - Net state_machine_un10_bg_030_0_n state_machine.un10_bg_030_0 - Net state_machine_un5_bgack_030_int_d_i_n state_machine.un5_bgack_030_int_d_i - Net state_machine_un10_bgack_030_int_0_n state_machine.un10_bgack_030_int_0 - Net state_machine_ds_000_dma_3_0_n state_machine.DS_000_DMA_3_0 - Net state_machine_size_dma_4_0_0__n state_machine.SIZE_DMA_4_0[0] - Net state_machine_size_dma_4_0_1__n state_machine.SIZE_DMA_4_0[1] - Net state_machine_clk_030_h_2_f1_0_n state_machine.CLK_030_H_2_f1_0 - Net cpu_est_ns_0_1__n cpu_est_ns_0[1] - Net state_machine_un10_clk_000_ne_n state_machine.un10_clk_000_ne - Net state_machine_un6_clk_000_ne_n state_machine.un6_clk_000_ne - Net cpu_est_ns_e_0_0__n cpu_est_ns_e_0[0] + Net sm_amiga_ns_0_4__n SM_AMIGA_ns_0[4] Net state_machine_rw_000_int_3_n state_machine.RW_000_INT_3 - Net state_machine_un4_clk_000_ne_n state_machine.un4_clk_000_ne + Net state_machine_un12_clk_000_d0_n state_machine.un12_clk_000_d0 + Net sm_amiga_ns_0_5__n SM_AMIGA_ns_0[5] + Net state_machine_un10_clk_000_d0_n state_machine.un10_clk_000_d0 + Net state_machine_un5_clk_000_d0_n state_machine.un5_clk_000_d0 + Net state_machine_un38_clk_000_d0_n state_machine.un38_clk_000_d0 + Net state_machine_un31_bgack_030_int_n state_machine.un31_bgack_030_int + Net sm_amiga_i_4__n SM_AMIGA_i[4] + Net state_machine_un32_clk_000_d0_n state_machine.un32_clk_000_d0 + Net state_machine_clk_030_h_2_n state_machine.CLK_030_H_2 Net cpu_est_ns_0_2__n cpu_est_ns_0[2] - Net state_machine_un10_clk_000_d0_i_n state_machine.un10_clk_000_d0_i - Net state_machine_un12_clk_000_d0_0_n state_machine.un12_clk_000_d0_0 - Net sm_amiga_i_1__n SM_AMIGA_i[1] - Net sm_amiga_i_0__n SM_AMIGA_i[0] - Net sm_amiga_i_3__n SM_AMIGA_i[3] + Net state_machine_un10_clk_000_d0_2_i_n state_machine.un10_clk_000_d0_2_i + Net state_machine_un24_bgack_030_int_n state_machine.un24_bgack_030_int + Net state_machine_un10_bgack_030_int_n state_machine.un10_bgack_030_int + Net state_machine_clk_030_h_2_f1_n state_machine.CLK_030_H_2_f1 + Net state_machine_un1_as_030_i_n state_machine.un1_as_030_i + Net state_machine_un3_bgack_030_int_d_n state_machine.un3_bgack_030_int_d + Net state_machine_un6_clk_000_p_sync_i_n state_machine.un6_clk_000_p_sync_i + Net state_machine_un6_bgack_000_0_n state_machine.un6_bgack_000_0 Net state_machine_un7_ds_030_i_n state_machine.un7_ds_030_i Net size_c_i_1__n SIZE_c_i[1] - Net a_i_16__n A_i[16] - Net a_i_19__n A_i[19] - Net a_i_17__n A_i[17] - Net a_i_18__n A_i[18] - Net sm_amiga_i_7__n SM_AMIGA_i[7] - Net state_machine_un7_ds_030_i_1_n state_machine.un7_ds_030_i_1 - Net state_machine_un8_bgack_030_int_i_n state_machine.un8_bgack_030_int_i - Net state_machine_clk_000_p_sync_3_1_0__n state_machine.CLK_000_P_SYNC_3_1[0] - Net state_machine_clk_000_p_sync_3_2_0__n state_machine.CLK_000_P_SYNC_3_2[0] - Net state_machine_un28_as_030_1_n state_machine.un28_as_030_1 - Net state_machine_un28_as_030_2_n state_machine.un28_as_030_2 - Net state_machine_un31_bgack_030_int_i_n state_machine.un31_bgack_030_int_i - Net state_machine_clk_000_n_sync_2_1_0__n state_machine.CLK_000_N_SYNC_2_1[0] + Net state_machine_un5_bgack_030_int_d_i_n state_machine.un5_bgack_030_int_d_i + Net state_machine_un6_bgack_000_n state_machine.un6_bgack_000 + Net state_machine_un6_clk_000_p_sync_n state_machine.un6_clk_000_p_sync + Net state_machine_un3_bgack_030_int_d_i_n state_machine.un3_bgack_030_int_d_i + Net cpu_est_ns_2__n cpu_est_ns[2] + Net state_machine_clk_030_h_2_f1_0_n state_machine.CLK_030_H_2_f1_0 + Net state_machine_un10_bgack_030_int_0_n state_machine.un10_bgack_030_int_0 + Net state_machine_un38_clk_000_d0_i_n state_machine.un38_clk_000_d0_i + Net state_machine_un32_clk_000_d0_i_n state_machine.un32_clk_000_d0_i + Net state_machine_un34_clk_000_d0_0_n state_machine.un34_clk_000_d0_0 + Net sm_amiga_ns_0_7__n SM_AMIGA_ns_0[7] + Net state_machine_un30_clk_000_d0_n state_machine.un30_clk_000_d0 + Net state_machine_size_dma_4_0_1__n state_machine.SIZE_DMA_4_0[1] + Net state_machine_size_dma_4_0_0__n state_machine.SIZE_DMA_4_0[0] + Net cpu_est_ns_0_1__n cpu_est_ns_0[1] + Net state_machine_un10_clk_000_d0_i_n state_machine.un10_clk_000_d0_i + Net state_machine_un5_clk_000_d0_i_n state_machine.un5_clk_000_d0_i + Net state_machine_un12_clk_000_d0_0_n state_machine.un12_clk_000_d0_0 + Net state_machine_rw_000_int_3_0_n state_machine.RW_000_INT_3_0 + Net sm_amiga_i_1__n SM_AMIGA_i[1] + Net state_machine_un8_bg_030_i_n state_machine.un8_bg_030_i + Net sm_amiga_i_0__n SM_AMIGA_i[0] + Net state_machine_un10_bg_030_0_n state_machine.un10_bg_030_0 + Net sm_amiga_i_2__n SM_AMIGA_i[2] + Net state_machine_un30_clk_000_d0_i_n state_machine.un30_clk_000_d0_i + Net sm_amiga_i_3__n SM_AMIGA_i[3] Net cpu_est_ns_0_1_1__n cpu_est_ns_0_1[1] - Net state_machine_un24_bgack_030_int_i_n state_machine.un24_bgack_030_int_i Net cpu_est_ns_0_2_1__n cpu_est_ns_0_2[1] - Net state_machine_un10_clk_000_d0_1_n state_machine.un10_clk_000_d0_1 - Net state_machine_un10_clk_000_d0_2_n state_machine.un10_clk_000_d0_2 - Net state_machine_un28_as_030_i_n state_machine.un28_as_030_i - Net state_machine_un8_bg_030_1_n state_machine.un8_bg_030_1 - Net state_machine_un8_bg_030_2_n state_machine.un8_bg_030_2 - Net cpu_est_i_3__n cpu_est_i[3] Net cpu_est_i_1__n cpu_est_i[1] Net cpu_est_i_0__n cpu_est_i[0] + Net cpu_est_i_3__n cpu_est_i[3] + Net state_machine_un38_clk_000_d0_1_i_n state_machine.un38_clk_000_d0_1_i + Net sm_amiga_i_5__n SM_AMIGA_i[5] + Net sm_amiga_i_6__n SM_AMIGA_i[6] + Net a_i_19__n A_i[19] + Net a_i_18__n A_i[18] + Net a_i_16__n A_i[16] + Net state_machine_un8_bg_030_1_n state_machine.un8_bg_030_1 + Net state_machine_un8_bg_030_2_n state_machine.un8_bg_030_2 + Net state_machine_un24_bgack_030_int_i_n state_machine.un24_bgack_030_int_i + Net state_machine_un38_clk_000_d0_1_0_n state_machine.un38_clk_000_d0_1_0 + Net state_machine_un5_clk_000_d0_1_n state_machine.un5_clk_000_d0_1 + Net state_machine_un8_bgack_030_int_i_n state_machine.un8_bgack_030_int_i + Net state_machine_un5_clk_000_d0_2_n state_machine.un5_clk_000_d0_2 + Net state_machine_un10_clk_000_d0_1_n state_machine.un10_clk_000_d0_1 + Net state_machine_un10_clk_000_d0_2_0_n state_machine.un10_clk_000_d0_2_0 + Net state_machine_un10_clk_000_d0_3_n state_machine.un10_clk_000_d0_3 + Net sm_amiga_i_7__n SM_AMIGA_i[7] + Net state_machine_un31_bgack_030_int_i_n state_machine.un31_bgack_030_int_i + Net state_machine_un7_ds_030_i_1_n state_machine.un7_ds_030_i_1 + Net cpu_est_i_2__n cpu_est_i[2] + Net a_i_31__n A_i[31] + Net a_i_30__n A_i[30] + Net a_i_29__n A_i[29] + Net cpu_est_ns_0_1_2__n cpu_est_ns_0_1[2] + Net a_i_28__n A_i[28] + Net state_machine_clk_000_p_sync_3_1_0__n state_machine.CLK_000_P_SYNC_3_1[0] + Net a_i_27__n A_i[27] + Net a_i_26__n A_i[26] + Net a_i_25__n A_i[25] + Net a_i_24__n A_i[24] + Net clk_cnt_n_i_0__n CLK_CNT_N_i[0] + Net sm_amiga_ns_0_1_0__n SM_AMIGA_ns_0_1[0] End Section Type Name // ---------------------------------------------------------------------- diff --git a/Logic/bus68030.srf b/Logic/bus68030.srf index e5b3d38..3741f2b 100644 --- a/Logic/bus68030.srf +++ b/Logic/bus68030.srf @@ -6,7 +6,7 @@ #Implementation: logic $ Start of Compile -#Mon Jun 09 20:20:24 2014 +#Sun Jun 15 16:36:37 2014 Synopsys VHDL Compiler, version comp201209rcp1, Build 283R, built Mar 19 2013 @N|Running in 64-bit mode @@ -19,15 +19,16 @@ VHDL syntax check successful! File C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd changed - recompiling @N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral Post processing for work.bus68030.behavioral -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:34:135:36|Pruning register CLK_000_NE_D +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:34:136:36|Pruning register CLK_000_NE_D +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:34:135:36|Pruning register CLK_000_NE +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:61:133:75|Pruning register CLK_000_N_SYNC(12 downto 0) @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:53:117:56|Pruning register FPU_CS_INT -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:32:137:34|Pruning register CLK_REF(1 downto 0) +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Pruning register CLK_REF(1 downto 0) @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:29:110:31|Pruning register DTACK_D0 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:35:124:37|Pruning register CLK_OUT_NE -@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:61:132:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ... -@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:35:136:37|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. -@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:32:137:34|Trying to extract state machine for register SM_AMIGA +@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:35:137:37|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. +@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Trying to extract state machine for register SM_AMIGA Extracted state machine for register SM_AMIGA State machine has 8 reachable states with original encodings of: 000 @@ -54,7 +55,7 @@ State machine has 11 reachable states with original encodings of: 1111 @END Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Mon Jun 09 20:20:24 2014 +# Sun Jun 15 16:36:37 2014 ###########################################################] Map & Optimize Report @@ -90,15 +91,15 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFFRH 47 uses -DFFSH 27 uses +DFFRH 34 uses +DFFSH 28 uses DFF 1 use BI_DIR 12 uses IBUF 30 uses OBUF 16 uses BUFTH 1 use AND2 209 uses -INV 179 uses +INV 172 uses OR2 21 uses XOR2 4 uses @@ -110,6 +111,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 96MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Mon Jun 09 20:20:26 2014 +# Sun Jun 15 16:36:39 2014 ###########################################################] diff --git a/Logic/run_options.txt b/Logic/run_options.txt index 89579ae..051f46a 100644 --- a/Logic/run_options.txt +++ b/Logic/run_options.txt @@ -1,7 +1,7 @@ #-- Synopsys, Inc. #-- Version G-2012.09LC-SP1 #-- Project file C:\users\matze\documents\github\68030tk\logic\run_options.txt -#-- Written on Mon Jun 09 20:20:24 2014 +#-- Written on Sun Jun 15 16:36:37 2014 #project files diff --git a/Logic/synlog/bus68030_fpga_mapper.srr b/Logic/synlog/bus68030_fpga_mapper.srr index 8d88005..4b51f2d 100644 --- a/Logic/synlog/bus68030_fpga_mapper.srr +++ b/Logic/synlog/bus68030_fpga_mapper.srr @@ -29,15 +29,15 @@ original code -> new code Resource Usage Report Simple gate primitives: -DFFRH 47 uses -DFFSH 27 uses +DFFRH 34 uses +DFFSH 28 uses DFF 1 use BI_DIR 12 uses IBUF 30 uses OBUF 16 uses BUFTH 1 use AND2 209 uses -INV 179 uses +INV 172 uses OR2 21 uses XOR2 4 uses @@ -49,6 +49,6 @@ Mapper successful! At Mapper Exit (Real Time elapsed 0h:00m:00s; CPU Time elapsed 0h:00m:00s; Memory used current: 31MB peak: 96MB) Process took 0h:00m:01s realtime, 0h:00m:01s cputime -# Mon Jun 09 20:20:26 2014 +# Sun Jun 15 16:36:39 2014 ###########################################################] diff --git a/Logic/synlog/report/BUS68030_compiler_errors.txt b/Logic/synlog/report/BUS68030_compiler_errors.txt index 521f255..0c5a326 100644 --- a/Logic/synlog/report/BUS68030_compiler_errors.txt +++ b/Logic/synlog/report/BUS68030_compiler_errors.txt @@ -1,3 +1,3 @@ -@E: CL219 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":121:7:121:20|Multiple non-tristate drivers for net AMIGA_BUS_ENABLE_LOW in BUS68030 -@E: CL229 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":121:7:121:20|Unresolved tristate drivers for net AMIGA_BUS_ENABLE_LOW in BUS68030 +@E: CD415 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":542:58:542:59|Expecting keyword else +@E|Parse errors encountered - exiting diff --git a/Logic/synlog/report/BUS68030_compiler_notes.txt b/Logic/synlog/report/BUS68030_compiler_notes.txt index 836e420..9943e24 100644 --- a/Logic/synlog/report/BUS68030_compiler_notes.txt +++ b/Logic/synlog/report/BUS68030_compiler_notes.txt @@ -2,6 +2,6 @@ @N: CD720 :"C:\Program Files (x86)\ispLever\synpbase\lib\vhd\std.vhd":123:18:123:21|Setting time resolution to ns @N:"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Top entity is set to BUS68030. @N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral -@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:32:137:34|Trying to extract state machine for register SM_AMIGA +@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Trying to extract state machine for register SM_AMIGA @N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":126:34:126:36|Trying to extract state machine for register cpu_est diff --git a/Logic/synlog/report/BUS68030_compiler_runstatus.xml b/Logic/synlog/report/BUS68030_compiler_runstatus.xml index 43f9599..bc37ac4 100644 --- a/Logic/synlog/report/BUS68030_compiler_runstatus.xml +++ b/Logic/synlog/report/BUS68030_compiler_runstatus.xml @@ -18,7 +18,7 @@ The file contains the job information from compiler to be displayed as part of t C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_notes.txt - 7 + 8 C:\users\matze\documents\github\68030tk\logic\synlog\report\BUS68030_compiler_warnings.txt @@ -35,7 +35,7 @@ The file contains the job information from compiler to be displayed as part of t - - 1402338024 + 1402842997 \ No newline at end of file diff --git a/Logic/synlog/report/BUS68030_compiler_warnings.txt b/Logic/synlog/report/BUS68030_compiler_warnings.txt index 5a2ef33..c37dbeb 100644 --- a/Logic/synlog/report/BUS68030_compiler_warnings.txt +++ b/Logic/synlog/report/BUS68030_compiler_warnings.txt @@ -1,8 +1,9 @@ -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:34:135:36|Pruning register CLK_000_NE_D +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:34:136:36|Pruning register CLK_000_NE_D +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:34:135:36|Pruning register CLK_000_NE +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:61:133:75|Pruning register CLK_000_N_SYNC(12 downto 0) @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:53:117:56|Pruning register FPU_CS_INT -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:32:137:34|Pruning register CLK_REF(1 downto 0) +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Pruning register CLK_REF(1 downto 0) @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:29:110:31|Pruning register DTACK_D0 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:35:124:37|Pruning register CLK_OUT_NE -@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:61:132:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ... diff --git a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml index d1f455e..f228eb5 100644 --- a/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml +++ b/Logic/synlog/report/BUS68030_fpga_mapper_runstatus.xml @@ -39,7 +39,7 @@ The file contains the job information from mapper to be displayed as part of the 96MB -1402338026 +1402842999 diff --git a/Logic/syntmp/run_option.xml b/Logic/syntmp/run_option.xml index fb50bee..4d80d5d 100644 --- a/Logic/syntmp/run_option.xml +++ b/Logic/syntmp/run_option.xml @@ -3,7 +3,7 @@ Synopsys, Inc. Version G-2012.09LC-SP1 Project file C:\users\matze\documents\github\68030tk\logic\syntmp\run_option.xml - Written on Mon Jun 09 20:20:24 2014 + Written on Sun Jun 15 16:36:37 2014 --> diff --git a/Logic/synwork/BUS68030_compiler.fdep b/Logic/synwork/BUS68030_compiler.fdep index 7b722db..a9ae352 100644 --- a/Logic/synwork/BUS68030_compiler.fdep +++ b/Logic/synwork/BUS68030_compiler.fdep @@ -10,7 +10,7 @@ #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328 #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328 #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1402338017 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1402842971 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_compiler.fdeporig b/Logic/synwork/BUS68030_compiler.fdeporig index 2f827dc..612ea28 100644 --- a/Logic/synwork/BUS68030_compiler.fdeporig +++ b/Logic/synwork/BUS68030_compiler.fdeporig @@ -10,7 +10,7 @@ #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\umr_capim.vhd":1363694328 #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\arith.vhd":1363694328 #CUR:"C:\\Program Files (x86)\\ispLever\\synpbase\\lib\\vhd\\unsigned.vhd":1363694328 -#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1402338017 +#CUR:"C:\\users\\matze\\documents\\github\\68030tk\\logic\\68030-68000-bus.vhd":1402842971 0 "C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd" vhdl # Dependency Lists (Uses list) diff --git a/Logic/synwork/BUS68030_compiler.srs b/Logic/synwork/BUS68030_compiler.srs index 668b8cc..f647d55 100644 Binary files a/Logic/synwork/BUS68030_compiler.srs and b/Logic/synwork/BUS68030_compiler.srs differ diff --git a/Logic/synwork/BUS68030_compiler.tlg b/Logic/synwork/BUS68030_compiler.tlg index 21ee7c1..6976990 100644 --- a/Logic/synwork/BUS68030_compiler.tlg +++ b/Logic/synwork/BUS68030_compiler.tlg @@ -1,14 +1,15 @@ @N: CD630 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":13:7:13:14|Synthesizing work.bus68030.behavioral Post processing for work.bus68030.behavioral -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:34:135:36|Pruning register CLK_000_NE_D +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:34:136:36|Pruning register CLK_000_NE_D +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":135:34:135:36|Pruning register CLK_000_NE +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":133:61:133:75|Pruning register CLK_000_N_SYNC(12 downto 0) @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":117:53:117:56|Pruning register FPU_CS_INT -@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:32:137:34|Pruning register CLK_REF(1 downto 0) +@W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Pruning register CLK_REF(1 downto 0) @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":110:29:110:31|Pruning register DTACK_D0 @W: CL169 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":124:35:124:37|Pruning register CLK_OUT_NE -@W: CL265 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":132:61:132:75|Pruning bit 12 of CLK_000_N_SYNC(12 downto 0) -- not in use ... @W: CL271 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":102:36:102:38|Pruning bits 12 to 10 of CLK_000_P_SYNC(12 downto 0) -- not in use ... -@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":136:35:136:37|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. -@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:32:137:34|Trying to extract state machine for register SM_AMIGA +@A: CL282 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":137:35:137:37|Feedback mux created for signal CLK_030_H -- possible set/reset assignment for signal missing. Specifying a reset value will improve timing and area. +@N: CL201 :"C:\users\matze\documents\github\68030tk\logic\68030-68000-bus.vhd":138:32:138:34|Trying to extract state machine for register SM_AMIGA Extracted state machine for register SM_AMIGA State machine has 8 reachable states with original encodings of: 000